Warning: Permanently added '2620:52:3:1:dead:beef:cafe:c19a' (ED25519) to the list of known hosts. cmd: ['dist-git-client', 'sources'] cwd: /var/lib/copr-rpmbuild/workspace/workdir-1wokhgao/abc rc: 0 stdout: stderr: INFO: Reading stdout from command: git rev-parse --abbrev-ref HEAD INFO: Reading stdout from command: git rev-parse HEAD INFO: Reading sources specification file: sources Running (timeout=172800): unbuffer mock --spec /var/lib/copr-rpmbuild/workspace/workdir-1wokhgao/abc/abc.spec --sources /var/lib/copr-rpmbuild/workspace/workdir-1wokhgao/abc --resultdir /var/lib/copr-rpmbuild/results --uniqueext 1729559599.251339 -r /var/lib/copr-rpmbuild/results/configs/child.cfg INFO: mock.py version 5.9 starting (python version = 3.12.1, NVR = mock-5.9-1.fc39), args: /usr/libexec/mock/mock --spec /var/lib/copr-rpmbuild/workspace/workdir-1wokhgao/abc/abc.spec --sources /var/lib/copr-rpmbuild/workspace/workdir-1wokhgao/abc --resultdir /var/lib/copr-rpmbuild/results --uniqueext 1729559599.251339 -r /var/lib/copr-rpmbuild/results/configs/child.cfg Start(bootstrap): init plugins INFO: tmpfs initialized INFO: selinux enabled INFO: chroot_scan: initialized INFO: compress_logs: initialized Finish(bootstrap): init plugins Start: init plugins INFO: tmpfs initialized INFO: selinux enabled INFO: chroot_scan: initialized INFO: compress_logs: initialized Finish: init plugins INFO: Signal handler active Start: run INFO: Start(/var/lib/copr-rpmbuild/workspace/workdir-1wokhgao/abc/abc.spec) Config(fedora-41-x86_64) Start: clean chroot Finish: clean chroot Mock Version: 5.9 INFO: Mock Version: 5.9 Start(bootstrap): chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-41-x86_64-bootstrap-1729559599.251339/root. INFO: calling preinit hooks INFO: enabled root cache INFO: enabled package manager cache Start(bootstrap): cleaning package manager metadata Finish(bootstrap): cleaning package manager metadata INFO: Guessed host environment type: unknown INFO: Using bootstrap image: registry.fedoraproject.org/fedora:41 INFO: Pulling image: registry.fedoraproject.org/fedora:41 INFO: Copy content of container registry.fedoraproject.org/fedora:41 to /var/lib/mock/fedora-41-x86_64-bootstrap-1729559599.251339/root INFO: Checking that registry.fedoraproject.org/fedora:41 image matches host's architecture INFO: mounting registry.fedoraproject.org/fedora:41 with podman image mount INFO: image registry.fedoraproject.org/fedora:41 as /var/lib/containers/storage/overlay/8f348956d9c78a58f5b1df8c17c5d530d15e3a9f5b6dd9b71a0809719303bbc9/merged INFO: umounting image registry.fedoraproject.org/fedora:41 (/var/lib/containers/storage/overlay/8f348956d9c78a58f5b1df8c17c5d530d15e3a9f5b6dd9b71a0809719303bbc9/merged) with podman image umount INFO: Package manager dnf5 detected and used (fallback) INFO: Not updating bootstrap chroot, bootstrap_image_ready=True Start(bootstrap): creating root cache Finish(bootstrap): creating root cache Finish(bootstrap): chroot init Start: chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-41-x86_64-1729559599.251339/root. INFO: calling preinit hooks INFO: enabled root cache INFO: enabled package manager cache Start: cleaning package manager metadata Finish: cleaning package manager metadata INFO: enabled HW Info plugin INFO: Package manager dnf5 detected and used (direct choice) INFO: Buildroot is handled by package management downloaded with a bootstrap image: rpm-4.20.0-1.fc41.x86_64 rpm-sequoia-1.7.0-2.fc41.x86_64 dnf5-5.2.6.2-1.fc41.x86_64 dnf5-plugins-5.2.6.2-1.fc41.x86_64 Start: installing minimal buildroot with dnf5 Updating and loading repositories: updates 100% | 210.6 KiB/s | 31.0 KiB | 00m00s fedora 100% | 27.2 MiB/s | 35.4 MiB | 00m01s Copr repository 100% | 581.1 KiB/s | 129.6 KiB | 00m00s Additional repo copr_rezso_ML 100% | 995.7 KiB/s | 130.4 KiB | 00m00s Additional repo copr_rezso_CUDA 100% | 368.5 KiB/s | 44.2 KiB | 00m00s Additional repo http_developer_downloa 100% | 8.0 MiB/s | 877.3 KiB | 00m00s Additional repo http_developer_downloa 100% | 6.5 MiB/s | 554.9 KiB | 00m00s Additional repo http_developer_downloa 100% | 5.5 MiB/s | 452.8 KiB | 00m00s Repositories loaded. Package Arch Version Repository Size Installing group/module packages: bash x86_64 5.2.32-1.fc41 fedora 8.2 MiB bzip2 x86_64 1.0.8-19.fc41 fedora 95.7 KiB coreutils x86_64 9.5-10.fc41 fedora 5.6 MiB cpio x86_64 2.15-2.fc41 fedora 1.1 MiB diffutils x86_64 3.10-8.fc41 fedora 1.6 MiB fedora-release-common noarch 41-25 fedora 19.5 KiB findutils x86_64 1:4.10.0-4.fc41 fedora 1.8 MiB gawk x86_64 5.3.0-4.fc41 fedora 1.7 MiB glibc-minimal-langpack x86_64 2.40-3.fc41 fedora 0.0 B grep x86_64 3.11-9.fc41 fedora 1.0 MiB gzip x86_64 1.13-2.fc41 fedora 389.0 KiB info x86_64 7.1-3.fc41 fedora 361.8 KiB patch x86_64 2.7.6-25.fc41 fedora 266.7 KiB redhat-rpm-config noarch 293-1.fc41 fedora 183.5 KiB rpm-build x86_64 4.20.0-1.fc41 fedora 194.3 KiB sed x86_64 4.9-3.fc41 fedora 861.5 KiB shadow-utils x86_64 2:4.15.1-12.fc41 fedora 4.1 MiB tar x86_64 2:1.35-4.fc41 fedora 2.9 MiB unzip x86_64 6.0-64.fc41 fedora 386.8 KiB util-linux x86_64 2.40.2-4.fc41 fedora 3.7 MiB which x86_64 2.21-42.fc41 fedora 80.2 KiB xz x86_64 1:5.6.2-2.fc41 fedora 1.2 MiB Installing dependencies: add-determinism x86_64 0.3.6-1.fc41 fedora 2.2 MiB alternatives x86_64 1.30-1.fc41 fedora 66.3 KiB ansible-srpm-macros noarch 1-16.fc41 fedora 35.7 KiB audit-libs x86_64 4.0.2-1.fc41 fedora 331.3 KiB authselect x86_64 1.5.0-8.fc41 fedora 157.6 KiB authselect-libs x86_64 1.5.0-8.fc41 fedora 822.2 KiB basesystem noarch 11-21.fc41 fedora 0.0 B binutils x86_64 2.43.1-2.fc41 fedora 27.5 MiB build-reproducibility-srpm-macros noarch 0.3.6-1.fc41 fedora 735.0 B bzip2-libs x86_64 1.0.8-19.fc41 fedora 80.7 KiB ca-certificates noarch 2024.2.69_v8.0.401-1.0.fc41 fedora 2.4 MiB coreutils-common x86_64 9.5-10.fc41 fedora 11.2 MiB cracklib x86_64 2.9.11-6.fc41 fedora 238.9 KiB crypto-policies noarch 20241010-1.git8baf557.fc41 fedora 136.9 KiB curl x86_64 8.9.1-2.fc41 fedora 796.2 KiB cyrus-sasl-lib x86_64 2.1.28-27.fc41 fedora 2.3 MiB debugedit x86_64 5.0-17.fc41 fedora 199.3 KiB dwz x86_64 0.15-8.fc41 fedora 298.9 KiB ed x86_64 1.20.2-2.fc41 fedora 146.9 KiB efi-srpm-macros noarch 5-12.fc41 fedora 40.1 KiB elfutils x86_64 0.191-8.fc41 fedora 2.6 MiB elfutils-debuginfod-client x86_64 0.191-8.fc41 fedora 64.9 KiB elfutils-default-yama-scope noarch 0.191-8.fc41 fedora 1.8 KiB elfutils-libelf x86_64 0.191-8.fc41 fedora 1.2 MiB elfutils-libs x86_64 0.191-8.fc41 fedora 646.2 KiB fedora-gpg-keys noarch 41-1 fedora 126.4 KiB fedora-release noarch 41-25 fedora 0.0 B fedora-release-identity-basic noarch 41-25 fedora 682.0 B fedora-repos noarch 41-1 fedora 4.9 KiB file x86_64 5.45-7.fc41 fedora 103.5 KiB file-libs x86_64 5.45-7.fc41 fedora 9.9 MiB filesystem x86_64 3.18-23.fc41 fedora 106.0 B fonts-srpm-macros noarch 1:2.0.5-17.fc41 fedora 55.8 KiB forge-srpm-macros noarch 0.3.2-1.fc41 fedora 39.0 KiB fpc-srpm-macros noarch 1.3-13.fc41 fedora 144.0 B gdb-minimal x86_64 15.1-1.fc41 fedora 13.0 MiB gdbm x86_64 1:1.23-7.fc41 fedora 460.9 KiB gdbm-libs x86_64 1:1.23-7.fc41 fedora 121.9 KiB ghc-srpm-macros noarch 1.9.1-2.fc41 fedora 747.0 B glibc x86_64 2.40-3.fc41 fedora 6.7 MiB glibc-common x86_64 2.40-3.fc41 fedora 1.0 MiB glibc-gconv-extra x86_64 2.40-3.fc41 fedora 8.0 MiB gmp x86_64 1:6.3.0-2.fc41 fedora 811.4 KiB gnat-srpm-macros noarch 6-6.fc41 fedora 1.0 KiB go-srpm-macros noarch 3.6.0-3.fc41 fedora 60.8 KiB jansson x86_64 2.13.1-10.fc41 fedora 88.3 KiB kernel-srpm-macros noarch 1.0-24.fc41 fedora 1.9 KiB keyutils-libs x86_64 1.6.3-4.fc41 fedora 54.4 KiB krb5-libs x86_64 1.21.3-2.fc41 fedora 2.3 MiB libacl x86_64 2.3.2-2.fc41 fedora 40.0 KiB libarchive x86_64 3.7.4-3.fc41 fedora 922.6 KiB libattr x86_64 2.5.2-4.fc41 fedora 28.5 KiB libblkid x86_64 2.40.2-4.fc41 fedora 258.5 KiB libbrotli x86_64 1.1.0-5.fc41 fedora 837.6 KiB libcap x86_64 2.70-4.fc41 fedora 220.2 KiB libcap-ng x86_64 0.8.5-3.fc41 fedora 69.2 KiB libcom_err x86_64 1.47.1-3.fc41 fedora 67.2 KiB libcurl x86_64 8.9.1-2.fc41 fedora 818.1 KiB libeconf x86_64 0.6.2-3.fc41 fedora 58.0 KiB libevent x86_64 2.1.12-14.fc41 fedora 895.7 KiB libfdisk x86_64 2.40.2-4.fc41 fedora 362.9 KiB libffi x86_64 3.4.6-3.fc41 fedora 86.4 KiB libgcc x86_64 14.2.1-3.fc41 fedora 274.6 KiB libgomp x86_64 14.2.1-3.fc41 fedora 523.5 KiB libidn2 x86_64 2.3.7-2.fc41 fedora 329.1 KiB libmount x86_64 2.40.2-4.fc41 fedora 351.8 KiB libnghttp2 x86_64 1.62.1-2.fc41 fedora 166.1 KiB libnsl2 x86_64 2.0.1-2.fc41 fedora 57.9 KiB libpkgconf x86_64 2.3.0-1.fc41 fedora 78.2 KiB libpsl x86_64 0.21.5-4.fc41 fedora 80.5 KiB libpwquality x86_64 1.4.5-11.fc41 fedora 417.8 KiB libselinux x86_64 3.7-5.fc41 fedora 181.0 KiB libsemanage x86_64 3.7-2.fc41 fedora 293.5 KiB libsepol x86_64 3.7-2.fc41 fedora 817.8 KiB libsmartcols x86_64 2.40.2-4.fc41 fedora 180.4 KiB libssh x86_64 0.10.6-8.fc41 fedora 513.3 KiB libssh-config noarch 0.10.6-8.fc41 fedora 277.0 B libstdc++ x86_64 14.2.1-3.fc41 fedora 2.8 MiB libtasn1 x86_64 4.19.0-9.fc41 fedora 175.7 KiB libtirpc x86_64 1.3.5-0.fc41 fedora 202.7 KiB libtool-ltdl x86_64 2.4.7-12.fc41 fedora 66.2 KiB libunistring x86_64 1.1-8.fc41 fedora 1.7 MiB libutempter x86_64 1.2.1-15.fc41 fedora 57.7 KiB libuuid x86_64 2.40.2-4.fc41 fedora 37.5 KiB libverto x86_64 0.3.2-9.fc41 fedora 29.5 KiB libxcrypt x86_64 4.4.36-7.fc41 fedora 266.8 KiB libxml2 x86_64 2.12.8-2.fc41 fedora 1.7 MiB libzstd x86_64 1.5.6-2.fc41 fedora 795.9 KiB lua-libs x86_64 5.4.6-6.fc41 fedora 285.0 KiB lua-srpm-macros noarch 1-14.fc41 fedora 1.3 KiB lz4-libs x86_64 1.10.0-1.fc41 fedora 145.5 KiB mpfr x86_64 4.2.1-5.fc41 fedora 832.1 KiB ncurses-base noarch 6.5-2.20240629.fc41 fedora 326.3 KiB ncurses-libs x86_64 6.5-2.20240629.fc41 fedora 975.2 KiB ocaml-srpm-macros noarch 10-3.fc41 fedora 1.9 KiB openblas-srpm-macros noarch 2-18.fc41 fedora 112.0 B openldap x86_64 2.6.8-5.fc41 fedora 644.2 KiB openssl-libs x86_64 1:3.2.2-9.fc41 fedora 7.8 MiB p11-kit x86_64 0.25.5-3.fc41 fedora 2.2 MiB p11-kit-trust x86_64 0.25.5-3.fc41 fedora 391.4 KiB package-notes-srpm-macros noarch 0.5-12.fc41 fedora 1.6 KiB pam x86_64 1.6.1-5.fc41 fedora 1.8 MiB pam-libs x86_64 1.6.1-5.fc41 fedora 139.0 KiB pcre2 x86_64 10.44-1.fc41.1 fedora 653.5 KiB pcre2-syntax noarch 10.44-1.fc41.1 fedora 251.6 KiB perl-srpm-macros noarch 1-56.fc41 fedora 861.0 B pkgconf x86_64 2.3.0-1.fc41 fedora 88.6 KiB pkgconf-m4 noarch 2.3.0-1.fc41 fedora 14.4 KiB pkgconf-pkg-config x86_64 2.3.0-1.fc41 fedora 989.0 B popt x86_64 1.19-7.fc41 fedora 136.9 KiB publicsuffix-list-dafsa noarch 20240107-4.fc41 fedora 67.5 KiB pyproject-srpm-macros noarch 1.15.1-1.fc41 fedora 1.9 KiB python-srpm-macros noarch 3.13-3.fc41 fedora 51.0 KiB qt5-srpm-macros noarch 5.15.15-1.fc41 fedora 500.0 B qt6-srpm-macros noarch 6.7.2-3.fc41 fedora 456.0 B readline x86_64 8.2-10.fc41 fedora 493.2 KiB rpm x86_64 4.20.0-1.fc41 fedora 3.1 MiB rpm-build-libs x86_64 4.20.0-1.fc41 fedora 206.7 KiB rpm-libs x86_64 4.20.0-1.fc41 fedora 725.9 KiB rpm-sequoia x86_64 1.7.0-2.fc41 fedora 2.4 MiB rust-srpm-macros noarch 26.3-3.fc41 fedora 4.8 KiB setup noarch 2.15.0-5.fc41 fedora 720.7 KiB sqlite-libs x86_64 3.46.1-1.fc41 fedora 1.4 MiB systemd-libs x86_64 256.7-1.fc41 fedora 2.0 MiB util-linux-core x86_64 2.40.2-4.fc41 fedora 1.5 MiB xxhash-libs x86_64 0.8.2-4.fc41 fedora 88.4 KiB xz-libs x86_64 1:5.6.2-2.fc41 fedora 214.4 KiB zig-srpm-macros noarch 1-3.fc41 fedora 1.1 KiB zip x86_64 3.0-41.fc41 fedora 703.2 KiB zlib-ng-compat x86_64 2.1.7-3.fc41 fedora 134.0 KiB zstd x86_64 1.5.6-2.fc41 fedora 1.7 MiB Installing groups: Buildsystem building group Transaction Summary: Installing: 153 packages Total size of inbound packages is 53 MiB. Need to download 53 MiB. After this operation, 180 MiB extra will be used (install 180 MiB, remove 0 B). [ 1/153] bzip2-0:1.0.8-19.fc41.x86_64 100% | 700.3 KiB/s | 52.5 KiB | 00m00s [ 2/153] coreutils-0:9.5-10.fc41.x86_6 100% | 8.7 MiB/s | 1.1 MiB | 00m00s [ 3/153] cpio-0:2.15-2.fc41.x86_64 100% | 5.0 MiB/s | 291.8 KiB | 00m00s [ 4/153] bash-0:5.2.32-1.fc41.x86_64 100% | 13.3 MiB/s | 1.8 MiB | 00m00s [ 5/153] diffutils-0:3.10-8.fc41.x86_6 100% | 20.8 MiB/s | 405.4 KiB | 00m00s [ 6/153] fedora-release-common-0:41-25 100% | 1.2 MiB/s | 23.3 KiB | 00m00s [ 7/153] findutils-1:4.10.0-4.fc41.x86 100% | 26.8 MiB/s | 548.5 KiB | 00m00s [ 8/153] glibc-minimal-langpack-0:2.40 100% | 7.6 MiB/s | 124.0 KiB | 00m00s [ 9/153] grep-0:3.11-9.fc41.x86_64 100% | 13.9 MiB/s | 299.7 KiB | 00m00s [ 10/153] gzip-0:1.13-2.fc41.x86_64 100% | 9.2 MiB/s | 170.2 KiB | 00m00s [ 11/153] info-0:7.1-3.fc41.x86_64 100% | 10.5 MiB/s | 182.5 KiB | 00m00s [ 12/153] redhat-rpm-config-0:293-1.fc4 100% | 5.0 MiB/s | 82.0 KiB | 00m00s [ 13/153] patch-0:2.7.6-25.fc41.x86_64 100% | 6.7 MiB/s | 131.0 KiB | 00m00s [ 14/153] rpm-build-0:4.20.0-1.fc41.x86 100% | 5.4 MiB/s | 82.8 KiB | 00m00s [ 15/153] sed-0:4.9-3.fc41.x86_64 100% | 17.2 MiB/s | 317.7 KiB | 00m00s [ 16/153] tar-2:1.35-4.fc41.x86_64 100% | 35.0 MiB/s | 860.7 KiB | 00m00s [ 17/153] unzip-0:6.0-64.fc41.x86_64 100% | 11.3 MiB/s | 184.9 KiB | 00m00s [ 18/153] which-0:2.21-42.fc41.x86_64 100% | 2.7 MiB/s | 41.6 KiB | 00m00s [ 19/153] xz-1:5.6.2-2.fc41.x86_64 100% | 23.0 MiB/s | 471.5 KiB | 00m00s [ 20/153] gawk-0:5.3.0-4.fc41.x86_64 100% | 42.9 MiB/s | 1.1 MiB | 00m00s [ 21/153] shadow-utils-2:4.15.1-12.fc41 100% | 19.4 MiB/s | 1.3 MiB | 00m00s [ 22/153] util-linux-0:2.40.2-4.fc41.x8 100% | 44.6 MiB/s | 1.2 MiB | 00m00s [ 23/153] ncurses-libs-0:6.5-2.20240629 100% | 10.5 MiB/s | 334.0 KiB | 00m00s [ 24/153] glibc-0:2.40-3.fc41.x86_64 100% | 46.6 MiB/s | 2.2 MiB | 00m00s [ 25/153] filesystem-0:3.18-23.fc41.x86 100% | 18.4 MiB/s | 1.1 MiB | 00m00s [ 26/153] bzip2-libs-0:1.0.8-19.fc41.x8 100% | 2.7 MiB/s | 41.1 KiB | 00m00s [ 27/153] coreutils-common-0:9.5-10.fc4 100% | 57.3 MiB/s | 2.1 MiB | 00m00s [ 28/153] libacl-0:2.3.2-2.fc41.x86_64 100% | 980.0 KiB/s | 24.5 KiB | 00m00s [ 29/153] gmp-1:6.3.0-2.fc41.x86_64 100% | 10.7 MiB/s | 318.0 KiB | 00m00s [ 30/153] libattr-0:2.5.2-4.fc41.x86_64 100% | 1.2 MiB/s | 18.2 KiB | 00m00s [ 31/153] libcap-0:2.70-4.fc41.x86_64 100% | 5.6 MiB/s | 86.7 KiB | 00m00s [ 32/153] libselinux-0:3.7-5.fc41.x86_6 100% | 4.5 MiB/s | 87.8 KiB | 00m00s [ 33/153] fedora-repos-0:41-1.noarch 100% | 384.6 KiB/s | 9.2 KiB | 00m00s [ 34/153] glibc-common-0:2.40-3.fc41.x8 100% | 13.0 MiB/s | 412.3 KiB | 00m00s [ 35/153] openssl-libs-1:3.2.2-9.fc41.x 100% | 50.2 MiB/s | 2.3 MiB | 00m00s [ 36/153] pcre2-0:10.44-1.fc41.1.x86_64 100% | 11.3 MiB/s | 243.1 KiB | 00m00s [ 37/153] ed-0:1.20.2-2.fc41.x86_64 100% | 4.2 MiB/s | 81.8 KiB | 00m00s [ 38/153] ansible-srpm-macros-0:1-16.fc 100% | 1.4 MiB/s | 20.8 KiB | 00m00s [ 39/153] build-reproducibility-srpm-ma 100% | 706.9 KiB/s | 10.6 KiB | 00m00s [ 40/153] dwz-0:0.15-8.fc41.x86_64 100% | 6.8 MiB/s | 138.9 KiB | 00m00s [ 41/153] efi-srpm-macros-0:5-12.fc41.n 100% | 1.5 MiB/s | 22.4 KiB | 00m00s [ 42/153] file-0:5.45-7.fc41.x86_64 100% | 3.2 MiB/s | 49.1 KiB | 00m00s [ 43/153] forge-srpm-macros-0:0.3.2-1.f 100% | 1.3 MiB/s | 19.7 KiB | 00m00s [ 44/153] fpc-srpm-macros-0:1.3-13.fc41 100% | 530.8 KiB/s | 8.0 KiB | 00m00s [ 45/153] fonts-srpm-macros-1:2.0.5-17. 100% | 1.5 MiB/s | 27.0 KiB | 00m00s [ 46/153] ghc-srpm-macros-0:1.9.1-2.fc4 100% | 603.8 KiB/s | 9.1 KiB | 00m00s [ 47/153] gnat-srpm-macros-0:6-6.fc41.n 100% | 596.8 KiB/s | 9.0 KiB | 00m00s [ 48/153] go-srpm-macros-0:3.6.0-3.fc41 100% | 1.5 MiB/s | 28.0 KiB | 00m00s [ 49/153] kernel-srpm-macros-0:1.0-24.f 100% | 657.9 KiB/s | 9.9 KiB | 00m00s [ 50/153] lua-srpm-macros-0:1-14.fc41.n 100% | 591.9 KiB/s | 8.9 KiB | 00m00s [ 51/153] ocaml-srpm-macros-0:10-3.fc41 100% | 511.1 KiB/s | 9.2 KiB | 00m00s [ 52/153] openblas-srpm-macros-0:2-18.f 100% | 514.3 KiB/s | 7.7 KiB | 00m00s [ 53/153] package-notes-srpm-macros-0:0 100% | 655.3 KiB/s | 9.8 KiB | 00m00s [ 54/153] perl-srpm-macros-0:1-56.fc41. 100% | 472.9 KiB/s | 8.5 KiB | 00m00s [ 55/153] pyproject-srpm-macros-0:1.15. 100% | 889.4 KiB/s | 13.3 KiB | 00m00s [ 56/153] python-srpm-macros-0:3.13-3.f 100% | 1.5 MiB/s | 23.7 KiB | 00m00s [ 57/153] qt6-srpm-macros-0:6.7.2-3.fc4 100% | 608.5 KiB/s | 9.1 KiB | 00m00s [ 58/153] rpm-0:4.20.0-1.fc41.x86_64 100% | 26.7 MiB/s | 547.4 KiB | 00m00s [ 59/153] qt5-srpm-macros-0:5.15.15-1.f 100% | 404.5 KiB/s | 8.9 KiB | 00m00s [ 60/153] rust-srpm-macros-0:26.3-3.fc4 100% | 864.8 KiB/s | 12.1 KiB | 00m00s [ 61/153] zip-0:3.0-41.fc41.x86_64 100% | 15.2 MiB/s | 264.8 KiB | 00m00s [ 62/153] zig-srpm-macros-0:1-3.fc41.no 100% | 451.3 KiB/s | 8.1 KiB | 00m00s [ 63/153] debugedit-0:5.0-17.fc41.x86_6 100% | 5.2 MiB/s | 80.1 KiB | 00m00s [ 64/153] elfutils-0:0.191-8.fc41.x86_6 100% | 25.9 MiB/s | 529.4 KiB | 00m00s [ 65/153] elfutils-libelf-0:0.191-8.fc4 100% | 8.8 MiB/s | 207.6 KiB | 00m00s [ 66/153] libarchive-0:3.7.4-3.fc41.x86 100% | 18.2 MiB/s | 409.2 KiB | 00m00s [ 67/153] popt-0:1.19-7.fc41.x86_64 100% | 4.3 MiB/s | 65.9 KiB | 00m00s [ 68/153] readline-0:8.2-10.fc41.x86_64 100% | 10.4 MiB/s | 213.2 KiB | 00m00s [ 69/153] rpm-build-libs-0:4.20.0-1.fc4 100% | 6.0 MiB/s | 98.6 KiB | 00m00s [ 70/153] rpm-libs-0:4.20.0-1.fc41.x86_ 100% | 16.8 MiB/s | 309.4 KiB | 00m00s [ 71/153] audit-libs-0:4.0.2-1.fc41.x86 100% | 7.7 MiB/s | 126.2 KiB | 00m00s [ 72/153] zstd-0:1.5.6-2.fc41.x86_64 100% | 20.4 MiB/s | 481.5 KiB | 00m00s [ 73/153] libeconf-0:0.6.2-3.fc41.x86_6 100% | 2.1 MiB/s | 32.2 KiB | 00m00s [ 74/153] libsemanage-0:3.7-2.fc41.x86_ 100% | 7.1 MiB/s | 116.3 KiB | 00m00s [ 75/153] pam-libs-0:1.6.1-5.fc41.x86_6 100% | 3.7 MiB/s | 57.3 KiB | 00m00s [ 76/153] libxcrypt-0:4.4.36-7.fc41.x86 100% | 6.1 MiB/s | 118.5 KiB | 00m00s [ 77/153] setup-0:2.15.0-5.fc41.noarch 100% | 9.4 MiB/s | 154.4 KiB | 00m00s [ 78/153] xz-libs-1:5.6.2-2.fc41.x86_64 100% | 6.8 MiB/s | 111.8 KiB | 00m00s [ 79/153] mpfr-0:4.2.1-5.fc41.x86_64 100% | 16.1 MiB/s | 346.3 KiB | 00m00s [ 80/153] libblkid-0:2.40.2-4.fc41.x86_ 100% | 7.2 MiB/s | 124.7 KiB | 00m00s [ 81/153] libcap-ng-0:0.8.5-3.fc41.x86_ 100% | 2.1 MiB/s | 32.6 KiB | 00m00s [ 82/153] libmount-0:2.40.2-4.fc41.x86_ 100% | 9.5 MiB/s | 155.5 KiB | 00m00s [ 83/153] libfdisk-0:2.40.2-4.fc41.x86_ 100% | 7.8 MiB/s | 159.8 KiB | 00m00s [ 84/153] libsmartcols-0:2.40.2-4.fc41. 100% | 5.5 MiB/s | 83.7 KiB | 00m00s [ 85/153] libutempter-0:1.2.1-15.fc41.x 100% | 1.7 MiB/s | 26.6 KiB | 00m00s [ 86/153] systemd-libs-0:256.7-1.fc41.x 100% | 32.5 MiB/s | 731.4 KiB | 00m00s [ 87/153] libuuid-0:2.40.2-4.fc41.x86_6 100% | 1.2 MiB/s | 29.1 KiB | 00m00s [ 88/153] util-linux-core-0:2.40.2-4.fc 100% | 26.2 MiB/s | 537.2 KiB | 00m00s [ 89/153] glibc-gconv-extra-0:2.40-3.fc 100% | 52.9 MiB/s | 1.7 MiB | 00m00s [ 90/153] zlib-ng-compat-0:2.1.7-3.fc41 100% | 2.3 MiB/s | 77.7 KiB | 00m00s [ 91/153] basesystem-0:11-21.fc41.noarc 100% | 335.1 KiB/s | 7.4 KiB | 00m00s [ 92/153] libgcc-0:14.2.1-3.fc41.x86_64 100% | 8.1 MiB/s | 133.3 KiB | 00m00s [ 93/153] libsepol-0:3.7-2.fc41.x86_64 100% | 17.6 MiB/s | 342.2 KiB | 00m00s [ 94/153] ncurses-base-0:6.5-2.20240629 100% | 4.3 MiB/s | 88.3 KiB | 00m00s [ 95/153] ca-certificates-0:2024.2.69_v 100% | 37.0 MiB/s | 871.2 KiB | 00m00s [ 96/153] crypto-policies-0:20241010-1. 100% | 4.8 MiB/s | 97.3 KiB | 00m00s [ 97/153] fedora-gpg-keys-0:41-1.noarch 100% | 6.2 MiB/s | 133.7 KiB | 00m00s [ 98/153] pcre2-syntax-0:10.44-1.fc41.1 100% | 9.1 MiB/s | 149.9 KiB | 00m00s [ 99/153] add-determinism-0:0.3.6-1.fc4 100% | 34.7 MiB/s | 851.8 KiB | 00m00s [100/153] file-libs-0:5.45-7.fc41.x86_6 100% | 24.0 MiB/s | 762.0 KiB | 00m00s [101/153] curl-0:8.9.1-2.fc41.x86_64 100% | 16.2 MiB/s | 315.1 KiB | 00m00s [102/153] elfutils-libs-0:0.191-8.fc41. 100% | 14.8 MiB/s | 257.5 KiB | 00m00s [103/153] elfutils-debuginfod-client-0: 100% | 2.0 MiB/s | 37.2 KiB | 00m00s [104/153] libstdc++-0:14.2.1-3.fc41.x86 100% | 37.7 MiB/s | 887.8 KiB | 00m00s [105/153] libzstd-0:1.5.6-2.fc41.x86_64 100% | 15.2 MiB/s | 310.3 KiB | 00m00s [106/153] libxml2-0:2.12.8-2.fc41.x86_6 100% | 26.8 MiB/s | 687.3 KiB | 00m00s [107/153] lz4-libs-0:1.10.0-1.fc41.x86_ 100% | 4.1 MiB/s | 70.7 KiB | 00m00s [108/153] libgomp-0:14.2.1-3.fc41.x86_6 100% | 19.2 MiB/s | 354.1 KiB | 00m00s [109/153] rpm-sequoia-0:1.7.0-2.fc41.x8 100% | 36.3 MiB/s | 892.5 KiB | 00m00s [110/153] lua-libs-0:5.4.6-6.fc41.x86_6 100% | 5.0 MiB/s | 132.0 KiB | 00m00s [111/153] sqlite-libs-0:3.46.1-1.fc41.x 100% | 25.8 MiB/s | 712.7 KiB | 00m00s [112/153] elfutils-default-yama-scope-0 100% | 820.3 KiB/s | 12.3 KiB | 00m00s [113/153] authselect-libs-0:1.5.0-8.fc4 100% | 10.6 MiB/s | 218.0 KiB | 00m00s [114/153] pam-0:1.6.1-5.fc41.x86_64 100% | 27.1 MiB/s | 554.0 KiB | 00m00s [115/153] authselect-0:1.5.0-8.fc41.x86 100% | 8.9 MiB/s | 145.8 KiB | 00m00s [116/153] gdbm-libs-1:1.23-7.fc41.x86_6 100% | 2.9 MiB/s | 56.3 KiB | 00m00s [117/153] libnsl2-0:2.0.1-2.fc41.x86_64 100% | 1.9 MiB/s | 29.6 KiB | 00m00s [118/153] libpwquality-0:1.4.5-11.fc41. 100% | 7.3 MiB/s | 119.0 KiB | 00m00s [119/153] cracklib-0:2.9.11-6.fc41.x86_ 100% | 6.0 MiB/s | 92.1 KiB | 00m00s [120/153] libtirpc-0:1.3.5-0.fc41.x86_6 100% | 4.8 MiB/s | 94.2 KiB | 00m00s [121/153] krb5-libs-0:1.21.3-2.fc41.x86 100% | 33.6 MiB/s | 757.4 KiB | 00m00s [122/153] libcom_err-0:1.47.1-3.fc41.x8 100% | 1.7 MiB/s | 26.4 KiB | 00m00s [123/153] keyutils-libs-0:1.6.3-4.fc41. 100% | 1.7 MiB/s | 31.6 KiB | 00m00s [124/153] libverto-0:0.3.2-9.fc41.x86_6 100% | 1.3 MiB/s | 20.7 KiB | 00m00s [125/153] alternatives-0:1.30-1.fc41.x8 100% | 1.2 MiB/s | 42.5 KiB | 00m00s [126/153] jansson-0:2.13.1-10.fc41.x86_ 100% | 1.4 MiB/s | 44.4 KiB | 00m00s [127/153] pkgconf-0:2.3.0-1.fc41.x86_64 100% | 1.1 MiB/s | 45.2 KiB | 00m00s [128/153] pkgconf-pkg-config-0:2.3.0-1. 100% | 238.4 KiB/s | 10.0 KiB | 00m00s [129/153] binutils-0:2.43.1-2.fc41.x86_ 100% | 58.1 MiB/s | 6.5 MiB | 00m00s [130/153] pkgconf-m4-0:2.3.0-1.fc41.noa 100% | 511.5 KiB/s | 14.3 KiB | 00m00s [131/153] libpkgconf-0:2.3.0-1.fc41.x86 100% | 1.4 MiB/s | 38.5 KiB | 00m00s [132/153] gdbm-1:1.23-7.fc41.x86_64 100% | 9.3 MiB/s | 151.8 KiB | 00m00s [133/153] p11-kit-0:0.25.5-3.fc41.x86_6 100% | 24.0 MiB/s | 490.9 KiB | 00m00s [134/153] libffi-0:3.4.6-3.fc41.x86_64 100% | 1.9 MiB/s | 39.9 KiB | 00m00s [135/153] libtasn1-0:4.19.0-9.fc41.x86_ 100% | 4.8 MiB/s | 74.2 KiB | 00m00s [136/153] fedora-release-0:41-25.noarch 100% | 892.9 KiB/s | 12.5 KiB | 00m00s [137/153] p11-kit-trust-0:0.25.5-3.fc41 100% | 6.8 MiB/s | 132.1 KiB | 00m00s [138/153] xxhash-libs-0:0.8.2-4.fc41.x8 100% | 1.3 MiB/s | 36.8 KiB | 00m00s [139/153] fedora-release-identity-basic 100% | 578.3 KiB/s | 13.3 KiB | 00m00s [140/153] libcurl-0:8.9.1-2.fc41.x86_64 100% | 16.1 MiB/s | 361.9 KiB | 00m00s [141/153] libbrotli-0:1.1.0-5.fc41.x86_ 100% | 11.5 MiB/s | 340.5 KiB | 00m00s [142/153] gdb-minimal-0:15.1-1.fc41.x86 100% | 64.4 MiB/s | 4.3 MiB | 00m00s [143/153] libidn2-0:2.3.7-2.fc41.x86_64 100% | 7.2 MiB/s | 118.4 KiB | 00m00s [144/153] libnghttp2-0:1.62.1-2.fc41.x8 100% | 5.0 MiB/s | 76.6 KiB | 00m00s [145/153] libssh-0:0.10.6-8.fc41.x86_64 100% | 12.2 MiB/s | 211.8 KiB | 00m00s [146/153] libpsl-0:0.21.5-4.fc41.x86_64 100% | 3.1 MiB/s | 64.1 KiB | 00m00s [147/153] openldap-0:2.6.8-5.fc41.x86_6 100% | 14.7 MiB/s | 255.6 KiB | 00m00s [148/153] publicsuffix-list-dafsa-0:202 100% | 3.8 MiB/s | 58.3 KiB | 00m00s [149/153] libunistring-0:1.1-8.fc41.x86 100% | 23.1 MiB/s | 544.8 KiB | 00m00s [150/153] libssh-config-0:0.10.6-8.fc41 100% | 577.6 KiB/s | 9.2 KiB | 00m00s [151/153] cyrus-sasl-lib-0:2.1.28-27.fc 100% | 35.3 MiB/s | 794.9 KiB | 00m00s [152/153] libtool-ltdl-0:2.4.7-12.fc41. 100% | 2.3 MiB/s | 35.6 KiB | 00m00s [153/153] libevent-0:2.1.12-14.fc41.x86 100% | 12.6 MiB/s | 257.5 KiB | 00m00s -------------------------------------------------------------------------------- [153/153] Total 100% | 41.7 MiB/s | 53.2 MiB | 00m01s Running transaction Importing PGP key 0xE99D6AD1: UserID : "Fedora (41) " Fingerprint: 466CF2D8B60BC3057AA9453ED0622462E99D6AD1 From : file:///usr/share/distribution-gpg-keys/fedora/RPM-GPG-KEY-fedora-41-primary The key was successfully imported. [ 1/155] Verify package files 100% | 761.0 B/s | 153.0 B | 00m00s [ 2/155] Prepare transaction 100% | 1.8 KiB/s | 153.0 B | 00m00s [ 3/155] Installing libgcc-0:14.2.1-3. 100% | 134.9 MiB/s | 276.3 KiB | 00m00s [ 4/155] Installing libssh-config-0:0. 100% | 0.0 B/s | 816.0 B | 00m00s [ 5/155] Installing publicsuffix-list- 100% | 66.7 MiB/s | 68.3 KiB | 00m00s [ 6/155] Installing fedora-release-ide 100% | 918.0 KiB/s | 940.0 B | 00m00s [ 7/155] Installing fedora-gpg-keys-0: 100% | 21.0 MiB/s | 172.2 KiB | 00m00s [ 8/155] Installing fedora-repos-0:41- 100% | 0.0 B/s | 5.7 KiB | 00m00s [ 9/155] Installing fedora-release-com 100% | 11.6 MiB/s | 23.8 KiB | 00m00s [ 10/155] Installing fedora-release-0:4 100% | 0.0 B/s | 124.0 B | 00m00s [ 11/155] Installing setup-0:2.15.0-5.f 100% | 47.3 MiB/s | 726.1 KiB | 00m00s [ 12/155] Installing filesystem-0:3.18- 100% | 1.7 MiB/s | 212.5 KiB | 00m00s [ 13/155] Installing basesystem-0:11-21 100% | 0.0 B/s | 124.0 B | 00m00s [ 14/155] Installing pkgconf-m4-0:2.3.0 100% | 0.0 B/s | 14.8 KiB | 00m00s [ 15/155] Installing pcre2-syntax-0:10. 100% | 124.1 MiB/s | 254.1 KiB | 00m00s [ 16/155] Installing ncurses-base-0:6.5 100% | 38.2 MiB/s | 351.7 KiB | 00m00s [ 17/155] Installing glibc-minimal-lang 100% | 0.0 B/s | 124.0 B | 00m00s [ 18/155] Installing ncurses-libs-0:6.5 100% | 159.8 MiB/s | 981.8 KiB | 00m00s warning: posix.fork(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.spawn() or rpm.execute() instead warning: posix.wait(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.spawn() or rpm.execute() instead warning: posix.exec(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.spawn() or rpm.execute() instead warning: posix.fork(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.spawn() or rpm.execute() instead warning: posix.wait(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.spawn() or rpm.execute() instead warning: posix.exec(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.spawn() or rpm.execute() instead [ 19/155] Installing glibc-0:2.40-3.fc4 100% | 139.1 MiB/s | 6.7 MiB | 00m00s [ 20/155] Installing bash-0:5.2.32-1.fc 100% | 263.5 MiB/s | 8.2 MiB | 00m00s [ 21/155] Installing glibc-common-0:2.4 100% | 116.3 MiB/s | 1.0 MiB | 00m00s warning: posix.fork(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.spawn() or rpm.execute() instead warning: posix.wait(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.spawn() or rpm.execute() instead warning: posix.exec(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.spawn() or rpm.execute() instead [ 22/155] Installing glibc-gconv-extra- 100% | 135.0 MiB/s | 8.1 MiB | 00m00s [ 23/155] Installing zlib-ng-compat-0:2 100% | 131.6 MiB/s | 134.8 KiB | 00m00s [ 24/155] Installing bzip2-libs-0:1.0.8 100% | 79.9 MiB/s | 81.8 KiB | 00m00s [ 25/155] Installing xz-libs-1:5.6.2-2. 100% | 105.2 MiB/s | 215.5 KiB | 00m00s [ 26/155] Installing popt-0:1.19-7.fc41 100% | 35.0 MiB/s | 143.5 KiB | 00m00s [ 27/155] Installing readline-0:8.2-10. 100% | 241.8 MiB/s | 495.3 KiB | 00m00s [ 28/155] Installing libuuid-0:2.40.2-4 100% | 37.7 MiB/s | 38.6 KiB | 00m00s [ 29/155] Installing libblkid-0:2.40.2- 100% | 253.4 MiB/s | 259.5 KiB | 00m00s [ 30/155] Installing gmp-1:6.3.0-2.fc41 100% | 264.9 MiB/s | 813.7 KiB | 00m00s [ 31/155] Installing libattr-0:2.5.2-4. 100% | 28.8 MiB/s | 29.5 KiB | 00m00s [ 32/155] Installing libacl-0:2.3.2-2.f 100% | 39.8 MiB/s | 40.7 KiB | 00m00s [ 33/155] Installing libxcrypt-0:4.4.36 100% | 87.7 MiB/s | 269.5 KiB | 00m00s [ 34/155] Installing libstdc++-0:14.2.1 100% | 197.6 MiB/s | 2.8 MiB | 00m00s [ 35/155] Installing libzstd-0:1.5.6-2. 100% | 194.6 MiB/s | 797.2 KiB | 00m00s [ 36/155] Installing elfutils-libelf-0: 100% | 292.3 MiB/s | 1.2 MiB | 00m00s [ 37/155] Installing libeconf-0:0.6.2-3 100% | 29.1 MiB/s | 59.7 KiB | 00m00s [ 38/155] Installing gdbm-libs-1:1.23-7 100% | 120.7 MiB/s | 123.6 KiB | 00m00s [ 39/155] Installing dwz-0:0.15-8.fc41. 100% | 146.6 MiB/s | 300.3 KiB | 00m00s [ 40/155] Installing mpfr-0:4.2.1-5.fc4 100% | 203.5 MiB/s | 833.7 KiB | 00m00s [ 41/155] Installing gawk-0:5.3.0-4.fc4 100% | 173.2 MiB/s | 1.7 MiB | 00m00s [ 42/155] Installing unzip-0:6.0-64.fc4 100% | 127.0 MiB/s | 390.3 KiB | 00m00s [ 43/155] Installing file-libs-0:5.45-7 100% | 473.0 MiB/s | 9.9 MiB | 00m00s [ 44/155] Installing file-0:5.45-7.fc41 100% | 7.9 MiB/s | 105.0 KiB | 00m00s [ 45/155] Installing crypto-policies-0: 100% | 15.9 MiB/s | 163.3 KiB | 00m00s [ 46/155] Installing pcre2-0:10.44-1.fc 100% | 213.2 MiB/s | 654.9 KiB | 00m00s [ 47/155] Installing grep-0:3.11-9.fc41 100% | 125.4 MiB/s | 1.0 MiB | 00m00s [ 48/155] Installing xz-1:5.6.2-2.fc41. 100% | 120.5 MiB/s | 1.2 MiB | 00m00s [ 49/155] Installing libcap-ng-0:0.8.5- 100% | 69.4 MiB/s | 71.0 KiB | 00m00s [ 50/155] Installing audit-libs-0:4.0.2 100% | 162.8 MiB/s | 333.4 KiB | 00m00s [ 51/155] Installing pam-libs-0:1.6.1-5 100% | 69.0 MiB/s | 141.4 KiB | 00m00s [ 52/155] Installing libcap-0:2.70-4.fc 100% | 73.3 MiB/s | 225.2 KiB | 00m00s [ 53/155] Installing systemd-libs-0:256 100% | 254.2 MiB/s | 2.0 MiB | 00m00s [ 54/155] Installing libsmartcols-0:2.4 100% | 177.1 MiB/s | 181.4 KiB | 00m00s [ 55/155] Installing libsepol-0:3.7-2.f 100% | 266.5 MiB/s | 818.8 KiB | 00m00s [ 56/155] Installing libselinux-0:3.7-5 100% | 89.0 MiB/s | 182.3 KiB | 00m00s [ 57/155] Installing sed-0:4.9-3.fc41.x 100% | 121.3 MiB/s | 869.7 KiB | 00m00s [ 58/155] Installing findutils-1:4.10.0 100% | 185.8 MiB/s | 1.9 MiB | 00m00s [ 59/155] Installing libmount-0:2.40.2- 100% | 172.3 MiB/s | 352.9 KiB | 00m00s [ 60/155] Installing lz4-libs-0:1.10.0- 100% | 143.1 MiB/s | 146.6 KiB | 00m00s [ 61/155] Installing lua-libs-0:5.4.6-6 100% | 139.8 MiB/s | 286.2 KiB | 00m00s [ 62/155] Installing libcom_err-0:1.47. 100% | 66.7 MiB/s | 68.3 KiB | 00m00s [ 63/155] Installing alternatives-0:1.3 100% | 66.3 MiB/s | 67.9 KiB | 00m00s [ 64/155] Installing libffi-0:3.4.6-3.f 100% | 42.9 MiB/s | 87.8 KiB | 00m00s [ 65/155] Installing libtasn1-0:4.19.0- 100% | 86.7 MiB/s | 177.5 KiB | 00m00s [ 66/155] Installing p11-kit-0:0.25.5-3 100% | 157.7 MiB/s | 2.2 MiB | 00m00s [ 67/155] Installing libunistring-0:1.1 100% | 247.2 MiB/s | 1.7 MiB | 00m00s [ 68/155] Installing libidn2-0:2.3.7-2. 100% | 81.8 MiB/s | 335.1 KiB | 00m00s [ 69/155] Installing libpsl-0:0.21.5-4. 100% | 79.7 MiB/s | 81.7 KiB | 00m00s [ 70/155] Installing p11-kit-trust-0:0. 100% | 25.6 MiB/s | 393.1 KiB | 00m00s [ 71/155] Installing zstd-0:1.5.6-2.fc4 100% | 241.6 MiB/s | 1.7 MiB | 00m00s [ 72/155] Installing util-linux-core-0: 100% | 135.0 MiB/s | 1.5 MiB | 00m00s [ 73/155] Installing tar-2:1.35-4.fc41. 100% | 227.6 MiB/s | 3.0 MiB | 00m00s [ 74/155] Installing libsemanage-0:3.7- 100% | 96.1 MiB/s | 295.2 KiB | 00m00s [ 75/155] Installing shadow-utils-2:4.1 100% | 85.0 MiB/s | 4.2 MiB | 00m00s [ 76/155] Installing libutempter-0:1.2. 100% | 29.2 MiB/s | 59.7 KiB | 00m00s [ 77/155] Installing zip-0:3.0-41.fc41. 100% | 172.6 MiB/s | 707.1 KiB | 00m00s [ 78/155] Installing gdbm-1:1.23-7.fc41 100% | 113.7 MiB/s | 465.8 KiB | 00m00s [ 79/155] Installing cyrus-sasl-lib-0:2 100% | 230.6 MiB/s | 2.3 MiB | 00m00s [ 80/155] Installing libfdisk-0:2.40.2- 100% | 177.8 MiB/s | 364.1 KiB | 00m00s [ 81/155] Installing libxml2-0:2.12.8-2 100% | 244.6 MiB/s | 1.7 MiB | 00m00s [ 82/155] Installing bzip2-0:1.0.8-19.f 100% | 48.9 MiB/s | 100.2 KiB | 00m00s [ 83/155] Installing add-determinism-0: 100% | 280.7 MiB/s | 2.2 MiB | 00m00s [ 84/155] Installing build-reproducibil 100% | 0.0 B/s | 1.0 KiB | 00m00s [ 85/155] Installing sqlite-libs-0:3.46 100% | 238.2 MiB/s | 1.4 MiB | 00m00s [ 86/155] Installing ed-0:1.20.2-2.fc41 100% | 72.8 MiB/s | 149.2 KiB | 00m00s [ 87/155] Installing patch-0:2.7.6-25.f 100% | 131.0 MiB/s | 268.2 KiB | 00m00s [ 88/155] Installing elfutils-default-y 100% | 185.7 KiB/s | 2.0 KiB | 00m00s [ 89/155] Installing elfutils-libs-0:0. 100% | 158.2 MiB/s | 648.0 KiB | 00m00s [ 90/155] Installing cpio-0:2.15-2.fc41 100% | 157.1 MiB/s | 1.1 MiB | 00m00s [ 91/155] Installing diffutils-0:3.10-8 100% | 176.7 MiB/s | 1.6 MiB | 00m00s [ 92/155] Installing libgomp-0:14.2.1-3 100% | 256.2 MiB/s | 524.8 KiB | 00m00s [ 93/155] Installing keyutils-libs-0:1. 100% | 54.5 MiB/s | 55.8 KiB | 00m00s [ 94/155] Installing libverto-0:0.3.2-9 100% | 30.5 MiB/s | 31.3 KiB | 00m00s [ 95/155] Installing jansson-0:2.13.1-1 100% | 87.6 MiB/s | 89.7 KiB | 00m00s [ 96/155] Installing libpkgconf-0:2.3.0 100% | 77.5 MiB/s | 79.3 KiB | 00m00s [ 97/155] Installing pkgconf-0:2.3.0-1. 100% | 44.5 MiB/s | 91.1 KiB | 00m00s [ 98/155] Installing pkgconf-pkg-config 100% | 1.7 MiB/s | 1.8 KiB | 00m00s [ 99/155] Installing xxhash-libs-0:0.8. 100% | 87.7 MiB/s | 89.8 KiB | 00m00s [100/155] Installing libbrotli-0:1.1.0- 100% | 205.0 MiB/s | 839.9 KiB | 00m00s [101/155] Installing libnghttp2-0:1.62. 100% | 163.2 MiB/s | 167.1 KiB | 00m00s [102/155] Installing libtool-ltdl-0:2.4 100% | 65.7 MiB/s | 67.3 KiB | 00m00s [103/155] Installing rust-srpm-macros-0 100% | 0.0 B/s | 5.6 KiB | 00m00s [104/155] Installing qt6-srpm-macros-0: 100% | 0.0 B/s | 732.0 B | 00m00s [105/155] Installing qt5-srpm-macros-0: 100% | 0.0 B/s | 776.0 B | 00m00s [106/155] Installing perl-srpm-macros-0 100% | 0.0 B/s | 1.1 KiB | 00m00s [107/155] Installing package-notes-srpm 100% | 0.0 B/s | 2.0 KiB | 00m00s [108/155] Installing openblas-srpm-macr 100% | 0.0 B/s | 392.0 B | 00m00s [109/155] Installing ocaml-srpm-macros- 100% | 0.0 B/s | 2.2 KiB | 00m00s [110/155] Installing kernel-srpm-macros 100% | 0.0 B/s | 2.3 KiB | 00m00s [111/155] Installing gnat-srpm-macros-0 100% | 0.0 B/s | 1.3 KiB | 00m00s [112/155] Installing ghc-srpm-macros-0: 100% | 0.0 B/s | 1.0 KiB | 00m00s [113/155] Installing fpc-srpm-macros-0: 100% | 0.0 B/s | 420.0 B | 00m00s [114/155] Installing ansible-srpm-macro 100% | 35.4 MiB/s | 36.2 KiB | 00m00s [115/155] Installing coreutils-common-0 100% | 233.1 MiB/s | 11.2 MiB | 00m00s [116/155] Installing openssl-libs-1:3.2 100% | 313.2 MiB/s | 7.8 MiB | 00m00s [117/155] Installing coreutils-0:9.5-10 100% | 153.0 MiB/s | 5.7 MiB | 00m00s [118/155] Installing ca-certificates-0: 100% | 2.5 MiB/s | 2.4 MiB | 00m01s [119/155] Installing krb5-libs-0:1.21.3 100% | 191.6 MiB/s | 2.3 MiB | 00m00s [120/155] Installing libarchive-0:3.7.4 100% | 225.7 MiB/s | 924.6 KiB | 00m00s [121/155] Installing libtirpc-0:1.3.5-0 100% | 99.8 MiB/s | 204.5 KiB | 00m00s [122/155] Installing gzip-0:1.13-2.fc41 100% | 128.4 MiB/s | 394.6 KiB | 00m00s [123/155] Installing authselect-libs-0: 100% | 90.8 MiB/s | 837.2 KiB | 00m00s [124/155] Installing cracklib-0:2.9.11- 100% | 34.9 MiB/s | 250.3 KiB | 00m00s [125/155] Installing libpwquality-0:1.4 100% | 52.5 MiB/s | 430.1 KiB | 00m00s [126/155] Installing libnsl2-0:2.0.1-2. 100% | 28.8 MiB/s | 59.1 KiB | 00m00s [127/155] Installing pam-0:1.6.1-5.fc41 100% | 81.6 MiB/s | 1.9 MiB | 00m00s [128/155] Installing libssh-0:0.10.6-8. 100% | 167.8 MiB/s | 515.4 KiB | 00m00s [129/155] Installing rpm-sequoia-0:1.7. 100% | 263.0 MiB/s | 2.4 MiB | 00m00s [130/155] Installing rpm-libs-0:4.20.0- 100% | 236.8 MiB/s | 727.4 KiB | 00m00s [131/155] Installing rpm-build-libs-0:4 100% | 202.6 MiB/s | 207.5 KiB | 00m00s [132/155] Installing libevent-0:2.1.12- 100% | 219.6 MiB/s | 899.5 KiB | 00m00s [133/155] Installing openldap-0:2.6.8-5 100% | 158.2 MiB/s | 648.0 KiB | 00m00s [134/155] Installing libcurl-0:8.9.1-2. 100% | 200.0 MiB/s | 819.2 KiB | 00m00s [135/155] Installing elfutils-debuginfo 100% | 32.7 MiB/s | 66.9 KiB | 00m00s [136/155] Installing elfutils-0:0.191-8 100% | 256.0 MiB/s | 2.6 MiB | 00m00s [137/155] Installing binutils-0:2.43.1- 100% | 269.9 MiB/s | 27.5 MiB | 00m00s [138/155] Installing gdb-minimal-0:15.1 100% | 245.1 MiB/s | 13.0 MiB | 00m00s [139/155] Installing debugedit-0:5.0-17 100% | 65.8 MiB/s | 202.0 KiB | 00m00s [140/155] Installing curl-0:8.9.1-2.fc4 100% | 32.5 MiB/s | 798.6 KiB | 00m00s [141/155] Installing rpm-0:4.20.0-1.fc4 100% | 71.6 MiB/s | 2.5 MiB | 00m00s [142/155] Installing efi-srpm-macros-0: 100% | 40.2 MiB/s | 41.2 KiB | 00m00s [143/155] Installing lua-srpm-macros-0: 100% | 1.9 MiB/s | 1.9 KiB | 00m00s [144/155] Installing zig-srpm-macros-0: 100% | 1.6 MiB/s | 1.7 KiB | 00m00s [145/155] Installing fonts-srpm-macros- 100% | 55.7 MiB/s | 57.0 KiB | 00m00s [146/155] Installing forge-srpm-macros- 100% | 39.4 MiB/s | 40.4 KiB | 00m00s [147/155] Installing go-srpm-macros-0:3 100% | 60.5 MiB/s | 62.0 KiB | 00m00s [148/155] Installing python-srpm-macros 100% | 50.9 MiB/s | 52.2 KiB | 00m00s [149/155] Installing redhat-rpm-config- 100% | 37.1 MiB/s | 190.1 KiB | 00m00s [150/155] Installing rpm-build-0:4.20.0 100% | 33.0 MiB/s | 202.8 KiB | 00m00s [151/155] Installing pyproject-srpm-mac 100% | 1.2 MiB/s | 2.5 KiB | 00m00s [152/155] Installing util-linux-0:2.40. 100% | 65.8 MiB/s | 3.7 MiB | 00m00s [153/155] Installing authselect-0:1.5.0 100% | 31.6 MiB/s | 161.9 KiB | 00m00s [154/155] Installing which-0:2.21-42.fc 100% | 40.2 MiB/s | 82.4 KiB | 00m00s warning: posix.fork(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.spawn() or rpm.execute() instead warning: posix.wait(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.spawn() or rpm.execute() instead warning: posix.exec(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.spawn() or rpm.execute() instead [155/155] Installing info-0:7.1-3.fc41. 100% | 279.5 KiB/s | 362.2 KiB | 00m01s Complete! Finish: installing minimal buildroot with dnf5 Start: creating root cache Finish: creating root cache Finish: chroot init INFO: Installed packages: INFO: add-determinism-0.3.6-1.fc41.x86_64 alternatives-1.30-1.fc41.x86_64 ansible-srpm-macros-1-16.fc41.noarch audit-libs-4.0.2-1.fc41.x86_64 authselect-1.5.0-8.fc41.x86_64 authselect-libs-1.5.0-8.fc41.x86_64 basesystem-11-21.fc41.noarch bash-5.2.32-1.fc41.x86_64 binutils-2.43.1-2.fc41.x86_64 build-reproducibility-srpm-macros-0.3.6-1.fc41.noarch bzip2-1.0.8-19.fc41.x86_64 bzip2-libs-1.0.8-19.fc41.x86_64 ca-certificates-2024.2.69_v8.0.401-1.0.fc41.noarch coreutils-9.5-10.fc41.x86_64 coreutils-common-9.5-10.fc41.x86_64 cpio-2.15-2.fc41.x86_64 cracklib-2.9.11-6.fc41.x86_64 crypto-policies-20241010-1.git8baf557.fc41.noarch curl-8.9.1-2.fc41.x86_64 cyrus-sasl-lib-2.1.28-27.fc41.x86_64 debugedit-5.0-17.fc41.x86_64 diffutils-3.10-8.fc41.x86_64 dwz-0.15-8.fc41.x86_64 ed-1.20.2-2.fc41.x86_64 efi-srpm-macros-5-12.fc41.noarch elfutils-0.191-8.fc41.x86_64 elfutils-debuginfod-client-0.191-8.fc41.x86_64 elfutils-default-yama-scope-0.191-8.fc41.noarch elfutils-libelf-0.191-8.fc41.x86_64 elfutils-libs-0.191-8.fc41.x86_64 fedora-gpg-keys-41-1.noarch fedora-release-41-25.noarch fedora-release-common-41-25.noarch fedora-release-identity-basic-41-25.noarch fedora-repos-41-1.noarch file-5.45-7.fc41.x86_64 file-libs-5.45-7.fc41.x86_64 filesystem-3.18-23.fc41.x86_64 findutils-4.10.0-4.fc41.x86_64 fonts-srpm-macros-2.0.5-17.fc41.noarch forge-srpm-macros-0.3.2-1.fc41.noarch fpc-srpm-macros-1.3-13.fc41.noarch gawk-5.3.0-4.fc41.x86_64 gdb-minimal-15.1-1.fc41.x86_64 gdbm-1.23-7.fc41.x86_64 gdbm-libs-1.23-7.fc41.x86_64 ghc-srpm-macros-1.9.1-2.fc41.noarch glibc-2.40-3.fc41.x86_64 glibc-common-2.40-3.fc41.x86_64 glibc-gconv-extra-2.40-3.fc41.x86_64 glibc-minimal-langpack-2.40-3.fc41.x86_64 gmp-6.3.0-2.fc41.x86_64 gnat-srpm-macros-6-6.fc41.noarch go-srpm-macros-3.6.0-3.fc41.noarch gpg-pubkey-e99d6ad1-64d2612c grep-3.11-9.fc41.x86_64 gzip-1.13-2.fc41.x86_64 info-7.1-3.fc41.x86_64 jansson-2.13.1-10.fc41.x86_64 kernel-srpm-macros-1.0-24.fc41.noarch keyutils-libs-1.6.3-4.fc41.x86_64 krb5-libs-1.21.3-2.fc41.x86_64 libacl-2.3.2-2.fc41.x86_64 libarchive-3.7.4-3.fc41.x86_64 libattr-2.5.2-4.fc41.x86_64 libblkid-2.40.2-4.fc41.x86_64 libbrotli-1.1.0-5.fc41.x86_64 libcap-2.70-4.fc41.x86_64 libcap-ng-0.8.5-3.fc41.x86_64 libcom_err-1.47.1-3.fc41.x86_64 libcurl-8.9.1-2.fc41.x86_64 libeconf-0.6.2-3.fc41.x86_64 libevent-2.1.12-14.fc41.x86_64 libfdisk-2.40.2-4.fc41.x86_64 libffi-3.4.6-3.fc41.x86_64 libgcc-14.2.1-3.fc41.x86_64 libgomp-14.2.1-3.fc41.x86_64 libidn2-2.3.7-2.fc41.x86_64 libmount-2.40.2-4.fc41.x86_64 libnghttp2-1.62.1-2.fc41.x86_64 libnsl2-2.0.1-2.fc41.x86_64 libpkgconf-2.3.0-1.fc41.x86_64 libpsl-0.21.5-4.fc41.x86_64 libpwquality-1.4.5-11.fc41.x86_64 libselinux-3.7-5.fc41.x86_64 libsemanage-3.7-2.fc41.x86_64 libsepol-3.7-2.fc41.x86_64 libsmartcols-2.40.2-4.fc41.x86_64 libssh-0.10.6-8.fc41.x86_64 libssh-config-0.10.6-8.fc41.noarch libstdc++-14.2.1-3.fc41.x86_64 libtasn1-4.19.0-9.fc41.x86_64 libtirpc-1.3.5-0.fc41.x86_64 libtool-ltdl-2.4.7-12.fc41.x86_64 libunistring-1.1-8.fc41.x86_64 libutempter-1.2.1-15.fc41.x86_64 libuuid-2.40.2-4.fc41.x86_64 libverto-0.3.2-9.fc41.x86_64 libxcrypt-4.4.36-7.fc41.x86_64 libxml2-2.12.8-2.fc41.x86_64 libzstd-1.5.6-2.fc41.x86_64 lua-libs-5.4.6-6.fc41.x86_64 lua-srpm-macros-1-14.fc41.noarch lz4-libs-1.10.0-1.fc41.x86_64 mpfr-4.2.1-5.fc41.x86_64 ncurses-base-6.5-2.20240629.fc41.noarch ncurses-libs-6.5-2.20240629.fc41.x86_64 ocaml-srpm-macros-10-3.fc41.noarch openblas-srpm-macros-2-18.fc41.noarch openldap-2.6.8-5.fc41.x86_64 openssl-libs-3.2.2-9.fc41.x86_64 p11-kit-0.25.5-3.fc41.x86_64 p11-kit-trust-0.25.5-3.fc41.x86_64 package-notes-srpm-macros-0.5-12.fc41.noarch pam-1.6.1-5.fc41.x86_64 pam-libs-1.6.1-5.fc41.x86_64 patch-2.7.6-25.fc41.x86_64 pcre2-10.44-1.fc41.1.x86_64 pcre2-syntax-10.44-1.fc41.1.noarch perl-srpm-macros-1-56.fc41.noarch pkgconf-2.3.0-1.fc41.x86_64 pkgconf-m4-2.3.0-1.fc41.noarch pkgconf-pkg-config-2.3.0-1.fc41.x86_64 popt-1.19-7.fc41.x86_64 publicsuffix-list-dafsa-20240107-4.fc41.noarch pyproject-srpm-macros-1.15.1-1.fc41.noarch python-srpm-macros-3.13-3.fc41.noarch qt5-srpm-macros-5.15.15-1.fc41.noarch qt6-srpm-macros-6.7.2-3.fc41.noarch readline-8.2-10.fc41.x86_64 redhat-rpm-config-293-1.fc41.noarch rpm-4.20.0-1.fc41.x86_64 rpm-build-4.20.0-1.fc41.x86_64 rpm-build-libs-4.20.0-1.fc41.x86_64 rpm-libs-4.20.0-1.fc41.x86_64 rpm-sequoia-1.7.0-2.fc41.x86_64 rust-srpm-macros-26.3-3.fc41.noarch sed-4.9-3.fc41.x86_64 setup-2.15.0-5.fc41.noarch shadow-utils-4.15.1-12.fc41.x86_64 sqlite-libs-3.46.1-1.fc41.x86_64 systemd-libs-256.7-1.fc41.x86_64 tar-1.35-4.fc41.x86_64 unzip-6.0-64.fc41.x86_64 util-linux-2.40.2-4.fc41.x86_64 util-linux-core-2.40.2-4.fc41.x86_64 which-2.21-42.fc41.x86_64 xxhash-libs-0.8.2-4.fc41.x86_64 xz-5.6.2-2.fc41.x86_64 xz-libs-5.6.2-2.fc41.x86_64 zig-srpm-macros-1-3.fc41.noarch zip-3.0-41.fc41.x86_64 zlib-ng-compat-2.1.7-3.fc41.x86_64 zstd-1.5.6-2.fc41.x86_64 Start: buildsrpm Start: rpmbuild -bs Building target platforms: x86_64 Building for target x86_64 warning: line 37: It's not recommended to have unversioned Obsoletes: Obsoletes: yosyshq-abc warning: line 61: It's not recommended to have unversioned Obsoletes: Obsoletes: yosyshq-abc-libs warning: line 69: It's not recommended to have unversioned Obsoletes: Obsoletes: yosyshq-abc-devel setting SOURCE_DATE_EPOCH=1627171200 Wrote: /builddir/build/SRPMS/abc-1.02-20241021.0.gitcb2140dc.fc41.src.rpm RPM build warnings: line 37: It's not recommended to have unversioned Obsoletes: Obsoletes: yosyshq-abc line 61: It's not recommended to have unversioned Obsoletes: Obsoletes: yosyshq-abc-libs line 69: It's not recommended to have unversioned Obsoletes: Obsoletes: yosyshq-abc-devel Finish: rpmbuild -bs INFO: chroot_scan: 1 files copied to /var/lib/copr-rpmbuild/results/chroot_scan INFO: /var/lib/mock/fedora-41-x86_64-1729559599.251339/root/var/log/dnf5.log Finish: buildsrpm INFO: Done(/var/lib/copr-rpmbuild/workspace/workdir-1wokhgao/abc/abc.spec) Config(child) 0 minutes 31 seconds INFO: Results and/or logs in: /var/lib/copr-rpmbuild/results INFO: Cleaning up build root ('cleanup_on_success=True') Start: clean chroot INFO: unmounting tmpfs. Finish: clean chroot INFO: Start(/var/lib/copr-rpmbuild/results/abc-1.02-20241021.0.gitcb2140dc.fc41.src.rpm) Config(fedora-41-x86_64) Start(bootstrap): chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-41-x86_64-bootstrap-1729559599.251339/root. INFO: reusing tmpfs at /var/lib/mock/fedora-41-x86_64-bootstrap-1729559599.251339/root. INFO: calling preinit hooks INFO: enabled root cache INFO: enabled package manager cache Start(bootstrap): cleaning package manager metadata Finish(bootstrap): cleaning package manager metadata Finish(bootstrap): chroot init Start: chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-41-x86_64-1729559599.251339/root. INFO: calling preinit hooks INFO: enabled root cache Start: unpacking root cache Finish: unpacking root cache INFO: enabled package manager cache Start: cleaning package manager metadata Finish: cleaning package manager metadata INFO: enabled HW Info plugin INFO: Buildroot is handled by package management downloaded with a bootstrap image: rpm-4.20.0-1.fc41.x86_64 rpm-sequoia-1.7.0-2.fc41.x86_64 dnf5-5.2.6.2-1.fc41.x86_64 dnf5-plugins-5.2.6.2-1.fc41.x86_64 Finish: chroot init Start: build phase for abc-1.02-20241021.0.gitcb2140dc.fc41.src.rpm Start: build setup for abc-1.02-20241021.0.gitcb2140dc.fc41.src.rpm Building target platforms: x86_64 Building for target x86_64 warning: line 37: It's not recommended to have unversioned Obsoletes: Obsoletes: yosyshq-abc warning: line 61: It's not recommended to have unversioned Obsoletes: Obsoletes: yosyshq-abc-libs warning: line 69: It's not recommended to have unversioned Obsoletes: Obsoletes: yosyshq-abc-devel setting SOURCE_DATE_EPOCH=1627171200 Wrote: /builddir/build/SRPMS/abc-1.02-20241021.0.gitcb2140dc.fc41.src.rpm RPM build warnings: line 37: It's not recommended to have unversioned Obsoletes: Obsoletes: yosyshq-abc line 61: It's not recommended to have unversioned Obsoletes: Obsoletes: yosyshq-abc-libs line 69: It's not recommended to have unversioned Obsoletes: Obsoletes: yosyshq-abc-devel Updating and loading repositories: fedora 100% | 200.9 KiB/s | 4.6 KiB | 00m00s updates 100% | 1.1 MiB/s | 27.8 KiB | 00m00s Copr repository 100% | 49.3 KiB/s | 1.5 KiB | 00m00s Additional repo copr_rezso_ML 100% | 41.3 KiB/s | 1.5 KiB | 00m00s Additional repo copr_rezso_CUDA 100% | 35.4 KiB/s | 1.5 KiB | 00m00s Additional repo http_developer_downloa 100% | 145.3 KiB/s | 3.5 KiB | 00m00s Additional repo http_developer_downloa 100% | 124.4 KiB/s | 3.5 KiB | 00m00s Additional repo http_developer_downloa 100% | 108.8 KiB/s | 3.5 KiB | 00m00s Repositories loaded. Package Arch Version Repository Size Installing: bzip2-devel x86_64 1.0.8-19.fc41 fedora 309.8 KiB cmake x86_64 3.28.3-7.fc41 fedora 31.6 MiB gcc-c++ x86_64 14.2.1-3.fc41 fedora 38.2 MiB git x86_64 2.47.0-1.fc41 fedora 85.2 KiB readline-devel x86_64 8.2-10.fc41 fedora 553.0 KiB zlib-ng-compat-devel x86_64 2.1.7-3.fc41 fedora 106.8 KiB Installing dependencies: annobin-docs noarch 12.69-1.fc41 fedora 97.7 KiB annobin-plugin-gcc x86_64 12.69-1.fc41 fedora 985.0 KiB cmake-data noarch 3.28.3-7.fc41 fedora 8.0 MiB cmake-filesystem x86_64 3.28.3-7.fc41 fedora 0.0 B cmake-rpm-macros noarch 3.28.3-7.fc41 fedora 7.5 KiB cpp x86_64 14.2.1-3.fc41 fedora 35.0 MiB emacs-filesystem noarch 1:30.0-3.fc41 fedora 0.0 B expat x86_64 2.6.3-1.fc41 fedora 291.5 KiB gcc x86_64 14.2.1-3.fc41 fedora 104.3 MiB gcc-plugin-annobin x86_64 14.2.1-3.fc41 fedora 61.1 KiB git-core x86_64 2.47.0-1.fc41 fedora 22.5 MiB git-core-doc noarch 2.47.0-1.fc41 fedora 17.2 MiB glibc-devel x86_64 2.40-3.fc41 fedora 35.0 KiB glibc-headers-x86 noarch 2.40-3.fc41 fedora 2.2 MiB groff-base x86_64 1.23.0-7.fc41 fedora 3.8 MiB jsoncpp x86_64 1.9.5-8.fc41 fedora 253.4 KiB kernel-headers x86_64 6.11.3-300.fc41 fedora 6.4 MiB less x86_64 661-2.fc41 fedora 405.3 KiB libb2 x86_64 0.98.1-12.fc41 fedora 42.2 KiB libcbor x86_64 0.11.0-2.fc41 fedora 73.9 KiB libedit x86_64 3.1-53.20240808cvs.fc41 fedora 244.1 KiB libfido2 x86_64 1.15.0-2.fc41 fedora 238.2 KiB libmpc x86_64 1.3.1-6.fc41 fedora 164.7 KiB libstdc++-devel x86_64 14.2.1-3.fc41 fedora 15.4 MiB libuv x86_64 1:1.49.0-1.fc41 fedora 563.6 KiB libxcrypt-devel x86_64 4.4.36-7.fc41 fedora 30.3 KiB make x86_64 1:4.4.1-8.fc41 fedora 1.8 MiB mpdecimal x86_64 2.5.1-16.fc41 fedora 204.9 KiB ncurses x86_64 6.5-2.20240629.fc41 fedora 627.3 KiB ncurses-c++-libs x86_64 6.5-2.20240629.fc41 fedora 161.7 KiB ncurses-devel x86_64 6.5-2.20240629.fc41 fedora 870.1 KiB openssh x86_64 9.8p1-3.fc41.1 fedora 1.8 MiB openssh-clients x86_64 9.8p1-3.fc41.1 fedora 2.6 MiB perl-AutoLoader noarch 5.74-511.fc41 fedora 20.5 KiB perl-B x86_64 1.89-511.fc41 fedora 498.0 KiB perl-Carp noarch 1.54-511.fc41 fedora 46.6 KiB perl-Class-Struct noarch 0.68-511.fc41 fedora 25.4 KiB perl-Data-Dumper x86_64 2.189-512.fc41 fedora 111.7 KiB perl-Digest noarch 1.20-511.fc41 fedora 35.3 KiB perl-Digest-MD5 x86_64 2.59-5.fc41 fedora 59.8 KiB perl-DynaLoader x86_64 1.56-511.fc41 fedora 32.1 KiB perl-Encode x86_64 4:3.21-511.fc41 fedora 4.7 MiB perl-Errno x86_64 1.38-511.fc41 fedora 8.4 KiB perl-Error noarch 1:0.17029-16.fc41 fedora 77.3 KiB perl-Exporter noarch 5.78-511.fc41 fedora 54.3 KiB perl-Fcntl x86_64 1.18-511.fc41 fedora 49.0 KiB perl-File-Basename noarch 2.86-511.fc41 fedora 14.0 KiB perl-File-Find noarch 1.44-511.fc41 fedora 41.9 KiB perl-File-Path noarch 2.18-511.fc41 fedora 63.5 KiB perl-File-Temp noarch 1:0.231.100-511.fc41 fedora 162.3 KiB perl-File-stat noarch 1.14-511.fc41 fedora 12.5 KiB perl-FileHandle noarch 2.05-511.fc41 fedora 9.3 KiB perl-Getopt-Long noarch 1:2.58-2.fc41 fedora 144.5 KiB perl-Getopt-Std noarch 1.14-511.fc41 fedora 11.2 KiB perl-Git noarch 2.47.0-1.fc41 fedora 64.0 KiB perl-HTTP-Tiny noarch 0.088-512.fc41 fedora 152.2 KiB perl-IO x86_64 1.55-511.fc41 fedora 151.1 KiB perl-IO-Socket-IP noarch 0.42-512.fc41 fedora 98.7 KiB perl-IO-Socket-SSL noarch 2.089-1.fc41 fedora 703.3 KiB perl-IPC-Open3 noarch 1.22-511.fc41 fedora 22.5 KiB perl-MIME-Base32 noarch 1.303-21.fc41 fedora 30.7 KiB perl-MIME-Base64 x86_64 3.16-511.fc41 fedora 46.1 KiB perl-Mozilla-CA noarch 20240730-1.fc41 fedora 9.8 KiB perl-Net-SSLeay x86_64 1.94-7.fc41 fedora 1.3 MiB perl-POSIX x86_64 2.20-511.fc41 fedora 235.1 KiB perl-PathTools x86_64 3.91-511.fc41 fedora 180.0 KiB perl-Pod-Escapes noarch 1:1.07-511.fc41 fedora 24.9 KiB perl-Pod-Perldoc noarch 3.28.01-512.fc41 fedora 163.7 KiB perl-Pod-Simple noarch 1:3.45-511.fc41 fedora 560.9 KiB perl-Pod-Usage noarch 4:2.03-511.fc41 fedora 84.8 KiB perl-Scalar-List-Utils x86_64 5:1.66-1.fc41 fedora 146.7 KiB perl-SelectSaver noarch 1.02-511.fc41 fedora 2.2 KiB perl-Socket x86_64 4:2.038-511.fc41 fedora 124.0 KiB perl-Storable x86_64 1:3.32-511.fc41 fedora 232.4 KiB perl-Symbol noarch 1.09-511.fc41 fedora 6.8 KiB perl-Term-ANSIColor noarch 5.01-512.fc41 fedora 97.5 KiB perl-Term-Cap noarch 1.18-511.fc41 fedora 29.3 KiB perl-TermReadKey x86_64 2.38-23.fc41 fedora 64.1 KiB perl-Text-ParseWords noarch 3.31-511.fc41 fedora 13.6 KiB perl-Text-Tabs+Wrap noarch 2024.001-511.fc41 fedora 22.6 KiB perl-Time-Local noarch 2:1.350-511.fc41 fedora 69.0 KiB perl-URI noarch 5.30-1.fc41 fedora 256.9 KiB perl-base noarch 2.27-511.fc41 fedora 12.5 KiB perl-constant noarch 1.33-512.fc41 fedora 26.2 KiB perl-if noarch 0.61.000-511.fc41 fedora 5.8 KiB perl-interpreter x86_64 4:5.40.0-511.fc41 fedora 122.3 KiB perl-lib x86_64 0.65-511.fc41 fedora 8.5 KiB perl-libnet noarch 3.15-512.fc41 fedora 289.4 KiB perl-libs x86_64 4:5.40.0-511.fc41 fedora 9.9 MiB perl-locale noarch 1.12-511.fc41 fedora 6.5 KiB perl-mro x86_64 1.29-511.fc41 fedora 45.6 KiB perl-overload noarch 1.37-511.fc41 fedora 71.5 KiB perl-overloading noarch 0.02-511.fc41 fedora 4.8 KiB perl-parent noarch 1:0.242-1.fc41 fedora 10.0 KiB perl-podlators noarch 1:6.0.2-2.fc41 fedora 317.5 KiB perl-vars noarch 1.05-511.fc41 fedora 3.9 KiB python-pip-wheel noarch 24.2-1.fc41 fedora 1.2 MiB python3 x86_64 3.13.0-1.fc41 fedora 31.8 KiB python3-libs x86_64 3.13.0-1.fc41 fedora 40.3 MiB rhash x86_64 1.4.4-2.fc41 fedora 349.9 KiB tzdata noarch 2024a-9.fc41 fedora 1.7 MiB vim-filesystem noarch 2:9.1.737-1.fc41 fedora 40.0 B Transaction Summary: Installing: 108 packages Total size of inbound packages is 112 MiB. Need to download 112 MiB. After this operation, 362 MiB extra will be used (install 362 MiB, remove 0 B). [ 1/108] git-0:2.47.0-1.fc41.x86_64 100% | 703.1 KiB/s | 52.0 KiB | 00m00s [ 2/108] bzip2-devel-0:1.0.8-19.fc41.x 100% | 1.8 MiB/s | 213.7 KiB | 00m00s [ 3/108] readline-devel-0:8.2-10.fc41. 100% | 3.2 MiB/s | 206.7 KiB | 00m00s [ 4/108] zlib-ng-compat-devel-0:2.1.7- 100% | 1.6 MiB/s | 38.1 KiB | 00m00s [ 5/108] gcc-c++-0:14.2.1-3.fc41.x86_6 100% | 44.6 MiB/s | 14.2 MiB | 00m00s [ 6/108] git-core-doc-0:2.47.0-1.fc41. 100% | 51.2 MiB/s | 3.0 MiB | 00m00s [ 7/108] perl-File-Basename-0:2.86-511 100% | 951.3 KiB/s | 17.1 KiB | 00m00s [ 8/108] perl-File-Find-0:1.44-511.fc4 100% | 1.4 MiB/s | 25.3 KiB | 00m00s [ 9/108] perl-Getopt-Long-1:2.58-2.fc4 100% | 3.3 MiB/s | 63.9 KiB | 00m00s [ 10/108] perl-Git-0:2.47.0-1.fc41.noar 100% | 2.0 MiB/s | 38.8 KiB | 00m00s [ 11/108] git-core-0:2.47.0-1.fc41.x86_ 100% | 14.3 MiB/s | 4.8 MiB | 00m00s [ 12/108] perl-IPC-Open3-0:1.22-511.fc4 100% | 1.2 MiB/s | 21.8 KiB | 00m00s [ 13/108] perl-PathTools-0:3.91-511.fc4 100% | 4.5 MiB/s | 87.4 KiB | 00m00s [ 14/108] perl-TermReadKey-0:2.38-23.fc 100% | 1.8 MiB/s | 35.6 KiB | 00m00s [ 15/108] perl-interpreter-4:5.40.0-511 100% | 3.7 MiB/s | 72.3 KiB | 00m00s [ 16/108] perl-lib-0:0.65-511.fc41.x86_ 100% | 784.6 KiB/s | 14.9 KiB | 00m00s [ 17/108] cmake-filesystem-0:3.28.3-7.f 100% | 960.4 KiB/s | 18.2 KiB | 00m00s [ 18/108] expat-0:2.6.3-1.fc41.x86_64 100% | 5.9 MiB/s | 114.1 KiB | 00m00s [ 19/108] jsoncpp-0:1.9.5-8.fc41.x86_64 100% | 2.9 MiB/s | 99.3 KiB | 00m00s [ 20/108] libuv-1:1.49.0-1.fc41.x86_64 100% | 5.7 MiB/s | 261.2 KiB | 00m00s [ 21/108] make-1:4.4.1-8.fc41.x86_64 100% | 22.0 MiB/s | 586.1 KiB | 00m00s [ 22/108] rhash-0:1.4.4-2.fc41.x86_64 100% | 5.2 MiB/s | 196.0 KiB | 00m00s [ 23/108] cmake-data-0:3.28.3-7.fc41.no 100% | 8.7 MiB/s | 2.3 MiB | 00m00s [ 24/108] cmake-0:3.28.3-7.fc41.x86_64 100% | 11.1 MiB/s | 9.8 MiB | 00m01s [ 25/108] libmpc-0:1.3.1-6.fc41.x86_64 100% | 1.8 MiB/s | 71.1 KiB | 00m00s [ 26/108] less-0:661-2.fc41.x86_64 100% | 6.1 MiB/s | 188.5 KiB | 00m00s [ 27/108] openssh-clients-0:9.8p1-3.fc4 100% | 4.9 MiB/s | 741.7 KiB | 00m00s [ 28/108] perl-Exporter-0:5.78-511.fc41 100% | 150.8 KiB/s | 30.9 KiB | 00m00s [ 29/108] perl-Pod-Usage-4:2.03-511.fc4 100% | 487.9 KiB/s | 40.0 KiB | 00m00s [ 30/108] perl-Text-ParseWords-0:3.31-5 100% | 592.0 KiB/s | 16.6 KiB | 00m00s [ 31/108] perl-base-0:2.27-511.fc41.noa 100% | 577.6 KiB/s | 16.2 KiB | 00m00s [ 32/108] perl-constant-0:1.33-512.fc41 100% | 822.5 KiB/s | 23.0 KiB | 00m00s [ 33/108] perl-overload-0:1.37-511.fc41 100% | 1.9 MiB/s | 45.5 KiB | 00m00s [ 34/108] perl-Carp-0:1.54-511.fc41.noa 100% | 53.5 KiB/s | 28.9 KiB | 00m01s [ 35/108] perl-Error-1:0.17029-16.fc41. 100% | 1.4 MiB/s | 40.6 KiB | 00m00s [ 36/108] perl-Fcntl-0:1.18-511.fc41.x8 100% | 386.6 KiB/s | 29.8 KiB | 00m00s [ 37/108] perl-IO-0:1.55-511.fc41.x86_6 100% | 835.1 KiB/s | 81.8 KiB | 00m00s [ 38/108] perl-Symbol-0:1.09-511.fc41.n 100% | 404.5 KiB/s | 14.2 KiB | 00m00s [ 39/108] perl-POSIX-0:2.20-511.fc41.x8 100% | 1.5 MiB/s | 97.0 KiB | 00m00s [ 40/108] perl-Errno-0:1.38-511.fc41.x8 100% | 532.7 KiB/s | 14.9 KiB | 00m00s [ 41/108] perl-Scalar-List-Utils-5:1.66 100% | 1.4 MiB/s | 72.2 KiB | 00m00s [ 42/108] perl-DynaLoader-0:1.56-511.fc 100% | 361.3 KiB/s | 26.0 KiB | 00m00s [ 43/108] perl-vars-0:1.05-511.fc41.noa 100% | 102.0 KiB/s | 13.0 KiB | 00m00s [ 44/108] emacs-filesystem-1:30.0-3.fc4 100% | 476.1 KiB/s | 7.1 KiB | 00m00s [ 45/108] vim-filesystem-2:9.1.737-1.fc 100% | 1.1 MiB/s | 16.7 KiB | 00m00s [ 46/108] perl-libs-4:5.40.0-511.fc41.x 100% | 3.6 MiB/s | 2.3 MiB | 00m01s [ 47/108] libedit-0:3.1-53.20240808cvs. 100% | 2.6 MiB/s | 105.6 KiB | 00m00s [ 48/108] libfido2-0:1.15.0-2.fc41.x86_ 100% | 2.5 MiB/s | 98.1 KiB | 00m00s [ 49/108] openssh-0:9.8p1-3.fc41.1.x86_ 100% | 4.4 MiB/s | 414.3 KiB | 00m00s [ 50/108] perl-Pod-Perldoc-0:3.28.01-51 100% | 4.4 MiB/s | 86.1 KiB | 00m00s [ 51/108] perl-podlators-1:6.0.2-2.fc41 100% | 3.4 MiB/s | 128.9 KiB | 00m00s [ 52/108] perl-mro-0:1.29-511.fc41.x86_ 100% | 1.6 MiB/s | 29.9 KiB | 00m00s [ 53/108] perl-overloading-0:0.02-511.f 100% | 714.7 KiB/s | 12.9 KiB | 00m00s [ 54/108] perl-File-stat-0:1.14-511.fc4 100% | 944.8 KiB/s | 17.0 KiB | 00m00s [ 55/108] perl-SelectSaver-0:1.02-511.f 100% | 649.1 KiB/s | 11.7 KiB | 00m00s [ 56/108] perl-Socket-4:2.038-511.fc41. 100% | 2.8 MiB/s | 54.8 KiB | 00m00s [ 57/108] perl-locale-0:1.12-511.fc41.n 100% | 754.4 KiB/s | 13.6 KiB | 00m00s [ 58/108] libcbor-0:0.11.0-2.fc41.x86_6 100% | 1.8 MiB/s | 33.1 KiB | 00m00s [ 59/108] groff-base-0:1.23.0-7.fc41.x8 100% | 4.9 MiB/s | 1.1 MiB | 00m00s [ 60/108] perl-File-Temp-1:0.231.100-51 100% | 3.0 MiB/s | 59.1 KiB | 00m00s [ 61/108] perl-HTTP-Tiny-0:0.088-512.fc 100% | 2.9 MiB/s | 55.8 KiB | 00m00s [ 62/108] perl-Pod-Simple-1:3.45-511.fc 100% | 3.7 MiB/s | 219.0 KiB | 00m00s [ 63/108] perl-parent-1:0.242-1.fc41.no 100% | 833.4 KiB/s | 15.0 KiB | 00m00s [ 64/108] perl-Term-ANSIColor-0:5.01-51 100% | 2.5 MiB/s | 47.7 KiB | 00m00s [ 65/108] perl-Term-Cap-0:1.18-511.fc41 100% | 1.1 MiB/s | 22.1 KiB | 00m00s [ 66/108] perl-Class-Struct-0:0.68-511. 100% | 846.9 KiB/s | 22.0 KiB | 00m00s [ 67/108] perl-File-Path-0:2.18-511.fc4 100% | 1.8 MiB/s | 35.3 KiB | 00m00s [ 68/108] perl-IO-Socket-SSL-0:2.089-1. 100% | 3.9 MiB/s | 231.2 KiB | 00m00s [ 69/108] perl-MIME-Base64-0:3.16-511.f 100% | 998.1 KiB/s | 29.9 KiB | 00m00s [ 70/108] perl-Mozilla-CA-0:20240730-1. 100% | 792.1 KiB/s | 14.3 KiB | 00m00s [ 71/108] perl-Net-SSLeay-0:1.94-7.fc41 100% | 4.8 MiB/s | 375.7 KiB | 00m00s [ 72/108] perl-Time-Local-2:1.350-511.f 100% | 1.9 MiB/s | 34.5 KiB | 00m00s [ 73/108] perl-Pod-Escapes-1:1.07-511.f 100% | 1.1 MiB/s | 19.8 KiB | 00m00s [ 74/108] perl-Text-Tabs+Wrap-0:2024.00 100% | 1.2 MiB/s | 21.9 KiB | 00m00s [ 75/108] perl-if-0:0.61.000-511.fc41.n 100% | 775.7 KiB/s | 14.0 KiB | 00m00s [ 76/108] ncurses-0:6.5-2.20240629.fc41 100% | 5.4 MiB/s | 423.8 KiB | 00m00s [ 77/108] perl-IO-Socket-IP-0:0.42-512. 100% | 2.1 MiB/s | 41.8 KiB | 00m00s [ 78/108] perl-URI-0:5.30-1.fc41.noarch 100% | 3.7 MiB/s | 140.8 KiB | 00m00s [ 79/108] perl-AutoLoader-0:5.74-511.fc 100% | 1.2 MiB/s | 21.2 KiB | 00m00s [ 80/108] perl-Data-Dumper-0:2.189-512. 100% | 2.9 MiB/s | 56.3 KiB | 00m00s [ 81/108] perl-MIME-Base32-0:1.303-21.f 100% | 1.1 MiB/s | 20.5 KiB | 00m00s [ 82/108] perl-libnet-0:3.15-512.fc41.n 100% | 3.4 MiB/s | 128.5 KiB | 00m00s [ 83/108] perl-B-0:1.89-511.fc41.x86_64 100% | 4.7 MiB/s | 176.3 KiB | 00m00s [ 84/108] perl-Digest-MD5-0:2.59-5.fc41 100% | 2.0 MiB/s | 36.0 KiB | 00m00s [ 85/108] perl-FileHandle-0:2.05-511.fc 100% | 858.8 KiB/s | 15.5 KiB | 00m00s [ 86/108] perl-Digest-0:1.20-511.fc41.n 100% | 1.4 MiB/s | 24.9 KiB | 00m00s [ 87/108] ncurses-devel-0:6.5-2.2024062 100% | 4.7 MiB/s | 570.0 KiB | 00m00s [ 88/108] ncurses-c++-libs-0:6.5-2.2024 100% | 1.4 MiB/s | 37.8 KiB | 00m00s [ 89/108] perl-Encode-4:3.21-511.fc41.x 100% | 5.6 MiB/s | 1.1 MiB | 00m00s [ 90/108] perl-Getopt-Std-0:1.14-511.fc 100% | 869.1 KiB/s | 15.6 KiB | 00m00s [ 91/108] perl-Storable-1:3.32-511.fc41 100% | 5.1 MiB/s | 98.4 KiB | 00m00s [ 92/108] cpp-0:14.2.1-3.fc41.x86_64 100% | 5.4 MiB/s | 11.9 MiB | 00m02s [ 93/108] glibc-devel-0:2.40-3.fc41.x86 100% | 8.1 MiB/s | 133.3 KiB | 00m00s [ 94/108] glibc-headers-x86-0:2.40-3.fc 100% | 8.1 MiB/s | 630.8 KiB | 00m00s [ 95/108] libxcrypt-devel-0:4.4.36-7.fc 100% | 1.6 MiB/s | 28.9 KiB | 00m00s [ 96/108] kernel-headers-0:6.11.3-300.f 100% | 7.9 MiB/s | 1.6 MiB | 00m00s [ 97/108] libstdc++-devel-0:14.2.1-3.fc 100% | 6.1 MiB/s | 2.8 MiB | 00m00s [ 98/108] gcc-plugin-annobin-0:14.2.1-3 100% | 2.8 MiB/s | 55.1 KiB | 00m00s [ 99/108] annobin-docs-0:12.69-1.fc41.n 100% | 4.7 MiB/s | 91.8 KiB | 00m00s [100/108] annobin-plugin-gcc-0:12.69-1. 100% | 8.9 MiB/s | 971.0 KiB | 00m00s [101/108] cmake-rpm-macros-0:3.28.3-7.f 100% | 506.2 KiB/s | 17.7 KiB | 00m00s [102/108] python3-0:3.13.0-1.fc41.x86_6 100% | 1.8 MiB/s | 27.8 KiB | 00m00s [103/108] libb2-0:0.98.1-12.fc41.x86_64 100% | 1.7 MiB/s | 25.7 KiB | 00m00s [104/108] mpdecimal-0:2.5.1-16.fc41.x86 100% | 5.8 MiB/s | 89.0 KiB | 00m00s [105/108] gcc-0:14.2.1-3.fc41.x86_64 100% | 9.6 MiB/s | 36.9 MiB | 00m04s [106/108] tzdata-0:2024a-9.fc41.noarch 100% | 7.2 MiB/s | 714.7 KiB | 00m00s [107/108] python-pip-wheel-0:24.2-1.fc4 100% | 7.8 MiB/s | 1.2 MiB | 00m00s [108/108] python3-libs-0:3.13.0-1.fc41. 100% | 6.2 MiB/s | 9.1 MiB | 00m01s -------------------------------------------------------------------------------- [108/108] Total 100% | 18.6 MiB/s | 112.4 MiB | 00m06s Running transaction [ 1/110] Verify package files 100% | 295.0 B/s | 108.0 B | 00m00s [ 2/110] Prepare transaction 100% | 800.0 B/s | 108.0 B | 00m00s [ 3/110] Installing libmpc-0:1.3.1-6.f 100% | 81.1 MiB/s | 166.2 KiB | 00m00s [ 4/110] Installing expat-0:2.6.3-1.fc 100% | 95.6 MiB/s | 293.6 KiB | 00m00s [ 5/110] Installing cmake-filesystem-0 100% | 2.3 MiB/s | 7.1 KiB | 00m00s [ 6/110] Installing make-1:4.4.1-8.fc4 100% | 180.0 MiB/s | 1.8 MiB | 00m00s [ 7/110] Installing cpp-0:14.2.1-3.fc4 100% | 280.0 MiB/s | 35.0 MiB | 00m00s [ 8/110] Installing tzdata-0:2024a-9.f 100% | 28.1 MiB/s | 1.9 MiB | 00m00s [ 9/110] Installing python-pip-wheel-0 100% | 413.9 MiB/s | 1.2 MiB | 00m00s [ 10/110] Installing mpdecimal-0:2.5.1- 100% | 201.2 MiB/s | 206.0 KiB | 00m00s [ 11/110] Installing libb2-0:0.98.1-12. 100% | 7.0 MiB/s | 43.3 KiB | 00m00s [ 12/110] Installing python3-libs-0:3.1 100% | 213.1 MiB/s | 40.7 MiB | 00m00s [ 13/110] Installing python3-0:3.13.0-1 100% | 32.8 MiB/s | 33.6 KiB | 00m00s [ 14/110] Installing cmake-rpm-macros-0 100% | 7.9 MiB/s | 8.1 KiB | 00m00s [ 15/110] Installing annobin-docs-0:12. 100% | 32.2 MiB/s | 98.8 KiB | 00m00s [ 16/110] Installing kernel-headers-0:6 100% | 114.8 MiB/s | 6.5 MiB | 00m00s [ 17/110] Installing glibc-headers-x86- 100% | 84.6 MiB/s | 2.3 MiB | 00m00s [ 18/110] Installing libxcrypt-devel-0: 100% | 15.9 MiB/s | 32.6 KiB | 00m00s [ 19/110] Installing glibc-devel-0:2.40 100% | 12.5 MiB/s | 38.4 KiB | 00m00s [ 20/110] Installing gcc-0:14.2.1-3.fc4 100% | 316.3 MiB/s | 104.4 MiB | 00m00s [ 21/110] Installing libstdc++-devel-0: 100% | 213.1 MiB/s | 15.6 MiB | 00m00s [ 22/110] Installing ncurses-c++-libs-0 100% | 53.0 MiB/s | 162.9 KiB | 00m00s [ 23/110] Installing ncurses-devel-0:6. 100% | 31.0 MiB/s | 1.0 MiB | 00m00s [ 24/110] Installing ncurses-0:6.5-2.20 100% | 38.7 MiB/s | 633.9 KiB | 00m00s [ 25/110] Installing groff-base-0:1.23. 100% | 113.9 MiB/s | 3.9 MiB | 00m00s [ 26/110] Installing perl-Digest-0:1.20 100% | 36.2 MiB/s | 37.1 KiB | 00m00s [ 27/110] Installing perl-B-0:1.89-511. 100% | 163.2 MiB/s | 501.3 KiB | 00m00s [ 28/110] Installing perl-FileHandle-0: 100% | 0.0 B/s | 9.8 KiB | 00m00s [ 29/110] Installing perl-Digest-MD5-0: 100% | 60.2 MiB/s | 61.7 KiB | 00m00s [ 30/110] Installing perl-MIME-Base32-0 100% | 31.4 MiB/s | 32.2 KiB | 00m00s [ 31/110] Installing perl-Data-Dumper-0 100% | 55.5 MiB/s | 113.6 KiB | 00m00s [ 32/110] Installing perl-libnet-0:3.15 100% | 95.9 MiB/s | 294.7 KiB | 00m00s [ 33/110] Installing perl-IO-Socket-IP- 100% | 98.1 MiB/s | 100.5 KiB | 00m00s [ 34/110] Installing perl-AutoLoader-0: 100% | 20.5 MiB/s | 20.9 KiB | 00m00s [ 35/110] Installing perl-URI-0:5.30-1. 100% | 52.6 MiB/s | 269.5 KiB | 00m00s [ 36/110] Installing perl-locale-0:1.12 100% | 0.0 B/s | 6.9 KiB | 00m00s [ 37/110] Installing perl-File-Path-0:2 100% | 63.0 MiB/s | 64.5 KiB | 00m00s [ 38/110] Installing perl-Mozilla-CA-0: 100% | 10.6 MiB/s | 10.8 KiB | 00m00s [ 39/110] Installing perl-Time-Local-2: 100% | 68.9 MiB/s | 70.6 KiB | 00m00s [ 40/110] Installing perl-Pod-Escapes-1 100% | 25.3 MiB/s | 25.9 KiB | 00m00s [ 41/110] Installing perl-Text-Tabs+Wra 100% | 23.3 MiB/s | 23.9 KiB | 00m00s [ 42/110] Installing perl-if-0:0.61.000 100% | 6.1 MiB/s | 6.2 KiB | 00m00s [ 43/110] Installing perl-Net-SSLeay-0: 100% | 136.3 MiB/s | 1.4 MiB | 00m00s [ 44/110] Installing perl-IO-Socket-SSL 100% | 172.7 MiB/s | 707.4 KiB | 00m00s [ 45/110] Installing perl-POSIX-0:2.20- 100% | 115.4 MiB/s | 236.4 KiB | 00m00s [ 46/110] Installing perl-Term-ANSIColo 100% | 96.9 MiB/s | 99.2 KiB | 00m00s [ 47/110] Installing perl-Term-Cap-0:1. 100% | 29.9 MiB/s | 30.6 KiB | 00m00s [ 48/110] Installing perl-IPC-Open3-0:1 100% | 22.7 MiB/s | 23.3 KiB | 00m00s [ 49/110] Installing perl-Class-Struct- 100% | 25.3 MiB/s | 25.9 KiB | 00m00s [ 50/110] Installing perl-File-Temp-1:0 100% | 160.2 MiB/s | 164.1 KiB | 00m00s [ 51/110] Installing perl-Pod-Simple-1: 100% | 139.3 MiB/s | 570.5 KiB | 00m00s [ 52/110] Installing perl-HTTP-Tiny-0:0 100% | 150.6 MiB/s | 154.2 KiB | 00m00s [ 53/110] Installing perl-Symbol-0:1.09 100% | 0.0 B/s | 7.2 KiB | 00m00s [ 54/110] Installing perl-SelectSaver-0 100% | 0.0 B/s | 2.6 KiB | 00m00s [ 55/110] Installing perl-Socket-4:2.03 100% | 61.6 MiB/s | 126.1 KiB | 00m00s [ 56/110] Installing perl-File-stat-0:1 100% | 12.7 MiB/s | 13.1 KiB | 00m00s [ 57/110] Installing perl-podlators-1:6 100% | 104.6 MiB/s | 321.4 KiB | 00m00s [ 58/110] Installing perl-Pod-Perldoc-0 100% | 82.6 MiB/s | 169.3 KiB | 00m00s [ 59/110] Installing perl-Text-ParseWor 100% | 14.2 MiB/s | 14.6 KiB | 00m00s [ 60/110] Installing perl-base-0:2.27-5 100% | 0.0 B/s | 12.9 KiB | 00m00s [ 61/110] Installing perl-Fcntl-0:1.18- 100% | 48.9 MiB/s | 50.1 KiB | 00m00s [ 62/110] Installing perl-mro-0:1.29-51 100% | 45.6 MiB/s | 46.7 KiB | 00m00s [ 63/110] Installing perl-overloading-0 100% | 5.4 MiB/s | 5.5 KiB | 00m00s [ 64/110] Installing perl-IO-0:1.55-511 100% | 75.8 MiB/s | 155.3 KiB | 00m00s [ 65/110] Installing perl-Pod-Usage-4:2 100% | 84.3 MiB/s | 86.3 KiB | 00m00s [ 66/110] Installing perl-constant-0:1. 100% | 26.7 MiB/s | 27.4 KiB | 00m00s [ 67/110] Installing perl-Errno-0:1.38- 100% | 0.0 B/s | 8.8 KiB | 00m00s [ 68/110] Installing perl-Scalar-List-U 100% | 48.8 MiB/s | 149.9 KiB | 00m00s [ 69/110] Installing perl-vars-0:1.05-5 100% | 0.0 B/s | 4.3 KiB | 00m00s [ 70/110] Installing perl-overload-0:1. 100% | 70.3 MiB/s | 71.9 KiB | 00m00s [ 71/110] Installing perl-parent-1:0.24 100% | 10.5 MiB/s | 10.7 KiB | 00m00s [ 72/110] Installing perl-MIME-Base64-0 100% | 23.6 MiB/s | 48.4 KiB | 00m00s [ 73/110] Installing perl-Getopt-Std-0: 100% | 0.0 B/s | 11.7 KiB | 00m00s [ 74/110] Installing perl-Storable-1:3. 100% | 114.3 MiB/s | 234.0 KiB | 00m00s [ 75/110] Installing perl-Getopt-Long-1 100% | 71.9 MiB/s | 147.2 KiB | 00m00s [ 76/110] Installing perl-File-Basename 100% | 0.0 B/s | 14.6 KiB | 00m00s [ 77/110] Installing perl-Carp-0:1.54-5 100% | 46.6 MiB/s | 47.7 KiB | 00m00s [ 78/110] Installing perl-Exporter-0:5. 100% | 54.3 MiB/s | 55.6 KiB | 00m00s [ 79/110] Installing perl-PathTools-0:3 100% | 60.1 MiB/s | 184.6 KiB | 00m00s [ 80/110] Installing perl-DynaLoader-0: 100% | 31.7 MiB/s | 32.5 KiB | 00m00s [ 81/110] Installing perl-Encode-4:3.21 100% | 224.7 MiB/s | 4.7 MiB | 00m00s [ 82/110] Installing perl-libs-4:5.40.0 100% | 155.6 MiB/s | 10.0 MiB | 00m00s [ 83/110] Installing perl-interpreter-4 100% | 121.1 MiB/s | 124.0 KiB | 00m00s [ 84/110] Installing perl-File-Find-0:1 100% | 41.5 MiB/s | 42.5 KiB | 00m00s [ 85/110] Installing perl-TermReadKey-0 100% | 32.4 MiB/s | 66.3 KiB | 00m00s [ 86/110] Installing perl-lib-0:0.65-51 100% | 0.0 B/s | 8.9 KiB | 00m00s [ 87/110] Installing perl-Error-1:0.170 100% | 39.3 MiB/s | 80.5 KiB | 00m00s [ 88/110] Installing libcbor-0:0.11.0-2 100% | 73.5 MiB/s | 75.3 KiB | 00m00s [ 89/110] Installing libfido2-0:1.15.0- 100% | 117.1 MiB/s | 239.7 KiB | 00m00s [ 90/110] Installing openssh-0:9.8p1-3. 100% | 254.1 MiB/s | 1.8 MiB | 00m00s [ 91/110] Installing libedit-0:3.1-53.2 100% | 120.0 MiB/s | 245.8 KiB | 00m00s [ 92/110] Installing openssh-clients-0: 100% | 144.2 MiB/s | 2.6 MiB | 00m00s [ 93/110] Installing vim-filesystem-2:9 100% | 2.3 MiB/s | 4.7 KiB | 00m00s [ 94/110] Installing emacs-filesystem-1 100% | 0.0 B/s | 544.0 B | 00m00s [ 95/110] Installing less-0:661-2.fc41. 100% | 99.8 MiB/s | 408.6 KiB | 00m00s [ 96/110] Installing git-core-0:2.47.0- 100% | 300.7 MiB/s | 22.6 MiB | 00m00s [ 97/110] Installing git-core-doc-0:2.4 100% | 195.7 MiB/s | 17.4 MiB | 00m00s [ 98/110] Installing perl-Git-0:2.47.0- 100% | 63.5 MiB/s | 65.0 KiB | 00m00s [ 99/110] Installing git-0:2.47.0-1.fc4 100% | 85.4 MiB/s | 87.4 KiB | 00m00s [100/110] Installing rhash-0:1.4.4-2.fc 100% | 115.6 MiB/s | 355.1 KiB | 00m00s [101/110] Installing libuv-1:1.49.0-1.f 100% | 184.4 MiB/s | 566.4 KiB | 00m00s [102/110] Installing jsoncpp-0:1.9.5-8. 100% | 27.7 MiB/s | 254.9 KiB | 00m00s [103/110] Installing cmake-data-0:3.28. 100% | 62.4 MiB/s | 8.5 MiB | 00m00s [104/110] Installing cmake-0:3.28.3-7.f 100% | 319.6 MiB/s | 31.6 MiB | 00m00s [105/110] Installing readline-devel-0:8 100% | 136.8 MiB/s | 560.5 KiB | 00m00s [106/110] Installing gcc-c++-0:14.2.1-3 100% | 300.5 MiB/s | 38.2 MiB | 00m00s [107/110] Installing annobin-plugin-gcc 100% | 45.9 MiB/s | 986.7 KiB | 00m00s [108/110] Installing gcc-plugin-annobin 100% | 2.9 MiB/s | 62.6 KiB | 00m00s [109/110] Installing zlib-ng-compat-dev 100% | 105.8 MiB/s | 108.3 KiB | 00m00s warning: posix.fork(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.spawn() or rpm.execute() instead warning: posix.wait(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.spawn() or rpm.execute() instead warning: posix.exec(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.spawn() or rpm.execute() instead [110/110] Installing bzip2-devel-0:1.0. 100% | 1.6 MiB/s | 310.7 KiB | 00m00s Complete! Finish: build setup for abc-1.02-20241021.0.gitcb2140dc.fc41.src.rpm Start: rpmbuild abc-1.02-20241021.0.gitcb2140dc.fc41.src.rpm Building target platforms: x86_64 Building for target x86_64 warning: line 37: It's not recommended to have unversioned Obsoletes: Obsoletes: yosyshq-abc warning: line 61: It's not recommended to have unversioned Obsoletes: Obsoletes: yosyshq-abc-libs warning: line 69: It's not recommended to have unversioned Obsoletes: Obsoletes: yosyshq-abc-devel setting SOURCE_DATE_EPOCH=1627171200 Executing(%mkbuilddir): /bin/sh -e /var/tmp/rpm-tmp.3Vz86z + umask 022 + cd /builddir/build/BUILD/abc-1.02-build + test -d /builddir/build/BUILD/abc-1.02-build + /usr/bin/chmod -Rf a+rX,u+w,g-w,o-w /builddir/build/BUILD/abc-1.02-build + /usr/bin/rm -rf /builddir/build/BUILD/abc-1.02-build + /usr/bin/mkdir -p /builddir/build/BUILD/abc-1.02-build + /usr/bin/mkdir -p /builddir/build/BUILD/abc-1.02-build/SPECPARTS + RPM_EC=0 ++ jobs -p + exit 0 Executing(%prep): /bin/sh -e /var/tmp/rpm-tmp.Lq6SB6 + umask 022 + cd /builddir/build/BUILD/abc-1.02-build + cd /builddir/build/BUILD/abc-1.02-build + rm -rf abc + /usr/bin/mkdir -p abc + cd abc + /usr/bin/chmod -Rf a+rX,u+w,g-w,o-w . + git clone --depth 1 -n -b master https://github.com/berkeley-abc/abc.git . Cloning into '.'... + git fetch --depth 1 origin cb2140dc0c0a2390f8aa1aa3d8be379a27b05785 From https://github.com/berkeley-abc/abc * branch cb2140dc0c0a2390f8aa1aa3d8be379a27b05785 -> FETCH_HEAD + git reset --hard cb2140dc0c0a2390f8aa1aa3d8be379a27b05785 HEAD is now at cb2140d Adding PI/PO name transfer after mapping+retiming. + git log --format=fuller commit cb2140dc0c0a2390f8aa1aa3d8be379a27b05785 Author: Alan Mishchenko AuthorDate: Mon Oct 21 20:37:52 2024 +0700 Commit: Alan Mishchenko CommitDate: Mon Oct 21 20:37:52 2024 +0700 Adding PI/PO name transfer after mapping+retiming. Patch #0 (abc-bundlelib.patch): + echo 'Patch #0 (abc-bundlelib.patch):' + /usr/bin/patch --no-backup-if-mismatch -f -p1 -b --suffix .bundle~ --fuzz=100 patching file Makefile Hunk #1 succeeded at 23 (offset 1 line). Hunk #2 succeeded at 45 with fuzz 1 (offset 1 line). patching file src/base/io/ioReadAiger.c patching file src/base/io/ioReadBlifMv.c patching file src/base/io/ioWriteAiger.c patching file src/sat/bsat2/MainSat.cpp Hunk #1 succeeded at 21 with fuzz 2. patching file src/sat/bsat2/MainSimp.cpp patching file src/sat/bsat2/ParseUtils.h Hunk #1 succeeded at 24 with fuzz 2. patching file src/sat/cnf/cnfMan.c patching file src/sat/glucose/ParseUtils.h Patch #1 (abc-sharedlib.patch): + echo 'Patch #1 (abc-sharedlib.patch):' + /usr/bin/patch --no-backup-if-mismatch -f -p0 -b --suffix .shrlib~ --fuzz=100 patching file Makefile Hunk #1 succeeded at 58 (offset 3 lines). Hunk #2 succeeded at 232 (offset 11 lines). Patch #2 (abc-header.patch): + echo 'Patch #2 (abc-header.patch):' + /usr/bin/patch --no-backup-if-mismatch -f -p0 -b --suffix .hdr~ --fuzz=100 patching file src/sat/glucose/Glucose.cpp Patch #3 (abc-build.patch): + echo 'Patch #3 (abc-build.patch):' + /usr/bin/patch --no-backup-if-mismatch -f -p0 -b --suffix .build~ --fuzz=100 patching file CMakeLists.txt Hunk #1 succeeded at 62 (offset 9 lines). Hunk #2 succeeded at 103 (offset 9 lines). Hunk #3 succeeded at 114 with fuzz 3 (offset 9 lines). Patch #100 (abc-gcc11.patch): + echo 'Patch #100 (abc-gcc11.patch):' + /usr/bin/patch --no-backup-if-mismatch -f -p0 -b --suffix .gcc11~ --fuzz=100 patching file src/sat/glucose2/Glucose2.cpp patching file src/sat/glucose2/ParseUtils.h + rm -fr lib src/misc/bzlib src/misc/zlib + sed 's/@VERSION@/1.02 (%{gitdate})/' /builddir/build/SOURCES/abc.1 + touch -r /builddir/build/SOURCES/abc.1 abc.1 + RPM_EC=0 ++ jobs -p + exit 0 Executing(%build): /bin/sh -e /var/tmp/rpm-tmp.TP6fAe + umask 022 + cd /builddir/build/BUILD/abc-1.02-build + CFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer ' + export CFLAGS + CXXFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer ' + export CXXFLAGS + FFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -I/usr/lib64/gfortran/modules ' + export FFLAGS + FCFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -I/usr/lib64/gfortran/modules ' + export FCFLAGS + VALAFLAGS=-g + export VALAFLAGS + RUSTFLAGS='-Copt-level=3 -Cdebuginfo=2 -Ccodegen-units=1 -Cstrip=none -Cforce-frame-pointers=yes -Clink-arg=-specs=/usr/lib/rpm/redhat/redhat-package-notes --cap-lints=warn' + export RUSTFLAGS + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes ' + export LDFLAGS + LT_SYS_LIBRARY_PATH=/usr/lib64: + export LT_SYS_LIBRARY_PATH + CC=gcc + export CC + CXX=g++ + export CXX + cd abc + export 'CFLAGS=-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -DNDEBUG -Wno-unused-variable' + CFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -DNDEBUG -Wno-unused-variable' + export 'CXXFLAGS=-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -DNDEBUG -Wno-unused-variable' + CXXFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -DNDEBUG -Wno-unused-variable' + CFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -DNDEBUG -Wno-unused-variable' + export CFLAGS + CXXFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -DNDEBUG -Wno-unused-variable' + export CXXFLAGS + FFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -I/usr/lib64/gfortran/modules ' + export FFLAGS + FCFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -I/usr/lib64/gfortran/modules ' + export FCFLAGS + VALAFLAGS=-g + export VALAFLAGS + RUSTFLAGS='-Copt-level=3 -Cdebuginfo=2 -Ccodegen-units=1 -Cstrip=none -Cforce-frame-pointers=yes -Clink-arg=-specs=/usr/lib/rpm/redhat/redhat-package-notes --cap-lints=warn' + export RUSTFLAGS + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes ' + export LDFLAGS + LT_SYS_LIBRARY_PATH=/usr/lib64: + export LT_SYS_LIBRARY_PATH + CC=gcc + export CC + CXX=g++ + export CXX + /usr/bin/cmake -DCMAKE_C_FLAGS_RELEASE:STRING=-DNDEBUG -DCMAKE_CXX_FLAGS_RELEASE:STRING=-DNDEBUG -DCMAKE_Fortran_FLAGS_RELEASE:STRING=-DNDEBUG -DCMAKE_VERBOSE_MAKEFILE:BOOL=ON -DCMAKE_INSTALL_DO_STRIP:BOOL=OFF -DCMAKE_INSTALL_PREFIX:PATH=/usr -DINCLUDE_INSTALL_DIR:PATH=/usr/include -DLIB_INSTALL_DIR:PATH=/usr/lib64 -DSYSCONF_INSTALL_DIR:PATH=/etc -DSHARE_INSTALL_PREFIX:PATH=/usr/share -DLIB_SUFFIX=64 -DBUILD_SHARED_LIBS:BOOL=ON . -DCMAKE_SKIP_RPATH=YES -DCMAKE_SKIP_INSTALL_RPATH=YES -DCMAKE_VERBOSE_MAKEFILE=OFF -DCMAKE_BUILD_TYPE=RelWithDebInfo -DABC_SKIP_TESTS=ON -- The C compiler identification is GNU 14.2.1 -- The CXX compiler identification is GNU 14.2.1 -- Detecting C compiler ABI info -- Detecting C compiler ABI info - done -- Check for working C compiler: /usr/bin/gcc - skipped -- Detecting C compile features -- Detecting C compile features - done -- Detecting CXX compiler ABI info -- Detecting CXX compiler ABI info - done -- Check for working CXX compiler: /usr/bin/g++ - skipped -- Detecting CXX compile features -- Detecting CXX compile features - done -- Performing Test C_COMPILER_SUPPORTS__-O2 -- Performing Test C_COMPILER_SUPPORTS__-O2 - Success -- Performing Test CXX_COMPILER_SUPPORTS__-O2 -- Performing Test CXX_COMPILER_SUPPORTS__-O2 - Success -- Performing Test C_COMPILER_SUPPORTS__-flto=auto -- Performing Test C_COMPILER_SUPPORTS__-flto=auto - Success -- Performing Test CXX_COMPILER_SUPPORTS__-flto=auto -- Performing Test CXX_COMPILER_SUPPORTS__-flto=auto - Success -- Performing Test C_COMPILER_SUPPORTS__-ffat-lto-objects -- Performing Test C_COMPILER_SUPPORTS__-ffat-lto-objects - Success -- Performing Test CXX_COMPILER_SUPPORTS__-ffat-lto-objects -- Performing Test CXX_COMPILER_SUPPORTS__-ffat-lto-objects - Success -- Performing Test C_COMPILER_SUPPORTS__-fexceptions -- Performing Test C_COMPILER_SUPPORTS__-fexceptions - Success -- Performing Test CXX_COMPILER_SUPPORTS__-fexceptions -- Performing Test CXX_COMPILER_SUPPORTS__-fexceptions - Success -- Performing Test C_COMPILER_SUPPORTS__-g -- Performing Test C_COMPILER_SUPPORTS__-g - Success -- Performing Test CXX_COMPILER_SUPPORTS__-g -- Performing Test CXX_COMPILER_SUPPORTS__-g - Success -- Performing Test C_COMPILER_SUPPORTS__-grecord-gcc-switches -- Performing Test C_COMPILER_SUPPORTS__-grecord-gcc-switches - Success -- Performing Test CXX_COMPILER_SUPPORTS__-grecord-gcc-switches -- Performing Test CXX_COMPILER_SUPPORTS__-grecord-gcc-switches - Success -- Performing Test C_COMPILER_SUPPORTS__-pipe -- Performing Test C_COMPILER_SUPPORTS__-pipe - Success -- Performing Test CXX_COMPILER_SUPPORTS__-pipe -- Performing Test CXX_COMPILER_SUPPORTS__-pipe - Success -- Performing Test C_COMPILER_SUPPORTS__-Wall -- Performing Test C_COMPILER_SUPPORTS__-Wall - Success -- Performing Test CXX_COMPILER_SUPPORTS__-Wall -- Performing Test CXX_COMPILER_SUPPORTS__-Wall - Success -- Performing Test C_COMPILER_SUPPORTS__-Wno-complain-wrong-lang -- Performing Test C_COMPILER_SUPPORTS__-Wno-complain-wrong-lang - Success -- Performing Test CXX_COMPILER_SUPPORTS__-Wno-complain-wrong-lang -- Performing Test CXX_COMPILER_SUPPORTS__-Wno-complain-wrong-lang - Success -- Performing Test C_COMPILER_SUPPORTS__-Werror=format-security -- Performing Test C_COMPILER_SUPPORTS__-Werror=format-security - Success -- Performing Test CXX_COMPILER_SUPPORTS__-Werror=format-security -- Performing Test CXX_COMPILER_SUPPORTS__-Werror=format-security - Success -- Performing Test C_COMPILER_SUPPORTS__-Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -- Performing Test C_COMPILER_SUPPORTS__-Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 - Success -- Performing Test CXX_COMPILER_SUPPORTS__-Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -- Performing Test CXX_COMPILER_SUPPORTS__-Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 - Success -- Performing Test C_COMPILER_SUPPORTS__-Wp,-D_GLIBCXX_ASSERTIONS -- Performing Test C_COMPILER_SUPPORTS__-Wp,-D_GLIBCXX_ASSERTIONS - Success -- Performing Test CXX_COMPILER_SUPPORTS__-Wp,-D_GLIBCXX_ASSERTIONS -- Performing Test CXX_COMPILER_SUPPORTS__-Wp,-D_GLIBCXX_ASSERTIONS - Success -- Performing Test C_COMPILER_SUPPORTS__-specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -- Performing Test C_COMPILER_SUPPORTS__-specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 - Success -- Performing Test CXX_COMPILER_SUPPORTS__-specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -- Performing Test CXX_COMPILER_SUPPORTS__-specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 - Success -- Performing Test C_COMPILER_SUPPORTS__-fstack-protector-strong -- Performing Test C_COMPILER_SUPPORTS__-fstack-protector-strong - Success -- Performing Test CXX_COMPILER_SUPPORTS__-fstack-protector-strong -- Performing Test CXX_COMPILER_SUPPORTS__-fstack-protector-strong - Success -- Performing Test C_COMPILER_SUPPORTS__-specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -- Performing Test C_COMPILER_SUPPORTS__-specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 - Success -- Performing Test CXX_COMPILER_SUPPORTS__-specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -- Performing Test CXX_COMPILER_SUPPORTS__-specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 - Success -- Performing Test C_COMPILER_SUPPORTS__-m64 -- Performing Test C_COMPILER_SUPPORTS__-m64 - Success -- Performing Test CXX_COMPILER_SUPPORTS__-m64 -- Performing Test CXX_COMPILER_SUPPORTS__-m64 - Success -- Performing Test C_COMPILER_SUPPORTS__-march=x86-64 -- Performing Test C_COMPILER_SUPPORTS__-march=x86-64 - Success -- Performing Test CXX_COMPILER_SUPPORTS__-march=x86-64 -- Performing Test CXX_COMPILER_SUPPORTS__-march=x86-64 - Success -- Performing Test C_COMPILER_SUPPORTS__-mtune=generic -- Performing Test C_COMPILER_SUPPORTS__-mtune=generic - Success -- Performing Test CXX_COMPILER_SUPPORTS__-mtune=generic -- Performing Test CXX_COMPILER_SUPPORTS__-mtune=generic - Success -- Performing Test C_COMPILER_SUPPORTS__-fasynchronous-unwind-tables -- Performing Test C_COMPILER_SUPPORTS__-fasynchronous-unwind-tables - Success -- Performing Test CXX_COMPILER_SUPPORTS__-fasynchronous-unwind-tables -- Performing Test CXX_COMPILER_SUPPORTS__-fasynchronous-unwind-tables - Success -- Performing Test C_COMPILER_SUPPORTS__-fstack-clash-protection -- Performing Test C_COMPILER_SUPPORTS__-fstack-clash-protection - Success -- Performing Test CXX_COMPILER_SUPPORTS__-fstack-clash-protection -- Performing Test CXX_COMPILER_SUPPORTS__-fstack-clash-protection - Success -- Performing Test C_COMPILER_SUPPORTS__-fcf-protection -- Performing Test C_COMPILER_SUPPORTS__-fcf-protection - Success -- Performing Test CXX_COMPILER_SUPPORTS__-fcf-protection -- Performing Test CXX_COMPILER_SUPPORTS__-fcf-protection - Success -- Performing Test C_COMPILER_SUPPORTS__-mtls-dialect=gnu2 -- Performing Test C_COMPILER_SUPPORTS__-mtls-dialect=gnu2 - Success -- Performing Test CXX_COMPILER_SUPPORTS__-mtls-dialect=gnu2 -- Performing Test CXX_COMPILER_SUPPORTS__-mtls-dialect=gnu2 - Success -- Performing Test C_COMPILER_SUPPORTS__-fno-omit-frame-pointer -- Performing Test C_COMPILER_SUPPORTS__-fno-omit-frame-pointer - Success -- Performing Test CXX_COMPILER_SUPPORTS__-fno-omit-frame-pointer -- Performing Test CXX_COMPILER_SUPPORTS__-fno-omit-frame-pointer - Success -- Performing Test C_COMPILER_SUPPORTS__-mno-omit-leaf-frame-pointer -- Performing Test C_COMPILER_SUPPORTS__-mno-omit-leaf-frame-pointer - Success -- Performing Test CXX_COMPILER_SUPPORTS__-mno-omit-leaf-frame-pointer -- Performing Test CXX_COMPILER_SUPPORTS__-mno-omit-leaf-frame-pointer - Success -- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable -- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable - Success -- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable -- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable - Success -- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function -- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function - Success -- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function -- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function - Success -- Performing Test C_COMPILER_SUPPORTS__-O -- Performing Test C_COMPILER_SUPPORTS__-O - Success -- Performing Test CXX_COMPILER_SUPPORTS__-O -- Performing Test CXX_COMPILER_SUPPORTS__-O - Success -- Performing Test C_COMPILER_SUPPORTS__-Isrc -- Performing Test C_COMPILER_SUPPORTS__-Isrc - Success -- Performing Test CXX_COMPILER_SUPPORTS__-Isrc -- Performing Test CXX_COMPILER_SUPPORTS__-Isrc - Success -- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable -- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success -- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable -- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success -- Performing Test C_COMPILER_SUPPORTS__-std=c++17 -- Performing Test C_COMPILER_SUPPORTS__-std=c++17 - Success -- Performing Test CXX_COMPILER_SUPPORTS__-std=c++17 -- Performing Test CXX_COMPILER_SUPPORTS__-std=c++17 - Success -- Performing Test C_COMPILER_SUPPORTS__-fno-exceptions -- Performing Test C_COMPILER_SUPPORTS__-fno-exceptions - Success -- Performing Test CXX_COMPILER_SUPPORTS__-fno-exceptions -- Performing Test CXX_COMPILER_SUPPORTS__-fno-exceptions - Success -- Configuring done (9.2s) -- Generating done (0.2s) CMake Warning: Manually-specified variables were not used by the project: ABC_SKIP_TESTS CMAKE_CXX_FLAGS_RELEASE CMAKE_C_FLAGS_RELEASE CMAKE_Fortran_FLAGS_RELEASE CMAKE_INSTALL_DO_STRIP INCLUDE_INSTALL_DIR LIB_INSTALL_DIR LIB_SUFFIX SHARE_INSTALL_PREFIX SYSCONF_INSTALL_DIR -- Build files have been written to: /builddir/build/BUILD/abc-1.02-build/abc + make ABC_MAKE_VERBOSE=0 ABC_USE_STDINT_H=1 -j2 [ 0%] Building C object CMakeFiles/libabc.dir/src/base/abc/abcBarBuf.c.o [ 0%] Building C object CMakeFiles/libabc.dir/src/base/abc/abcAig.c.o [ 0%] Building C object CMakeFiles/libabc.dir/src/base/abc/abcBlifMv.c.o [ 0%] Building C object CMakeFiles/libabc.dir/src/base/abc/abcCheck.c.o [ 0%] Building C object CMakeFiles/libabc.dir/src/base/abc/abcDfs.c.o [ 0%] Building C object CMakeFiles/libabc.dir/src/base/abc/abcFanio.c.o [ 0%] Building C object CMakeFiles/libabc.dir/src/base/abc/abcFanOrder.c.o [ 0%] Building C object CMakeFiles/libabc.dir/src/base/abc/abcFunc.c.o [ 0%] Building C object CMakeFiles/libabc.dir/src/base/abc/abcHie.c.o [ 0%] Building C object CMakeFiles/libabc.dir/src/base/abc/abcHieCec.c.o [ 0%] Building C object CMakeFiles/libabc.dir/src/base/abc/abcHieGia.c.o [ 0%] Building C object CMakeFiles/libabc.dir/src/base/abc/abcHieNew.c.o [ 0%] Building C object CMakeFiles/libabc.dir/src/base/abc/abcLatch.c.o [ 0%] Building C object CMakeFiles/libabc.dir/src/base/abc/abcLib.c.o [ 0%] Building C object CMakeFiles/libabc.dir/src/base/abc/abcMinBase.c.o [ 0%] Building C object CMakeFiles/libabc.dir/src/base/abc/abcNames.c.o [ 0%] Building C object CMakeFiles/libabc.dir/src/base/abc/abcNetlist.c.o [ 0%] Building C object CMakeFiles/libabc.dir/src/base/abc/abcNtk.c.o [ 0%] Building C object CMakeFiles/libabc.dir/src/base/abc/abcObj.c.o [ 0%] Building C object CMakeFiles/libabc.dir/src/base/abc/abcRefs.c.o [ 2%] Building C object CMakeFiles/libabc.dir/src/base/abc/abcShow.c.o [ 2%] Building C object CMakeFiles/libabc.dir/src/base/abc/abcSop.c.o [ 2%] Building C object CMakeFiles/libabc.dir/src/base/abc/abcUtil.c.o [ 2%] Building C object CMakeFiles/libabc.dir/src/base/abci/abc.c.o [ 2%] Building C object CMakeFiles/libabc.dir/src/base/abci/abcAttach.c.o [ 2%] Building C object CMakeFiles/libabc.dir/src/base/abci/abcAuto.c.o [ 2%] Building C object CMakeFiles/libabc.dir/src/base/abci/abcBalance.c.o [ 2%] Building C object CMakeFiles/libabc.dir/src/base/abci/abcBidec.c.o [ 2%] Building C object CMakeFiles/libabc.dir/src/base/abci/abcBm.c.o [ 2%] Building C object CMakeFiles/libabc.dir/src/base/abci/abcBmc.c.o [ 2%] Building C object CMakeFiles/libabc.dir/src/base/abci/abcCas.c.o [ 2%] Building C object CMakeFiles/libabc.dir/src/base/abci/abcCascade.c.o [ 2%] Building C object CMakeFiles/libabc.dir/src/base/abci/abcCollapse.c.o [ 2%] Building C object CMakeFiles/libabc.dir/src/base/abci/abcCut.c.o [ 2%] Building C object CMakeFiles/libabc.dir/src/base/abci/abcDar.c.o [ 2%] Building C object CMakeFiles/libabc.dir/src/base/abci/abcDebug.c.o [ 2%] Building C object CMakeFiles/libabc.dir/src/base/abci/abcDec.c.o [ 2%] Building C object CMakeFiles/libabc.dir/src/base/abci/abcDetect.c.o [ 2%] Building C object CMakeFiles/libabc.dir/src/base/abci/abcDress.c.o [ 2%] Building C object CMakeFiles/libabc.dir/src/base/abci/abcDress2.c.o [ 2%] Building C object CMakeFiles/libabc.dir/src/base/abci/abcDress3.c.o [ 2%] Building C object CMakeFiles/libabc.dir/src/base/abci/abcDsd.c.o [ 4%] Building C object CMakeFiles/libabc.dir/src/base/abci/abcEco.c.o [ 4%] Building C object CMakeFiles/libabc.dir/src/base/abci/abcExact.c.o [ 4%] Building C object CMakeFiles/libabc.dir/src/base/abci/abcExtract.c.o [ 4%] Building C object CMakeFiles/libabc.dir/src/base/abci/abcFraig.c.o [ 4%] Building C object CMakeFiles/libabc.dir/src/base/abci/abcFx.c.o [ 4%] Building C object CMakeFiles/libabc.dir/src/base/abci/abcFxu.c.o [ 4%] Building C object CMakeFiles/libabc.dir/src/base/abci/abcGen.c.o [ 4%] Building C object CMakeFiles/libabc.dir/src/base/abci/abcHaig.c.o [ 4%] Building C object CMakeFiles/libabc.dir/src/base/abci/abcIf.c.o [ 4%] Building C object CMakeFiles/libabc.dir/src/base/abci/abcIfif.c.o [ 4%] Building C object CMakeFiles/libabc.dir/src/base/abci/abcIfMux.c.o [ 4%] Building C object CMakeFiles/libabc.dir/src/base/abci/abcIvy.c.o [ 4%] Building C object CMakeFiles/libabc.dir/src/base/abci/abcLog.c.o [ 4%] Building C object CMakeFiles/libabc.dir/src/base/abci/abcLut.c.o [ 4%] Building C object CMakeFiles/libabc.dir/src/base/abci/abcLutmin.c.o [ 4%] Building C object CMakeFiles/libabc.dir/src/base/abci/abcMap.c.o [ 4%] Building C object CMakeFiles/libabc.dir/src/base/abci/abcMerge.c.o [ 4%] Building C object CMakeFiles/libabc.dir/src/base/abci/abcMfs.c.o [ 4%] Building C object CMakeFiles/libabc.dir/src/base/abci/abcMini.c.o [ 4%] Building C object CMakeFiles/libabc.dir/src/base/abci/abcMiter.c.o [ 4%] Building C object CMakeFiles/libabc.dir/src/base/abci/abcMulti.c.o [ 4%] Building C object CMakeFiles/libabc.dir/src/base/abci/abcNtbdd.c.o [ 4%] Building C object CMakeFiles/libabc.dir/src/base/abci/abcNpn.c.o [ 6%] Building C object CMakeFiles/libabc.dir/src/base/abci/abcNpnSave.c.o [ 6%] Building C object CMakeFiles/libabc.dir/src/base/abci/abcOrchestration.c.o [ 6%] Building C object CMakeFiles/libabc.dir/src/base/abci/abcOdc.c.o [ 6%] Building C object CMakeFiles/libabc.dir/src/base/abci/abcOrder.c.o [ 6%] Building C object CMakeFiles/libabc.dir/src/base/abci/abcPart.c.o In function ‘Abc_ManResubDivs12’, inlined from ‘Abc_ManResubEval’ at /builddir/build/BUILD/abc-1.02-build/abc/src/base/abci/abcOrchestration.c:2406:20: /builddir/build/BUILD/abc-1.02-build/abc/src/base/abci/abcOrchestration.c:1739:20: warning: ‘w’ may be used uninitialized [-Wmaybe-uninitialized] 1739 | if ( w == p->nWords ) | ^ /builddir/build/BUILD/abc-1.02-build/abc/src/base/abci/abcOrchestration.c: In function ‘Abc_ManResubEval’: /builddir/build/BUILD/abc-1.02-build/abc/src/base/abci/abcOrchestration.c:1670:18: note: ‘w’ was declared here 1670 | int i, k, j, w, LevelMax; | ^ [ 6%] Building C object CMakeFiles/libabc.dir/src/base/abci/abcPrint.c.o [ 6%] Building C object CMakeFiles/libabc.dir/src/base/abci/abcProve.c.o [ 6%] Building C object CMakeFiles/libabc.dir/src/base/abci/abcQbf.c.o [ 6%] Building C object CMakeFiles/libabc.dir/src/base/abci/abcQuant.c.o [ 6%] Building C object CMakeFiles/libabc.dir/src/base/abci/abcRec3.c.o [ 6%] Building C object CMakeFiles/libabc.dir/src/base/abci/abcReconv.c.o [ 6%] Building C object CMakeFiles/libabc.dir/src/base/abci/abcReach.c.o [ 6%] Building C object CMakeFiles/libabc.dir/src/base/abci/abcRefactor.c.o [ 6%] Building C object CMakeFiles/libabc.dir/src/base/abci/abcRenode.c.o [ 6%] Building C object CMakeFiles/libabc.dir/src/base/abci/abcReorder.c.o [ 6%] Building C object CMakeFiles/libabc.dir/src/base/abci/abcRestruct.c.o [ 6%] Building C object CMakeFiles/libabc.dir/src/base/abci/abcResub.c.o [ 6%] Building C object CMakeFiles/libabc.dir/src/base/abci/abcRewrite.c.o In function ‘Abc_ManResubDivs12’, inlined from ‘Abc_ManResubEval’ at /builddir/build/BUILD/abc-1.02-build/abc/src/base/abci/abcResub.c:1988:20, inlined from ‘Abc_NtkResubstitute’ at /builddir/build/BUILD/abc-1.02-build/abc/src/base/abci/abcResub.c:213:18: /builddir/build/BUILD/abc-1.02-build/abc/src/base/abci/abcResub.c:1318:20: warning: ‘w’ may be used uninitialized [-Wmaybe-uninitialized] 1318 | if ( w == p->nWords ) | ^ /builddir/build/BUILD/abc-1.02-build/abc/src/base/abci/abcResub.c: In function ‘Abc_NtkResubstitute’: /builddir/build/BUILD/abc-1.02-build/abc/src/base/abci/abcResub.c:1249:18: note: ‘w’ was declared here 1249 | int i, k, j, w, LevelMax; | ^ [ 6%] Building C object CMakeFiles/libabc.dir/src/base/abci/abcRpo.c.o [ 6%] Building C object CMakeFiles/libabc.dir/src/base/abci/abcRr.c.o [ 6%] Building C object CMakeFiles/libabc.dir/src/base/abci/abcRunGen.c.o [ 6%] Building C object CMakeFiles/libabc.dir/src/base/abci/abcSat.c.o [ 8%] Building C object CMakeFiles/libabc.dir/src/base/abci/abcSaucy.c.o [ 8%] Building C object CMakeFiles/libabc.dir/src/base/abci/abcScorr.c.o [ 8%] Building C object CMakeFiles/libabc.dir/src/base/abci/abcSense.c.o [ 8%] Building C object CMakeFiles/libabc.dir/src/base/abci/abcSpeedup.c.o [ 8%] Building C object CMakeFiles/libabc.dir/src/base/abci/abcStrash.c.o [ 8%] Building C object CMakeFiles/libabc.dir/src/base/abci/abcSweep.c.o [ 8%] Building C object CMakeFiles/libabc.dir/src/base/abci/abcSymm.c.o [ 8%] Building C object CMakeFiles/libabc.dir/src/base/abci/abcTim.c.o [ 8%] Building C object CMakeFiles/libabc.dir/src/base/abci/abcTiming.c.o [ 8%] Building C object CMakeFiles/libabc.dir/src/base/abci/abcUnate.c.o [ 8%] Building C object CMakeFiles/libabc.dir/src/base/abci/abcUnreach.c.o [ 8%] Building C object CMakeFiles/libabc.dir/src/base/abci/abcVerify.c.o [ 8%] Building C object CMakeFiles/libabc.dir/src/base/abci/abcXsim.c.o [ 8%] Building C object CMakeFiles/libabc.dir/src/base/cmd/cmd.c.o [ 8%] Building C object CMakeFiles/libabc.dir/src/base/cmd/cmdAlias.c.o [ 8%] Building C object CMakeFiles/libabc.dir/src/base/cmd/cmdApi.c.o [ 8%] Building C object CMakeFiles/libabc.dir/src/base/cmd/cmdAuto.c.o [ 8%] Building C object CMakeFiles/libabc.dir/src/base/cmd/cmdFlag.c.o [ 8%] Building C object CMakeFiles/libabc.dir/src/base/cmd/cmdHist.c.o [ 8%] Building C object CMakeFiles/libabc.dir/src/base/cmd/cmdLoad.c.o [ 8%] Building C object CMakeFiles/libabc.dir/src/base/cmd/cmdPlugin.c.o [ 8%] Building C object CMakeFiles/libabc.dir/src/base/cmd/cmdStarter.c.o [ 8%] Building C object CMakeFiles/libabc.dir/src/base/cmd/cmdUtils.c.o [ 10%] Building C object CMakeFiles/libabc.dir/src/base/io/io.c.o [ 10%] Building C object CMakeFiles/libabc.dir/src/base/io/ioJson.c.o [ 10%] Building C object CMakeFiles/libabc.dir/src/base/io/ioReadAiger.c.o [ 10%] Building C object CMakeFiles/libabc.dir/src/base/io/ioReadBaf.c.o [ 10%] Building C object CMakeFiles/libabc.dir/src/base/io/ioReadBblif.c.o [ 10%] Building C object CMakeFiles/libabc.dir/src/base/io/ioReadBench.c.o [ 10%] Building C object CMakeFiles/libabc.dir/src/base/io/ioReadBlif.c.o [ 10%] Building C object CMakeFiles/libabc.dir/src/base/io/ioReadBlifAig.c.o [ 10%] Building C object CMakeFiles/libabc.dir/src/base/io/ioReadBlifMv.c.o [ 10%] Building C object CMakeFiles/libabc.dir/src/base/io/ioReadDsd.c.o [ 10%] Building C object CMakeFiles/libabc.dir/src/base/io/ioReadEdif.c.o [ 10%] Building C object CMakeFiles/libabc.dir/src/base/io/ioReadEqn.c.o [ 10%] Building C object CMakeFiles/libabc.dir/src/base/io/ioReadPla.c.o [ 10%] Building C object CMakeFiles/libabc.dir/src/base/io/ioReadPlaMo.c.o [ 10%] Building C object CMakeFiles/libabc.dir/src/base/io/ioReadVerilog.c.o [ 10%] Building C object CMakeFiles/libabc.dir/src/base/io/ioUtil.c.o [ 10%] Building C object CMakeFiles/libabc.dir/src/base/io/ioWriteAiger.c.o [ 10%] Building C object CMakeFiles/libabc.dir/src/base/io/ioWriteBaf.c.o [ 10%] Building C object CMakeFiles/libabc.dir/src/base/io/ioWriteBblif.c.o [ 10%] Building C object CMakeFiles/libabc.dir/src/base/io/ioWriteBench.c.o [ 10%] Building C object CMakeFiles/libabc.dir/src/base/io/ioWriteBlif.c.o [ 10%] Building C object CMakeFiles/libabc.dir/src/base/io/ioWriteBlifMv.c.o [ 12%] Building C object CMakeFiles/libabc.dir/src/base/io/ioWriteBook.c.o [ 12%] Building C object CMakeFiles/libabc.dir/src/base/io/ioWriteCnf.c.o [ 12%] Building C object CMakeFiles/libabc.dir/src/base/io/ioWriteDot.c.o [ 12%] Building C object CMakeFiles/libabc.dir/src/base/io/ioWriteEqn.c.o [ 12%] Building C object CMakeFiles/libabc.dir/src/base/io/ioWriteEdgelist.c.o [ 12%] Building C object CMakeFiles/libabc.dir/src/base/io/ioWriteGml.c.o [ 12%] Building C object CMakeFiles/libabc.dir/src/base/io/ioWriteList.c.o [ 12%] Building C object CMakeFiles/libabc.dir/src/base/io/ioWritePla.c.o [ 12%] Building C object CMakeFiles/libabc.dir/src/base/io/ioWriteVerilog.c.o [ 12%] Building C object CMakeFiles/libabc.dir/src/base/io/ioWriteSmv.c.o [ 12%] Building C object CMakeFiles/libabc.dir/src/base/main/mainFrame.c.o [ 12%] Building C object CMakeFiles/libabc.dir/src/base/main/mainInit.c.o [ 12%] Building C object CMakeFiles/libabc.dir/src/base/main/mainLib.c.o [ 12%] Building C object CMakeFiles/libabc.dir/src/base/main/mainReal.c.o [ 12%] Building C object CMakeFiles/libabc.dir/src/base/main/libSupport.c.o [ 12%] Building C object CMakeFiles/libabc.dir/src/base/main/mainUtils.c.o [ 12%] Building C object CMakeFiles/libabc.dir/src/base/exor/exor.c.o [ 12%] Building C object CMakeFiles/libabc.dir/src/base/exor/exorBits.c.o [ 12%] Building C object CMakeFiles/libabc.dir/src/base/exor/exorCubes.c.o [ 12%] Building C object CMakeFiles/libabc.dir/src/base/exor/exorLink.c.o [ 12%] Building C object CMakeFiles/libabc.dir/src/base/exor/exorList.c.o [ 12%] Building C object CMakeFiles/libabc.dir/src/base/exor/exorUtil.c.o [ 14%] Building C object CMakeFiles/libabc.dir/src/base/ver/verCore.c.o [ 14%] Building C object CMakeFiles/libabc.dir/src/base/ver/verFormula.c.o [ 14%] Building C object CMakeFiles/libabc.dir/src/base/ver/verParse.c.o [ 14%] Building C object CMakeFiles/libabc.dir/src/base/ver/verStream.c.o [ 14%] Building C object CMakeFiles/libabc.dir/src/base/wlc/wlcAbs.c.o [ 14%] Building C object CMakeFiles/libabc.dir/src/base/wlc/wlcAbs2.c.o [ 14%] Building C object CMakeFiles/libabc.dir/src/base/wlc/wlcAbc.c.o [ 14%] Building C object CMakeFiles/libabc.dir/src/base/wlc/wlcPth.c.o [ 14%] Building C object CMakeFiles/libabc.dir/src/base/wlc/wlcBlast.c.o [ 14%] Building C object CMakeFiles/libabc.dir/src/base/wlc/wlcCom.c.o [ 14%] Building C object CMakeFiles/libabc.dir/src/base/wlc/wlcGraft.c.o [ 14%] Building C object CMakeFiles/libabc.dir/src/base/wlc/wlcJson.c.o [ 14%] Building C object CMakeFiles/libabc.dir/src/base/wlc/wlcMem.c.o In file included from /builddir/build/BUILD/abc-1.02-build/abc/src/base/wlc/wlcMem.c:21: In function ‘Wlc_ObjId’, inlined from ‘Wlc_NtkAbsCreateFlopInputs’ at /builddir/build/BUILD/abc-1.02-build/abc/src/base/wlc/wlcMem.c:402:13: /builddir/build/BUILD/abc-1.02-build/abc/src/base/wlc/wlc.h:303:99: warning: ‘pFanin’ may be used uninitialized [-Wmaybe-uninitialized] 303 | static inline int Wlc_ObjId( Wlc_Ntk_t * p, Wlc_Obj_t * pObj ) { return pObj - p->pObjs; } | ^ /builddir/build/BUILD/abc-1.02-build/abc/src/base/wlc/wlcMem.c: In function ‘Wlc_NtkAbsCreateFlopInputs’: /builddir/build/BUILD/abc-1.02-build/abc/src/base/wlc/wlcMem.c:361:25: note: ‘pFanin’ was declared here 361 | Wlc_Obj_t * pObj, * pFanin, * pFlop, * pCond, * pMux, * pConst; | ^~~~~~ [ 14%] Building C object CMakeFiles/libabc.dir/src/base/wlc/wlcNdr.c.o [ 14%] Building C object CMakeFiles/libabc.dir/src/base/wlc/wlcNtk.c.o [ 14%] Building C object CMakeFiles/libabc.dir/src/base/wlc/wlcReadSmt.c.o In function ‘Smt_GetHexFromDecimalString’, inlined from ‘Smt_PrsBuildConstant’ at /builddir/build/BUILD/abc-1.02-build/abc/src/base/wlc/wlcReadSmt.c:600:27: /builddir/build/BUILD/abc-1.02-build/abc/src/base/wlc/wlcReadSmt.c:572:26: warning: ‘letter’ may be used uninitialized [-Wmaybe-uninitialized] 572 | hex[nBits/4-1-k] = letter; | ~~~~~~~~~~~~~~~~~^~~~~~~~ /builddir/build/BUILD/abc-1.02-build/abc/src/base/wlc/wlcReadSmt.c: In function ‘Smt_PrsBuildConstant’: /builddir/build/BUILD/abc-1.02-build/abc/src/base/wlc/wlcReadSmt.c:551:14: note: ‘letter’ was declared here 551 | char letter; | ^~~~~~ [ 14%] Building C object CMakeFiles/libabc.dir/src/base/wlc/wlcReadVer.c.o [ 14%] Building C object CMakeFiles/libabc.dir/src/base/wlc/wlcSim.c.o [ 14%] Building C object CMakeFiles/libabc.dir/src/base/wlc/wlcShow.c.o [ 14%] Building C object CMakeFiles/libabc.dir/src/base/wlc/wlcStdin.c.o [ 14%] Building C object CMakeFiles/libabc.dir/src/base/wlc/wlcUif.c.o [ 14%] Building C object CMakeFiles/libabc.dir/src/base/wlc/wlcWin.c.o [ 14%] Building C object CMakeFiles/libabc.dir/src/base/wlc/wlcWriteVer.c.o [ 16%] Building C object CMakeFiles/libabc.dir/src/base/wln/wln.c.o [ 16%] Building C object CMakeFiles/libabc.dir/src/base/wln/wlnBlast.c.o [ 16%] Building C object CMakeFiles/libabc.dir/src/base/wln/wlnCom.c.o [ 16%] Building C object CMakeFiles/libabc.dir/src/base/wln/wlnGuide.c.o [ 16%] Building C object CMakeFiles/libabc.dir/src/base/wln/wlnMem.c.o [ 16%] Building C object CMakeFiles/libabc.dir/src/base/wln/wlnNdr.c.o [ 16%] Building C object CMakeFiles/libabc.dir/src/base/wln/wlnNtk.c.o [ 16%] Building C object CMakeFiles/libabc.dir/src/base/wln/wlnObj.c.o [ 16%] Building C object CMakeFiles/libabc.dir/src/base/wln/wlnRead.c.o [ 16%] Building C object CMakeFiles/libabc.dir/src/base/wln/wlnRetime.c.o [ 16%] Building C object CMakeFiles/libabc.dir/src/base/wln/wlnRtl.c.o [ 16%] Building C object CMakeFiles/libabc.dir/src/base/wln/wlnWlc.c.o [ 16%] Building C object CMakeFiles/libabc.dir/src/base/wln/wlnWriteVer.c.o [ 16%] Building C object CMakeFiles/libabc.dir/src/base/acb/acbAbc.c.o [ 16%] Building C object CMakeFiles/libabc.dir/src/base/acb/acbAig.c.o [ 16%] Building C object CMakeFiles/libabc.dir/src/base/acb/acbCom.c.o [ 16%] Building C object CMakeFiles/libabc.dir/src/base/acb/acbFunc.c.o [ 16%] Building C object CMakeFiles/libabc.dir/src/base/acb/acbMfs.c.o /builddir/build/BUILD/abc-1.02-build/abc/src/base/acb/acbFunc.c: In function ‘Gia_FileSimpleParse_rec’: /builddir/build/BUILD/abc-1.02-build/abc/src/base/acb/acbFunc.c:427:14: warning: ‘pLits’ may be used uninitialized [-Wmaybe-uninitialized] 427 | iLit = pLits[0]; | ~~~~~^~~~~~~~~~ /builddir/build/BUILD/abc-1.02-build/abc/src/base/acb/acbFunc.c:403:29: note: ‘pLits’ declared here 403 | int nFanins, * pFanins, pLits[16]; | ^~~~~ [ 16%] Building C object CMakeFiles/libabc.dir/src/base/acb/acbPush.c.o /builddir/build/BUILD/abc-1.02-build/abc/src/base/acb/acbPush.c: In function ‘Acb_ObjPushToFanout’: /builddir/build/BUILD/abc-1.02-build/abc/src/base/acb/acbPush.c:47:69: warning: ‘Gate’ may be used uninitialized [-Wmaybe-uninitialized] 47 | word c0, uTruthObjNew = 0, uTruthObj = Acb_ObjTruth( p, iObj ), Gate; | ^~~~ [ 16%] Building C object CMakeFiles/libabc.dir/src/base/acb/acbSets.c.o [ 16%] Building C object CMakeFiles/libabc.dir/src/base/acb/acbTest.c.o [ 16%] Building C object CMakeFiles/libabc.dir/src/base/acb/acbUtil.c.o [ 18%] Building C object CMakeFiles/libabc.dir/src/base/bac/bacBlast.c.o [ 18%] Building C object CMakeFiles/libabc.dir/src/base/bac/bacBac.c.o [ 18%] Building C object CMakeFiles/libabc.dir/src/base/bac/bacCom.c.o [ 18%] Building C object CMakeFiles/libabc.dir/src/base/bac/bacLib.c.o [ 18%] Building C object CMakeFiles/libabc.dir/src/base/bac/bacNtk.c.o /builddir/build/BUILD/abc-1.02-build/abc/src/base/bac/bacCom.c: In function ‘Bac_CommandCec’: /builddir/build/BUILD/abc-1.02-build/abc/src/base/bac/bacCom.c:637:9: warning: ‘vDes’ may be used uninitialized [-Wmaybe-uninitialized] 637 | p = Psr_ManBuildCba( FileName, vDes ); | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ /builddir/build/BUILD/abc-1.02-build/abc/src/base/bac/bacCom.c:573:17: note: ‘vDes’ was declared here 573 | Vec_Ptr_t * vDes; | ^~~~ [ 18%] Building C object CMakeFiles/libabc.dir/src/base/bac/bacPrsBuild.c.o [ 18%] Building C object CMakeFiles/libabc.dir/src/base/bac/bacPrsTrans.c.o [ 18%] Building C object CMakeFiles/libabc.dir/src/base/bac/bacPtr.c.o [ 18%] Building C object CMakeFiles/libabc.dir/src/base/bac/bacPtrAbc.c.o [ 18%] Building C object CMakeFiles/libabc.dir/src/base/bac/bacReadBlif.c.o [ 18%] Building C object CMakeFiles/libabc.dir/src/base/bac/bacReadSmt.c.o [ 18%] Building C object CMakeFiles/libabc.dir/src/base/bac/bacReadVer.c.o [ 18%] Building C object CMakeFiles/libabc.dir/src/base/bac/bacWriteBlif.c.o [ 18%] Building C object CMakeFiles/libabc.dir/src/base/bac/bacWriteSmt.c.o [ 18%] Building C object CMakeFiles/libabc.dir/src/base/bac/bacWriteVer.c.o [ 18%] Building C object CMakeFiles/libabc.dir/src/base/cba/cbaBlast.c.o [ 18%] Building C object CMakeFiles/libabc.dir/src/base/cba/cbaCba.c.o [ 18%] Building C object CMakeFiles/libabc.dir/src/base/cba/cbaCom.c.o [ 18%] Building C object CMakeFiles/libabc.dir/src/base/cba/cbaNtk.c.o /builddir/build/BUILD/abc-1.02-build/abc/src/base/cba/cbaCom.c: In function ‘Cba_CommandCec’: /builddir/build/BUILD/abc-1.02-build/abc/src/base/cba/cbaCom.c:650:15: warning: ‘pTemp’ may be used uninitialized [-Wmaybe-uninitialized] 650 | pSecond = Cba_ManBlast( pTemp, 0, 0, 0 ); | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ /builddir/build/BUILD/abc-1.02-build/abc/src/base/cba/cbaCom.c:580:44: note: ‘pTemp’ was declared here 580 | Cba_Man_t * p = Cba_AbcGetMan(pAbc), * pTemp; | ^~~~~ [ 18%] Building C object CMakeFiles/libabc.dir/src/base/cba/cbaReadBlif.c.o [ 18%] Building C object CMakeFiles/libabc.dir/src/base/cba/cbaReadVer.c.o [ 18%] Building C object CMakeFiles/libabc.dir/src/base/cba/cbaWriteBlif.c.o [ 18%] Building C object CMakeFiles/libabc.dir/src/base/cba/cbaWriteVer.c.o /builddir/build/BUILD/abc-1.02-build/abc/src/base/cba/cbaReadVer.c: In function ‘Prs_CreateSignalOut’: /builddir/build/BUILD/abc-1.02-build/abc/src/base/cba/cbaReadVer.c:1572:19: warning: ‘RangeSize’ may be used uninitialized [-Wmaybe-uninitialized] 1572 | nBits += RangeSize; | ~~~~~~^~~~~~~~~~~~ /builddir/build/BUILD/abc-1.02-build/abc/src/base/cba/cbaReadVer.c:1506:57: note: ‘RangeSize’ was declared here 1506 | int i, iFonNew, NameOut, RangeOut, NameId, RangeId, RangeSize, nBits = 0; | ^~~~~~~~~ [ 20%] Building C object CMakeFiles/libabc.dir/src/base/pla/plaCom.c.o [ 20%] Building C object CMakeFiles/libabc.dir/src/base/pla/plaHash.c.o [ 20%] Building C object CMakeFiles/libabc.dir/src/base/pla/plaMan.c.o [ 20%] Building C object CMakeFiles/libabc.dir/src/base/pla/plaMerge.c.o [ 20%] Building C object CMakeFiles/libabc.dir/src/base/pla/plaSimple.c.o [ 20%] Building C object CMakeFiles/libabc.dir/src/base/pla/plaRead.c.o [ 20%] Building C object CMakeFiles/libabc.dir/src/base/pla/plaWrite.c.o [ 20%] Building C object CMakeFiles/libabc.dir/src/base/test/test.c.o [ 20%] Building C object CMakeFiles/libabc.dir/src/map/mapper/mapper.c.o [ 20%] Building C object CMakeFiles/libabc.dir/src/map/mapper/mapperCanon.c.o [ 20%] Building C object CMakeFiles/libabc.dir/src/map/mapper/mapperCore.c.o [ 20%] Building C object CMakeFiles/libabc.dir/src/map/mapper/mapperCreate.c.o [ 20%] Building C object CMakeFiles/libabc.dir/src/map/mapper/mapperCut.c.o [ 20%] Building C object CMakeFiles/libabc.dir/src/map/mapper/mapperCutUtils.c.o [ 20%] Building C object CMakeFiles/libabc.dir/src/map/mapper/mapperLib.c.o [ 20%] Building C object CMakeFiles/libabc.dir/src/map/mapper/mapperMatch.c.o [ 20%] Building C object CMakeFiles/libabc.dir/src/map/mapper/mapperRefs.c.o [ 20%] Building C object CMakeFiles/libabc.dir/src/map/mapper/mapperSuper.c.o [ 20%] Building C object CMakeFiles/libabc.dir/src/map/mapper/mapperSwitch.c.o [ 20%] Building C object CMakeFiles/libabc.dir/src/map/mapper/mapperTable.c.o [ 20%] Building C object CMakeFiles/libabc.dir/src/map/mapper/mapperTime.c.o [ 20%] Building C object CMakeFiles/libabc.dir/src/map/mapper/mapperTree.c.o [ 22%] Building C object CMakeFiles/libabc.dir/src/map/mapper/mapperTruth.c.o [ 22%] Building C object CMakeFiles/libabc.dir/src/map/mapper/mapperUtils.c.o [ 22%] Building C object CMakeFiles/libabc.dir/src/map/mapper/mapperVec.c.o [ 22%] Building C object CMakeFiles/libabc.dir/src/map/mio/mio.c.o [ 22%] Building C object CMakeFiles/libabc.dir/src/map/mio/mioApi.c.o [ 22%] Building C object CMakeFiles/libabc.dir/src/map/mio/mioFunc.c.o [ 22%] Building C object CMakeFiles/libabc.dir/src/map/mio/mioParse.c.o [ 22%] Building C object CMakeFiles/libabc.dir/src/map/mio/mioRead.c.o [ 22%] Building C object CMakeFiles/libabc.dir/src/map/mio/mioSop.c.o [ 22%] Building C object CMakeFiles/libabc.dir/src/map/mio/mioUtils.c.o [ 22%] Building C object CMakeFiles/libabc.dir/src/map/super/super.c.o [ 22%] Building C object CMakeFiles/libabc.dir/src/map/super/superAnd.c.o [ 22%] Building C object CMakeFiles/libabc.dir/src/map/super/superGate.c.o [ 22%] Building C object CMakeFiles/libabc.dir/src/map/if/ifCom.c.o [ 22%] Building C object CMakeFiles/libabc.dir/src/map/if/ifCache.c.o [ 22%] Building C object CMakeFiles/libabc.dir/src/map/if/ifCore.c.o [ 22%] Building C object CMakeFiles/libabc.dir/src/map/if/ifCut.c.o [ 22%] Building C object CMakeFiles/libabc.dir/src/map/if/ifData2.c.o [ 22%] Building C object CMakeFiles/libabc.dir/src/map/if/ifDec07.c.o [ 22%] Building C object CMakeFiles/libabc.dir/src/map/if/ifDec08.c.o [ 22%] Building C object CMakeFiles/libabc.dir/src/map/if/ifDec10.c.o [ 22%] Building C object CMakeFiles/libabc.dir/src/map/if/ifDec16.c.o [ 22%] Building C object CMakeFiles/libabc.dir/src/map/if/ifDec66.c.o [ 24%] Building C object CMakeFiles/libabc.dir/src/map/if/ifDec75.c.o [ 24%] Building C object CMakeFiles/libabc.dir/src/map/if/ifDelay.c.o [ 24%] Building C object CMakeFiles/libabc.dir/src/map/if/ifDsd.c.o [ 24%] Building C object CMakeFiles/libabc.dir/src/map/if/ifLibBox.c.o [ 24%] Building C object CMakeFiles/libabc.dir/src/map/if/ifLibLut.c.o [ 24%] Building C object CMakeFiles/libabc.dir/src/map/if/ifMan.c.o [ 24%] Building C object CMakeFiles/libabc.dir/src/map/if/ifMap.c.o [ 24%] Building C object CMakeFiles/libabc.dir/src/map/if/ifMatch2.c.o [ 24%] Building C object CMakeFiles/libabc.dir/src/map/if/ifReduce.c.o [ 24%] Building C object CMakeFiles/libabc.dir/src/map/if/ifSat.c.o [ 24%] Building C object CMakeFiles/libabc.dir/src/map/if/ifSelect.c.o [ 24%] Building C object CMakeFiles/libabc.dir/src/map/if/ifSeq.c.o [ 24%] Building C object CMakeFiles/libabc.dir/src/map/if/ifTest.c.o [ 24%] Building C object CMakeFiles/libabc.dir/src/map/if/ifTime.c.o [ 24%] Building C object CMakeFiles/libabc.dir/src/map/if/ifTruth.c.o [ 24%] Building C object CMakeFiles/libabc.dir/src/map/if/ifTune.c.o [ 24%] Building C object CMakeFiles/libabc.dir/src/map/if/ifUtil.c.o [ 24%] Building CXX object CMakeFiles/libabc.dir/src/map/if/acd/ac_wrapper.cpp.o [ 24%] Building C object CMakeFiles/libabc.dir/src/map/amap/amapCore.c.o [ 24%] Building C object CMakeFiles/libabc.dir/src/map/amap/amapGraph.c.o In file included from /builddir/build/BUILD/abc-1.02-build/abc/src/map/if/acd/ac_wrapper.cpp:20: /builddir/build/BUILD/abc-1.02-build/abc/src/map/if/acd/ac_decomposition.hpp: In member function ‘bool acd::ac_decomposition_impl::find_decomposition(unsigned int&, uint32_t)’: /builddir/build/BUILD/abc-1.02-build/abc/src/map/if/acd/ac_decomposition.hpp:232:25: warning: comparison of integer expressions of different signedness: ‘uint32_t’ {aka ‘unsigned int’} and ‘int’ [-Wsign-compare] 232 | if ( multiplicity <= ( 1 << ( ps.lut_size - i ) ) && multiplicity + additional_cost < best_cost && multiplicity <= 16 ) | ~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ /builddir/build/BUILD/abc-1.02-build/abc/src/map/if/acd/ac_decomposition.hpp:270:27: warning: comparison of integer expressions of different signedness: ‘uint32_t’ {aka ‘unsigned int’} and ‘int’ [-Wsign-compare] 270 | if ( multiplicity <= ( 1 << ( ps.lut_size - i ) ) && multiplicity + additional_cost < best_cost && multiplicity <= 16 ) | ~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ /builddir/build/BUILD/abc-1.02-build/abc/src/map/if/acd/ac_decomposition.hpp: In member function ‘std::array acd::ac_decomposition_impl::covering_solve_exact(std::vector&)’: /builddir/build/BUILD/abc-1.02-build/abc/src/map/if/acd/ac_decomposition.hpp:1155:148: warning: comparison of integer expressions of different signedness: ‘int’ and ‘uint32_t’ {aka ‘unsigned int’} [-Wsign-compare] 1155 | if ( __builtin_popcountl( matrix[i].column[0] | matrix[j].column[0] ) + __builtin_popcountl( matrix[i].column[1] | matrix[j].column[1] ) == combinations ) | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~ /builddir/build/BUILD/abc-1.02-build/abc/src/map/if/acd/ac_decomposition.hpp: In member function ‘std::array acd::ac_decomposition_impl::covering_solve_heuristic(std::vector&)’: /builddir/build/BUILD/abc-1.02-build/abc/src/map/if/acd/ac_decomposition.hpp:1194:115: warning: comparison of integer expressions of different signedness: ‘int’ and ‘uint32_t’ {aka ‘unsigned int’} [-Wsign-compare] 1194 | while ( iter < ps.lut_size - best_free_set && __builtin_popcountl( column0 ) + __builtin_popcountl( column1 ) != combinations ) | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~ /builddir/build/BUILD/abc-1.02-build/abc/src/map/if/acd/ac_decomposition.hpp:1215:74: warning: comparison of integer expressions of different signedness: ‘int’ and ‘uint32_t’ {aka ‘unsigned int’} [-Wsign-compare] 1215 | if ( __builtin_popcountl( column0 ) + __builtin_popcountl( column1 ) == combinations ) | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~ /builddir/build/BUILD/abc-1.02-build/abc/src/map/if/acd/ac_decomposition.hpp: In member function ‘bool acd::ac_decomposition_impl::covering_improve(const std::vector&, std::array&)’: /builddir/build/BUILD/abc-1.02-build/abc/src/map/if/acd/ac_decomposition.hpp:1260:120: warning: comparison of integer expressions of different signedness: ‘int’ and ‘uint32_t’ {aka ‘unsigned int’} [-Wsign-compare] 1260 | if ( __builtin_popcount( column0 | matrix[j].column[0] ) + __builtin_popcount( column1 | matrix[j].column[1] ) != combinations ) | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~ In file included from /builddir/build/BUILD/abc-1.02-build/abc/src/map/if/acd/ac_wrapper.cpp:21: /builddir/build/BUILD/abc-1.02-build/abc/src/map/if/acd/acd66.hpp: In member function ‘bool acd::acd66_impl::verify_impl()’: /builddir/build/BUILD/abc-1.02-build/abc/src/map/if/acd/acd66.hpp:1146:30: warning: comparison of integer expressions of different signedness: ‘uint32_t’ {aka ‘unsigned int’} and ‘int’ [-Wsign-compare] 1146 | for ( uint32_t i = 0u; i < ( 1 << num_vars ); ++i ) | ~~^~~~~~~~~~~~~~~~~~~ /builddir/build/BUILD/abc-1.02-build/abc/src/map/if/acd/acd66.hpp:1149:27: warning: comparison of integer expressions of different signedness: ‘int’ and ‘uint32_t’ {aka ‘unsigned int’} [-Wsign-compare] 1149 | for ( auto j = 0; j < bs_support_size; ++j ) | ~~^~~~~~~~~~~~~~~~~ /builddir/build/BUILD/abc-1.02-build/abc/src/map/if/acd/acd66.hpp:1161:30: warning: comparison of integer expressions of different signedness: ‘uint32_t’ {aka ‘unsigned int’} and ‘int’ [-Wsign-compare] 1161 | for ( uint32_t i = 0u; i < ( 1 << num_vars ); ++i ) | ~~^~~~~~~~~~~~~~~~~~~ /builddir/build/BUILD/abc-1.02-build/abc/src/map/if/acd/acd66.hpp:1164:27: warning: comparison of integer expressions of different signedness: ‘int’ and ‘uint32_t’ {aka ‘unsigned int’} [-Wsign-compare] 1164 | for ( auto j = 0; j < best_free_set; ++j ) | ~~^~~~~~~~~~~~~~~ /builddir/build/BUILD/abc-1.02-build/abc/src/map/if/acd/acd66.hpp:1182:29: warning: comparison of integer expressions of different signedness: ‘uint32_t’ {aka ‘unsigned int’} and ‘int’ [-Wsign-compare] 1182 | for ( uint32_t i = 0; i < ( 1 << ( num_vars - 6 ) ); ++i ) | ~~^~~~~~~~~~~~~~~~~~~~~~~~~~~ In file included from /builddir/build/BUILD/abc-1.02-build/abc/src/map/if/acd/ac_wrapper.cpp:22: /builddir/build/BUILD/abc-1.02-build/abc/src/map/if/acd/acdXX.hpp: In member function ‘uint32_t acd::acdXX_impl::column_multiplicity(const STT&, uint32_t)’: /builddir/build/BUILD/abc-1.02-build/abc/src/map/if/acd/acdXX.hpp:271:27: warning: comparison of integer expressions of different signedness: ‘int’ and ‘const uint32_t’ {aka ‘const unsigned int’} [-Wsign-compare] 271 | for ( auto j = 0; j < inner_loop_max; ++j ) | ~~^~~~~~~~~~~~~~~~ /builddir/build/BUILD/abc-1.02-build/abc/src/map/if/acd/acdXX.hpp: In member function ‘uint32_t acd::acdXX_impl::column_multiplicity2(const STT&, uint32_t, uint32_t)’: /builddir/build/BUILD/abc-1.02-build/abc/src/map/if/acd/acdXX.hpp:306:27: warning: comparison of integer expressions of different signedness: ‘int’ and ‘const uint32_t’ {aka ‘const unsigned int’} [-Wsign-compare] 306 | for ( auto j = 0; j < inner_loop_max; ++j ) | ~~^~~~~~~~~~~~~~~~ /builddir/build/BUILD/abc-1.02-build/abc/src/map/if/acd/acdXX.hpp: In member function ‘bool acd::acdXX_impl::check_shared_var(const STT&, uint32_t, uint32_t)’: /builddir/build/BUILD/abc-1.02-build/abc/src/map/if/acd/acdXX.hpp:718:27: warning: comparison of integer expressions of different signedness: ‘int’ and ‘const uint32_t’ {aka ‘const unsigned int’} [-Wsign-compare] 718 | for ( auto j = 0; j < inner_loop_max; ++j ) | ~~^~~~~~~~~~~~~~~~ /builddir/build/BUILD/abc-1.02-build/abc/src/map/if/acd/acdXX.hpp: In member function ‘bool acd::acdXX_impl::check_shared_var_combined(const STT&, uint32_t, uint32_t*, uint32_t)’: /builddir/build/BUILD/abc-1.02-build/abc/src/map/if/acd/acdXX.hpp:772:27: warning: comparison of integer expressions of different signedness: ‘int’ and ‘const uint32_t’ {aka ‘const unsigned int’} [-Wsign-compare] 772 | for ( auto j = 0; j < inner_loop_max; ++j ) | ~~^~~~~~~~~~~~~~~~ /builddir/build/BUILD/abc-1.02-build/abc/src/map/if/acd/acdXX.hpp: In member function ‘void acd::acdXX_impl::compute_decomposition_impl(bool)’: /builddir/build/BUILD/abc-1.02-build/abc/src/map/if/acd/acdXX.hpp:858:27: warning: comparison of integer expressions of different signedness: ‘int’ and ‘const uint32_t’ {aka ‘const unsigned int’} [-Wsign-compare] 858 | for ( auto j = 0; j < inner_loop_max; ++j ) | ~~^~~~~~~~~~~~~~~~ /builddir/build/BUILD/abc-1.02-build/abc/src/map/if/acd/acdXX.hpp: In member function ‘bool acd::acdXX_impl::verify_impl()’: /builddir/build/BUILD/abc-1.02-build/abc/src/map/if/acd/acdXX.hpp:1176:30: warning: comparison of integer expressions of different signedness: ‘uint32_t’ {aka ‘unsigned int’} and ‘int’ [-Wsign-compare] 1176 | for ( uint32_t i = 0u; i < ( 1 << num_vars ); ++i ) | ~~^~~~~~~~~~~~~~~~~~~ /builddir/build/BUILD/abc-1.02-build/abc/src/map/if/acd/acdXX.hpp:1179:27: warning: comparison of integer expressions of different signedness: ‘int’ and ‘uint32_t’ {aka ‘unsigned int’} [-Wsign-compare] 1179 | for ( auto j = 0; j < bs_support_size; ++j ) | ~~^~~~~~~~~~~~~~~~~ /builddir/build/BUILD/abc-1.02-build/abc/src/map/if/acd/acdXX.hpp:1191:30: warning: comparison of integer expressions of different signedness: ‘uint32_t’ {aka ‘unsigned int’} and ‘int’ [-Wsign-compare] 1191 | for ( uint32_t i = 0u; i < ( 1 << num_vars ); ++i ) | ~~^~~~~~~~~~~~~~~~~~~ /builddir/build/BUILD/abc-1.02-build/abc/src/map/if/acd/acdXX.hpp:1194:27: warning: comparison of integer expressions of different signedness: ‘int’ and ‘uint32_t’ {aka ‘unsigned int’} [-Wsign-compare] 1194 | for ( auto j = 0; j < best_free_set; ++j ) | ~~^~~~~~~~~~~~~~~ /builddir/build/BUILD/abc-1.02-build/abc/src/map/if/acd/acdXX.hpp:1213:29: warning: comparison of integer expressions of different signedness: ‘uint32_t’ {aka ‘unsigned int’} and ‘int’ [-Wsign-compare] 1213 | for ( uint32_t i = 0; i < ( 1 << ( num_vars > 6 ? num_vars - 6 : 1 ) ); ++i ) | ~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ /builddir/build/BUILD/abc-1.02-build/abc/src/map/if/acd/ac_wrapper.cpp: In function ‘int acdXX_decompose(word*, unsigned int, unsigned int, unsigned char*)’: /builddir/build/BUILD/abc-1.02-build/abc/src/map/if/acd/ac_wrapper.cpp:160:22: warning: comparison of integer expressions of different signedness: ‘int’ and ‘unsigned int’ [-Wsign-compare] 160 | for ( int i = 0; i <= lutSize - 2; ++i ) | ~~^~~~~~~~~~~~~~ /builddir/build/BUILD/abc-1.02-build/abc/src/map/if/acd/ac_decomposition.hpp: In instantiation of ‘std::tuple, std::array, unsigned int> acd::ac_decomposition_impl::enumerate_iset_combinations(uint32_t, uint32_t, Fn&&) [with Fn = std::function&)>&; uint32_t = unsigned int]’: /builddir/build/BUILD/abc-1.02-build/abc/src/map/if/acd/ac_decomposition.hpp:225:51: required from here 225 | auto ret_tuple = enumerate_iset_combinations( i, offset, column_multiplicity_fn[i - 1] ); | ~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ /builddir/build/BUILD/abc-1.02-build/abc/src/map/if/acd/ac_decomposition.hpp:516:20: warning: comparison of integer expressions of different signedness: ‘uint32_t’ {aka ‘unsigned int’} and ‘int’ [-Wsign-compare] 516 | if ( best_cost > ( 1 << ( ps.lut_size - free_set_size ) ) ) | ~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ [ 24%] Building C object CMakeFiles/libabc.dir/src/map/amap/amapLib.c.o [ 24%] Building C object CMakeFiles/libabc.dir/src/map/amap/amapLiberty.c.o [ 26%] Building C object CMakeFiles/libabc.dir/src/map/amap/amapMan.c.o [ 26%] Building C object CMakeFiles/libabc.dir/src/map/amap/amapMatch.c.o [ 26%] Building C object CMakeFiles/libabc.dir/src/map/amap/amapMerge.c.o In file included from /usr/include/c++/14/bits/hashtable_policy.h:34, from /usr/include/c++/14/bits/hashtable.h:35, from /usr/include/c++/14/bits/unordered_map.h:33, from /usr/include/c++/14/unordered_map:41, from /builddir/build/BUILD/abc-1.02-build/abc/src/map/if/acd/ac_decomposition.hpp:33: In member function ‘std::_Head_base<1ul, std::array, false>::_Head_base&>(std::array&)’, inlined from ‘std::_Tuple_impl<1ul, std::array, unsigned int>::_Tuple_impl&, unsigned int, void>(std::array&, unsigned int&&)’ at /usr/include/c++/14/tuple:317:38, inlined from ‘std::_Tuple_impl<0ul, kitty::static_truth_table<11u, false>, std::array, unsigned int>::_Tuple_impl&, std::array&, unsigned int, void>(kitty::static_truth_table<11u, false>&, std::array&, unsigned int&&)’ at /usr/include/c++/14/tuple:317:38, inlined from ‘std::tuple, std::array, unsigned int>::tuple&, std::array&, unsigned int, true, true>(kitty::static_truth_table<11u, false>&, std::array&, unsigned int&&)’ at /usr/include/c++/14/tuple:1489:54, inlined from ‘std::make_tuple&, std::array&, unsigned int>(kitty::static_truth_table<11u, false>&, std::array&, unsigned int&&)std::tuple&>::type>::__type, std::__strip_reference_wrapper&>::type>::__type, std::__strip_reference_wrapper::type>::__type>’ at /usr/include/c++/14/tuple:2642:62, inlined from ‘acd::ac_decomposition_impl::enumerate_iset_combinations2(unsigned int, unsigned int)’ at /builddir/build/BUILD/abc-1.02-build/abc/src/map/if/acd/ac_decomposition.hpp:564:65: /usr/include/c++/14/tuple:209:11: warning: ‘res_perm’ may be used uninitialized [-Wmaybe-uninitialized] 209 | : _M_head_impl(std::forward<_UHead>(__h)) { } | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ /builddir/build/BUILD/abc-1.02-build/abc/src/map/if/acd/ac_decomposition.hpp: In member function ‘acd::ac_decomposition_impl::enumerate_iset_combinations2(unsigned int, unsigned int)’: /builddir/build/BUILD/abc-1.02-build/abc/src/map/if/acd/ac_decomposition.hpp:547:40: note: ‘res_perm’ declared here 547 | std::array res_perm; | ^~~~~~~~ [ 26%] Building C object CMakeFiles/libabc.dir/src/map/amap/amapOutput.c.o In member function ‘std::_Head_base<1ul, std::array, false>::_Head_base&>(std::array&)’, inlined from ‘std::_Tuple_impl<1ul, std::array, unsigned int>::_Tuple_impl&, unsigned int, void>(std::array&, unsigned int&&)’ at /usr/include/c++/14/tuple:317:38, inlined from ‘std::_Tuple_impl<0ul, kitty::static_truth_table<11u, false>, std::array, unsigned int>::_Tuple_impl&, std::array&, unsigned int, void>(kitty::static_truth_table<11u, false>&, std::array&, unsigned int&&)’ at /usr/include/c++/14/tuple:317:38, inlined from ‘std::tuple, std::array, unsigned int>::tuple&, std::array&, unsigned int, true, true>(kitty::static_truth_table<11u, false>&, std::array&, unsigned int&&)’ at /usr/include/c++/14/tuple:1489:54, inlined from ‘std::make_tuple&, std::array&, unsigned int>(kitty::static_truth_table<11u, false>&, std::array&, unsigned int&&)std::tuple&>::type>::__type, std::__strip_reference_wrapper&>::type>::__type, std::__strip_reference_wrapper::type>::__type>’ at /usr/include/c++/14/tuple:2642:62, inlined from ‘acd::ac_decomposition_impl::enumerate_iset_combinations const&)>&>(unsigned int, unsigned int, std::function const&)>&)std::tuple, std::array, unsigned int>’ at /builddir/build/BUILD/abc-1.02-build/abc/src/map/if/acd/ac_decomposition.hpp:518:67: /usr/include/c++/14/tuple:209:11: warning: ‘res_perm’ may be used uninitialized [-Wmaybe-uninitialized] 209 | : _M_head_impl(std::forward<_UHead>(__h)) { } | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ /builddir/build/BUILD/abc-1.02-build/abc/src/map/if/acd/ac_decomposition.hpp: In member function ‘acd::ac_decomposition_impl::enumerate_iset_combinations const&)>&>(unsigned int, unsigned int, std::function const&)>&)std::tuple, std::array, unsigned int>’: /builddir/build/BUILD/abc-1.02-build/abc/src/map/if/acd/ac_decomposition.hpp:514:40: note: ‘res_perm’ declared here 514 | std::array res_perm; | ^~~~~~~~ [ 26%] Building C object CMakeFiles/libabc.dir/src/map/amap/amapParse.c.o [ 26%] Building C object CMakeFiles/libabc.dir/src/map/amap/amapPerm.c.o [ 26%] Building C object CMakeFiles/libabc.dir/src/map/amap/amapRead.c.o [ 26%] Building C object CMakeFiles/libabc.dir/src/map/amap/amapRule.c.o [ 26%] Building C object CMakeFiles/libabc.dir/src/map/amap/amapUniq.c.o [ 26%] Building C object CMakeFiles/libabc.dir/src/map/cov/covBuild.c.o /builddir/build/BUILD/abc-1.02-build/abc/src/map/cov/covBuild.c: In function ‘Abc_NtkCovDerive_rec’: /builddir/build/BUILD/abc-1.02-build/abc/src/map/cov/covBuild.c:474:9: warning: ‘pCover’ may be used uninitialized [-Wmaybe-uninitialized] 474 | Min_CoverCreate( vCover, pCover, (char)Type ); | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ /builddir/build/BUILD/abc-1.02-build/abc/src/map/cov/covBuild.c:399:18: note: ‘pCover’ was declared here 399 | Min_Cube_t * pCover, * pCovers[3]; | ^~~~~~ [ 26%] Building C object CMakeFiles/libabc.dir/src/map/cov/covCore.c.o [ 26%] Building C object CMakeFiles/libabc.dir/src/map/cov/covMan.c.o [ 26%] Building C object CMakeFiles/libabc.dir/src/map/cov/covMinEsop.c.o [ 26%] Building C object CMakeFiles/libabc.dir/src/map/cov/covMinMan.c.o [ 26%] Building C object CMakeFiles/libabc.dir/src/map/cov/covMinSop.c.o [ 26%] Building C object CMakeFiles/libabc.dir/src/map/cov/covMinUtil.c.o [ 26%] Building C object CMakeFiles/libabc.dir/src/map/scl/scl.c.o [ 26%] Building C object CMakeFiles/libabc.dir/src/map/scl/sclBuffer.c.o [ 26%] Building C object CMakeFiles/libabc.dir/src/map/scl/sclBufSize.c.o [ 26%] Building C object CMakeFiles/libabc.dir/src/map/scl/sclDnsize.c.o [ 26%] Building C object CMakeFiles/libabc.dir/src/map/scl/sclLiberty.c.o [ 26%] Building C object CMakeFiles/libabc.dir/src/map/scl/sclLibScl.c.o [ 28%] Building C object CMakeFiles/libabc.dir/src/map/scl/sclLibUtil.c.o [ 28%] Building C object CMakeFiles/libabc.dir/src/map/scl/sclLoad.c.o [ 28%] Building C object CMakeFiles/libabc.dir/src/map/scl/sclSize.c.o [ 28%] Building C object CMakeFiles/libabc.dir/src/map/scl/sclUpsize.c.o [ 28%] Building C object CMakeFiles/libabc.dir/src/map/scl/sclUtil.c.o [ 28%] Building C object CMakeFiles/libabc.dir/src/map/mpm/mpmAbc.c.o [ 28%] Building C object CMakeFiles/libabc.dir/src/map/mpm/mpmCore.c.o [ 28%] Building C object CMakeFiles/libabc.dir/src/map/mpm/mpmDsd.c.o [ 28%] Building C object CMakeFiles/libabc.dir/src/map/mpm/mpmGates.c.o [ 28%] Building C object CMakeFiles/libabc.dir/src/map/mpm/mpmLib.c.o [ 28%] Building C object CMakeFiles/libabc.dir/src/map/mpm/mpmMan.c.o [ 28%] Building C object CMakeFiles/libabc.dir/src/map/mpm/mpmMap.c.o [ 28%] Building C object CMakeFiles/libabc.dir/src/map/mpm/mpmMig.c.o [ 28%] Building C object CMakeFiles/libabc.dir/src/map/mpm/mpmPre.c.o [ 28%] Building C object CMakeFiles/libabc.dir/src/map/mpm/mpmTruth.c.o [ 28%] Building C object CMakeFiles/libabc.dir/src/map/mpm/mpmUtil.c.o [ 28%] Building C object CMakeFiles/libabc.dir/src/misc/extra/extraUtilBitMatrix.c.o [ 28%] Building C object CMakeFiles/libabc.dir/src/misc/extra/extraUtilCanon.c.o [ 28%] Building C object CMakeFiles/libabc.dir/src/misc/extra/extraUtilCfs.c.o [ 28%] Building C object CMakeFiles/libabc.dir/src/misc/extra/extraUtilCube.c.o [ 28%] Building C object CMakeFiles/libabc.dir/src/misc/extra/extraUtilDsd.c.o [ 28%] Building C object CMakeFiles/libabc.dir/src/misc/extra/extraUtilEnum.c.o [ 28%] Building C object CMakeFiles/libabc.dir/src/misc/extra/extraUtilFile.c.o [ 30%] Building C object CMakeFiles/libabc.dir/src/misc/extra/extraUtilGen.c.o [ 30%] Building C object CMakeFiles/libabc.dir/src/misc/extra/extraUtilMacc.c.o [ 30%] Building C object CMakeFiles/libabc.dir/src/misc/extra/extraUtilMaj.c.o [ 30%] Building C object CMakeFiles/libabc.dir/src/misc/extra/extraUtilMemory.c.o [ 30%] Building C object CMakeFiles/libabc.dir/src/misc/extra/extraUtilMisc.c.o [ 30%] Building C object CMakeFiles/libabc.dir/src/misc/extra/extraUtilMult.c.o [ 30%] Building C object CMakeFiles/libabc.dir/src/misc/extra/extraUtilPath.c.o [ 30%] Building C object CMakeFiles/libabc.dir/src/misc/extra/extraUtilPerm.c.o [ 30%] Building C object CMakeFiles/libabc.dir/src/misc/extra/extraUtilProgress.c.o [ 30%] Building C object CMakeFiles/libabc.dir/src/misc/extra/extraUtilReader.c.o [ 30%] Building C object CMakeFiles/libabc.dir/src/misc/extra/extraUtilSupp.c.o [ 30%] Building C object CMakeFiles/libabc.dir/src/misc/extra/extraUtilTruth.c.o [ 30%] Building C object CMakeFiles/libabc.dir/src/misc/extra/extraUtilUtil.c.o [ 30%] Building C object CMakeFiles/libabc.dir/src/misc/mvc/mvcApi.c.o [ 30%] Building C object CMakeFiles/libabc.dir/src/misc/mvc/mvcCompare.c.o [ 30%] Building C object CMakeFiles/libabc.dir/src/misc/mvc/mvcContain.c.o [ 30%] Building C object CMakeFiles/libabc.dir/src/misc/mvc/mvcCover.c.o [ 30%] Building C object CMakeFiles/libabc.dir/src/misc/mvc/mvcCube.c.o [ 30%] Building C object CMakeFiles/libabc.dir/src/misc/mvc/mvcDivide.c.o [ 30%] Building C object CMakeFiles/libabc.dir/src/misc/mvc/mvcDivisor.c.o [ 30%] Building C object CMakeFiles/libabc.dir/src/misc/mvc/mvcList.c.o [ 30%] Building C object CMakeFiles/libabc.dir/src/misc/mvc/mvcLits.c.o [ 32%] Building C object CMakeFiles/libabc.dir/src/misc/mvc/mvcMan.c.o [ 32%] Building C object CMakeFiles/libabc.dir/src/misc/mvc/mvcOpAlg.c.o [ 32%] Building C object CMakeFiles/libabc.dir/src/misc/mvc/mvcOpBool.c.o [ 32%] Building C object CMakeFiles/libabc.dir/src/misc/mvc/mvcPrint.c.o [ 32%] Building C object CMakeFiles/libabc.dir/src/misc/mvc/mvcSort.c.o [ 32%] Building C object CMakeFiles/libabc.dir/src/misc/mvc/mvcUtils.c.o [ 32%] Building C object CMakeFiles/libabc.dir/src/misc/st/st.c.o [ 32%] Building C object CMakeFiles/libabc.dir/src/misc/st/stmm.c.o [ 32%] Building C object CMakeFiles/libabc.dir/src/misc/util/utilBridge.c.o [ 32%] Building C object CMakeFiles/libabc.dir/src/misc/util/utilCex.c.o [ 32%] Building C object CMakeFiles/libabc.dir/src/misc/util/utilColor.c.o [ 32%] Building C object CMakeFiles/libabc.dir/src/misc/util/utilFile.c.o [ 32%] Building C object CMakeFiles/libabc.dir/src/misc/util/utilIsop.c.o [ 32%] Building C object CMakeFiles/libabc.dir/src/misc/util/utilNam.c.o [ 32%] Building C object CMakeFiles/libabc.dir/src/misc/util/utilPth.c.o [ 32%] Building C object CMakeFiles/libabc.dir/src/misc/util/utilSignal.c.o [ 32%] Building C object CMakeFiles/libabc.dir/src/misc/util/utilSort.c.o [ 32%] Building C object CMakeFiles/libabc.dir/src/misc/nm/nmApi.c.o [ 32%] Building C object CMakeFiles/libabc.dir/src/misc/nm/nmTable.c.o [ 32%] Building C object CMakeFiles/libabc.dir/src/misc/tim/timBox.c.o [ 32%] Building C object CMakeFiles/libabc.dir/src/misc/tim/timDump.c.o [ 32%] Building C object CMakeFiles/libabc.dir/src/misc/tim/timMan.c.o [ 32%] Building C object CMakeFiles/libabc.dir/src/misc/tim/timTime.c.o [ 34%] Building C object CMakeFiles/libabc.dir/src/misc/tim/timTrav.c.o [ 34%] Building C object CMakeFiles/libabc.dir/src/misc/mem/mem.c.o [ 34%] Building C object CMakeFiles/libabc.dir/src/misc/bar/bar.c.o [ 34%] Building C object CMakeFiles/libabc.dir/src/misc/bbl/bblif.c.o [ 34%] Building C object CMakeFiles/libabc.dir/src/misc/parse/parseEqn.c.o [ 34%] Building C object CMakeFiles/libabc.dir/src/misc/parse/parseStack.c.o [ 34%] Building C object CMakeFiles/libabc.dir/src/opt/cut/cutApi.c.o [ 34%] Building C object CMakeFiles/libabc.dir/src/opt/cut/cutCut.c.o [ 34%] Building C object CMakeFiles/libabc.dir/src/opt/cut/cutMan.c.o [ 34%] Building C object CMakeFiles/libabc.dir/src/opt/cut/cutMerge.c.o [ 34%] Building C object CMakeFiles/libabc.dir/src/opt/cut/cutNode.c.o [ 34%] Building C object CMakeFiles/libabc.dir/src/opt/cut/cutOracle.c.o [ 34%] Building C object CMakeFiles/libabc.dir/src/opt/cut/cutPre22.c.o [ 34%] Building C object CMakeFiles/libabc.dir/src/opt/cut/cutSeq.c.o [ 34%] Building C object CMakeFiles/libabc.dir/src/opt/cut/cutTruth.c.o [ 34%] Building C object CMakeFiles/libabc.dir/src/opt/fxu/fxu.c.o [ 34%] Building C object CMakeFiles/libabc.dir/src/opt/fxu/fxuCreate.c.o [ 34%] Building C object CMakeFiles/libabc.dir/src/opt/fxu/fxuHeapD.c.o [ 34%] Building C object CMakeFiles/libabc.dir/src/opt/fxu/fxuHeapS.c.o [ 34%] Building C object CMakeFiles/libabc.dir/src/opt/fxu/fxuList.c.o [ 34%] Building C object CMakeFiles/libabc.dir/src/opt/fxu/fxuMatrix.c.o [ 34%] Building C object CMakeFiles/libabc.dir/src/opt/fxu/fxuPair.c.o [ 36%] Building C object CMakeFiles/libabc.dir/src/opt/fxu/fxuPrint.c.o [ 36%] Building C object CMakeFiles/libabc.dir/src/opt/fxu/fxuReduce.c.o [ 36%] Building C object CMakeFiles/libabc.dir/src/opt/fxu/fxuSelect.c.o [ 36%] Building C object CMakeFiles/libabc.dir/src/opt/fxu/fxuSingle.c.o [ 36%] Building C object CMakeFiles/libabc.dir/src/opt/fxu/fxuUpdate.c.o [ 36%] Building C object CMakeFiles/libabc.dir/src/opt/fxch/Fxch.c.o [ 36%] Building C object CMakeFiles/libabc.dir/src/opt/fxch/FxchDiv.c.o [ 36%] Building C object CMakeFiles/libabc.dir/src/opt/fxch/FxchMan.c.o [ 36%] Building C object CMakeFiles/libabc.dir/src/opt/fxch/FxchSCHashTable.c.o [ 36%] Building C object CMakeFiles/libabc.dir/src/opt/rwr/rwrDec.c.o [ 36%] Building C object CMakeFiles/libabc.dir/src/opt/rwr/rwrEva.c.o [ 36%] Building C object CMakeFiles/libabc.dir/src/opt/rwr/rwrExp.c.o [ 36%] Building C object CMakeFiles/libabc.dir/src/opt/rwr/rwrLib.c.o [ 36%] Building C object CMakeFiles/libabc.dir/src/opt/rwr/rwrMan.c.o [ 36%] Building C object CMakeFiles/libabc.dir/src/opt/rwr/rwrPrint.c.o [ 36%] Building C object CMakeFiles/libabc.dir/src/opt/rwr/rwrUtil.c.o [ 36%] Building C object CMakeFiles/libabc.dir/src/opt/mfs/mfsCore.c.o [ 36%] Building C object CMakeFiles/libabc.dir/src/opt/mfs/mfsDiv.c.o [ 36%] Building C object CMakeFiles/libabc.dir/src/opt/mfs/mfsInter.c.o [ 36%] Building C object CMakeFiles/libabc.dir/src/opt/mfs/mfsMan.c.o [ 36%] Building C object CMakeFiles/libabc.dir/src/opt/mfs/mfsResub.c.o [ 36%] Building C object CMakeFiles/libabc.dir/src/opt/mfs/mfsSat.c.o [ 38%] Building C object CMakeFiles/libabc.dir/src/opt/mfs/mfsStrash.c.o [ 38%] Building C object CMakeFiles/libabc.dir/src/opt/mfs/mfsWin.c.o [ 38%] Building C object CMakeFiles/libabc.dir/src/opt/sim/simMan.c.o [ 38%] Building C object CMakeFiles/libabc.dir/src/opt/sim/simSeq.c.o [ 38%] Building C object CMakeFiles/libabc.dir/src/opt/sim/simSupp.c.o [ 38%] Building C object CMakeFiles/libabc.dir/src/opt/sim/simSwitch.c.o [ 38%] Building C object CMakeFiles/libabc.dir/src/opt/sim/simSym.c.o [ 38%] Building C object CMakeFiles/libabc.dir/src/opt/sim/simSymSat.c.o [ 38%] Building C object CMakeFiles/libabc.dir/src/opt/sim/simSymSim.c.o [ 38%] Building C object CMakeFiles/libabc.dir/src/opt/sim/simSymStr.c.o [ 38%] Building C object CMakeFiles/libabc.dir/src/opt/sim/simUtils.c.o [ 38%] Building C object CMakeFiles/libabc.dir/src/opt/ret/retArea.c.o [ 38%] Building C object CMakeFiles/libabc.dir/src/opt/ret/retCore.c.o [ 38%] Building C object CMakeFiles/libabc.dir/src/opt/ret/retDelay.c.o [ 38%] Building C object CMakeFiles/libabc.dir/src/opt/ret/retFlow.c.o [ 38%] Building C object CMakeFiles/libabc.dir/src/opt/ret/retIncrem.c.o [ 38%] Building C object CMakeFiles/libabc.dir/src/opt/ret/retInit.c.o [ 38%] Building C object CMakeFiles/libabc.dir/src/opt/ret/retLvalue.c.o [ 38%] Building C object CMakeFiles/libabc.dir/src/opt/fret/fretMain.c.o [ 38%] Building C object CMakeFiles/libabc.dir/src/opt/fret/fretFlow.c.o [ 38%] Building C object CMakeFiles/libabc.dir/src/opt/fret/fretInit.c.o [ 38%] Building C object CMakeFiles/libabc.dir/src/opt/fret/fretTime.c.o [ 38%] Building C object CMakeFiles/libabc.dir/src/opt/res/resCore.c.o [ 40%] Building C object CMakeFiles/libabc.dir/src/opt/res/resDivs.c.o [ 40%] Building C object CMakeFiles/libabc.dir/src/opt/res/resFilter.c.o [ 40%] Building C object CMakeFiles/libabc.dir/src/opt/res/resSat.c.o [ 40%] Building C object CMakeFiles/libabc.dir/src/opt/res/resSim.c.o [ 40%] Building C object CMakeFiles/libabc.dir/src/opt/res/resStrash.c.o [ 40%] Building C object CMakeFiles/libabc.dir/src/opt/res/resWin.c.o [ 40%] Building C object CMakeFiles/libabc.dir/src/opt/lpk/lpkCore.c.o [ 40%] Building C object CMakeFiles/libabc.dir/src/opt/lpk/lpkAbcDec.c.o [ 40%] Building C object CMakeFiles/libabc.dir/src/opt/lpk/lpkAbcMux.c.o [ 40%] Building C object CMakeFiles/libabc.dir/src/opt/lpk/lpkAbcDsd.c.o [ 40%] Building C object CMakeFiles/libabc.dir/src/opt/lpk/lpkAbcUtil.c.o [ 40%] Building C object CMakeFiles/libabc.dir/src/opt/lpk/lpkCut.c.o [ 40%] Building C object CMakeFiles/libabc.dir/src/opt/lpk/lpkMan.c.o [ 40%] Building C object CMakeFiles/libabc.dir/src/opt/lpk/lpkMap.c.o [ 40%] Building C object CMakeFiles/libabc.dir/src/opt/lpk/lpkMulti.c.o [ 40%] Building C object CMakeFiles/libabc.dir/src/opt/lpk/lpkMux.c.o [ 40%] Building C object CMakeFiles/libabc.dir/src/opt/lpk/lpkSets.c.o [ 40%] Building C object CMakeFiles/libabc.dir/src/opt/nwk/nwkAig.c.o [ 40%] Building C object CMakeFiles/libabc.dir/src/opt/nwk/nwkCheck.c.o [ 40%] Building C object CMakeFiles/libabc.dir/src/opt/nwk/nwkBidec.c.o [ 40%] Building C object CMakeFiles/libabc.dir/src/opt/nwk/nwkDfs.c.o [ 40%] Building C object CMakeFiles/libabc.dir/src/opt/nwk/nwkFanio.c.o [ 42%] Building C object CMakeFiles/libabc.dir/src/opt/nwk/nwkFlow.c.o [ 42%] Building C object CMakeFiles/libabc.dir/src/opt/nwk/nwkMan.c.o [ 42%] Building C object CMakeFiles/libabc.dir/src/opt/nwk/nwkMap.c.o [ 42%] Building C object CMakeFiles/libabc.dir/src/opt/nwk/nwkMerge.c.o [ 42%] Building C object CMakeFiles/libabc.dir/src/opt/nwk/nwkObj.c.o [ 42%] Building C object CMakeFiles/libabc.dir/src/opt/nwk/nwkSpeedup.c.o [ 42%] Building C object CMakeFiles/libabc.dir/src/opt/nwk/nwkStrash.c.o [ 42%] Building C object CMakeFiles/libabc.dir/src/opt/nwk/nwkTiming.c.o [ 42%] Building C object CMakeFiles/libabc.dir/src/opt/nwk/nwkUtil.c.o [ 42%] Building C object CMakeFiles/libabc.dir/src/opt/rwt/rwtDec.c.o [ 42%] Building C object CMakeFiles/libabc.dir/src/opt/rwt/rwtMan.c.o [ 42%] Building C object CMakeFiles/libabc.dir/src/opt/rwt/rwtUtil.c.o [ 42%] Building C object CMakeFiles/libabc.dir/src/opt/cgt/cgtAig.c.o [ 42%] Building C object CMakeFiles/libabc.dir/src/opt/cgt/cgtCore.c.o [ 42%] Building C object CMakeFiles/libabc.dir/src/opt/cgt/cgtDecide.c.o [ 42%] Building C object CMakeFiles/libabc.dir/src/opt/cgt/cgtMan.c.o [ 42%] Building C object CMakeFiles/libabc.dir/src/opt/cgt/cgtSat.c.o [ 42%] Building C object CMakeFiles/libabc.dir/src/opt/csw/cswCore.c.o [ 42%] Building C object CMakeFiles/libabc.dir/src/opt/csw/cswCut.c.o [ 42%] Building C object CMakeFiles/libabc.dir/src/opt/csw/cswMan.c.o [ 42%] Building C object CMakeFiles/libabc.dir/src/opt/csw/cswTable.c.o [ 42%] Building C object CMakeFiles/libabc.dir/src/opt/dar/darBalance.c.o [ 42%] Building C object CMakeFiles/libabc.dir/src/opt/dar/darCore.c.o [ 44%] Building C object CMakeFiles/libabc.dir/src/opt/dar/darCut.c.o [ 44%] Building C object CMakeFiles/libabc.dir/src/opt/dar/darData.c.o [ 44%] Building C object CMakeFiles/libabc.dir/src/opt/dar/darLib.c.o [ 44%] Building C object CMakeFiles/libabc.dir/src/opt/dar/darMan.c.o [ 44%] Building C object CMakeFiles/libabc.dir/src/opt/dar/darPrec.c.o [ 44%] Building C object CMakeFiles/libabc.dir/src/opt/dar/darRefact.c.o [ 44%] Building C object CMakeFiles/libabc.dir/src/opt/dar/darScript.c.o [ 44%] Building C object CMakeFiles/libabc.dir/src/opt/dau/dauCanon.c.o [ 44%] Building C object CMakeFiles/libabc.dir/src/opt/dau/dauCore.c.o [ 44%] Building C object CMakeFiles/libabc.dir/src/opt/dau/dauCount.c.o [ 44%] Building C object CMakeFiles/libabc.dir/src/opt/dau/dauDivs.c.o [ 44%] Building C object CMakeFiles/libabc.dir/src/opt/dau/dauDsd.c.o [ 44%] Building C object CMakeFiles/libabc.dir/src/opt/dau/dauEnum.c.o [ 44%] Building C object CMakeFiles/libabc.dir/src/opt/dau/dauGia.c.o [ 44%] Building C object CMakeFiles/libabc.dir/src/opt/dau/dauMerge.c.o [ 44%] Building C object CMakeFiles/libabc.dir/src/opt/dau/dauNonDsd.c.o [ 44%] Building C object CMakeFiles/libabc.dir/src/opt/dau/dauNpn.c.o [ 44%] Building C object CMakeFiles/libabc.dir/src/opt/dau/dauNpn2.c.o [ 44%] Building C object CMakeFiles/libabc.dir/src/opt/dau/dauTree.c.o [ 44%] Building C object CMakeFiles/libabc.dir/src/opt/dsc/dsc.c.o [ 44%] Building C object CMakeFiles/libabc.dir/src/opt/sfm/sfmArea.c.o [ 44%] Building C object CMakeFiles/libabc.dir/src/opt/sfm/sfmCnf.c.o [ 46%] Building C object CMakeFiles/libabc.dir/src/opt/sfm/sfmCore.c.o [ 46%] Building C object CMakeFiles/libabc.dir/src/opt/sfm/sfmDec.c.o [ 46%] Building C object CMakeFiles/libabc.dir/src/opt/sfm/sfmLib.c.o [ 46%] Building C object CMakeFiles/libabc.dir/src/opt/sfm/sfmNtk.c.o [ 46%] Building C object CMakeFiles/libabc.dir/src/opt/sfm/sfmSat.c.o [ 46%] Building C object CMakeFiles/libabc.dir/src/opt/sfm/sfmTim.c.o [ 46%] Building C object CMakeFiles/libabc.dir/src/opt/sfm/sfmMit.c.o [ 46%] Building C object CMakeFiles/libabc.dir/src/opt/sfm/sfmWin.c.o [ 46%] Building C object CMakeFiles/libabc.dir/src/opt/sbd/sbd.c.o [ 46%] Building C object CMakeFiles/libabc.dir/src/opt/sbd/sbdCnf.c.o [ 46%] Building C object CMakeFiles/libabc.dir/src/opt/sbd/sbdCore.c.o [ 46%] Building C object CMakeFiles/libabc.dir/src/opt/sbd/sbdCut.c.o [ 46%] Building C object CMakeFiles/libabc.dir/src/opt/sbd/sbdCut2.c.o [ 46%] Building C object CMakeFiles/libabc.dir/src/opt/sbd/sbdLut.c.o [ 46%] Building C object CMakeFiles/libabc.dir/src/opt/sbd/sbdPath.c.o [ 46%] Building C object CMakeFiles/libabc.dir/src/opt/sbd/sbdSat.c.o [ 46%] Building C object CMakeFiles/libabc.dir/src/opt/sbd/sbdWin.c.o [ 46%] Building C object CMakeFiles/libabc.dir/src/sat/bsat/satMem.c.o [ 46%] Building C object CMakeFiles/libabc.dir/src/sat/bsat/satInter.c.o [ 46%] Building C object CMakeFiles/libabc.dir/src/sat/bsat/satInterA.c.o [ 46%] Building C object CMakeFiles/libabc.dir/src/sat/bsat/satInterB.c.o [ 46%] Building C object CMakeFiles/libabc.dir/src/sat/bsat/satInterP.c.o [ 46%] Building C object CMakeFiles/libabc.dir/src/sat/bsat/satProof.c.o [ 48%] Building C object CMakeFiles/libabc.dir/src/sat/bsat/satSolver.c.o [ 48%] Building C object CMakeFiles/libabc.dir/src/sat/bsat/satSolver2.c.o [ 48%] Building C object CMakeFiles/libabc.dir/src/sat/bsat/satSolver2i.c.o [ 48%] Building C object CMakeFiles/libabc.dir/src/sat/bsat/satSolver3.c.o [ 48%] Building C object CMakeFiles/libabc.dir/src/sat/bsat/satStore.c.o [ 48%] Building C object CMakeFiles/libabc.dir/src/sat/bsat/satTrace.c.o [ 48%] Building C object CMakeFiles/libabc.dir/src/sat/bsat/satTruth.c.o [ 48%] Building C object CMakeFiles/libabc.dir/src/sat/bsat/satUtil.c.o [ 48%] Building C object CMakeFiles/libabc.dir/src/sat/xsat/xsatSolver.c.o [ 48%] Building C object CMakeFiles/libabc.dir/src/sat/xsat/xsatSolverAPI.c.o [ 48%] Building C object CMakeFiles/libabc.dir/src/sat/xsat/xsatCnfReader.c.o [ 48%] Building C object CMakeFiles/libabc.dir/src/sat/satoko/solver.c.o [ 48%] Building C object CMakeFiles/libabc.dir/src/sat/satoko/solver_api.c.o [ 48%] Building C object CMakeFiles/libabc.dir/src/sat/satoko/cnf_reader.c.o [ 48%] Building C object CMakeFiles/libabc.dir/src/sat/csat/csat_apis.c.o [ 48%] Building C object CMakeFiles/libabc.dir/src/sat/msat/msatActivity.c.o [ 48%] Building C object CMakeFiles/libabc.dir/src/sat/msat/msatClause.c.o [ 48%] Building C object CMakeFiles/libabc.dir/src/sat/msat/msatClauseVec.c.o [ 48%] Building C object CMakeFiles/libabc.dir/src/sat/msat/msatMem.c.o [ 48%] Building C object CMakeFiles/libabc.dir/src/sat/msat/msatOrderH.c.o [ 48%] Building C object CMakeFiles/libabc.dir/src/sat/msat/msatQueue.c.o [ 48%] Building C object CMakeFiles/libabc.dir/src/sat/msat/msatRead.c.o [ 50%] Building C object CMakeFiles/libabc.dir/src/sat/msat/msatSolverApi.c.o [ 50%] Building C object CMakeFiles/libabc.dir/src/sat/msat/msatSolverCore.c.o [ 50%] Building C object CMakeFiles/libabc.dir/src/sat/msat/msatSolverIo.c.o [ 50%] Building C object CMakeFiles/libabc.dir/src/sat/msat/msatSolverSearch.c.o [ 50%] Building C object CMakeFiles/libabc.dir/src/sat/msat/msatSort.c.o [ 50%] Building C object CMakeFiles/libabc.dir/src/sat/msat/msatVec.c.o [ 50%] Building C object CMakeFiles/libabc.dir/src/sat/cnf/cnfCore.c.o [ 50%] Building C object CMakeFiles/libabc.dir/src/sat/cnf/cnfCut.c.o [ 50%] Building C object CMakeFiles/libabc.dir/src/sat/cnf/cnfData.c.o [ 50%] Building C object CMakeFiles/libabc.dir/src/sat/cnf/cnfFast.c.o [ 50%] Building C object CMakeFiles/libabc.dir/src/sat/cnf/cnfMan.c.o [ 50%] Building C object CMakeFiles/libabc.dir/src/sat/cnf/cnfMap.c.o [ 50%] Building C object CMakeFiles/libabc.dir/src/sat/cnf/cnfPost.c.o [ 50%] Building C object CMakeFiles/libabc.dir/src/sat/cnf/cnfUtil.c.o [ 50%] Building C object CMakeFiles/libabc.dir/src/sat/cnf/cnfWrite.c.o [ 50%] Building C object CMakeFiles/libabc.dir/src/sat/bmc/bmcBCore.c.o [ 50%] Building C object CMakeFiles/libabc.dir/src/sat/bmc/bmcBmc.c.o [ 50%] Building C object CMakeFiles/libabc.dir/src/sat/bmc/bmcBmc2.c.o [ 50%] Building C object CMakeFiles/libabc.dir/src/sat/bmc/bmcBmc3.c.o [ 50%] Building C object CMakeFiles/libabc.dir/src/sat/bmc/bmcBmcAnd.c.o [ 50%] Building C object CMakeFiles/libabc.dir/src/sat/bmc/bmcBmci.c.o [ 50%] Building C object CMakeFiles/libabc.dir/src/sat/bmc/bmcBmcG.c.o [ 52%] Building C object CMakeFiles/libabc.dir/src/sat/bmc/bmcBmcS.c.o [ 52%] Building C object CMakeFiles/libabc.dir/src/sat/bmc/bmcCexCare.c.o [ 52%] Building C object CMakeFiles/libabc.dir/src/sat/bmc/bmcCexCut.c.o [ 52%] Building C object CMakeFiles/libabc.dir/src/sat/bmc/bmcCexDepth.c.o [ 52%] Building C object CMakeFiles/libabc.dir/src/sat/bmc/bmcCexMin1.c.o [ 52%] Building C object CMakeFiles/libabc.dir/src/sat/bmc/bmcCexMin2.c.o [ 52%] Building C object CMakeFiles/libabc.dir/src/sat/bmc/bmcCexTools.c.o [ 52%] Building C object CMakeFiles/libabc.dir/src/sat/bmc/bmcChain.c.o [ 52%] Building C object CMakeFiles/libabc.dir/src/sat/bmc/bmcClp.c.o [ 52%] Building C object CMakeFiles/libabc.dir/src/sat/bmc/bmcEco.c.o [ 52%] Building C object CMakeFiles/libabc.dir/src/sat/bmc/bmcExpand.c.o [ 52%] Building C object CMakeFiles/libabc.dir/src/sat/bmc/bmcFault.c.o [ 52%] Building C object CMakeFiles/libabc.dir/src/sat/bmc/bmcFx.c.o [ 52%] Building C object CMakeFiles/libabc.dir/src/sat/bmc/bmcGen.c.o [ 52%] Building C object CMakeFiles/libabc.dir/src/sat/bmc/bmcICheck.c.o [ 52%] Building C object CMakeFiles/libabc.dir/src/sat/bmc/bmcInse.c.o [ 52%] Building C object CMakeFiles/libabc.dir/src/sat/bmc/bmcLoad.c.o [ 52%] Building C object CMakeFiles/libabc.dir/src/sat/bmc/bmcMaj.c.o [ 52%] Building C object CMakeFiles/libabc.dir/src/sat/bmc/bmcMaj2.c.o [ 52%] Building C object CMakeFiles/libabc.dir/src/sat/bmc/bmcMaj3.c.o [ 52%] Building C object CMakeFiles/libabc.dir/src/sat/bmc/bmcMaxi.c.o [ 52%] Building C object CMakeFiles/libabc.dir/src/sat/bmc/bmcMesh.c.o [ 52%] Building C object CMakeFiles/libabc.dir/src/sat/bmc/bmcMesh2.c.o [ 54%] Building C object CMakeFiles/libabc.dir/src/sat/bmc/bmcMulti.c.o [ 54%] Building C object CMakeFiles/libabc.dir/src/sat/bmc/bmcUnroll.c.o [ 54%] Building CXX object CMakeFiles/libabc.dir/src/sat/glucose/AbcGlucose.cpp.o In file included from /builddir/build/BUILD/abc-1.02-build/abc/src/sat/glucose/SimpSolver.h:25, from /builddir/build/BUILD/abc-1.02-build/abc/src/sat/glucose/AbcGlucose.cpp:25: /builddir/build/BUILD/abc-1.02-build/abc/src/sat/glucose/Solver.h: In member function ‘bool Gluco::Solver::withinBudget() const’: /builddir/build/BUILD/abc-1.02-build/abc/src/sat/glucose/Solver.h:445:49: warning: comparison of integer expressions of different signedness: ‘const int64_t’ {aka ‘const long int’} and ‘uint64_t’ {aka ‘long unsigned int’} [-Wsign-compare] 445 | (conflict_budget < 0 || conflicts < (uint64_t)conflict_budget) && | ~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~ /builddir/build/BUILD/abc-1.02-build/abc/src/sat/glucose/Solver.h:446:52: warning: comparison of integer expressions of different signedness: ‘const int64_t’ {aka ‘const long int’} and ‘uint64_t’ {aka ‘long unsigned int’} [-Wsign-compare] 446 | (propagation_budget < 0 || propagations < (uint64_t)propagation_budget); } | ~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ [ 54%] Building CXX object CMakeFiles/libabc.dir/src/sat/glucose/AbcGlucoseCmd.cpp.o /builddir/build/BUILD/abc-1.02-build/abc/src/sat/glucose/AbcGlucose.cpp: In function ‘void Glucose_SolveCnf(char*, Glucose_Pars*, int)’: /builddir/build/BUILD/abc-1.02-build/abc/src/sat/glucose/AbcGlucose.cpp:850:71: warning: ISO C++ forbids converting a string constant to ‘char*’ [-Wwrite-strings] 850 | char * pFileCnf = Extra_FileNameGenericAppend( pFileName, "_out.cnf" ); | ^~~~~~~~~~ [ 54%] Building CXX object CMakeFiles/libabc.dir/src/sat/glucose/Glucose.cpp.o In file included from /builddir/build/BUILD/abc-1.02-build/abc/src/sat/glucose/Glucose.cpp:33: /builddir/build/BUILD/abc-1.02-build/abc/src/sat/glucose/Solver.h: In member function ‘bool Gluco::Solver::withinBudget() const’: /builddir/build/BUILD/abc-1.02-build/abc/src/sat/glucose/Solver.h:445:49: warning: comparison of integer expressions of different signedness: ‘const int64_t’ {aka ‘const long int’} and ‘uint64_t’ {aka ‘long unsigned int’} [-Wsign-compare] 445 | (conflict_budget < 0 || conflicts < (uint64_t)conflict_budget) && | ~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~ /builddir/build/BUILD/abc-1.02-build/abc/src/sat/glucose/Solver.h:446:52: warning: comparison of integer expressions of different signedness: ‘const int64_t’ {aka ‘const long int’} and ‘uint64_t’ {aka ‘long unsigned int’} [-Wsign-compare] 446 | (propagation_budget < 0 || propagations < (uint64_t)propagation_budget); } | ~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ /builddir/build/BUILD/abc-1.02-build/abc/src/sat/glucose/Glucose.cpp: In member function ‘unsigned int Gluco::Solver::computeLBD(const Gluco::vec&, int)’: /builddir/build/BUILD/abc-1.02-build/abc/src/sat/glucose/Glucose.cpp:376:16: warning: comparison of integer expressions of different signedness: ‘unsigned int’ and ‘int’ [-Wsign-compare] 376 | if(nbDone>=end) break; | ~~~~~~^~~~~ /builddir/build/BUILD/abc-1.02-build/abc/src/sat/glucose/Glucose.cpp: In member function ‘unsigned int Gluco::Solver::computeLBD(const Gluco::Clause&)’: /builddir/build/BUILD/abc-1.02-build/abc/src/sat/glucose/Glucose.cpp:405:16: warning: comparison of integer expressions of different signedness: ‘int’ and ‘unsigned int’ [-Wsign-compare] 405 | if(nbDone>=c.sizeWithoutSelectors()) break; | ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~ /builddir/build/BUILD/abc-1.02-build/abc/src/sat/glucose/Glucose.cpp: In member function ‘Gluco::lbool Gluco::Solver::solve_()’: /builddir/build/BUILD/abc-1.02-build/abc/src/sat/glucose/Glucose.cpp:1253:42: warning: comparison of integer expressions of different signedness: ‘abctime’ {aka ‘long int’} and ‘uint64_t’ {aka ‘long unsigned int’} [-Wsign-compare] 1253 | if (nRuntimeLimit && Abc_Clock() > nRuntimeLimit) break; | ~~~~~~~~~~~~^~~~~~~~~~~~~~~ [ 54%] Building CXX object CMakeFiles/libabc.dir/src/sat/glucose/Options.cpp.o [ 54%] Building CXX object CMakeFiles/libabc.dir/src/sat/glucose/SimpSolver.cpp.o In file included from /builddir/build/BUILD/abc-1.02-build/abc/src/sat/glucose/SimpSolver.h:25, from /builddir/build/BUILD/abc-1.02-build/abc/src/sat/glucose/SimpSolver.cpp:22: /builddir/build/BUILD/abc-1.02-build/abc/src/sat/glucose/Solver.h: In member function ‘bool Gluco::Solver::withinBudget() const’: /builddir/build/BUILD/abc-1.02-build/abc/src/sat/glucose/Solver.h:445:49: warning: comparison of integer expressions of different signedness: ‘const int64_t’ {aka ‘const long int’} and ‘uint64_t’ {aka ‘long unsigned int’} [-Wsign-compare] 445 | (conflict_budget < 0 || conflicts < (uint64_t)conflict_budget) && | ~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~ /builddir/build/BUILD/abc-1.02-build/abc/src/sat/glucose/Solver.h:446:52: warning: comparison of integer expressions of different signedness: ‘const int64_t’ {aka ‘const long int’} and ‘uint64_t’ {aka ‘long unsigned int’} [-Wsign-compare] 446 | (propagation_budget < 0 || propagations < (uint64_t)propagation_budget); } | ~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ [ 54%] Building CXX object CMakeFiles/libabc.dir/src/sat/glucose/System.cpp.o [ 54%] Building CXX object CMakeFiles/libabc.dir/src/sat/glucose2/AbcGlucose2.cpp.o [ 54%] Building CXX object CMakeFiles/libabc.dir/src/sat/glucose2/AbcGlucoseCmd2.cpp.o In file included from /builddir/build/BUILD/abc-1.02-build/abc/src/sat/glucose2/SimpSolver.h:25, from /builddir/build/BUILD/abc-1.02-build/abc/src/sat/glucose2/AbcGlucose2.cpp:25: /builddir/build/BUILD/abc-1.02-build/abc/src/sat/glucose2/Solver.h: In member function ‘bool Gluco2::Solver::withinBudget() const’: /builddir/build/BUILD/abc-1.02-build/abc/src/sat/glucose2/Solver.h:588:49: warning: comparison of integer expressions of different signedness: ‘const int64_t’ {aka ‘const long int’} and ‘uint64_t’ {aka ‘long unsigned int’} [-Wsign-compare] 588 | (conflict_budget < 0 || conflicts < (uint64_t)conflict_budget) && | ~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~ /builddir/build/BUILD/abc-1.02-build/abc/src/sat/glucose2/Solver.h:589:52: warning: comparison of integer expressions of different signedness: ‘const int64_t’ {aka ‘const long int’} and ‘uint64_t’ {aka ‘long unsigned int’} [-Wsign-compare] 589 | (propagation_budget < 0 || propagations < (uint64_t)propagation_budget); } | ~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ [ 54%] Building CXX object CMakeFiles/libabc.dir/src/sat/glucose2/Glucose2.cpp.o In file included from /builddir/build/BUILD/abc-1.02-build/abc/src/sat/glucose2/Glucose2.cpp:34: /builddir/build/BUILD/abc-1.02-build/abc/src/sat/glucose2/Solver.h: In member function ‘bool Gluco2::Solver::withinBudget() const’: /builddir/build/BUILD/abc-1.02-build/abc/src/sat/glucose2/Solver.h:588:49: warning: comparison of integer expressions of different signedness: ‘const int64_t’ {aka ‘const long int’} and ‘uint64_t’ {aka ‘long unsigned int’} [-Wsign-compare] 588 | (conflict_budget < 0 || conflicts < (uint64_t)conflict_budget) && | ~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~ /builddir/build/BUILD/abc-1.02-build/abc/src/sat/glucose2/Solver.h:589:52: warning: comparison of integer expressions of different signedness: ‘const int64_t’ {aka ‘const long int’} and ‘uint64_t’ {aka ‘long unsigned int’} [-Wsign-compare] 589 | (propagation_budget < 0 || propagations < (uint64_t)propagation_budget); } | ~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ /builddir/build/BUILD/abc-1.02-build/abc/src/sat/glucose2/Glucose2.cpp: In member function ‘unsigned int Gluco2::Solver::computeLBD(const Gluco2::vec&, int)’: /builddir/build/BUILD/abc-1.02-build/abc/src/sat/glucose2/Glucose2.cpp:421:16: warning: comparison of integer expressions of different signedness: ‘unsigned int’ and ‘int’ [-Wsign-compare] 421 | if(nbDone>=end) break; | ~~~~~~^~~~~ /builddir/build/BUILD/abc-1.02-build/abc/src/sat/glucose2/Glucose2.cpp: In member function ‘unsigned int Gluco2::Solver::computeLBD(const Gluco2::Clause&)’: /builddir/build/BUILD/abc-1.02-build/abc/src/sat/glucose2/Glucose2.cpp:450:16: warning: comparison of integer expressions of different signedness: ‘int’ and ‘unsigned int’ [-Wsign-compare] 450 | if(nbDone>=c.sizeWithoutSelectors()) break; | ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~ /builddir/build/BUILD/abc-1.02-build/abc/src/sat/glucose2/Glucose2.cpp: In member function ‘Gluco2::lbool Gluco2::Solver::solve_()’: /builddir/build/BUILD/abc-1.02-build/abc/src/sat/glucose2/Glucose2.cpp:1440:42: warning: comparison of integer expressions of different signedness: ‘abctime’ {aka ‘long int’} and ‘uint64_t’ {aka ‘long unsigned int’} [-Wsign-compare] 1440 | if (nRuntimeLimit && Abc_Clock() > nRuntimeLimit) break; | ~~~~~~~~~~~~^~~~~~~~~~~~~~~ [ 54%] Building CXX object CMakeFiles/libabc.dir/src/sat/glucose2/Options2.cpp.o [ 54%] Building CXX object CMakeFiles/libabc.dir/src/sat/glucose2/SimpSolver2.cpp.o In file included from /builddir/build/BUILD/abc-1.02-build/abc/src/sat/glucose2/SimpSolver.h:25, from /builddir/build/BUILD/abc-1.02-build/abc/src/sat/glucose2/SimpSolver2.cpp:22: /builddir/build/BUILD/abc-1.02-build/abc/src/sat/glucose2/Solver.h: In member function ‘bool Gluco2::Solver::withinBudget() const’: /builddir/build/BUILD/abc-1.02-build/abc/src/sat/glucose2/Solver.h:588:49: warning: comparison of integer expressions of different signedness: ‘const int64_t’ {aka ‘const long int’} and ‘uint64_t’ {aka ‘long unsigned int’} [-Wsign-compare] 588 | (conflict_budget < 0 || conflicts < (uint64_t)conflict_budget) && | ~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~ /builddir/build/BUILD/abc-1.02-build/abc/src/sat/glucose2/Solver.h:589:52: warning: comparison of integer expressions of different signedness: ‘const int64_t’ {aka ‘const long int’} and ‘uint64_t’ {aka ‘long unsigned int’} [-Wsign-compare] 589 | (propagation_budget < 0 || propagations < (uint64_t)propagation_budget); } | ~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ [ 54%] Building CXX object CMakeFiles/libabc.dir/src/sat/glucose2/System2.cpp.o [ 54%] Building C object CMakeFiles/libabc.dir/src/bool/bdc/bdcCore.c.o [ 54%] Building C object CMakeFiles/libabc.dir/src/bool/bdc/bdcDec.c.o [ 54%] Building C object CMakeFiles/libabc.dir/src/bool/bdc/bdcSpfd.c.o [ 54%] Building C object CMakeFiles/libabc.dir/src/bool/bdc/bdcTable.c.o [ 54%] Building C object CMakeFiles/libabc.dir/src/bool/dec/decAbc.c.o [ 54%] Building C object CMakeFiles/libabc.dir/src/bool/dec/decFactor.c.o [ 54%] Building C object CMakeFiles/libabc.dir/src/bool/dec/decMan.c.o [ 54%] Building C object CMakeFiles/libabc.dir/src/bool/dec/decPrint.c.o [ 56%] Building C object CMakeFiles/libabc.dir/src/bool/dec/decUtil.c.o [ 56%] Building C object CMakeFiles/libabc.dir/src/bool/kit/kitAig.c.o [ 56%] Building C object CMakeFiles/libabc.dir/src/bool/kit/kitBdd.c.o [ 56%] Building C object CMakeFiles/libabc.dir/src/bool/kit/kitCloud.c.o [ 56%] Building C object CMakeFiles/libabc.dir/src/bool/kit/cloud.c.o [ 56%] Building C object CMakeFiles/libabc.dir/src/bool/kit/kitDsd.c.o [ 56%] Building C object CMakeFiles/libabc.dir/src/bool/kit/kitFactor.c.o [ 56%] Building C object CMakeFiles/libabc.dir/src/bool/kit/kitGraph.c.o [ 56%] Building C object CMakeFiles/libabc.dir/src/bool/kit/kitHop.c.o [ 56%] Building C object CMakeFiles/libabc.dir/src/bool/kit/kitIsop.c.o [ 56%] Building C object CMakeFiles/libabc.dir/src/bool/kit/kitPla.c.o [ 56%] Building C object CMakeFiles/libabc.dir/src/bool/kit/kitSop.c.o [ 56%] Building C object CMakeFiles/libabc.dir/src/bool/kit/kitTruth.c.o [ 56%] Building C object CMakeFiles/libabc.dir/src/bool/lucky/lucky.c.o [ 56%] Building C object CMakeFiles/libabc.dir/src/bool/lucky/luckyFast16.c.o [ 56%] Building C object CMakeFiles/libabc.dir/src/bool/lucky/luckyFast6.c.o [ 56%] Building C object CMakeFiles/libabc.dir/src/bool/lucky/luckyRead.c.o [ 56%] Building C object CMakeFiles/libabc.dir/src/bool/lucky/luckySimple.c.o [ 56%] Building C object CMakeFiles/libabc.dir/src/bool/lucky/luckySwapIJ.c.o [ 56%] Building C object CMakeFiles/libabc.dir/src/bool/lucky/luckySwap.c.o [ 56%] Building C object CMakeFiles/libabc.dir/src/bool/rsb/rsbDec6.c.o [ 56%] Building C object CMakeFiles/libabc.dir/src/bool/rsb/rsbMan.c.o [ 56%] Building C object CMakeFiles/libabc.dir/src/bool/rpo/rpo.c.o [ 58%] Building C object CMakeFiles/libabc.dir/src/proof/pdr/pdrCnf.c.o [ 58%] Building C object CMakeFiles/libabc.dir/src/proof/pdr/pdrCore.c.o [ 58%] Building C object CMakeFiles/libabc.dir/src/proof/pdr/pdrIncr.c.o [ 58%] Building C object CMakeFiles/libabc.dir/src/proof/pdr/pdrInv.c.o [ 58%] Building C object CMakeFiles/libabc.dir/src/proof/pdr/pdrMan.c.o [ 58%] Building C object CMakeFiles/libabc.dir/src/proof/pdr/pdrSat.c.o [ 58%] Building C object CMakeFiles/libabc.dir/src/proof/pdr/pdrTsim.c.o [ 58%] Building C object CMakeFiles/libabc.dir/src/proof/pdr/pdrTsim2.c.o [ 58%] Building C object CMakeFiles/libabc.dir/src/proof/pdr/pdrTsim3.c.o [ 58%] Building C object CMakeFiles/libabc.dir/src/proof/pdr/pdrUtil.c.o [ 58%] Building C object CMakeFiles/libabc.dir/src/proof/abs/absDup.c.o [ 58%] Building C object CMakeFiles/libabc.dir/src/proof/abs/absGla.c.o [ 58%] Building C object CMakeFiles/libabc.dir/src/proof/abs/absGlaOld.c.o [ 58%] Building C object CMakeFiles/libabc.dir/src/proof/abs/absIter.c.o [ 58%] Building C object CMakeFiles/libabc.dir/src/proof/abs/absOldCex.c.o [ 58%] Building C object CMakeFiles/libabc.dir/src/proof/abs/absOldRef.c.o [ 58%] Building C object CMakeFiles/libabc.dir/src/proof/abs/absOldSat.c.o [ 58%] Building C object CMakeFiles/libabc.dir/src/proof/abs/absOldSim.c.o [ 58%] Building C object CMakeFiles/libabc.dir/src/proof/abs/absOut.c.o [ 58%] Building C object CMakeFiles/libabc.dir/src/proof/abs/absPth.c.o [ 58%] Building C object CMakeFiles/libabc.dir/src/proof/abs/absRef.c.o [ 58%] Building C object CMakeFiles/libabc.dir/src/proof/abs/absRefSelect.c.o [ 60%] Building C object CMakeFiles/libabc.dir/src/proof/abs/absRpm.c.o [ 60%] Building C object CMakeFiles/libabc.dir/src/proof/abs/absRpmOld.c.o [ 60%] Building C object CMakeFiles/libabc.dir/src/proof/abs/absVta.c.o [ 60%] Building C object CMakeFiles/libabc.dir/src/proof/abs/absUtil.c.o [ 60%] Building C object CMakeFiles/libabc.dir/src/proof/live/liveness.c.o [ 60%] Building C object CMakeFiles/libabc.dir/src/proof/live/liveness_sim.c.o [ 60%] Building C object CMakeFiles/libabc.dir/src/proof/live/ltl_parser.c.o [ 60%] Building C object CMakeFiles/libabc.dir/src/proof/live/kliveness.c.o [ 60%] Building C object CMakeFiles/libabc.dir/src/proof/live/monotone.c.o [ 60%] Building C object CMakeFiles/libabc.dir/src/proof/live/disjunctiveMonotone.c.o [ 60%] Building C object CMakeFiles/libabc.dir/src/proof/live/arenaViolation.c.o [ 60%] Building C object CMakeFiles/libabc.dir/src/proof/live/kLiveConstraints.c.o [ 60%] Building C object CMakeFiles/libabc.dir/src/proof/live/combination.c.o [ 60%] Building C object CMakeFiles/libabc.dir/src/proof/ssc/sscClass.c.o [ 60%] Building C object CMakeFiles/libabc.dir/src/proof/ssc/sscCore.c.o [ 60%] Building C object CMakeFiles/libabc.dir/src/proof/ssc/sscSat.c.o [ 60%] Building C object CMakeFiles/libabc.dir/src/proof/ssc/sscSim.c.o [ 60%] Building C object CMakeFiles/libabc.dir/src/proof/ssc/sscUtil.c.o [ 60%] Building C object CMakeFiles/libabc.dir/src/proof/int/intCheck.c.o [ 60%] Building C object CMakeFiles/libabc.dir/src/proof/int/intContain.c.o [ 60%] Building C object CMakeFiles/libabc.dir/src/proof/int/intCore.c.o [ 60%] Building C object CMakeFiles/libabc.dir/src/proof/int/intCtrex.c.o [ 60%] Building C object CMakeFiles/libabc.dir/src/proof/int/intDup.c.o [ 62%] Building C object CMakeFiles/libabc.dir/src/proof/int/intFrames.c.o [ 62%] Building C object CMakeFiles/libabc.dir/src/proof/int/intInter.c.o [ 62%] Building C object CMakeFiles/libabc.dir/src/proof/int/intM114.c.o [ 62%] Building C object CMakeFiles/libabc.dir/src/proof/int/intMan.c.o [ 62%] Building C object CMakeFiles/libabc.dir/src/proof/int/intUtil.c.o [ 62%] Building C object CMakeFiles/libabc.dir/src/proof/cec/cecCec.c.o [ 62%] Building C object CMakeFiles/libabc.dir/src/proof/cec/cecChoice.c.o [ 62%] Building C object CMakeFiles/libabc.dir/src/proof/cec/cecClass.c.o [ 62%] Building C object CMakeFiles/libabc.dir/src/proof/cec/cecCore.c.o [ 62%] Building C object CMakeFiles/libabc.dir/src/proof/cec/cecCorr.c.o [ 62%] Building C object CMakeFiles/libabc.dir/src/proof/cec/cecIso.c.o [ 62%] Building C object CMakeFiles/libabc.dir/src/proof/cec/cecMan.c.o [ 62%] Building C object CMakeFiles/libabc.dir/src/proof/cec/cecPat.c.o [ 62%] Building C object CMakeFiles/libabc.dir/src/proof/cec/cecProve.c.o [ 62%] Building C object CMakeFiles/libabc.dir/src/proof/cec/cecSat.c.o [ 62%] Building C object CMakeFiles/libabc.dir/src/proof/cec/cecSatG.c.o [ 62%] Building C object CMakeFiles/libabc.dir/src/proof/cec/cecSatG2.c.o [ 62%] Building C object CMakeFiles/libabc.dir/src/proof/cec/cecSatG3.c.o [ 62%] Building C object CMakeFiles/libabc.dir/src/proof/cec/cecSeq.c.o [ 62%] Building C object CMakeFiles/libabc.dir/src/proof/cec/cecSim.c.o [ 62%] Building C object CMakeFiles/libabc.dir/src/proof/cec/cecSolve.c.o [ 62%] Building C object CMakeFiles/libabc.dir/src/proof/cec/cecSolveG.c.o [ 64%] Building C object CMakeFiles/libabc.dir/src/proof/cec/cecSplit.c.o [ 64%] Building C object CMakeFiles/libabc.dir/src/proof/cec/cecSynth.c.o [ 64%] Building C object CMakeFiles/libabc.dir/src/proof/cec/cecSweep.c.o [ 64%] Building C object CMakeFiles/libabc.dir/src/proof/acec/acecCl.c.o [ 64%] Building C object CMakeFiles/libabc.dir/src/proof/acec/acecCore.c.o [ 64%] Building C object CMakeFiles/libabc.dir/src/proof/acec/acecCo.c.o [ 64%] Building C object CMakeFiles/libabc.dir/src/proof/acec/acecBo.c.o [ 64%] Building C object CMakeFiles/libabc.dir/src/proof/acec/acecRe.c.o [ 64%] Building C object CMakeFiles/libabc.dir/src/proof/acec/acecPa.c.o [ 64%] Building C object CMakeFiles/libabc.dir/src/proof/acec/acecPo.c.o [ 64%] Building C object CMakeFiles/libabc.dir/src/proof/acec/acecPool.c.o [ 64%] Building C object CMakeFiles/libabc.dir/src/proof/acec/acecCover.c.o [ 64%] Building C object CMakeFiles/libabc.dir/src/proof/acec/acecFadds.c.o [ 64%] Building C object CMakeFiles/libabc.dir/src/proof/acec/acecMult.c.o [ 64%] Building C object CMakeFiles/libabc.dir/src/proof/acec/acecNorm.c.o [ 64%] Building C object CMakeFiles/libabc.dir/src/proof/acec/acecOrder.c.o [ 64%] Building C object CMakeFiles/libabc.dir/src/proof/acec/acecPolyn.c.o [ 64%] Building C object CMakeFiles/libabc.dir/src/proof/acec/acecSt.c.o [ 64%] Building C object CMakeFiles/libabc.dir/src/proof/acec/acecTree.c.o [ 64%] Building C object CMakeFiles/libabc.dir/src/proof/acec/acecUtil.c.o [ 64%] Building C object CMakeFiles/libabc.dir/src/proof/acec/acec2Mult.c.o [ 64%] Building C object CMakeFiles/libabc.dir/src/proof/acec/acecXor.c.o [ 66%] Building C object CMakeFiles/libabc.dir/src/proof/dch/dchAig.c.o [ 66%] Building C object CMakeFiles/libabc.dir/src/proof/dch/dchChoice.c.o [ 66%] Building C object CMakeFiles/libabc.dir/src/proof/dch/dchClass.c.o [ 66%] Building C object CMakeFiles/libabc.dir/src/proof/dch/dchCnf.c.o [ 66%] Building C object CMakeFiles/libabc.dir/src/proof/dch/dchCore.c.o [ 66%] Building C object CMakeFiles/libabc.dir/src/proof/dch/dchMan.c.o [ 66%] Building C object CMakeFiles/libabc.dir/src/proof/dch/dchSat.c.o [ 66%] Building C object CMakeFiles/libabc.dir/src/proof/dch/dchSim.c.o [ 66%] Building C object CMakeFiles/libabc.dir/src/proof/dch/dchSimSat.c.o [ 66%] Building C object CMakeFiles/libabc.dir/src/proof/dch/dchSweep.c.o [ 66%] Building C object CMakeFiles/libabc.dir/src/proof/fraig/fraigApi.c.o [ 66%] Building C object CMakeFiles/libabc.dir/src/proof/fraig/fraigCanon.c.o [ 66%] Building C object CMakeFiles/libabc.dir/src/proof/fraig/fraigFanout.c.o [ 66%] Building C object CMakeFiles/libabc.dir/src/proof/fraig/fraigFeed.c.o [ 66%] Building C object CMakeFiles/libabc.dir/src/proof/fraig/fraigMan.c.o [ 66%] Building C object CMakeFiles/libabc.dir/src/proof/fraig/fraigMem.c.o [ 66%] Building C object CMakeFiles/libabc.dir/src/proof/fraig/fraigNode.c.o [ 66%] Building C object CMakeFiles/libabc.dir/src/proof/fraig/fraigPrime.c.o [ 66%] Building C object CMakeFiles/libabc.dir/src/proof/fraig/fraigSat.c.o [ 66%] Building C object CMakeFiles/libabc.dir/src/proof/fraig/fraigTable.c.o [ 66%] Building C object CMakeFiles/libabc.dir/src/proof/fraig/fraigUtil.c.o [ 66%] Building C object CMakeFiles/libabc.dir/src/proof/fraig/fraigVec.c.o [ 66%] Building C object CMakeFiles/libabc.dir/src/proof/fra/fraBmc.c.o [ 68%] Building C object CMakeFiles/libabc.dir/src/proof/fra/fraCec.c.o [ 68%] Building C object CMakeFiles/libabc.dir/src/proof/fra/fraClass.c.o [ 68%] Building C object CMakeFiles/libabc.dir/src/proof/fra/fraClau.c.o [ 68%] Building C object CMakeFiles/libabc.dir/src/proof/fra/fraClaus.c.o [ 68%] Building C object CMakeFiles/libabc.dir/src/proof/fra/fraCnf.c.o [ 68%] Building C object CMakeFiles/libabc.dir/src/proof/fra/fraCore.c.o /builddir/build/BUILD/abc-1.02-build/abc/src/proof/fra/fraCore.c: In function ‘Fra_FraigNode’: /builddir/build/BUILD/abc-1.02-build/abc/src/proof/fra/fraCore.c:166:39: warning: ‘__sprintf_chk’ may write a terminating nul past the end of the destination [-Wformat-overflow=] 166 | sprintf( FileName, "aig\\%03d.blif", ++Counter ); | ^ In file included from /usr/include/stdio.h:970, from /builddir/build/BUILD/abc-1.02-build/abc/src/proof/fra/fra.h:29, from /builddir/build/BUILD/abc-1.02-build/abc/src/proof/fra/fraCore.c:21: In function ‘sprintf’, inlined from ‘Fra_FraigNodeSpeculate’ at /builddir/build/BUILD/abc-1.02-build/abc/src/proof/fra/fraCore.c:166:5, inlined from ‘Fra_FraigNode’ at /builddir/build/BUILD/abc-1.02-build/abc/src/proof/fra/fraCore.c:278:9: /usr/include/bits/stdio2.h:30:10: note: ‘__sprintf_chk’ output between 13 and 21 bytes into a destination of size 20 30 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 31 | __glibc_objsize (__s), __fmt, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ [ 68%] Building C object CMakeFiles/libabc.dir/src/proof/fra/fraHot.c.o [ 68%] Building C object CMakeFiles/libabc.dir/src/proof/fra/fraImp.c.o [ 68%] Building C object CMakeFiles/libabc.dir/src/proof/fra/fraInd.c.o [ 68%] Building C object CMakeFiles/libabc.dir/src/proof/fra/fraIndVer.c.o [ 68%] Building C object CMakeFiles/libabc.dir/src/proof/fra/fraLcr.c.o [ 68%] Building C object CMakeFiles/libabc.dir/src/proof/fra/fraMan.c.o [ 68%] Building C object CMakeFiles/libabc.dir/src/proof/fra/fraPart.c.o [ 68%] Building C object CMakeFiles/libabc.dir/src/proof/fra/fraSat.c.o [ 68%] Building C object CMakeFiles/libabc.dir/src/proof/fra/fraSec.c.o [ 68%] Building C object CMakeFiles/libabc.dir/src/proof/fra/fraSim.c.o [ 68%] Building C object CMakeFiles/libabc.dir/src/proof/ssw/sswAig.c.o [ 68%] Building C object CMakeFiles/libabc.dir/src/proof/ssw/sswBmc.c.o [ 68%] Building C object CMakeFiles/libabc.dir/src/proof/ssw/sswClass.c.o [ 68%] Building C object CMakeFiles/libabc.dir/src/proof/ssw/sswCnf.c.o [ 68%] Building C object CMakeFiles/libabc.dir/src/proof/ssw/sswConstr.c.o [ 68%] Building C object CMakeFiles/libabc.dir/src/proof/ssw/sswCore.c.o [ 70%] Building C object CMakeFiles/libabc.dir/src/proof/ssw/sswDyn.c.o [ 70%] Building C object CMakeFiles/libabc.dir/src/proof/ssw/sswFilter.c.o [ 70%] Building C object CMakeFiles/libabc.dir/src/proof/ssw/sswIslands.c.o [ 70%] Building C object CMakeFiles/libabc.dir/src/proof/ssw/sswLcorr.c.o [ 70%] Building C object CMakeFiles/libabc.dir/src/proof/ssw/sswMan.c.o [ 70%] Building C object CMakeFiles/libabc.dir/src/proof/ssw/sswPart.c.o [ 70%] Building C object CMakeFiles/libabc.dir/src/proof/ssw/sswPairs.c.o [ 70%] Building C object CMakeFiles/libabc.dir/src/proof/ssw/sswRarity.c.o [ 70%] Building C object CMakeFiles/libabc.dir/src/proof/ssw/sswSat.c.o [ 70%] Building C object CMakeFiles/libabc.dir/src/proof/ssw/sswSemi.c.o [ 70%] Building C object CMakeFiles/libabc.dir/src/proof/ssw/sswSim.c.o [ 70%] Building C object CMakeFiles/libabc.dir/src/proof/ssw/sswSimSat.c.o [ 70%] Building C object CMakeFiles/libabc.dir/src/proof/ssw/sswSweep.c.o [ 70%] Building C object CMakeFiles/libabc.dir/src/proof/ssw/sswUnique.c.o [ 70%] Building C object CMakeFiles/libabc.dir/src/aig/aig/aigCheck.c.o [ 70%] Building C object CMakeFiles/libabc.dir/src/aig/aig/aigCanon.c.o [ 70%] Building C object CMakeFiles/libabc.dir/src/aig/aig/aigCuts.c.o [ 70%] Building C object CMakeFiles/libabc.dir/src/aig/aig/aigDfs.c.o [ 70%] Building C object CMakeFiles/libabc.dir/src/aig/aig/aigDup.c.o [ 70%] Building C object CMakeFiles/libabc.dir/src/aig/aig/aigFanout.c.o [ 70%] Building C object CMakeFiles/libabc.dir/src/aig/aig/aigFrames.c.o [ 70%] Building C object CMakeFiles/libabc.dir/src/aig/aig/aigInter.c.o [ 70%] Building C object CMakeFiles/libabc.dir/src/aig/aig/aigJust.c.o [ 72%] Building C object CMakeFiles/libabc.dir/src/aig/aig/aigMan.c.o [ 72%] Building C object CMakeFiles/libabc.dir/src/aig/aig/aigMem.c.o [ 72%] Building C object CMakeFiles/libabc.dir/src/aig/aig/aigMffc.c.o [ 72%] Building C object CMakeFiles/libabc.dir/src/aig/aig/aigObj.c.o [ 72%] Building C object CMakeFiles/libabc.dir/src/aig/aig/aigOper.c.o [ 72%] Building C object CMakeFiles/libabc.dir/src/aig/aig/aigOrder.c.o [ 72%] Building C object CMakeFiles/libabc.dir/src/aig/aig/aigPack.c.o [ 72%] Building C object CMakeFiles/libabc.dir/src/aig/aig/aigPart.c.o [ 72%] Building C object CMakeFiles/libabc.dir/src/aig/aig/aigPartReg.c.o [ 72%] Building C object CMakeFiles/libabc.dir/src/aig/aig/aigPartSat.c.o [ 72%] Building C object CMakeFiles/libabc.dir/src/aig/aig/aigRepr.c.o [ 72%] Building C object CMakeFiles/libabc.dir/src/aig/aig/aigRet.c.o [ 72%] Building C object CMakeFiles/libabc.dir/src/aig/aig/aigRetF.c.o [ 72%] Building C object CMakeFiles/libabc.dir/src/aig/aig/aigScl.c.o [ 72%] Building C object CMakeFiles/libabc.dir/src/aig/aig/aigShow.c.o [ 72%] Building C object CMakeFiles/libabc.dir/src/aig/aig/aigSplit.c.o [ 72%] Building C object CMakeFiles/libabc.dir/src/aig/aig/aigTable.c.o [ 72%] Building C object CMakeFiles/libabc.dir/src/aig/aig/aigTiming.c.o [ 72%] Building C object CMakeFiles/libabc.dir/src/aig/aig/aigTruth.c.o [ 72%] Building C object CMakeFiles/libabc.dir/src/aig/aig/aigTsim.c.o [ 72%] Building C object CMakeFiles/libabc.dir/src/aig/aig/aigUtil.c.o [ 72%] Building C object CMakeFiles/libabc.dir/src/aig/aig/aigWin.c.o [ 74%] Building C object CMakeFiles/libabc.dir/src/aig/saig/saigCone.c.o [ 74%] Building C object CMakeFiles/libabc.dir/src/aig/saig/saigConstr.c.o [ 74%] Building C object CMakeFiles/libabc.dir/src/aig/saig/saigConstr2.c.o [ 74%] Building C object CMakeFiles/libabc.dir/src/aig/saig/saigDual.c.o [ 74%] Building C object CMakeFiles/libabc.dir/src/aig/saig/saigDup.c.o [ 74%] Building C object CMakeFiles/libabc.dir/src/aig/saig/saigInd.c.o [ 74%] Building C object CMakeFiles/libabc.dir/src/aig/saig/saigIoa.c.o [ 74%] Building C object CMakeFiles/libabc.dir/src/aig/saig/saigIso.c.o [ 74%] Building C object CMakeFiles/libabc.dir/src/aig/saig/saigIsoFast.c.o [ 74%] Building C object CMakeFiles/libabc.dir/src/aig/saig/saigIsoSlow.c.o [ 74%] Building C object CMakeFiles/libabc.dir/src/aig/saig/saigMiter.c.o [ 74%] Building C object CMakeFiles/libabc.dir/src/aig/saig/saigOutDec.c.o [ 74%] Building C object CMakeFiles/libabc.dir/src/aig/saig/saigPhase.c.o [ 74%] Building C object CMakeFiles/libabc.dir/src/aig/saig/saigRetFwd.c.o [ 74%] Building C object CMakeFiles/libabc.dir/src/aig/saig/saigRetMin.c.o [ 74%] Building C object CMakeFiles/libabc.dir/src/aig/saig/saigRetStep.c.o [ 74%] Building C object CMakeFiles/libabc.dir/src/aig/saig/saigScl.c.o [ 74%] Building C object CMakeFiles/libabc.dir/src/aig/saig/saigSimFast.c.o [ 74%] Building C object CMakeFiles/libabc.dir/src/aig/saig/saigSimMv.c.o [ 74%] Building C object CMakeFiles/libabc.dir/src/aig/saig/saigSimSeq.c.o [ 74%] Building C object CMakeFiles/libabc.dir/src/aig/saig/saigStrSim.c.o [ 74%] Building C object CMakeFiles/libabc.dir/src/aig/saig/saigSwitch.c.o [ 76%] Building C object CMakeFiles/libabc.dir/src/aig/saig/saigSynch.c.o [ 76%] Building C object CMakeFiles/libabc.dir/src/aig/saig/saigTempor.c.o [ 76%] Building C object CMakeFiles/libabc.dir/src/aig/saig/saigTrans.c.o [ 76%] Building C object CMakeFiles/libabc.dir/src/aig/saig/saigWnd.c.o [ 76%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaAig.c.o [ 76%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaAgi.c.o [ 76%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaAiger.c.o [ 76%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaAigerExt.c.o [ 76%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaBalAig.c.o [ 76%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaBalLut.c.o [ 76%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaBalMap.c.o [ 76%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaBidec.c.o [ 76%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaCCof.c.o [ 76%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaCex.c.o [ 76%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaClp.c.o [ 76%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaCof.c.o [ 76%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaCone.c.o [ 76%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaCSatOld.c.o [ 76%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaCSat.c.o [ 76%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaCSat2.c.o [ 76%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaCSat3.c.o [ 76%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaCSatP.c.o [ 76%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaCTas.c.o [ 78%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaCut.c.o [ 78%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaDecs.c.o [ 78%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaDeep.c.o [ 78%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaDfs.c.o [ 78%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaDup.c.o [ 78%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaEdge.c.o [ 78%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaEmbed.c.o [ 78%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaEnable.c.o [ 78%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaEquiv.c.o [ 78%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaEra.c.o [ 78%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaEra2.c.o [ 78%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaEsop.c.o [ 78%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaExist.c.o [ 78%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaFalse.c.o [ 78%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaFanout.c.o [ 78%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaForce.c.o [ 78%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaFrames.c.o [ 78%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaFront.c.o [ 78%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaFx.c.o [ 78%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaGen.c.o [ 78%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaGig.c.o /builddir/build/BUILD/abc-1.02-build/abc/src/aig/gia/giaGig.c: In function ‘Gls_ManCount’: /builddir/build/BUILD/abc-1.02-build/abc/src/aig/gia/giaGig.c:211:30: warning: ‘Type’ may be used uninitialized [-Wmaybe-uninitialized] 211 | Vec_StrPush( vLines, (char)Type ); | ^~~~~~~~~~ /builddir/build/BUILD/abc-1.02-build/abc/src/aig/gia/giaGig.c:165:62: note: ‘Type’ was declared here 165 | char * pLine, * pBuffer = ABC_ALLOC(char, MAX_LINE); int Type; | ^~~~ /builddir/build/BUILD/abc-1.02-build/abc/src/aig/gia/giaGig.c: In function ‘Gls_ManParseOne’: /builddir/build/BUILD/abc-1.02-build/abc/src/aig/gia/giaGig.c:234:12: warning: ‘Entry’ may be used uninitialized [-Wmaybe-uninitialized] 234 | return Entry; | ^~~~~ /builddir/build/BUILD/abc-1.02-build/abc/src/aig/gia/giaGig.c:219:9: note: ‘Entry’ was declared here 219 | int Entry; | ^~~~~ [ 78%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaGlitch.c.o [ 80%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaHash.c.o [ 80%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaIf.c.o [ 80%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaIff.c.o [ 80%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaIiff.c.o [ 80%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaIso.c.o [ 80%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaIso2.c.o [ 80%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaIso3.c.o [ 80%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaJf.c.o [ 80%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaKf.c.o [ 80%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaLf.c.o [ 80%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaMf.c.o [ 80%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaMan.c.o [ 80%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaMem.c.o [ 80%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaMfs.c.o [ 80%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaMini.c.o [ 80%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaMinLut.c.o In file included from /builddir/build/BUILD/abc-1.02-build/abc/src/misc/vec/vec.h:31, from /builddir/build/BUILD/abc-1.02-build/abc/src/aig/gia/gia.h:34, from /builddir/build/BUILD/abc-1.02-build/abc/src/aig/gia/giaMini.c:21: In function ‘Vec_IntPush’, inlined from ‘Gia_ManFromMiniLut’ at /builddir/build/BUILD/abc-1.02-build/abc/src/aig/gia/giaMini.c:295:9: /builddir/build/BUILD/abc-1.02-build/abc/src/misc/vec/vecInt.h:756:27: warning: ‘iGiaLit’ may be used uninitialized [-Wmaybe-uninitialized] 756 | p->pArray[p->nSize++] = Entry; | ~~~~~~~~~~~~~~~~~~~~~~^~~~~~~ /builddir/build/BUILD/abc-1.02-build/abc/src/aig/gia/giaMini.c: In function ‘Gia_ManFromMiniLut’: /builddir/build/BUILD/abc-1.02-build/abc/src/aig/gia/giaMini.c:265:20: note: ‘iGiaLit’ was declared here 265 | int i, k, Fan, iGiaLit, nNodes; | ^~~~~~~ In function ‘Vec_IntPush’, inlined from ‘Gia_ManFromMiniLut2’ at /builddir/build/BUILD/abc-1.02-build/abc/src/aig/gia/giaMini.c:358:9: /builddir/build/BUILD/abc-1.02-build/abc/src/misc/vec/vecInt.h:756:27: warning: ‘iGiaLit’ may be used uninitialized [-Wmaybe-uninitialized] 756 | p->pArray[p->nSize++] = Entry; | ~~~~~~~~~~~~~~~~~~~~~~^~~~~~~ /builddir/build/BUILD/abc-1.02-build/abc/src/aig/gia/giaMini.c: In function ‘Gia_ManFromMiniLut2’: /builddir/build/BUILD/abc-1.02-build/abc/src/aig/gia/giaMini.c:331:20: note: ‘iGiaLit’ was declared here 331 | int i, k, Fan, iGiaLit, nNodes; | ^~~~~~~ [ 80%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaMinLut2.c.o [ 80%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaMulFind.c.o /builddir/build/BUILD/abc-1.02-build/abc/src/aig/gia/giaMulFind.c: In function ‘Gia_ManMulFindNextEntry2’: /builddir/build/BUILD/abc-1.02-build/abc/src/aig/gia/giaMulFind.c:338:22: warning: ‘Entry0’ may be used uninitialized [-Wmaybe-uninitialized] 338 | int Count0 = Gia_ManMulFindNextEntryCount(vCounts, Entry0); | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ /builddir/build/BUILD/abc-1.02-build/abc/src/aig/gia/giaMulFind.c:328:13: note: ‘Entry0’ was declared here 328 | int Entry0, Entry1, iPlace = Vec_IntFind( vCut, Entry ); | ^~~~~~ /builddir/build/BUILD/abc-1.02-build/abc/src/aig/gia/giaMulFind.c:339:22: warning: ‘Entry1’ may be used uninitialized [-Wmaybe-uninitialized] 339 | int Count1 = Gia_ManMulFindNextEntryCount(vCounts, Entry1); | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ /builddir/build/BUILD/abc-1.02-build/abc/src/aig/gia/giaMulFind.c:328:21: note: ‘Entry1’ was declared here 328 | int Entry0, Entry1, iPlace = Vec_IntFind( vCut, Entry ); | ^~~~~~ [ 80%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaMuxes.c.o [ 80%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaNf.c.o [ 80%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaOf.c.o [ 80%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaPack.c.o [ 80%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaPat.c.o [ 82%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaPat2.c.o [ 82%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaPf.c.o [ 82%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaQbf.c.o [ 82%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaReshape1.c.o [ 82%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaReshape2.c.o [ 82%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaResub.c.o In file included from /builddir/build/BUILD/abc-1.02-build/abc/src/misc/vec/vec.h:31, from /builddir/build/BUILD/abc-1.02-build/abc/src/aig/gia/gia.h:34, from /builddir/build/BUILD/abc-1.02-build/abc/src/aig/gia/giaResub.c:21: In function ‘Vec_IntPush’, inlined from ‘Gia_ManConstructFromMap’ at /builddir/build/BUILD/abc-1.02-build/abc/src/aig/gia/giaResub.c:556:9: /builddir/build/BUILD/abc-1.02-build/abc/src/misc/vec/vecInt.h:756:27: warning: ‘iLitRes’ may be used uninitialized [-Wmaybe-uninitialized] 756 | p->pArray[p->nSize++] = Entry; | ~~~~~~~~~~~~~~~~~~~~~~^~~~~~~ /builddir/build/BUILD/abc-1.02-build/abc/src/aig/gia/giaResub.c: In function ‘Gia_ManConstructFromMap’: /builddir/build/BUILD/abc-1.02-build/abc/src/aig/gia/giaResub.c:527:26: note: ‘iLitRes’ was declared here 527 | int i, iLit0, iLit1, iLitRes, iTopLit = Vec_IntEntryLast( vGates ); | ^~~~~~~ [ 82%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaResub2.c.o [ 82%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaResub3.c.o [ 82%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaResub6.c.o [ 82%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaRetime.c.o [ 82%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaRex.c.o [ 82%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaSatEdge.c.o [ 82%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaSatLE.c.o [ 82%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaSatLut.c.o [ 82%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaSatMap.c.o [ 82%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaSatoko.c.o [ 82%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaSatSyn.c.o [ 82%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaSat3.c.o [ 82%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaScl.c.o [ 82%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaScript.c.o [ 82%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaShow.c.o [ 82%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaShrink.c.o [ 84%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaShrink6.c.o [ 84%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaShrink7.c.o [ 84%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaSif.c.o [ 84%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaSim.c.o [ 84%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaSim2.c.o [ 84%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaSimBase.c.o [ 84%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaSort.c.o [ 84%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaSpeedup.c.o [ 84%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaSplit.c.o [ 84%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaStg.c.o [ 84%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaStoch.c.o [ 84%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaStr.c.o [ 84%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaSupMin.c.o [ 84%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaSupp.c.o [ 84%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaSupps.c.o [ 84%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaSweep.c.o [ 84%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaSweeper.c.o [ 84%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaSwitch.c.o [ 84%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaTim.c.o [ 84%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaTis.c.o [ 84%] Building CXX object CMakeFiles/libabc.dir/src/aig/gia/giaTransduction.cpp.o [ 84%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaTranStoch.c.o [ 84%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaTruth.c.o [ 86%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaTsim.c.o [ 86%] Building CXX object CMakeFiles/libabc.dir/src/aig/gia/giaTtopt.cpp.o [ 86%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaUnate.c.o [ 86%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaUtil.c.o [ 86%] Building C object CMakeFiles/libabc.dir/src/aig/gia/giaBound.c.o [ 86%] Building C object CMakeFiles/libabc.dir/src/aig/ioa/ioaReadAig.c.o [ 86%] Building C object CMakeFiles/libabc.dir/src/aig/ioa/ioaWriteAig.c.o [ 86%] Building C object CMakeFiles/libabc.dir/src/aig/ioa/ioaUtil.c.o [ 86%] Building C object CMakeFiles/libabc.dir/src/aig/ivy/ivyBalance.c.o [ 86%] Building C object CMakeFiles/libabc.dir/src/aig/ivy/ivyCanon.c.o [ 86%] Building C object CMakeFiles/libabc.dir/src/aig/ivy/ivyCheck.c.o [ 86%] Building C object CMakeFiles/libabc.dir/src/aig/ivy/ivyCut.c.o [ 86%] Building C object CMakeFiles/libabc.dir/src/aig/ivy/ivyCutTrav.c.o [ 86%] Building C object CMakeFiles/libabc.dir/src/aig/ivy/ivyDfs.c.o [ 86%] Building C object CMakeFiles/libabc.dir/src/aig/ivy/ivyDsd.c.o [ 86%] Building C object CMakeFiles/libabc.dir/src/aig/ivy/ivyFanout.c.o [ 86%] Building C object CMakeFiles/libabc.dir/src/aig/ivy/ivyFastMap.c.o [ 86%] Building C object CMakeFiles/libabc.dir/src/aig/ivy/ivyFraig.c.o [ 86%] Building C object CMakeFiles/libabc.dir/src/aig/ivy/ivyHaig.c.o [ 86%] Building C object CMakeFiles/libabc.dir/src/aig/ivy/ivyMan.c.o [ 86%] Building C object CMakeFiles/libabc.dir/src/aig/ivy/ivyMem.c.o [ 86%] Building C object CMakeFiles/libabc.dir/src/aig/ivy/ivyMulti.c.o [ 88%] Building C object CMakeFiles/libabc.dir/src/aig/ivy/ivyObj.c.o [ 88%] Building C object CMakeFiles/libabc.dir/src/aig/ivy/ivyOper.c.o [ 88%] Building C object CMakeFiles/libabc.dir/src/aig/ivy/ivyResyn.c.o [ 88%] Building C object CMakeFiles/libabc.dir/src/aig/ivy/ivyRwr.c.o [ 88%] Building C object CMakeFiles/libabc.dir/src/aig/ivy/ivySeq.c.o [ 88%] Building C object CMakeFiles/libabc.dir/src/aig/ivy/ivyShow.c.o [ 88%] Building C object CMakeFiles/libabc.dir/src/aig/ivy/ivyTable.c.o [ 88%] Building C object CMakeFiles/libabc.dir/src/aig/ivy/ivyUtil.c.o [ 88%] Building C object CMakeFiles/libabc.dir/src/aig/hop/hopBalance.c.o [ 88%] Building C object CMakeFiles/libabc.dir/src/aig/hop/hopCheck.c.o [ 88%] Building C object CMakeFiles/libabc.dir/src/aig/hop/hopDfs.c.o [ 88%] Building C object CMakeFiles/libabc.dir/src/aig/hop/hopMan.c.o [ 88%] Building C object CMakeFiles/libabc.dir/src/aig/hop/hopMem.c.o [ 88%] Building C object CMakeFiles/libabc.dir/src/aig/hop/hopObj.c.o [ 88%] Building C object CMakeFiles/libabc.dir/src/aig/hop/hopOper.c.o [ 88%] Building C object CMakeFiles/libabc.dir/src/aig/hop/hopTable.c.o [ 88%] Building C object CMakeFiles/libabc.dir/src/aig/hop/hopTruth.c.o [ 88%] Building C object CMakeFiles/libabc.dir/src/aig/hop/hopUtil.c.o [ 88%] Building C object CMakeFiles/libabc.dir/src/bdd/cudd/cuddAPI.c.o [ 88%] Building C object CMakeFiles/libabc.dir/src/bdd/cudd/cuddAddAbs.c.o [ 88%] Building C object CMakeFiles/libabc.dir/src/bdd/cudd/cuddAddApply.c.o [ 88%] Building C object CMakeFiles/libabc.dir/src/bdd/cudd/cuddAddFind.c.o [ 90%] Building C object CMakeFiles/libabc.dir/src/bdd/cudd/cuddAddInv.c.o [ 90%] Building C object CMakeFiles/libabc.dir/src/bdd/cudd/cuddAddIte.c.o [ 90%] Building C object CMakeFiles/libabc.dir/src/bdd/cudd/cuddAddNeg.c.o [ 90%] Building C object CMakeFiles/libabc.dir/src/bdd/cudd/cuddAddWalsh.c.o [ 90%] Building C object CMakeFiles/libabc.dir/src/bdd/cudd/cuddAndAbs.c.o [ 90%] Building C object CMakeFiles/libabc.dir/src/bdd/cudd/cuddAnneal.c.o [ 90%] Building C object CMakeFiles/libabc.dir/src/bdd/cudd/cuddApa.c.o [ 90%] Building C object CMakeFiles/libabc.dir/src/bdd/cudd/cuddApprox.c.o [ 90%] Building C object CMakeFiles/libabc.dir/src/bdd/cudd/cuddBddAbs.c.o [ 90%] Building C object CMakeFiles/libabc.dir/src/bdd/cudd/cuddBddCorr.c.o [ 90%] Building C object CMakeFiles/libabc.dir/src/bdd/cudd/cuddBddIte.c.o [ 90%] Building C object CMakeFiles/libabc.dir/src/bdd/cudd/cuddBridge.c.o [ 90%] Building C object CMakeFiles/libabc.dir/src/bdd/cudd/cuddCache.c.o [ 90%] Building C object CMakeFiles/libabc.dir/src/bdd/cudd/cuddCheck.c.o [ 90%] Building C object CMakeFiles/libabc.dir/src/bdd/cudd/cuddClip.c.o [ 90%] Building C object CMakeFiles/libabc.dir/src/bdd/cudd/cuddCof.c.o [ 90%] Building C object CMakeFiles/libabc.dir/src/bdd/cudd/cuddCompose.c.o [ 90%] Building C object CMakeFiles/libabc.dir/src/bdd/cudd/cuddDecomp.c.o [ 90%] Building C object CMakeFiles/libabc.dir/src/bdd/cudd/cuddEssent.c.o [ 90%] Building C object CMakeFiles/libabc.dir/src/bdd/cudd/cuddExact.c.o [ 90%] Building C object CMakeFiles/libabc.dir/src/bdd/cudd/cuddExport.c.o [ 90%] Building C object CMakeFiles/libabc.dir/src/bdd/cudd/cuddGenCof.c.o [ 90%] Building C object CMakeFiles/libabc.dir/src/bdd/cudd/cuddGenetic.c.o [ 92%] Building C object CMakeFiles/libabc.dir/src/bdd/cudd/cuddGroup.c.o [ 92%] Building C object CMakeFiles/libabc.dir/src/bdd/cudd/cuddHarwell.c.o [ 92%] Building C object CMakeFiles/libabc.dir/src/bdd/cudd/cuddInit.c.o [ 92%] Building C object CMakeFiles/libabc.dir/src/bdd/cudd/cuddInteract.c.o [ 92%] Building C object CMakeFiles/libabc.dir/src/bdd/cudd/cuddLCache.c.o [ 92%] Building C object CMakeFiles/libabc.dir/src/bdd/cudd/cuddLevelQ.c.o [ 92%] Building C object CMakeFiles/libabc.dir/src/bdd/cudd/cuddLinear.c.o [ 92%] Building C object CMakeFiles/libabc.dir/src/bdd/cudd/cuddLiteral.c.o [ 92%] Building C object CMakeFiles/libabc.dir/src/bdd/cudd/cuddMatMult.c.o [ 92%] Building C object CMakeFiles/libabc.dir/src/bdd/cudd/cuddPriority.c.o [ 92%] Building C object CMakeFiles/libabc.dir/src/bdd/cudd/cuddRead.c.o [ 92%] Building C object CMakeFiles/libabc.dir/src/bdd/cudd/cuddRef.c.o [ 92%] Building C object CMakeFiles/libabc.dir/src/bdd/cudd/cuddReorder.c.o [ 92%] Building C object CMakeFiles/libabc.dir/src/bdd/cudd/cuddSat.c.o [ 92%] Building C object CMakeFiles/libabc.dir/src/bdd/cudd/cuddSign.c.o [ 92%] Building C object CMakeFiles/libabc.dir/src/bdd/cudd/cuddSolve.c.o [ 92%] Building C object CMakeFiles/libabc.dir/src/bdd/cudd/cuddSplit.c.o [ 92%] Building C object CMakeFiles/libabc.dir/src/bdd/cudd/cuddSubsetHB.c.o [ 92%] Building C object CMakeFiles/libabc.dir/src/bdd/cudd/cuddSubsetSP.c.o [ 92%] Building C object CMakeFiles/libabc.dir/src/bdd/cudd/cuddSymmetry.c.o [ 92%] Building C object CMakeFiles/libabc.dir/src/bdd/cudd/cuddTable.c.o [ 92%] Building C object CMakeFiles/libabc.dir/src/bdd/cudd/cuddUtil.c.o [ 94%] Building C object CMakeFiles/libabc.dir/src/bdd/cudd/cuddWindow.c.o [ 94%] Building C object CMakeFiles/libabc.dir/src/bdd/cudd/cuddZddCount.c.o [ 94%] Building C object CMakeFiles/libabc.dir/src/bdd/cudd/cuddZddFuncs.c.o [ 94%] Building C object CMakeFiles/libabc.dir/src/bdd/cudd/cuddZddGroup.c.o [ 94%] Building C object CMakeFiles/libabc.dir/src/bdd/cudd/cuddZddIsop.c.o [ 94%] Building C object CMakeFiles/libabc.dir/src/bdd/cudd/cuddZddLin.c.o [ 94%] Building C object CMakeFiles/libabc.dir/src/bdd/cudd/cuddZddMisc.c.o [ 94%] Building C object CMakeFiles/libabc.dir/src/bdd/cudd/cuddZddPort.c.o [ 94%] Building C object CMakeFiles/libabc.dir/src/bdd/cudd/cuddZddReord.c.o [ 94%] Building C object CMakeFiles/libabc.dir/src/bdd/cudd/cuddZddSetop.c.o [ 94%] Building C object CMakeFiles/libabc.dir/src/bdd/cudd/cuddZddSymm.c.o [ 94%] Building C object CMakeFiles/libabc.dir/src/bdd/cudd/cuddZddUtil.c.o [ 94%] Building C object CMakeFiles/libabc.dir/src/bdd/extrab/extraBddAuto.c.o [ 94%] Building C object CMakeFiles/libabc.dir/src/bdd/extrab/extraBddCas.c.o [ 94%] Building C object CMakeFiles/libabc.dir/src/bdd/extrab/extraBddImage.c.o [ 94%] Building C object CMakeFiles/libabc.dir/src/bdd/extrab/extraBddKmap.c.o [ 94%] Building C object CMakeFiles/libabc.dir/src/bdd/extrab/extraBddMaxMin.c.o [ 94%] Building C object CMakeFiles/libabc.dir/src/bdd/extrab/extraBddMisc.c.o [ 94%] Building C object CMakeFiles/libabc.dir/src/bdd/extrab/extraBddSet.c.o [ 94%] Building C object CMakeFiles/libabc.dir/src/bdd/extrab/extraBddSymm.c.o [ 94%] Building C object CMakeFiles/libabc.dir/src/bdd/extrab/extraBddThresh.c.o [ 94%] Building C object CMakeFiles/libabc.dir/src/bdd/extrab/extraBddTime.c.o [ 94%] Building C object CMakeFiles/libabc.dir/src/bdd/extrab/extraBddUnate.c.o [ 96%] Building C object CMakeFiles/libabc.dir/src/bdd/dsd/dsdApi.c.o [ 96%] Building C object CMakeFiles/libabc.dir/src/bdd/dsd/dsdCheck.c.o [ 96%] Building C object CMakeFiles/libabc.dir/src/bdd/dsd/dsdLocal.c.o [ 96%] Building C object CMakeFiles/libabc.dir/src/bdd/dsd/dsdMan.c.o [ 96%] Building C object CMakeFiles/libabc.dir/src/bdd/dsd/dsdProc.c.o [ 96%] Building C object CMakeFiles/libabc.dir/src/bdd/dsd/dsdTree.c.o [ 96%] Building C object CMakeFiles/libabc.dir/src/bdd/epd/epd.c.o [ 96%] Building C object CMakeFiles/libabc.dir/src/bdd/mtr/mtrBasic.c.o [ 96%] Building C object CMakeFiles/libabc.dir/src/bdd/mtr/mtrGroup.c.o [ 96%] Building C object CMakeFiles/libabc.dir/src/bdd/reo/reoApi.c.o [ 96%] Building C object CMakeFiles/libabc.dir/src/bdd/reo/reoCore.c.o [ 96%] Building C object CMakeFiles/libabc.dir/src/bdd/reo/reoProfile.c.o [ 96%] Building C object CMakeFiles/libabc.dir/src/bdd/reo/reoShuffle.c.o [ 96%] Building C object CMakeFiles/libabc.dir/src/bdd/reo/reoSift.c.o [ 96%] Building C object CMakeFiles/libabc.dir/src/bdd/reo/reoSwap.c.o [ 96%] Building C object CMakeFiles/libabc.dir/src/bdd/reo/reoTransfer.c.o [ 96%] Building C object CMakeFiles/libabc.dir/src/bdd/reo/reoUnits.c.o [ 96%] Building C object CMakeFiles/libabc.dir/src/bdd/cas/casCore.c.o [ 96%] Building C object CMakeFiles/libabc.dir/src/bdd/cas/casDec.c.o [ 96%] Building C object CMakeFiles/libabc.dir/src/bdd/bbr/bbrCex.c.o [ 96%] Building C object CMakeFiles/libabc.dir/src/bdd/bbr/bbrImage.c.o [ 96%] Building C object CMakeFiles/libabc.dir/src/bdd/bbr/bbrNtbdd.c.o [ 98%] Building C object CMakeFiles/libabc.dir/src/bdd/bbr/bbrReach.c.o [ 98%] Building C object CMakeFiles/libabc.dir/src/bdd/llb/llb1Cluster.c.o [ 98%] Building C object CMakeFiles/libabc.dir/src/bdd/llb/llb1Constr.c.o [ 98%] Building C object CMakeFiles/libabc.dir/src/bdd/llb/llb1Core.c.o [ 98%] Building C object CMakeFiles/libabc.dir/src/bdd/llb/llb1Group.c.o [ 98%] Building C object CMakeFiles/libabc.dir/src/bdd/llb/llb1Hint.c.o [ 98%] Building C object CMakeFiles/libabc.dir/src/bdd/llb/llb1Man.c.o [ 98%] Building C object CMakeFiles/libabc.dir/src/bdd/llb/llb1Matrix.c.o [ 98%] Building C object CMakeFiles/libabc.dir/src/bdd/llb/llb1Pivot.c.o [ 98%] Building C object CMakeFiles/libabc.dir/src/bdd/llb/llb1Reach.c.o [ 98%] Building C object CMakeFiles/libabc.dir/src/bdd/llb/llb1Sched.c.o [ 98%] Building C object CMakeFiles/libabc.dir/src/bdd/llb/llb2Bad.c.o [ 98%] Building C object CMakeFiles/libabc.dir/src/bdd/llb/llb2Core.c.o [ 98%] Building C object CMakeFiles/libabc.dir/src/bdd/llb/llb2Driver.c.o [ 98%] Building C object CMakeFiles/libabc.dir/src/bdd/llb/llb2Dump.c.o [ 98%] Building C object CMakeFiles/libabc.dir/src/bdd/llb/llb2Flow.c.o [ 98%] Building C object CMakeFiles/libabc.dir/src/bdd/llb/llb2Image.c.o [ 98%] Building C object CMakeFiles/libabc.dir/src/bdd/llb/llb3Image.c.o [ 98%] Building C object CMakeFiles/libabc.dir/src/bdd/llb/llb3Nonlin.c.o [ 98%] Building C object CMakeFiles/libabc.dir/src/bdd/llb/llb4Cex.c.o [ 98%] Building C object CMakeFiles/libabc.dir/src/bdd/llb/llb4Image.c.o [ 98%] Building C object CMakeFiles/libabc.dir/src/bdd/llb/llb4Nonlin.c.o [100%] Building C object CMakeFiles/libabc.dir/src/bdd/llb/llb4Sweep.c.o [100%] Linking CXX shared library libabc.so /builddir/build/BUILD/abc-1.02-build/abc/src/sat/glucose/Glucose.cpp:914:8: warning: type ‘struct reduceDB_lt’ violates the C++ One Definition Rule [-Wodr] 914 | struct reduceDB_lt { | ^ /builddir/build/BUILD/abc-1.02-build/abc/src/sat/glucose2/Glucose2.cpp:1075:8: note: a different type is defined in another translation unit 1075 | struct reduceDB_lt { | ^ /builddir/build/BUILD/abc-1.02-build/abc/src/sat/glucose/Glucose.cpp:915:22: note: the first difference of corresponding definitions is field ‘ca’ 915 | ClauseAllocator& ca; | ^ /builddir/build/BUILD/abc-1.02-build/abc/src/sat/glucose2/Glucose2.cpp:1076:22: note: a field of same name but different type is defined in another translation unit 1076 | ClauseAllocator& ca; | ^ /builddir/build/BUILD/abc-1.02-build/abc/src/sat/glucose/SolverTypes.h:217:7: note: type name ‘Gluco::ClauseAllocator’ should match type name ‘Gluco2::ClauseAllocator’ 217 | class ClauseAllocator : public RegionAllocator | ^ /builddir/build/BUILD/abc-1.02-build/abc/src/sat/glucose2/SolverTypes.h:223:7: note: the incompatible type is defined here 223 | class ClauseAllocator : public RegionAllocator | ^ [100%] Built target libabc [100%] Building C object CMakeFiles/abc.dir/src/base/main/main.c.o [100%] Linking C executable abc [100%] Built target abc + RPM_EC=0 ++ jobs -p + exit 0 Executing(%install): /bin/sh -e /var/tmp/rpm-tmp.rSjWhi + umask 022 + cd /builddir/build/BUILD/abc-1.02-build + '[' /builddir/build/BUILD/abc-1.02-build/BUILDROOT '!=' / ']' + rm -rf /builddir/build/BUILD/abc-1.02-build/BUILDROOT ++ dirname /builddir/build/BUILD/abc-1.02-build/BUILDROOT + mkdir -p /builddir/build/BUILD/abc-1.02-build + mkdir /builddir/build/BUILD/abc-1.02-build/BUILDROOT + CFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer ' + export CFLAGS + CXXFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer ' + export CXXFLAGS + FFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -I/usr/lib64/gfortran/modules ' + export FFLAGS + FCFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -I/usr/lib64/gfortran/modules ' + export FCFLAGS + VALAFLAGS=-g + export VALAFLAGS + RUSTFLAGS='-Copt-level=3 -Cdebuginfo=2 -Ccodegen-units=1 -Cstrip=none -Cforce-frame-pointers=yes -Clink-arg=-specs=/usr/lib/rpm/redhat/redhat-package-notes --cap-lints=warn' + export RUSTFLAGS + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes ' + export LDFLAGS + LT_SYS_LIBRARY_PATH=/usr/lib64: + export LT_SYS_LIBRARY_PATH + CC=gcc + export CC + CXX=g++ + export CXX + cd abc + mkdir -p /builddir/build/BUILD/abc-1.02-build/BUILDROOT/usr/lib64 + install -p -m 0755 libabc.so.0.0.0 /builddir/build/BUILD/abc-1.02-build/BUILDROOT/usr/lib64 + ln -s libabc.so.0.0.0 /builddir/build/BUILD/abc-1.02-build/BUILDROOT/usr/lib64/libabc.so.0 + ln -s libabc.so.0 /builddir/build/BUILD/abc-1.02-build/BUILDROOT/usr/lib64/libabc.so + pushd src ~/build/BUILD/abc-1.02-build/abc/src ~/build/BUILD/abc-1.02-build/abc + mkdir -p /builddir/build/BUILD/abc-1.02-build/BUILDROOT/usr/include/abc + cd /builddir/build/BUILD/abc-1.02-build/BUILDROOT/usr/include/abc + tar -xBf - ++ find -O3 . -name '*.h' + tar -cBf - ./aig/aig/aig.h ./aig/gia/gia.h ./aig/gia/giaAig.h ./aig/gia/giaCSatP.h ./aig/gia/giaIiff.h ./aig/gia/giaNewBdd.h ./aig/gia/giaNewTt.h ./aig/gia/giaTransduction.h ./aig/hop/cudd2.h ./aig/hop/hop.h ./aig/ioa/ioa.h ./aig/ivy/attr.h ./aig/ivy/ivy.h ./aig/miniaig/abcOper.h ./aig/miniaig/miniaig.h ./aig/miniaig/minilut.h ./aig/miniaig/ndr.h ./aig/saig/saig.h ./base/abc/abc.h ./base/abc/abcInt.h ./base/acb/acb.h ./base/acb/acbPar.h ./base/acb/acbSets.h ./base/bac/bac.h ./base/bac/bacPrs.h ./base/cba/cba.h ./base/cba/cbaPrs.h ./base/cba/cbaTypes.h ./base/cmd/cmd.h ./base/cmd/cmdInt.h ./base/exor/exor.h ./base/io/ioAbc.h ./base/io/ioInt.h ./base/io/ioResub.h ./base/main/abcapis.h ./base/main/abcapis_old.h ./base/main/main.h ./base/main/mainInt.h ./base/pla/pla.h ./base/ver/ver.h ./base/wlc/wlc.h ./base/wln/wln.h ./bdd/bbr/bbr.h ./bdd/cas/cas.h ./bdd/cudd/cudd.h ./bdd/cudd/cuddInt.h ./bdd/dsd/dsd.h ./bdd/dsd/dsdInt.h ./bdd/epd/epd.h ./bdd/extrab/extraBdd.h ./bdd/extrab/extraLutCas.h ./bdd/llb/llb.h ./bdd/llb/llbInt.h ./bdd/mtr/mtr.h ./bdd/mtr/mtrInt.h ./bdd/reo/reo.h ./bool/bdc/bdc.h ./bool/bdc/bdcInt.h ./bool/dec/dec.h ./bool/deco/deco.h ./bool/kit/cloud.h ./bool/kit/kit.h ./bool/lucky/lucky.h ./bool/lucky/luckyInt.h ./bool/rpo/literal.h ./bool/rpo/rpo.h ./bool/rsb/rsb.h ./bool/rsb/rsbInt.h ./generic.h ./map/amap/amap.h ./map/amap/amapInt.h ./map/cov/cov.h ./map/cov/covInt.h ./map/fpga/fpga.h ./map/fpga/fpgaInt.h ./map/if/acd/ac_wrapper.h ./map/if/if.h ./map/if/ifCount.h ./map/mapper/mapper.h ./map/mapper/mapperInt.h ./map/mio/exp.h ./map/mio/mio.h ./map/mio/mioInt.h ./map/mpm/mpm.h ./map/mpm/mpmInt.h ./map/mpm/mpmMig.h ./map/scl/scl.h ./map/scl/sclCon.h ./map/scl/sclLib.h ./map/scl/sclSize.h ./map/scl/sclTime.h ./map/super/super.h ./map/super/superInt.h ./misc/avl/avl.h ./misc/bar/bar.h ./misc/bbl/bblif.h ./misc/espresso/espresso.h ./misc/espresso/main.h ./misc/espresso/mincov.h ./misc/espresso/mincov_int.h ./misc/espresso/sparse.h ./misc/espresso/sparse_int.h ./misc/espresso/util_old.h ./misc/extra/extra.h ./misc/hash/hash.h ./misc/hash/hashFlt.h ./misc/hash/hashGen.h ./misc/hash/hashInt.h ./misc/hash/hashPtr.h ./misc/mem/mem.h ./misc/mem/mem2.h ./misc/mvc/mvc.h ./misc/nm/nm.h ./misc/nm/nmInt.h ./misc/parse/parse.h ./misc/parse/parseInt.h ./misc/st/st.h ./misc/st/stmm.h ./misc/tim/tim.h ./misc/tim/timInt.h ./misc/util/abc_global.h ./misc/util/abc_namespaces.h ./misc/util/utilCex.h ./misc/util/utilDouble.h ./misc/util/utilFloat.h ./misc/util/utilMem.h ./misc/util/utilNam.h ./misc/util/utilSignal.h ./misc/util/utilTruth.h ./misc/util/util_hack.h ./misc/vec/vec.h ./misc/vec/vecAtt.h ./misc/vec/vecBit.h ./misc/vec/vecFlt.h ./misc/vec/vecHash.h ./misc/vec/vecHsh.h ./misc/vec/vecInt.h ./misc/vec/vecMem.h ./misc/vec/vecPtr.h ./misc/vec/vecQue.h ./misc/vec/vecSet.h ./misc/vec/vecStr.h ./misc/vec/vecVec.h ./misc/vec/vecWec.h ./misc/vec/vecWrd.h ./opt/cgt/cgt.h ./opt/cgt/cgtInt.h ./opt/csw/csw.h ./opt/csw/cswInt.h ./opt/cut/cut.h ./opt/cut/cutInt.h ./opt/cut/cutList.h ./opt/dar/dar.h ./opt/dar/darInt.h ./opt/dau/dau.h ./opt/dau/dauInt.h ./opt/dsc/dsc.h ./opt/fret/fretime.h ./opt/fsim/fsim.h ./opt/fsim/fsimInt.h ./opt/fxch/Fxch.h ./opt/fxu/fxu.h ./opt/fxu/fxuInt.h ./opt/lpk/lpk.h ./opt/lpk/lpkInt.h ./opt/mfs/mfs.h ./opt/mfs/mfsInt.h ./opt/nwk/ntlnwk.h ./opt/nwk/nwk.h ./opt/nwk/nwkMerge.h ./opt/res/res.h ./opt/res/resInt.h ./opt/ret/retInt.h ./opt/rwr/rwr.h ./opt/rwt/rwt.h ./opt/sbd/sbd.h ./opt/sbd/sbdInt.h ./opt/sfm/sfm.h ./opt/sfm/sfmInt.h ./opt/sim/sim.h ./phys/place/libhmetis.h ./phys/place/place_base.h ./phys/place/place_gordian.h ./phys/place/place_qpsolver.h ./proof/abs/abs.h ./proof/abs/absRef.h ./proof/abs/absRefJ.h ./proof/acec/acec.h ./proof/acec/acecInt.h ./proof/cec/cec.h ./proof/cec/cecInt.h ./proof/dch/dch.h ./proof/dch/dchInt.h ./proof/fra/fra.h ./proof/fraig/fraig.h ./proof/fraig/fraigInt.h ./proof/int/int.h ./proof/int/intInt.h ./proof/int2/int2.h ./proof/int2/int2Int.h ./proof/pdr/pdr.h ./proof/pdr/pdrInt.h ./proof/ssc/ssc.h ./proof/ssc/sscInt.h ./proof/ssw/ssw.h ./proof/ssw/sswInt.h ./sat/bmc/bmc.h ./sat/bsat/satClause.h ./sat/bsat/satMem.h ./sat/bsat/satProof2.h ./sat/bsat/satSolver.h ./sat/bsat/satSolver2.h ./sat/bsat/satSolver3.h ./sat/bsat/satStore.h ./sat/bsat/satTruth.h ./sat/bsat/satVec.h ./sat/bsat2/Alg.h ./sat/bsat2/Alloc.h ./sat/bsat2/Dimacs.h ./sat/bsat2/Heap.h ./sat/bsat2/IntTypes.h ./sat/bsat2/Map.h ./sat/bsat2/Options.h ./sat/bsat2/Queue.h ./sat/bsat2/SimpSolver.h ./sat/bsat2/Solver.h ./sat/bsat2/SolverTypes.h ./sat/bsat2/Sort.h ./sat/bsat2/System.h ./sat/bsat2/Vec.h ./sat/bsat2/XAlloc.h ./sat/bsat2/pstdint.h ./sat/bsat2/ParseUtils.h ./sat/cnf/cnf.h ./sat/csat/csat_apis.h ./sat/glucose/AbcGlucose.h ./sat/glucose/Alg.h ./sat/glucose/Alloc.h ./sat/glucose/BoundedQueue.h ./sat/glucose/Constants.h ./sat/glucose/Dimacs.h ./sat/glucose/Heap.h ./sat/glucose/IntTypes.h ./sat/glucose/Map.h ./sat/glucose/Options.h ./sat/glucose/Queue.h ./sat/glucose/SimpSolver.h ./sat/glucose/Solver.h ./sat/glucose/SolverTypes.h ./sat/glucose/Sort.h ./sat/glucose/System.h ./sat/glucose/Vec.h ./sat/glucose/XAlloc.h ./sat/glucose/pstdint.h ./sat/glucose/ParseUtils.h ./sat/glucose2/AbcGlucose2.h ./sat/glucose2/Alg.h ./sat/glucose2/Alloc.h ./sat/glucose2/BoundedQueue.h ./sat/glucose2/CGlucose.h ./sat/glucose2/CGlucoseCore.h ./sat/glucose2/Constants.h ./sat/glucose2/Dimacs.h ./sat/glucose2/Heap.h ./sat/glucose2/Heap2.h ./sat/glucose2/IntTypes.h ./sat/glucose2/Map.h ./sat/glucose2/Options.h ./sat/glucose2/Queue.h ./sat/glucose2/SimpSolver.h ./sat/glucose2/Solver.h ./sat/glucose2/SolverTypes.h ./sat/glucose2/Sort.h ./sat/glucose2/System.h ./sat/glucose2/Vec.h ./sat/glucose2/XAlloc.h ./sat/glucose2/pstdint.h ./sat/glucose2/ParseUtils.h ./sat/lsat/solver.h ./sat/msat/msat.h ./sat/msat/msatInt.h ./sat/proof/pr.h ./sat/psat/m114p.h ./sat/psat/m114p_types.h ./sat/satoko/act_clause.h ./sat/satoko/act_var.h ./sat/satoko/cdb.h ./sat/satoko/clause.h ./sat/satoko/satoko.h ./sat/satoko/solver.h ./sat/satoko/types.h ./sat/satoko/utils/b_queue.h ./sat/satoko/utils/heap.h ./sat/satoko/utils/mem.h ./sat/satoko/utils/misc.h ./sat/satoko/utils/sdbl.h ./sat/satoko/utils/sort.h ./sat/satoko/utils/vec/vec_char.h ./sat/satoko/utils/vec/vec_flt.h ./sat/satoko/utils/vec/vec_int.h ./sat/satoko/utils/vec/vec_sdbl.h ./sat/satoko/utils/vec/vec_uint.h ./sat/satoko/watch_list.h ./sat/xsat/xsat.h ./sat/xsat/xsatBQueue.h ./sat/xsat/xsatClause.h ./sat/xsat/xsatHeap.h ./sat/xsat/xsatMemory.h ./sat/xsat/xsatSolver.h ./sat/xsat/xsatUtils.h ./sat/xsat/xsatWatchList.h + popd ~/build/BUILD/abc-1.02-build/abc + mkdir -p /builddir/build/BUILD/abc-1.02-build/BUILDROOT/usr/bin + install -p -m 0755 abc /builddir/build/BUILD/abc-1.02-build/BUILDROOT/usr/bin + mkdir -p /builddir/build/BUILD/abc-1.02-build/BUILDROOT/usr/share/man/man1 + install -p -m 0644 abc.1 /builddir/build/BUILD/abc-1.02-build/BUILDROOT/usr/share/man/man1 + /usr/bin/find-debuginfo -j2 --strict-build-id -m -i --build-id-seed 1.02-20241021.0.gitcb2140dc.fc41 --unique-debug-suffix -1.02-20241021.0.gitcb2140dc.fc41.x86_64 --unique-debug-src-base abc-1.02-20241021.0.gitcb2140dc.fc41.x86_64 --run-dwz --dwz-low-mem-die-limit 10000000 --dwz-max-die-limit 110000000 -S debugsourcefiles.list /builddir/build/BUILD/abc-1.02-build/abc find-debuginfo: starting Extracting debug info from 2 files DWARF-compressing 2 files sepdebugcrcfix: Updated 2 CRC32s, 0 CRC32s did match. Creating .debug symlinks for symlinks to ELF files Copying sources found by 'debugedit -l' to /usr/src/debug/abc-1.02-20241021.0.gitcb2140dc.fc41.x86_64 64182 blocks find-debuginfo: done + /usr/lib/rpm/check-buildroot + /usr/lib/rpm/redhat/brp-ldconfig + /usr/lib/rpm/brp-compress + /usr/lib/rpm/redhat/brp-strip-lto /usr/bin/strip + /usr/lib/rpm/brp-strip-static-archive /usr/bin/strip + /usr/lib/rpm/check-rpaths + /usr/lib/rpm/redhat/brp-mangle-shebangs *** WARNING: ./usr/include/abc/sat/satoko/utils/mem.h is executable but has no shebang, removing executable bit *** WARNING: ./usr/include/abc/sat/satoko/utils/misc.h is executable but has no shebang, removing executable bit *** WARNING: ./usr/include/abc/sat/satoko/utils/vec/vec_int.h is executable but has no shebang, removing executable bit *** WARNING: ./usr/include/abc/sat/satoko/utils/vec/vec_sdbl.h is executable but has no shebang, removing executable bit *** WARNING: ./usr/include/abc/sat/satoko/utils/vec/vec_uint.h is executable but has no shebang, removing executable bit *** WARNING: ./usr/src/debug/abc-1.02-20241021.0.gitcb2140dc.fc41.x86_64/src/sat/satoko/utils/misc.h is executable but has no shebang, removing executable bit *** WARNING: ./usr/src/debug/abc-1.02-20241021.0.gitcb2140dc.fc41.x86_64/src/sat/satoko/utils/vec/vec_int.h is executable but has no shebang, removing executable bit *** WARNING: ./usr/src/debug/abc-1.02-20241021.0.gitcb2140dc.fc41.x86_64/src/sat/satoko/utils/vec/vec_sdbl.h is executable but has no shebang, removing executable bit *** WARNING: ./usr/src/debug/abc-1.02-20241021.0.gitcb2140dc.fc41.x86_64/src/sat/satoko/utils/vec/vec_uint.h is executable but has no shebang, removing executable bit + /usr/lib/rpm/brp-remove-la-files + env /usr/lib/rpm/redhat/brp-python-bytecompile '' 1 0 -j2 + /usr/lib/rpm/redhat/brp-python-hardlink + /usr/bin/add-determinism --brp -j2 /builddir/build/BUILD/abc-1.02-build/BUILDROOT Scanned 243 directories and 1690 files, processed 0 inodes, 0 modified (0 replaced + 0 rewritten), 0 unsupported format, 0 errors Reading /builddir/build/BUILD/abc-1.02-build/SPECPARTS/rpm-debuginfo.specpart Processing files: abc-1.02-20241021.0.gitcb2140dc.fc41.x86_64 Executing(%doc): /bin/sh -e /var/tmp/rpm-tmp.ZNuSKR + umask 022 + cd /builddir/build/BUILD/abc-1.02-build + cd abc + DOCDIR=/builddir/build/BUILD/abc-1.02-build/BUILDROOT/usr/share/doc/abc + export LC_ALL=C.UTF-8 + LC_ALL=C.UTF-8 + export DOCDIR + /usr/bin/mkdir -p /builddir/build/BUILD/abc-1.02-build/BUILDROOT/usr/share/doc/abc + cp -pr /builddir/build/BUILD/abc-1.02-build/abc/README.md /builddir/build/BUILD/abc-1.02-build/BUILDROOT/usr/share/doc/abc + cp -pr /builddir/build/BUILD/abc-1.02-build/abc/readmeaig /builddir/build/BUILD/abc-1.02-build/BUILDROOT/usr/share/doc/abc + RPM_EC=0 ++ jobs -p + exit 0 Provides: abc = 1.02-20241021.0.gitcb2140dc.fc41 abc(x86-64) = 1.02-20241021.0.gitcb2140dc.fc41 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Requires: libabc.so.0()(64bit) libc.so.6()(64bit) libc.so.6(GLIBC_2.2.5)(64bit) libc.so.6(GLIBC_2.34)(64bit) libc.so.6(GLIBC_ABI_DT_RELR)(64bit) rtld(GNU_HASH) Obsoletes: yosyshq-abc Processing files: abc-libs-1.02-20241021.0.gitcb2140dc.fc41.x86_64 Executing(%license): /bin/sh -e /var/tmp/rpm-tmp.jZcmNz + umask 022 + cd /builddir/build/BUILD/abc-1.02-build + cd abc + LICENSEDIR=/builddir/build/BUILD/abc-1.02-build/BUILDROOT/usr/share/licenses/abc-libs + export LC_ALL=C.UTF-8 + LC_ALL=C.UTF-8 + export LICENSEDIR + /usr/bin/mkdir -p /builddir/build/BUILD/abc-1.02-build/BUILDROOT/usr/share/licenses/abc-libs + cp -pr /builddir/build/BUILD/abc-1.02-build/abc/copyright.txt /builddir/build/BUILD/abc-1.02-build/BUILDROOT/usr/share/licenses/abc-libs + RPM_EC=0 ++ jobs -p + exit 0 Provides: abc-libs = 1.02-20241021.0.gitcb2140dc.fc41 abc-libs(x86-64) = 1.02-20241021.0.gitcb2140dc.fc41 bundled(cudd) = 2.4.2 libabc.so.0()(64bit) Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Requires: libbz2.so.1()(64bit) libc.so.6()(64bit) libc.so.6(GLIBC_2.14)(64bit) libc.so.6(GLIBC_2.17)(64bit) libc.so.6(GLIBC_2.2.5)(64bit) libc.so.6(GLIBC_2.3)(64bit) libc.so.6(GLIBC_2.3.4)(64bit) libc.so.6(GLIBC_2.34)(64bit) libc.so.6(GLIBC_2.38)(64bit) libc.so.6(GLIBC_2.4)(64bit) libc.so.6(GLIBC_2.7)(64bit) libc.so.6(GLIBC_ABI_DT_RELR)(64bit) libgcc_s.so.1()(64bit) libm.so.6()(64bit) libm.so.6(GLIBC_2.2.5)(64bit) libm.so.6(GLIBC_2.29)(64bit) libreadline.so.8()(64bit) libstdc++.so.6()(64bit) libstdc++.so.6(CXXABI_1.3)(64bit) libstdc++.so.6(CXXABI_1.3.9)(64bit) libstdc++.so.6(GLIBCXX_3.4)(64bit) libstdc++.so.6(GLIBCXX_3.4.11)(64bit) libstdc++.so.6(GLIBCXX_3.4.14)(64bit) libstdc++.so.6(GLIBCXX_3.4.15)(64bit) libstdc++.so.6(GLIBCXX_3.4.18)(64bit) libstdc++.so.6(GLIBCXX_3.4.21)(64bit) libstdc++.so.6(GLIBCXX_3.4.29)(64bit) libstdc++.so.6(GLIBCXX_3.4.30)(64bit) libstdc++.so.6(GLIBCXX_3.4.32)(64bit) libstdc++.so.6(GLIBCXX_3.4.9)(64bit) libz.so.1()(64bit) rtld(GNU_HASH) Obsoletes: yosyshq-abc-libs Processing files: abc-devel-1.02-20241021.0.gitcb2140dc.fc41.x86_64 Provides: abc-devel = 1.02-20241021.0.gitcb2140dc.fc41 abc-devel(x86-64) = 1.02-20241021.0.gitcb2140dc.fc41 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Requires: libabc.so.0()(64bit) Obsoletes: yosyshq-abc-devel Processing files: abc-debugsource-1.02-20241021.0.gitcb2140dc.fc41.x86_64 Provides: abc-debugsource = 1.02-20241021.0.gitcb2140dc.fc41 abc-debugsource(x86-64) = 1.02-20241021.0.gitcb2140dc.fc41 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Processing files: abc-debuginfo-1.02-20241021.0.gitcb2140dc.fc41.x86_64 Provides: abc-debuginfo = 1.02-20241021.0.gitcb2140dc.fc41 abc-debuginfo(x86-64) = 1.02-20241021.0.gitcb2140dc.fc41 debuginfo(build-id) = 49e457cc7d8b3431f546fc14924ae432ff535c44 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Recommends: abc-debugsource(x86-64) = 1.02-20241021.0.gitcb2140dc.fc41 Processing files: abc-libs-debuginfo-1.02-20241021.0.gitcb2140dc.fc41.x86_64 Provides: abc-libs-debuginfo = 1.02-20241021.0.gitcb2140dc.fc41 abc-libs-debuginfo(x86-64) = 1.02-20241021.0.gitcb2140dc.fc41 debuginfo(build-id) = 4edbd9ccf8fdd7187621cc6dcfcd2dce6da69953 libabc.so.0.0.0-1.02-20241021.0.gitcb2140dc.fc41.x86_64.debug()(64bit) Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Recommends: abc-debugsource(x86-64) = 1.02-20241021.0.gitcb2140dc.fc41 Checking for unpackaged file(s): /usr/lib/rpm/check-files /builddir/build/BUILD/abc-1.02-build/BUILDROOT Wrote: /builddir/build/RPMS/abc-debugsource-1.02-20241021.0.gitcb2140dc.fc41.x86_64.rpm Wrote: /builddir/build/RPMS/abc-libs-1.02-20241021.0.gitcb2140dc.fc41.x86_64.rpm Wrote: /builddir/build/RPMS/abc-devel-1.02-20241021.0.gitcb2140dc.fc41.x86_64.rpm Wrote: /builddir/build/RPMS/abc-1.02-20241021.0.gitcb2140dc.fc41.x86_64.rpm Wrote: /builddir/build/RPMS/abc-debuginfo-1.02-20241021.0.gitcb2140dc.fc41.x86_64.rpm Wrote: /builddir/build/RPMS/abc-libs-debuginfo-1.02-20241021.0.gitcb2140dc.fc41.x86_64.rpm Executing(rmbuild): /bin/sh -e /var/tmp/rpm-tmp.0tFfRZ + umask 022 + cd /builddir/build/BUILD/abc-1.02-build + test -d /builddir/build/BUILD/abc-1.02-build + /usr/bin/chmod -Rf a+rX,u+w,g-w,o-w /builddir/build/BUILD/abc-1.02-build + rm -rf /builddir/build/BUILD/abc-1.02-build + RPM_EC=0 ++ jobs -p + exit 0 RPM build warnings: line 37: It's not recommended to have unversioned Obsoletes: Obsoletes: yosyshq-abc line 61: It's not recommended to have unversioned Obsoletes: Obsoletes: yosyshq-abc-libs line 69: It's not recommended to have unversioned Obsoletes: Obsoletes: yosyshq-abc-devel Finish: rpmbuild abc-1.02-20241021.0.gitcb2140dc.fc41.src.rpm Finish: build phase for abc-1.02-20241021.0.gitcb2140dc.fc41.src.rpm INFO: chroot_scan: 1 files copied to /var/lib/copr-rpmbuild/results/chroot_scan INFO: /var/lib/mock/fedora-41-x86_64-1729559599.251339/root/var/log/dnf5.log INFO: Done(/var/lib/copr-rpmbuild/results/abc-1.02-20241021.0.gitcb2140dc.fc41.src.rpm) Config(child) 6 minutes 48 seconds INFO: Results and/or logs in: /var/lib/copr-rpmbuild/results INFO: Cleaning up build root ('cleanup_on_success=True') Start: clean chroot INFO: unmounting tmpfs. Finish: clean chroot Finish: run Running RPMResults tool Package info: { "packages": [ { "name": "abc-libs", "epoch": null, "version": "1.02", "release": "20241021.0.gitcb2140dc.fc41", "arch": "x86_64" }, { "name": "abc-debuginfo", "epoch": null, "version": "1.02", "release": "20241021.0.gitcb2140dc.fc41", "arch": "x86_64" }, { "name": "abc-devel", "epoch": null, "version": "1.02", "release": "20241021.0.gitcb2140dc.fc41", "arch": "x86_64" }, { "name": "abc-libs-debuginfo", "epoch": null, "version": "1.02", "release": "20241021.0.gitcb2140dc.fc41", "arch": "x86_64" }, { "name": "abc", "epoch": null, "version": "1.02", "release": "20241021.0.gitcb2140dc.fc41", "arch": "x86_64" }, { "name": "abc", "epoch": null, "version": "1.02", "release": "20241021.0.gitcb2140dc.fc41", "arch": "src" }, { "name": "abc-debugsource", "epoch": null, "version": "1.02", "release": "20241021.0.gitcb2140dc.fc41", "arch": "x86_64" } ] } RPMResults finished