Warning: Permanently added '3.86.215.216' (ED25519) to the list of known hosts. Running (timeout=172800): unbuffer mock --spec /var/lib/copr-rpmbuild/workspace/workdir-6posleih/cocotb/cocotb.spec --sources /var/lib/copr-rpmbuild/workspace/workdir-6posleih/cocotb --resultdir /var/lib/copr-rpmbuild/results --uniqueext 1727918890.874007 -r /var/lib/copr-rpmbuild/results/configs/child.cfg INFO: mock.py version 5.6 starting (python version = 3.12.1, NVR = mock-5.6-1.fc39), args: /usr/libexec/mock/mock --spec /var/lib/copr-rpmbuild/workspace/workdir-6posleih/cocotb/cocotb.spec --sources /var/lib/copr-rpmbuild/workspace/workdir-6posleih/cocotb --resultdir /var/lib/copr-rpmbuild/results --uniqueext 1727918890.874007 -r /var/lib/copr-rpmbuild/results/configs/child.cfg Start(bootstrap): init plugins INFO: tmpfs initialized INFO: selinux enabled INFO: chroot_scan: initialized INFO: compress_logs: initialized Finish(bootstrap): init plugins Start: init plugins INFO: tmpfs initialized INFO: selinux enabled INFO: chroot_scan: initialized INFO: compress_logs: initialized Finish: init plugins INFO: Signal handler active Start: run INFO: Start(/var/lib/copr-rpmbuild/workspace/workdir-6posleih/cocotb/cocotb.spec) Config(fedora-rawhide-aarch64) Start: clean chroot Finish: clean chroot Mock Version: 5.6 INFO: Mock Version: 5.6 Start(bootstrap): chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-rawhide-aarch64-bootstrap-1727918890.874007/root. INFO: calling preinit hooks INFO: enabled root cache INFO: enabled package manager cache Start(bootstrap): cleaning package manager metadata Finish(bootstrap): cleaning package manager metadata INFO: Guessed host environment type: unknown INFO: Using bootstrap image: registry.fedoraproject.org/fedora:rawhide INFO: Pulling image: registry.fedoraproject.org/fedora:rawhide INFO: Copy content of container registry.fedoraproject.org/fedora:rawhide to /var/lib/mock/fedora-rawhide-aarch64-bootstrap-1727918890.874007/root INFO: Checking that registry.fedoraproject.org/fedora:rawhide image matches host's architecture INFO: mounting registry.fedoraproject.org/fedora:rawhide with podman image mount INFO: image registry.fedoraproject.org/fedora:rawhide as /var/lib/containers/storage/overlay/d3729e1659a803585032f78e0536ce52e34711f5dbfe72c92663f5dd37893819/merged INFO: umounting image registry.fedoraproject.org/fedora:rawhide (/var/lib/containers/storage/overlay/d3729e1659a803585032f78e0536ce52e34711f5dbfe72c92663f5dd37893819/merged) with podman image umount INFO: Package manager dnf5 detected and used (fallback) INFO: Not updating bootstrap chroot, bootstrap_image_ready=True Start(bootstrap): creating root cache Finish(bootstrap): creating root cache Finish(bootstrap): chroot init Start: chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-rawhide-aarch64-1727918890.874007/root. INFO: calling preinit hooks INFO: enabled root cache INFO: enabled package manager cache Start: cleaning package manager metadata Finish: cleaning package manager metadata INFO: enabled HW Info plugin INFO: Package manager dnf5 detected and used (direct choice) INFO: Buildroot is handled by package management downloaded with a bootstrap image: rpm-4.19.94-1.fc42.aarch64 rpm-sequoia-1.7.0-2.fc41.aarch64 dnf5-5.2.6.2-1.fc42.aarch64 dnf5-plugins-5.2.6.2-1.fc42.aarch64 Start: installing minimal buildroot with dnf5 Updating and loading repositories: fedora 100% | 34.9 MiB/s | 21.0 MiB | 00m01s Copr repository 100% | 4.6 MiB/s | 153.8 KiB | 00m00s Additional repo copr_rezso_ML 100% | 5.6 MiB/s | 173.1 KiB | 00m00s Additional repo copr_rezso_CUDA 100% | 1.7 MiB/s | 46.4 KiB | 00m00s Additional repo http_developer_downloa 100% | 61.0 MiB/s | 874.6 KiB | 00m00s Additional repo http_developer_downloa 100% | 61.8 MiB/s | 632.6 KiB | 00m00s Additional repo http_developer_downloa 100% | 60.7 MiB/s | 559.6 KiB | 00m00s Repositories loaded. Package Arch Version Repository Size Installing group/module packages: bash aarch64 5.2.32-2.fc42 fedora 8.3 MiB bzip2 aarch64 1.0.8-19.fc41 fedora 427.6 KiB coreutils aarch64 9.5-10.fc42 fedora 20.8 MiB cpio aarch64 2.15-2.fc41 fedora 1.2 MiB diffutils aarch64 3.10-8.fc41 fedora 2.1 MiB fedora-release-common noarch 42-0.3 fedora 19.4 KiB findutils aarch64 1:4.10.0-4.fc41 fedora 2.1 MiB gawk aarch64 5.3.0-4.fc41 fedora 4.2 MiB glibc-minimal-langpack aarch64 2.40.9000-1.fc42 fedora 0.0 B grep aarch64 3.11-9.fc41 fedora 1.1 MiB gzip aarch64 1.13-2.fc41 fedora 488.9 KiB info aarch64 7.1.1-1.fc42 fedora 613.6 KiB patch aarch64 2.7.6-25.fc41 fedora 390.6 KiB redhat-rpm-config noarch 296-1.fc42 fedora 186.6 KiB rpm-build aarch64 4.19.94-1.fc42 fedora 1.4 MiB sed aarch64 4.9-3.fc41 fedora 1.0 MiB shadow-utils aarch64 2:4.16.0-4.fc42 fedora 7.3 MiB tar aarch64 2:1.35-4.fc41 fedora 3.1 MiB unzip aarch64 6.0-64.fc41 fedora 726.7 KiB util-linux aarch64 2.40.2-8.fc42 fedora 16.9 MiB which aarch64 2.21-42.fc41 fedora 248.2 KiB xz aarch64 1:5.6.2-2.fc41 fedora 1.5 MiB Installing dependencies: add-determinism aarch64 0.3.6-1.fc41 fedora 2.0 MiB alternatives aarch64 1.30-1.fc41 fedora 218.3 KiB ansible-srpm-macros noarch 1-16.fc41 fedora 35.7 KiB audit-libs aarch64 4.0.2-1.fc41 fedora 547.4 KiB authselect aarch64 1.5.0-7.fc41 fedora 309.5 KiB authselect-libs aarch64 1.5.0-7.fc41 fedora 931.9 KiB basesystem noarch 11-21.fc41 fedora 0.0 B binutils aarch64 2.43.1-1.fc42 fedora 33.0 MiB build-reproducibility-srpm-macros noarch 0.3.6-1.fc41 fedora 735.0 B bzip2-libs aarch64 1.0.8-19.fc41 fedora 200.7 KiB ca-certificates noarch 2024.2.69_v8.0.401-2.fc42 fedora 2.6 MiB coreutils-common aarch64 9.5-10.fc42 fedora 11.2 MiB cracklib aarch64 2.9.11-6.fc41 fedora 935.0 KiB crypto-policies noarch 20240828-1.git5f66e81.fc42 fedora 136.9 KiB curl aarch64 8.10.1-2.fc42 fedora 581.3 KiB cyrus-sasl-lib aarch64 2.1.28-27.fc41 fedora 3.1 MiB debugedit aarch64 5.0-17.fc41 fedora 499.2 KiB dwz aarch64 0.15-7.fc41 fedora 386.8 KiB ed aarch64 1.20.2-2.fc41 fedora 282.8 KiB efi-srpm-macros noarch 5-12.fc41 fedora 40.1 KiB elfutils aarch64 0.191-8.fc41 fedora 4.9 MiB elfutils-debuginfod-client aarch64 0.191-8.fc41 fedora 396.9 KiB elfutils-default-yama-scope noarch 0.191-8.fc41 fedora 1.8 KiB elfutils-libelf aarch64 0.191-8.fc41 fedora 1.3 MiB elfutils-libs aarch64 0.191-8.fc41 fedora 938.3 KiB fedora-gpg-keys noarch 42-0.2 fedora 126.4 KiB fedora-release noarch 42-0.3 fedora 0.0 B fedora-release-identity-basic noarch 42-0.3 fedora 694.0 B fedora-repos noarch 42-0.2 fedora 4.9 KiB fedora-repos-rawhide noarch 42-0.2 fedora 2.2 KiB file aarch64 5.45-7.fc41 fedora 267.5 KiB file-libs aarch64 5.45-7.fc41 fedora 10.0 MiB filesystem aarch64 3.18-29.fc42 fedora 106.0 B fonts-srpm-macros noarch 1:2.0.5-17.fc41 fedora 55.8 KiB forge-srpm-macros noarch 0.4.0-1.fc42 fedora 38.9 KiB fpc-srpm-macros noarch 1.3-13.fc41 fedora 144.0 B gdb-minimal aarch64 15.1-2.fc42 fedora 13.0 MiB gdbm aarch64 1:1.23-7.fc41 fedora 928.5 KiB gdbm-libs aarch64 1:1.23-7.fc41 fedora 426.0 KiB ghc-srpm-macros noarch 1.9.2-1.fc42 fedora 779.0 B glibc aarch64 2.40.9000-1.fc42 fedora 9.2 MiB glibc-common aarch64 2.40.9000-1.fc42 fedora 2.6 MiB glibc-gconv-extra aarch64 2.40.9000-1.fc42 fedora 49.2 MiB gmp aarch64 1:6.3.0-2.fc41 fedora 722.0 KiB gnat-srpm-macros noarch 6-6.fc41 fedora 1.0 KiB go-srpm-macros noarch 3.6.0-3.fc41 fedora 60.8 KiB jansson aarch64 2.13.1-10.fc41 fedora 220.5 KiB kernel-srpm-macros noarch 1.0-24.fc41 fedora 1.9 KiB keyutils-libs aarch64 1.6.3-4.fc41 fedora 226.4 KiB krb5-libs aarch64 1.21.3-2.fc41 fedora 3.3 MiB libacl aarch64 2.3.2-2.fc41 fedora 196.1 KiB libarchive aarch64 3.7.6-1.fc42 fedora 974.8 KiB libattr aarch64 2.5.2-4.fc41 fedora 196.6 KiB libblkid aarch64 2.40.2-8.fc42 fedora 418.6 KiB libbrotli aarch64 1.1.0-5.fc41 fedora 1.1 MiB libcap aarch64 2.70-4.fc41 fedora 1.4 MiB libcap-ng aarch64 0.8.5-3.fc41 fedora 417.2 KiB libcom_err aarch64 1.47.1-3.fc41 fedora 239.3 KiB libcurl aarch64 8.10.1-2.fc42 fedora 858.4 KiB libeconf aarch64 0.6.2-3.fc41 fedora 206.1 KiB libevent aarch64 2.1.12-14.fc41 fedora 1.5 MiB libfdisk aarch64 2.40.2-8.fc42 fedora 482.9 KiB libffi aarch64 3.4.6-3.fc42 fedora 282.4 KiB libgcc aarch64 14.2.1-4.fc42 fedora 350.2 KiB libgomp aarch64 14.2.1-4.fc42 fedora 567.3 KiB libidn2 aarch64 2.3.7-2.fc41 fedora 457.2 KiB libmount aarch64 2.40.2-8.fc42 fedora 483.9 KiB libnghttp2 aarch64 1.63.0-1.fc42 fedora 262.2 KiB libnsl2 aarch64 2.0.1-2.fc41 fedora 222.0 KiB libpkgconf aarch64 2.3.0-1.fc42 fedora 198.1 KiB libpsl aarch64 0.21.5-4.fc41 fedora 196.6 KiB libpwquality aarch64 1.4.5-11.fc41 fedora 1.1 MiB libselinux aarch64 3.7-6.fc42 fedora 265.1 KiB libsemanage aarch64 3.7-3.fc42 fedora 361.6 KiB libsepol aarch64 3.7-3.fc42 fedora 873.9 KiB libsmartcols aarch64 2.40.2-8.fc42 fedora 288.5 KiB libssh aarch64 0.11.1-1.fc42 fedora 649.6 KiB libssh-config noarch 0.11.1-1.fc42 fedora 277.0 B libstdc++ aarch64 14.2.1-4.fc42 fedora 2.8 MiB libtasn1 aarch64 4.19.0-9.fc41 fedora 283.8 KiB libtirpc aarch64 1.3.5-0.fc41 fedora 274.8 KiB libtool-ltdl aarch64 2.4.7-12.fc41 fedora 222.2 KiB libunistring aarch64 1.1-8.fc41 fedora 1.8 MiB libuuid aarch64 2.40.2-8.fc42 fedora 197.5 KiB libverto aarch64 0.3.2-9.fc41 fedora 197.5 KiB libxcrypt aarch64 4.4.36-7.fc41 fedora 399.0 KiB libxml2 aarch64 2.12.8-2.fc41 fedora 2.1 MiB libzstd aarch64 1.5.6-2.fc41 fedora 796.0 KiB lua-libs aarch64 5.4.6-6.fc41 fedora 393.1 KiB lua-srpm-macros noarch 1-14.fc41 fedora 1.3 KiB lz4-libs aarch64 1.10.0-1.fc41 fedora 261.6 KiB mpfr aarch64 4.2.1-5.fc41 fedora 818.9 KiB ncurses-base noarch 6.5-2.20240629.fc41 fedora 326.3 KiB ncurses-libs aarch64 6.5-2.20240629.fc41 fedora 2.2 MiB ocaml-srpm-macros noarch 10-3.fc41 fedora 1.9 KiB openblas-srpm-macros noarch 2-18.fc41 fedora 112.0 B openldap aarch64 2.6.8-5.fc41 fedora 952.3 KiB openssl-libs aarch64 1:3.2.2-8.fc42 fedora 7.2 MiB p11-kit aarch64 0.25.5-3.fc41 fedora 2.6 MiB p11-kit-trust aarch64 0.25.5-3.fc41 fedora 655.6 KiB package-notes-srpm-macros noarch 0.5-12.fc41 fedora 1.6 KiB pam aarch64 1.6.1-6.fc42 fedora 11.0 MiB pam-libs aarch64 1.6.1-6.fc42 fedora 607.3 KiB pcre2 aarch64 10.44-1.fc41.1 fedora 905.5 KiB pcre2-syntax noarch 10.44-1.fc41.1 fedora 251.6 KiB perl-srpm-macros noarch 1-56.fc41 fedora 861.0 B pkgconf aarch64 2.3.0-1.fc42 fedora 240.6 KiB pkgconf-m4 noarch 2.3.0-1.fc42 fedora 14.4 KiB pkgconf-pkg-config aarch64 2.3.0-1.fc42 fedora 990.0 B popt aarch64 1.19-7.fc41 fedora 272.9 KiB publicsuffix-list-dafsa noarch 20240107-4.fc41 fedora 67.5 KiB pyproject-srpm-macros noarch 1.15.0-1.fc42 fedora 1.9 KiB python-srpm-macros noarch 3.13-3.fc41 fedora 51.0 KiB qt5-srpm-macros noarch 5.15.15-1.fc42 fedora 500.0 B qt6-srpm-macros noarch 6.7.2-3.fc41 fedora 456.0 B readline aarch64 8.2-11.fc42 fedora 753.3 KiB rpm aarch64 4.19.94-1.fc42 fedora 3.9 MiB rpm-build-libs aarch64 4.19.94-1.fc42 fedora 262.7 KiB rpm-libs aarch64 4.19.94-1.fc42 fedora 798.0 KiB rpm-sequoia aarch64 1.7.0-2.fc41 fedora 2.3 MiB rust-srpm-macros noarch 26.3-3.fc42 fedora 4.8 KiB setup noarch 2.15.0-5.fc41 fedora 720.7 KiB sqlite-libs aarch64 3.46.0-4.fc41 fedora 1.6 MiB systemd-libs aarch64 256.5-1.fc42 fedora 2.5 MiB util-linux-core aarch64 2.40.2-8.fc42 fedora 6.2 MiB xxhash-libs aarch64 0.8.2-3.fc41 fedora 212.3 KiB xz-libs aarch64 1:5.6.2-2.fc41 fedora 266.4 KiB zig-srpm-macros noarch 1-3.fc41 fedora 1.1 KiB zip aarch64 3.0-41.fc41 fedora 1.1 MiB zlib-ng-compat aarch64 2.1.7-3.fc42 fedora 261.8 KiB zstd aarch64 1.5.6-2.fc41 fedora 1.7 MiB Installing groups: Buildsystem building group Transaction Summary: Installing: 153 packages Total size of inbound packages is 53 MiB. Need to download 53 MiB. After this operation, 303 MiB extra will be used (install 303 MiB, remove 0 B). [ 1/153] bzip2-0:1.0.8-19.fc41.aarch64 100% | 3.7 MiB/s | 52.4 KiB | 00m00s [ 2/153] coreutils-0:9.5-10.fc42.aarch 100% | 60.6 MiB/s | 1.2 MiB | 00m00s [ 3/153] cpio-0:2.15-2.fc41.aarch64 100% | 47.4 MiB/s | 291.3 KiB | 00m00s [ 4/153] bash-0:5.2.32-2.fc42.aarch64 100% | 77.9 MiB/s | 1.8 MiB | 00m00s [ 5/153] diffutils-0:3.10-8.fc41.aarch 100% | 78.7 MiB/s | 402.9 KiB | 00m00s [ 6/153] fedora-release-common-0:42-0. 100% | 7.5 MiB/s | 23.0 KiB | 00m00s [ 7/153] findutils-1:4.10.0-4.fc41.aar 100% | 108.2 MiB/s | 554.1 KiB | 00m00s [ 8/153] grep-0:3.11-9.fc41.aarch64 100% | 72.7 MiB/s | 297.9 KiB | 00m00s [ 9/153] gawk-0:5.3.0-4.fc41.aarch64 100% | 117.5 MiB/s | 1.1 MiB | 00m00s [ 10/153] gzip-0:1.13-2.fc41.aarch64 100% | 27.5 MiB/s | 169.1 KiB | 00m00s [ 11/153] info-0:7.1.1-1.fc42.aarch64 100% | 35.4 MiB/s | 181.2 KiB | 00m00s [ 12/153] patch-0:2.7.6-25.fc41.aarch64 100% | 62.9 MiB/s | 128.8 KiB | 00m00s [ 13/153] rpm-build-0:4.19.94-1.fc42.aa 100% | 41.2 MiB/s | 84.4 KiB | 00m00s [ 14/153] redhat-rpm-config-0:296-1.fc4 100% | 26.8 MiB/s | 82.4 KiB | 00m00s [ 15/153] sed-0:4.9-3.fc41.aarch64 100% | 102.7 MiB/s | 315.4 KiB | 00m00s [ 16/153] unzip-0:6.0-64.fc41.aarch64 100% | 60.2 MiB/s | 184.8 KiB | 00m00s [ 17/153] tar-2:1.35-4.fc41.aarch64 100% | 139.1 MiB/s | 854.7 KiB | 00m00s [ 18/153] shadow-utils-2:4.16.0-4.fc42. 100% | 131.8 MiB/s | 1.3 MiB | 00m00s [ 19/153] which-0:2.21-42.fc41.aarch64 100% | 10.1 MiB/s | 41.5 KiB | 00m00s [ 20/153] util-linux-0:2.40.2-8.fc42.aa 100% | 130.2 MiB/s | 1.2 MiB | 00m00s [ 21/153] xz-1:5.6.2-2.fc41.aarch64 100% | 92.3 MiB/s | 472.8 KiB | 00m00s [ 22/153] glibc-minimal-langpack-0:2.40 100% | 29.5 MiB/s | 120.9 KiB | 00m00s [ 23/153] bzip2-libs-0:1.0.8-19.fc41.aa 100% | 41.7 MiB/s | 42.7 KiB | 00m00s [ 24/153] ncurses-libs-0:6.5-2.20240629 100% | 106.3 MiB/s | 326.5 KiB | 00m00s [ 25/153] filesystem-0:3.18-29.fc42.aar 100% | 135.8 MiB/s | 1.1 MiB | 00m00s [ 26/153] gmp-1:6.3.0-2.fc41.aarch64 100% | 52.7 MiB/s | 270.1 KiB | 00m00s [ 27/153] libacl-0:2.3.2-2.fc41.aarch64 100% | 6.1 MiB/s | 24.9 KiB | 00m00s [ 28/153] coreutils-common-0:9.5-10.fc4 100% | 151.6 MiB/s | 2.1 MiB | 00m00s [ 29/153] libattr-0:2.5.2-4.fc41.aarch6 100% | 2.5 MiB/s | 18.2 KiB | 00m00s [ 30/153] libcap-0:2.70-4.fc41.aarch64 100% | 14.2 MiB/s | 87.2 KiB | 00m00s [ 31/153] libselinux-0:3.7-6.fc42.aarch 100% | 42.9 MiB/s | 87.9 KiB | 00m00s [ 32/153] fedora-repos-0:42-0.2.noarch 100% | 3.0 MiB/s | 9.2 KiB | 00m00s [ 33/153] systemd-libs-0:256.5-1.fc42.a 100% | 86.7 MiB/s | 710.4 KiB | 00m00s [ 34/153] mpfr-0:4.2.1-5.fc41.aarch64 100% | 45.3 MiB/s | 324.8 KiB | 00m00s [ 35/153] openssl-libs-1:3.2.2-8.fc42.a 100% | 128.7 MiB/s | 2.2 MiB | 00m00s [ 36/153] readline-0:8.2-11.fc42.aarch6 100% | 23.1 MiB/s | 212.7 KiB | 00m00s [ 37/153] ed-0:1.20.2-2.fc41.aarch64 100% | 26.4 MiB/s | 81.2 KiB | 00m00s [ 38/153] pcre2-0:10.44-1.fc41.1.aarch6 100% | 27.7 MiB/s | 227.0 KiB | 00m00s [ 39/153] ansible-srpm-macros-0:1-16.fc 100% | 4.1 MiB/s | 20.8 KiB | 00m00s [ 40/153] build-reproducibility-srpm-ma 100% | 2.1 MiB/s | 10.6 KiB | 00m00s [ 41/153] dwz-0:0.15-7.fc41.aarch64 100% | 22.2 MiB/s | 136.3 KiB | 00m00s [ 42/153] efi-srpm-macros-0:5-12.fc41.n 100% | 4.4 MiB/s | 22.4 KiB | 00m00s [ 43/153] file-0:5.45-7.fc41.aarch64 100% | 16.1 MiB/s | 49.5 KiB | 00m00s [ 44/153] fonts-srpm-macros-1:2.0.5-17. 100% | 8.8 MiB/s | 27.0 KiB | 00m00s [ 45/153] ghc-srpm-macros-0:1.9.2-1.fc4 100% | 3.0 MiB/s | 9.1 KiB | 00m00s [ 46/153] fpc-srpm-macros-0:1.3-13.fc41 100% | 1.6 MiB/s | 8.0 KiB | 00m00s [ 47/153] forge-srpm-macros-0:0.4.0-1.f 100% | 2.8 MiB/s | 19.8 KiB | 00m00s [ 48/153] gnat-srpm-macros-0:6-6.fc41.n 100% | 2.2 MiB/s | 9.0 KiB | 00m00s [ 49/153] kernel-srpm-macros-0:1.0-24.f 100% | 3.2 MiB/s | 9.9 KiB | 00m00s [ 50/153] go-srpm-macros-0:3.6.0-3.fc41 100% | 5.5 MiB/s | 28.0 KiB | 00m00s [ 51/153] lua-srpm-macros-0:1-14.fc41.n 100% | 8.7 MiB/s | 8.9 KiB | 00m00s [ 52/153] ocaml-srpm-macros-0:10-3.fc41 100% | 9.0 MiB/s | 9.2 KiB | 00m00s [ 53/153] openblas-srpm-macros-0:2-18.f 100% | 7.5 MiB/s | 7.7 KiB | 00m00s [ 54/153] package-notes-srpm-macros-0:0 100% | 9.6 MiB/s | 9.8 KiB | 00m00s [ 55/153] perl-srpm-macros-0:1-56.fc41. 100% | 8.3 MiB/s | 8.5 KiB | 00m00s [ 56/153] pyproject-srpm-macros-0:1.15. 100% | 4.4 MiB/s | 13.5 KiB | 00m00s [ 57/153] qt5-srpm-macros-0:5.15.15-1.f 100% | 2.9 MiB/s | 8.9 KiB | 00m00s [ 58/153] python-srpm-macros-0:3.13-3.f 100% | 4.6 MiB/s | 23.7 KiB | 00m00s [ 59/153] qt6-srpm-macros-0:6.7.2-3.fc4 100% | 4.5 MiB/s | 9.1 KiB | 00m00s [ 60/153] rpm-0:4.19.94-1.fc42.aarch64 100% | 134.1 MiB/s | 549.4 KiB | 00m00s [ 61/153] zig-srpm-macros-0:1-3.fc41.no 100% | 4.0 MiB/s | 8.1 KiB | 00m00s [ 62/153] rust-srpm-macros-0:26.3-3.fc4 100% | 5.9 MiB/s | 12.1 KiB | 00m00s [ 63/153] zip-0:3.0-41.fc41.aarch64 100% | 128.8 MiB/s | 263.7 KiB | 00m00s [ 64/153] debugedit-0:5.0-17.fc41.aarch 100% | 38.8 MiB/s | 79.4 KiB | 00m00s [ 65/153] elfutils-libelf-0:0.191-8.fc4 100% | 16.9 MiB/s | 207.7 KiB | 00m00s [ 66/153] elfutils-0:0.191-8.fc41.aarch 100% | 37.4 MiB/s | 536.1 KiB | 00m00s [ 67/153] libarchive-0:3.7.6-1.fc42.aar 100% | 79.7 MiB/s | 408.3 KiB | 00m00s [ 68/153] pkgconf-pkg-config-0:2.3.0-1. 100% | 1.9 MiB/s | 10.0 KiB | 00m00s [ 69/153] popt-0:1.19-7.fc41.aarch64 100% | 21.5 MiB/s | 66.0 KiB | 00m00s [ 70/153] rpm-build-libs-0:4.19.94-1.fc 100% | 23.3 MiB/s | 95.5 KiB | 00m00s [ 71/153] rpm-libs-0:4.19.94-1.fc42.aar 100% | 59.2 MiB/s | 303.0 KiB | 00m00s [ 72/153] binutils-0:2.43.1-1.fc42.aarc 100% | 184.8 MiB/s | 6.8 MiB | 00m00s [ 73/153] zstd-0:1.5.6-2.fc41.aarch64 100% | 37.2 MiB/s | 456.8 KiB | 00m00s [ 74/153] audit-libs-0:4.0.2-1.fc41.aar 100% | 13.7 MiB/s | 126.6 KiB | 00m00s [ 75/153] libeconf-0:0.6.2-3.fc41.aarch 100% | 15.8 MiB/s | 32.3 KiB | 00m00s [ 76/153] libsemanage-0:3.7-3.fc42.aarc 100% | 55.8 MiB/s | 114.3 KiB | 00m00s [ 77/153] libxcrypt-0:4.4.36-7.fc41.aar 100% | 60.1 MiB/s | 123.1 KiB | 00m00s [ 78/153] pam-libs-0:1.6.1-6.fc42.aarch 100% | 18.7 MiB/s | 57.3 KiB | 00m00s [ 79/153] setup-0:2.15.0-5.fc41.noarch 100% | 75.4 MiB/s | 154.4 KiB | 00m00s [ 80/153] authselect-libs-0:1.5.0-7.fc4 100% | 106.5 MiB/s | 218.1 KiB | 00m00s [ 81/153] libcap-ng-0:0.8.5-3.fc41.aarc 100% | 32.0 MiB/s | 32.8 KiB | 00m00s [ 82/153] libblkid-0:2.40.2-8.fc42.aarc 100% | 60.7 MiB/s | 124.3 KiB | 00m00s [ 83/153] libfdisk-0:2.40.2-8.fc42.aarc 100% | 76.0 MiB/s | 155.7 KiB | 00m00s [ 84/153] libmount-0:2.40.2-8.fc42.aarc 100% | 50.3 MiB/s | 154.6 KiB | 00m00s [ 85/153] libsmartcols-0:2.40.2-8.fc42. 100% | 20.2 MiB/s | 82.9 KiB | 00m00s [ 86/153] libuuid-0:2.40.2-8.fc42.aarch 100% | 9.6 MiB/s | 29.5 KiB | 00m00s [ 87/153] pam-0:1.6.1-6.fc42.aarch64 100% | 137.9 MiB/s | 564.8 KiB | 00m00s [ 88/153] zlib-ng-compat-0:2.1.7-3.fc42 100% | 21.7 MiB/s | 66.8 KiB | 00m00s [ 89/153] util-linux-core-0:2.40.2-8.fc 100% | 129.9 MiB/s | 532.1 KiB | 00m00s [ 90/153] xz-libs-1:5.6.2-2.fc41.aarch6 100% | 54.2 MiB/s | 111.0 KiB | 00m00s [ 91/153] ncurses-base-0:6.5-2.20240629 100% | 28.8 MiB/s | 88.4 KiB | 00m00s [ 92/153] glibc-common-0:2.40.9000-1.fc 100% | 77.9 MiB/s | 398.7 KiB | 00m00s [ 93/153] glibc-0:2.40.9000-1.fc42.aarc 100% | 171.4 MiB/s | 1.9 MiB | 00m00s [ 94/153] libgcc-0:14.2.1-4.fc42.aarch6 100% | 16.2 MiB/s | 116.3 KiB | 00m00s [ 95/153] libsepol-0:3.7-3.fc42.aarch64 100% | 53.2 MiB/s | 326.8 KiB | 00m00s [ 96/153] crypto-policies-0:20240828-1. 100% | 23.1 MiB/s | 94.6 KiB | 00m00s [ 97/153] fedora-gpg-keys-0:42-0.2.noar 100% | 26.1 MiB/s | 133.6 KiB | 00m00s [ 98/153] ca-certificates-0:2024.2.69_v 100% | 102.5 MiB/s | 944.5 KiB | 00m00s [ 99/153] fedora-repos-rawhide-0:42-0.2 100% | 2.1 MiB/s | 8.7 KiB | 00m00s [100/153] pcre2-syntax-0:10.44-1.fc41.1 100% | 36.6 MiB/s | 149.9 KiB | 00m00s [101/153] add-determinism-0:0.3.6-1.fc4 100% | 110.4 MiB/s | 791.3 KiB | 00m00s [102/153] file-libs-0:5.45-7.fc41.aarch 100% | 82.6 MiB/s | 761.6 KiB | 00m00s [103/153] curl-0:8.10.1-2.fc42.aarch64 100% | 26.6 MiB/s | 217.9 KiB | 00m00s [104/153] alternatives-0:1.30-1.fc41.aa 100% | 20.3 MiB/s | 41.5 KiB | 00m00s [105/153] elfutils-debuginfod-client-0: 100% | 18.0 MiB/s | 36.9 KiB | 00m00s [106/153] jansson-0:2.13.1-10.fc41.aarc 100% | 22.4 MiB/s | 45.9 KiB | 00m00s [107/153] libstdc++-0:14.2.1-4.fc42.aar 100% | 135.5 MiB/s | 832.5 KiB | 00m00s [108/153] libzstd-0:1.5.6-2.fc41.aarch6 100% | 56.2 MiB/s | 288.0 KiB | 00m00s [109/153] elfutils-libs-0:0.191-8.fc41. 100% | 42.0 MiB/s | 258.1 KiB | 00m00s [110/153] lz4-libs-0:1.10.0-1.fc41.aarc 100% | 23.5 MiB/s | 72.3 KiB | 00m00s [111/153] pkgconf-0:2.3.0-1.fc42.aarch6 100% | 14.7 MiB/s | 45.2 KiB | 00m00s [112/153] libxml2-0:2.12.8-2.fc41.aarch 100% | 82.7 MiB/s | 677.8 KiB | 00m00s [113/153] pkgconf-m4-0:2.3.0-1.fc42.noa 100% | 3.5 MiB/s | 14.3 KiB | 00m00s [114/153] libgomp-0:14.2.1-4.fc42.aarch 100% | 67.2 MiB/s | 344.3 KiB | 00m00s [115/153] lua-libs-0:5.4.6-6.fc41.aarch 100% | 31.7 MiB/s | 129.8 KiB | 00m00s [116/153] rpm-sequoia-0:1.7.0-2.fc41.aa 100% | 166.7 MiB/s | 853.7 KiB | 00m00s [117/153] authselect-0:1.5.0-7.fc41.aar 100% | 35.6 MiB/s | 145.8 KiB | 00m00s [118/153] sqlite-libs-0:3.46.0-4.fc41.a 100% | 98.4 MiB/s | 705.6 KiB | 00m00s [119/153] libnsl2-0:2.0.1-2.fc41.aarch6 100% | 29.4 MiB/s | 30.1 KiB | 00m00s [120/153] gdbm-1:1.23-7.fc41.aarch64 100% | 29.6 MiB/s | 151.6 KiB | 00m00s [121/153] gdbm-libs-1:1.23-7.fc41.aarch 100% | 13.7 MiB/s | 56.3 KiB | 00m00s [122/153] libpwquality-0:1.4.5-11.fc41. 100% | 58.5 MiB/s | 119.8 KiB | 00m00s [123/153] libtirpc-0:1.3.5-0.fc41.aarch 100% | 46.7 MiB/s | 95.7 KiB | 00m00s [124/153] basesystem-0:11-21.fc41.noarc 100% | 3.6 MiB/s | 7.4 KiB | 00m00s [125/153] libffi-0:3.4.6-3.fc42.aarch64 100% | 18.7 MiB/s | 38.3 KiB | 00m00s [126/153] p11-kit-trust-0:0.25.5-3.fc41 100% | 43.5 MiB/s | 133.8 KiB | 00m00s [127/153] glibc-gconv-extra-0:2.40.9000 100% | 186.2 MiB/s | 2.0 MiB | 00m00s [128/153] elfutils-default-yama-scope-0 100% | 4.0 MiB/s | 12.3 KiB | 00m00s [129/153] p11-kit-0:0.25.5-3.fc41.aarch 100% | 52.0 MiB/s | 478.8 KiB | 00m00s [130/153] libpkgconf-0:2.3.0-1.fc42.aar 100% | 37.5 MiB/s | 38.4 KiB | 00m00s [131/153] cracklib-0:2.9.11-6.fc41.aarc 100% | 45.2 MiB/s | 92.6 KiB | 00m00s [132/153] libcom_err-0:1.47.1-3.fc41.aa 100% | 26.1 MiB/s | 26.7 KiB | 00m00s [133/153] libtasn1-0:4.19.0-9.fc41.aarc 100% | 23.8 MiB/s | 73.0 KiB | 00m00s [134/153] krb5-libs-0:1.21.3-2.fc41.aar 100% | 124.1 MiB/s | 762.4 KiB | 00m00s [135/153] keyutils-libs-0:1.6.3-4.fc41. 100% | 6.2 MiB/s | 31.9 KiB | 00m00s [136/153] libverto-0:0.3.2-9.fc41.aarch 100% | 6.8 MiB/s | 20.9 KiB | 00m00s [137/153] fedora-release-0:42-0.3.noarc 100% | 11.9 MiB/s | 12.2 KiB | 00m00s [138/153] xxhash-libs-0:0.8.2-3.fc41.aa 100% | 16.9 MiB/s | 34.6 KiB | 00m00s [139/153] fedora-release-identity-basic 100% | 6.4 MiB/s | 13.0 KiB | 00m00s [140/153] libcurl-0:8.10.1-2.fc42.aarch 100% | 88.6 MiB/s | 362.8 KiB | 00m00s [141/153] libbrotli-0:1.1.0-5.fc41.aarc 100% | 56.3 MiB/s | 346.2 KiB | 00m00s [142/153] libidn2-0:2.3.7-2.fc41.aarch6 100% | 19.3 MiB/s | 118.8 KiB | 00m00s [143/153] libnghttp2-0:1.63.0-1.fc42.aa 100% | 15.0 MiB/s | 76.7 KiB | 00m00s [144/153] libpsl-0:0.21.5-4.fc41.aarch6 100% | 21.0 MiB/s | 64.4 KiB | 00m00s [145/153] gdb-minimal-0:15.1-2.fc42.aar 100% | 188.4 MiB/s | 4.1 MiB | 00m00s [146/153] libssh-0:0.11.1-1.fc42.aarch6 100% | 32.5 MiB/s | 233.0 KiB | 00m00s [147/153] openldap-0:2.6.8-5.fc41.aarch 100% | 35.1 MiB/s | 251.8 KiB | 00m00s [148/153] libssh-config-0:0.11.1-1.fc42 100% | 9.2 MiB/s | 9.4 KiB | 00m00s [149/153] publicsuffix-list-dafsa-0:202 100% | 28.5 MiB/s | 58.3 KiB | 00m00s [150/153] libunistring-0:1.1-8.fc41.aar 100% | 105.4 MiB/s | 539.8 KiB | 00m00s [151/153] libevent-0:2.1.12-14.fc41.aar 100% | 82.9 MiB/s | 254.6 KiB | 00m00s [152/153] libtool-ltdl-0:2.4.7-12.fc41. 100% | 35.0 MiB/s | 35.8 KiB | 00m00s [153/153] cyrus-sasl-lib-0:2.1.28-27.fc 100% | 95.2 MiB/s | 780.2 KiB | 00m00s -------------------------------------------------------------------------------- [153/153] Total 100% | 90.7 MiB/s | 52.9 MiB | 00m01s Running transaction Importing PGP key 0x105EF944: UserID : "Fedora (42) " Fingerprint: B0F4950458F69E1150C6C5EDC8AC4916105EF944 From : file:///usr/share/distribution-gpg-keys/fedora/RPM-GPG-KEY-fedora-42-primary The key was successfully imported. Importing PGP key 0x105EF944: UserID : "Fedora (42) " Fingerprint: B0F4950458F69E1150C6C5EDC8AC4916105EF944 From : file:///usr/share/distribution-gpg-keys/fedora/RPM-GPG-KEY-fedora-42-primary The key was successfully imported. Importing PGP key 0xE99D6AD1: UserID : "Fedora (41) " Fingerprint: 466CF2D8B60BC3057AA9453ED0622462E99D6AD1 From : file:///usr/share/distribution-gpg-keys/fedora/RPM-GPG-KEY-fedora-41-primary The key was successfully imported. Importing PGP key 0x31645531: UserID : "Fedora (43) " Fingerprint: C6E7F081CF80E13146676E88829B606631645531 From : file:///usr/share/distribution-gpg-keys/fedora/RPM-GPG-KEY-fedora-43-primary The key was successfully imported. [ 1/155] Verify package files 100% | 705.0 B/s | 153.0 B | 00m00s [ 2/155] Prepare transaction 100% | 2.4 KiB/s | 153.0 B | 00m00s [ 3/155] Installing libgcc-0:14.2.1-4. 100% | 171.8 MiB/s | 351.9 KiB | 00m00s [ 4/155] Installing libssh-config-0:0. 100% | 0.0 B/s | 816.0 B | 00m00s [ 5/155] Installing publicsuffix-list- 100% | 66.7 MiB/s | 68.3 KiB | 00m00s [ 6/155] Installing fedora-release-ide 100% | 0.0 B/s | 952.0 B | 00m00s [ 7/155] Installing fedora-repos-rawhi 100% | 2.4 MiB/s | 2.4 KiB | 00m00s [ 8/155] Installing fedora-gpg-keys-0: 100% | 28.0 MiB/s | 172.2 KiB | 00m00s [ 9/155] Installing fedora-repos-0:42- 100% | 0.0 B/s | 5.7 KiB | 00m00s [ 10/155] Installing fedora-release-com 100% | 23.1 MiB/s | 23.7 KiB | 00m00s [ 11/155] Installing fedora-release-0:4 100% | 0.0 B/s | 124.0 B | 00m00s [ 12/155] Installing setup-0:2.15.0-5.f 100% | 41.7 MiB/s | 726.1 KiB | 00m00s [ 13/155] Installing filesystem-0:3.18- 100% | 2.2 MiB/s | 212.6 KiB | 00m00s [ 14/155] Installing basesystem-0:11-21 100% | 0.0 B/s | 124.0 B | 00m00s [ 15/155] Installing pkgconf-m4-0:2.3.0 100% | 14.5 MiB/s | 14.8 KiB | 00m00s [ 16/155] Installing pcre2-syntax-0:10. 100% | 124.1 MiB/s | 254.1 KiB | 00m00s [ 17/155] Installing ncurses-base-0:6.5 100% | 42.9 MiB/s | 351.7 KiB | 00m00s [ 18/155] Installing glibc-minimal-lang 100% | 0.0 B/s | 124.0 B | 00m00s [ 19/155] Installing ncurses-libs-0:6.5 100% | 281.1 MiB/s | 2.2 MiB | 00m00s warning: posix.fork(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.spawn() or rpm.execute() instead warning: posix.wait(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.spawn() or rpm.execute() instead warning: posix.exec(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.spawn() or rpm.execute() instead warning: posix.fork(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.spawn() or rpm.execute() instead warning: posix.wait(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.spawn() or rpm.execute() instead warning: posix.exec(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.spawn() or rpm.execute() instead [ 20/155] Installing glibc-0:2.40.9000- 100% | 231.6 MiB/s | 9.3 MiB | 00m00s [ 21/155] Installing bash-0:5.2.32-2.fc 100% | 286.6 MiB/s | 8.3 MiB | 00m00s [ 22/155] Installing glibc-common-0:2.4 100% | 257.0 MiB/s | 2.6 MiB | 00m00s warning: posix.fork(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.spawn() or rpm.execute() instead warning: posix.wait(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.spawn() or rpm.execute() instead warning: posix.exec(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.spawn() or rpm.execute() instead [ 23/155] Installing glibc-gconv-extra- 100% | 529.7 MiB/s | 49.3 MiB | 00m00s [ 24/155] Installing zlib-ng-compat-0:2 100% | 128.2 MiB/s | 262.6 KiB | 00m00s [ 25/155] Installing bzip2-libs-0:1.0.8 100% | 197.1 MiB/s | 201.9 KiB | 00m00s [ 26/155] Installing xz-libs-1:5.6.2-2. 100% | 261.2 MiB/s | 267.5 KiB | 00m00s [ 27/155] Installing readline-0:8.2-11. 100% | 245.9 MiB/s | 755.5 KiB | 00m00s [ 28/155] Installing popt-0:1.19-7.fc41 100% | 68.2 MiB/s | 279.5 KiB | 00m00s [ 29/155] Installing libuuid-0:2.40.2-8 100% | 194.0 MiB/s | 198.6 KiB | 00m00s [ 30/155] Installing libblkid-0:2.40.2- 100% | 204.9 MiB/s | 419.7 KiB | 00m00s [ 31/155] Installing gmp-1:6.3.0-2.fc41 100% | 235.8 MiB/s | 724.2 KiB | 00m00s [ 32/155] Installing libattr-0:2.5.2-4. 100% | 192.9 MiB/s | 197.5 KiB | 00m00s [ 33/155] Installing libacl-0:2.3.2-2.f 100% | 192.3 MiB/s | 196.9 KiB | 00m00s [ 34/155] Installing libxcrypt-0:4.4.36 100% | 196.1 MiB/s | 401.7 KiB | 00m00s [ 35/155] Installing libstdc++-0:14.2.1 100% | 277.4 MiB/s | 2.8 MiB | 00m00s [ 36/155] Installing libzstd-0:1.5.6-2. 100% | 259.5 MiB/s | 797.3 KiB | 00m00s [ 37/155] Installing elfutils-libelf-0: 100% | 328.5 MiB/s | 1.3 MiB | 00m00s [ 38/155] Installing libeconf-0:0.6.2-3 100% | 202.9 MiB/s | 207.8 KiB | 00m00s [ 39/155] Installing gdbm-libs-1:1.23-7 100% | 208.8 MiB/s | 427.7 KiB | 00m00s [ 40/155] Installing dwz-0:0.15-7.fc41. 100% | 189.5 MiB/s | 388.1 KiB | 00m00s [ 41/155] Installing mpfr-0:4.2.1-5.fc4 100% | 200.3 MiB/s | 820.5 KiB | 00m00s [ 42/155] Installing gawk-0:5.3.0-4.fc4 100% | 355.3 MiB/s | 4.3 MiB | 00m00s [ 43/155] Installing unzip-0:6.0-64.fc4 100% | 237.7 MiB/s | 730.2 KiB | 00m00s [ 44/155] Installing file-libs-0:5.45-7 100% | 527.6 MiB/s | 10.0 MiB | 00m00s [ 45/155] Installing file-0:5.45-7.fc41 100% | 29.2 MiB/s | 269.0 KiB | 00m00s [ 46/155] Installing crypto-policies-0: 100% | 17.7 MiB/s | 163.2 KiB | 00m00s [ 47/155] Installing pcre2-0:10.44-1.fc 100% | 295.2 MiB/s | 906.9 KiB | 00m00s [ 48/155] Installing grep-0:3.11-9.fc41 100% | 137.1 MiB/s | 1.1 MiB | 00m00s [ 49/155] Installing xz-1:5.6.2-2.fc41. 100% | 164.7 MiB/s | 1.5 MiB | 00m00s [ 50/155] Installing libcap-ng-0:0.8.5- 100% | 409.3 MiB/s | 419.1 KiB | 00m00s [ 51/155] Installing audit-libs-0:4.0.2 100% | 268.3 MiB/s | 549.6 KiB | 00m00s [ 52/155] Installing pam-libs-0:1.6.1-6 100% | 297.6 MiB/s | 609.5 KiB | 00m00s [ 53/155] Installing libcap-0:2.70-4.fc 100% | 344.0 MiB/s | 1.4 MiB | 00m00s [ 54/155] Installing systemd-libs-0:256 100% | 280.1 MiB/s | 2.5 MiB | 00m00s [ 55/155] Installing libsmartcols-0:2.4 100% | 282.9 MiB/s | 289.7 KiB | 00m00s [ 56/155] Installing libsepol-0:3.7-3.f 100% | 284.8 MiB/s | 874.9 KiB | 00m00s [ 57/155] Installing libselinux-0:3.7-6 100% | 130.0 MiB/s | 266.3 KiB | 00m00s [ 58/155] Installing sed-0:4.9-3.fc41.a 100% | 140.9 MiB/s | 1.0 MiB | 00m00s [ 59/155] Installing findutils-1:4.10.0 100% | 234.2 MiB/s | 2.1 MiB | 00m00s [ 60/155] Installing libmount-0:2.40.2- 100% | 236.8 MiB/s | 485.1 KiB | 00m00s [ 61/155] Installing alternatives-0:1.3 100% | 214.7 MiB/s | 219.9 KiB | 00m00s [ 62/155] Installing lz4-libs-0:1.10.0- 100% | 256.5 MiB/s | 262.7 KiB | 00m00s [ 63/155] Installing lua-libs-0:5.4.6-6 100% | 192.5 MiB/s | 394.3 KiB | 00m00s [ 64/155] Installing libffi-0:3.4.6-3.f 100% | 277.2 MiB/s | 283.8 KiB | 00m00s [ 65/155] Installing libcom_err-0:1.47. 100% | 234.8 MiB/s | 240.4 KiB | 00m00s [ 66/155] Installing libtasn1-0:4.19.0- 100% | 139.4 MiB/s | 285.6 KiB | 00m00s [ 67/155] Installing p11-kit-0:0.25.5-3 100% | 203.6 MiB/s | 2.6 MiB | 00m00s [ 68/155] Installing libunistring-0:1.1 100% | 301.5 MiB/s | 1.8 MiB | 00m00s [ 69/155] Installing libidn2-0:2.3.7-2. 100% | 113.1 MiB/s | 463.1 KiB | 00m00s [ 70/155] Installing libpsl-0:0.21.5-4. 100% | 193.1 MiB/s | 197.7 KiB | 00m00s [ 71/155] Installing p11-kit-trust-0:0. 100% | 64.2 MiB/s | 657.4 KiB | 00m00s [ 72/155] Installing zstd-0:1.5.6-2.fc4 100% | 241.6 MiB/s | 1.7 MiB | 00m00s [ 73/155] Installing util-linux-core-0: 100% | 364.9 MiB/s | 6.2 MiB | 00m00s [ 74/155] Installing tar-2:1.35-4.fc41. 100% | 255.6 MiB/s | 3.1 MiB | 00m00s [ 75/155] Installing libsemanage-0:3.7- 100% | 118.3 MiB/s | 363.3 KiB | 00m00s [ 76/155] Installing shadow-utils-2:4.1 100% | 229.6 MiB/s | 7.3 MiB | 00m00s [ 77/155] Installing zip-0:3.0-41.fc41. 100% | 281.0 MiB/s | 1.1 MiB | 00m00s [ 78/155] Installing gdbm-1:1.23-7.fc41 100% | 182.3 MiB/s | 933.4 KiB | 00m00s [ 79/155] Installing cyrus-sasl-lib-0:2 100% | 310.7 MiB/s | 3.1 MiB | 00m00s [ 80/155] Installing libfdisk-0:2.40.2- 100% | 236.3 MiB/s | 483.9 KiB | 00m00s [ 81/155] Installing libxml2-0:2.12.8-2 100% | 267.7 MiB/s | 2.1 MiB | 00m00s [ 82/155] Installing bzip2-0:1.0.8-19.f 100% | 211.0 MiB/s | 432.2 KiB | 00m00s [ 83/155] Installing add-determinism-0: 100% | 290.5 MiB/s | 2.0 MiB | 00m00s [ 84/155] Installing build-reproducibil 100% | 0.0 B/s | 1.0 KiB | 00m00s [ 85/155] Installing sqlite-libs-0:3.46 100% | 259.8 MiB/s | 1.6 MiB | 00m00s [ 86/155] Installing ed-0:1.20.2-2.fc41 100% | 139.2 MiB/s | 285.1 KiB | 00m00s [ 87/155] Installing patch-0:2.7.6-25.f 100% | 191.5 MiB/s | 392.1 KiB | 00m00s [ 88/155] Installing elfutils-default-y 100% | 291.9 KiB/s | 2.0 KiB | 00m00s [ 89/155] Installing elfutils-libs-0:0. 100% | 229.5 MiB/s | 940.2 KiB | 00m00s [ 90/155] Installing cpio-0:2.15-2.fc41 100% | 174.4 MiB/s | 1.2 MiB | 00m00s [ 91/155] Installing diffutils-0:3.10-8 100% | 234.4 MiB/s | 2.1 MiB | 00m00s [ 92/155] Installing jansson-0:2.13.1-1 100% | 216.7 MiB/s | 221.9 KiB | 00m00s [ 93/155] Installing libgomp-0:14.2.1-4 100% | 277.7 MiB/s | 568.7 KiB | 00m00s [ 94/155] Installing libpkgconf-0:2.3.0 100% | 194.6 MiB/s | 199.2 KiB | 00m00s [ 95/155] Installing pkgconf-0:2.3.0-1. 100% | 118.7 MiB/s | 243.1 KiB | 00m00s [ 96/155] Installing pkgconf-pkg-config 100% | 1.7 MiB/s | 1.8 KiB | 00m00s [ 97/155] Installing keyutils-libs-0:1. 100% | 222.5 MiB/s | 227.9 KiB | 00m00s [ 98/155] Installing libverto-0:0.3.2-9 100% | 194.7 MiB/s | 199.3 KiB | 00m00s [ 99/155] Installing xxhash-libs-0:0.8. 100% | 208.7 MiB/s | 213.7 KiB | 00m00s [100/155] Installing libbrotli-0:1.1.0- 100% | 285.2 MiB/s | 1.1 MiB | 00m00s [101/155] Installing libnghttp2-0:1.63. 100% | 257.2 MiB/s | 263.3 KiB | 00m00s [102/155] Installing libtool-ltdl-0:2.4 100% | 218.1 MiB/s | 223.4 KiB | 00m00s [103/155] Installing rust-srpm-macros-0 100% | 0.0 B/s | 5.6 KiB | 00m00s [104/155] Installing qt6-srpm-macros-0: 100% | 0.0 B/s | 732.0 B | 00m00s [105/155] Installing qt5-srpm-macros-0: 100% | 0.0 B/s | 776.0 B | 00m00s [106/155] Installing perl-srpm-macros-0 100% | 0.0 B/s | 1.1 KiB | 00m00s [107/155] Installing package-notes-srpm 100% | 0.0 B/s | 2.0 KiB | 00m00s [108/155] Installing openblas-srpm-macr 100% | 0.0 B/s | 392.0 B | 00m00s [109/155] Installing ocaml-srpm-macros- 100% | 0.0 B/s | 2.2 KiB | 00m00s [110/155] Installing kernel-srpm-macros 100% | 0.0 B/s | 2.3 KiB | 00m00s [111/155] Installing gnat-srpm-macros-0 100% | 0.0 B/s | 1.3 KiB | 00m00s [112/155] Installing ghc-srpm-macros-0: 100% | 0.0 B/s | 1.0 KiB | 00m00s [113/155] Installing fpc-srpm-macros-0: 100% | 0.0 B/s | 420.0 B | 00m00s [114/155] Installing ansible-srpm-macro 100% | 35.4 MiB/s | 36.2 KiB | 00m00s [115/155] Installing coreutils-common-0 100% | 279.8 MiB/s | 11.2 MiB | 00m00s [116/155] Installing openssl-libs-1:3.2 100% | 313.5 MiB/s | 7.2 MiB | 00m00s [117/155] Installing coreutils-0:9.5-10 100% | 433.4 MiB/s | 20.8 MiB | 00m00s [118/155] Installing ca-certificates-0: 100% | 1.3 MiB/s | 2.4 MiB | 00m02s [119/155] Installing krb5-libs-0:1.21.3 100% | 257.4 MiB/s | 3.3 MiB | 00m00s [120/155] Installing libarchive-0:3.7.6 100% | 238.5 MiB/s | 976.8 KiB | 00m00s [121/155] Installing libtirpc-0:1.3.5-0 100% | 135.0 MiB/s | 276.5 KiB | 00m00s [122/155] Installing gzip-0:1.13-2.fc41 100% | 120.7 MiB/s | 494.4 KiB | 00m00s [123/155] Installing authselect-libs-0: 100% | 115.6 MiB/s | 946.8 KiB | 00m00s [124/155] Installing cracklib-0:2.9.11- 100% | 132.0 MiB/s | 946.3 KiB | 00m00s [125/155] Installing libpwquality-0:1.4 100% | 138.5 MiB/s | 1.1 MiB | 00m00s [126/155] Installing libnsl2-0:2.0.1-2. 100% | 109.0 MiB/s | 223.2 KiB | 00m00s [127/155] Installing pam-0:1.6.1-6.fc42 100% | 334.5 MiB/s | 11.0 MiB | 00m00s [128/155] Installing libssh-0:0.11.1-1. 100% | 212.1 MiB/s | 651.7 KiB | 00m00s [129/155] Installing rpm-sequoia-0:1.7. 100% | 287.1 MiB/s | 2.3 MiB | 00m00s [130/155] Installing rpm-libs-0:4.19.94 100% | 260.3 MiB/s | 799.5 KiB | 00m00s [131/155] Installing rpm-build-libs-0:4 100% | 128.7 MiB/s | 263.6 KiB | 00m00s [132/155] Installing libevent-0:2.1.12- 100% | 304.7 MiB/s | 1.5 MiB | 00m00s [133/155] Installing openldap-0:2.6.8-5 100% | 233.4 MiB/s | 956.1 KiB | 00m00s [134/155] Installing libcurl-0:8.10.1-2 100% | 209.8 MiB/s | 859.5 KiB | 00m00s [135/155] Installing elfutils-debuginfo 100% | 129.9 MiB/s | 398.9 KiB | 00m00s [136/155] Installing binutils-0:2.43.1- 100% | 329.9 MiB/s | 33.0 MiB | 00m00s [137/155] Installing elfutils-0:0.191-8 100% | 330.3 MiB/s | 5.0 MiB | 00m00s [138/155] Installing gdb-minimal-0:15.1 100% | 309.0 MiB/s | 13.0 MiB | 00m00s [139/155] Installing debugedit-0:5.0-17 100% | 245.1 MiB/s | 502.0 KiB | 00m00s [140/155] Installing curl-0:8.10.1-2.fc 100% | 43.9 MiB/s | 583.8 KiB | 00m00s [141/155] Installing rpm-0:4.19.94-1.fc 100% | 129.1 MiB/s | 3.4 MiB | 00m00s [142/155] Installing efi-srpm-macros-0: 100% | 40.2 MiB/s | 41.2 KiB | 00m00s [143/155] Installing lua-srpm-macros-0: 100% | 1.9 MiB/s | 1.9 KiB | 00m00s [144/155] Installing zig-srpm-macros-0: 100% | 1.6 MiB/s | 1.7 KiB | 00m00s [145/155] Installing fonts-srpm-macros- 100% | 55.7 MiB/s | 57.0 KiB | 00m00s [146/155] Installing forge-srpm-macros- 100% | 39.3 MiB/s | 40.3 KiB | 00m00s [147/155] Installing go-srpm-macros-0:3 100% | 60.5 MiB/s | 62.0 KiB | 00m00s [148/155] Installing python-srpm-macros 100% | 50.9 MiB/s | 52.2 KiB | 00m00s [149/155] Installing redhat-rpm-config- 100% | 62.9 MiB/s | 193.2 KiB | 00m00s [150/155] Installing rpm-build-0:4.19.9 100% | 279.4 MiB/s | 1.4 MiB | 00m00s [151/155] Installing pyproject-srpm-mac 100% | 1.2 MiB/s | 2.5 KiB | 00m00s [152/155] Installing util-linux-0:2.40. 100% | 346.0 MiB/s | 17.0 MiB | 00m00s [153/155] Installing authselect-0:1.5.0 100% | 76.6 MiB/s | 313.9 KiB | 00m00s [154/155] Installing which-0:2.21-42.fc 100% | 122.2 MiB/s | 250.4 KiB | 00m00s warning: posix.fork(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.spawn() or rpm.execute() instead warning: posix.wait(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.spawn() or rpm.execute() instead warning: posix.exec(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.spawn() or rpm.execute() instead [155/155] Installing info-0:7.1.1-1.fc4 100% | 266.2 KiB/s | 614.1 KiB | 00m02s Complete! Finish: installing minimal buildroot with dnf5 Start: creating root cache Finish: creating root cache Finish: chroot init INFO: Installed packages: INFO: add-determinism-0.3.6-1.fc41.aarch64 alternatives-1.30-1.fc41.aarch64 ansible-srpm-macros-1-16.fc41.noarch audit-libs-4.0.2-1.fc41.aarch64 authselect-1.5.0-7.fc41.aarch64 authselect-libs-1.5.0-7.fc41.aarch64 basesystem-11-21.fc41.noarch bash-5.2.32-2.fc42.aarch64 binutils-2.43.1-1.fc42.aarch64 build-reproducibility-srpm-macros-0.3.6-1.fc41.noarch bzip2-1.0.8-19.fc41.aarch64 bzip2-libs-1.0.8-19.fc41.aarch64 ca-certificates-2024.2.69_v8.0.401-2.fc42.noarch coreutils-9.5-10.fc42.aarch64 coreutils-common-9.5-10.fc42.aarch64 cpio-2.15-2.fc41.aarch64 cracklib-2.9.11-6.fc41.aarch64 crypto-policies-20240828-1.git5f66e81.fc42.noarch curl-8.10.1-2.fc42.aarch64 cyrus-sasl-lib-2.1.28-27.fc41.aarch64 debugedit-5.0-17.fc41.aarch64 diffutils-3.10-8.fc41.aarch64 dwz-0.15-7.fc41.aarch64 ed-1.20.2-2.fc41.aarch64 efi-srpm-macros-5-12.fc41.noarch elfutils-0.191-8.fc41.aarch64 elfutils-debuginfod-client-0.191-8.fc41.aarch64 elfutils-default-yama-scope-0.191-8.fc41.noarch elfutils-libelf-0.191-8.fc41.aarch64 elfutils-libs-0.191-8.fc41.aarch64 fedora-gpg-keys-42-0.2.noarch fedora-release-42-0.3.noarch fedora-release-common-42-0.3.noarch fedora-release-identity-basic-42-0.3.noarch fedora-repos-42-0.2.noarch fedora-repos-rawhide-42-0.2.noarch file-5.45-7.fc41.aarch64 file-libs-5.45-7.fc41.aarch64 filesystem-3.18-29.fc42.aarch64 findutils-4.10.0-4.fc41.aarch64 fonts-srpm-macros-2.0.5-17.fc41.noarch forge-srpm-macros-0.4.0-1.fc42.noarch fpc-srpm-macros-1.3-13.fc41.noarch gawk-5.3.0-4.fc41.aarch64 gdb-minimal-15.1-2.fc42.aarch64 gdbm-1.23-7.fc41.aarch64 gdbm-libs-1.23-7.fc41.aarch64 ghc-srpm-macros-1.9.2-1.fc42.noarch glibc-2.40.9000-1.fc42.aarch64 glibc-common-2.40.9000-1.fc42.aarch64 glibc-gconv-extra-2.40.9000-1.fc42.aarch64 glibc-minimal-langpack-2.40.9000-1.fc42.aarch64 gmp-6.3.0-2.fc41.aarch64 gnat-srpm-macros-6-6.fc41.noarch go-srpm-macros-3.6.0-3.fc41.noarch gpg-pubkey-105ef944-65ca83d1 gpg-pubkey-31645531-66b6dccf gpg-pubkey-e99d6ad1-64d2612c grep-3.11-9.fc41.aarch64 gzip-1.13-2.fc41.aarch64 info-7.1.1-1.fc42.aarch64 jansson-2.13.1-10.fc41.aarch64 kernel-srpm-macros-1.0-24.fc41.noarch keyutils-libs-1.6.3-4.fc41.aarch64 krb5-libs-1.21.3-2.fc41.aarch64 libacl-2.3.2-2.fc41.aarch64 libarchive-3.7.6-1.fc42.aarch64 libattr-2.5.2-4.fc41.aarch64 libblkid-2.40.2-8.fc42.aarch64 libbrotli-1.1.0-5.fc41.aarch64 libcap-2.70-4.fc41.aarch64 libcap-ng-0.8.5-3.fc41.aarch64 libcom_err-1.47.1-3.fc41.aarch64 libcurl-8.10.1-2.fc42.aarch64 libeconf-0.6.2-3.fc41.aarch64 libevent-2.1.12-14.fc41.aarch64 libfdisk-2.40.2-8.fc42.aarch64 libffi-3.4.6-3.fc42.aarch64 libgcc-14.2.1-4.fc42.aarch64 libgomp-14.2.1-4.fc42.aarch64 libidn2-2.3.7-2.fc41.aarch64 libmount-2.40.2-8.fc42.aarch64 libnghttp2-1.63.0-1.fc42.aarch64 libnsl2-2.0.1-2.fc41.aarch64 libpkgconf-2.3.0-1.fc42.aarch64 libpsl-0.21.5-4.fc41.aarch64 libpwquality-1.4.5-11.fc41.aarch64 libselinux-3.7-6.fc42.aarch64 libsemanage-3.7-3.fc42.aarch64 libsepol-3.7-3.fc42.aarch64 libsmartcols-2.40.2-8.fc42.aarch64 libssh-0.11.1-1.fc42.aarch64 libssh-config-0.11.1-1.fc42.noarch libstdc++-14.2.1-4.fc42.aarch64 libtasn1-4.19.0-9.fc41.aarch64 libtirpc-1.3.5-0.fc41.aarch64 libtool-ltdl-2.4.7-12.fc41.aarch64 libunistring-1.1-8.fc41.aarch64 libuuid-2.40.2-8.fc42.aarch64 libverto-0.3.2-9.fc41.aarch64 libxcrypt-4.4.36-7.fc41.aarch64 libxml2-2.12.8-2.fc41.aarch64 libzstd-1.5.6-2.fc41.aarch64 lua-libs-5.4.6-6.fc41.aarch64 lua-srpm-macros-1-14.fc41.noarch lz4-libs-1.10.0-1.fc41.aarch64 mpfr-4.2.1-5.fc41.aarch64 ncurses-base-6.5-2.20240629.fc41.noarch ncurses-libs-6.5-2.20240629.fc41.aarch64 ocaml-srpm-macros-10-3.fc41.noarch openblas-srpm-macros-2-18.fc41.noarch openldap-2.6.8-5.fc41.aarch64 openssl-libs-3.2.2-8.fc42.aarch64 p11-kit-0.25.5-3.fc41.aarch64 p11-kit-trust-0.25.5-3.fc41.aarch64 package-notes-srpm-macros-0.5-12.fc41.noarch pam-1.6.1-6.fc42.aarch64 pam-libs-1.6.1-6.fc42.aarch64 patch-2.7.6-25.fc41.aarch64 pcre2-10.44-1.fc41.1.aarch64 pcre2-syntax-10.44-1.fc41.1.noarch perl-srpm-macros-1-56.fc41.noarch pkgconf-2.3.0-1.fc42.aarch64 pkgconf-m4-2.3.0-1.fc42.noarch pkgconf-pkg-config-2.3.0-1.fc42.aarch64 popt-1.19-7.fc41.aarch64 publicsuffix-list-dafsa-20240107-4.fc41.noarch pyproject-srpm-macros-1.15.0-1.fc42.noarch python-srpm-macros-3.13-3.fc41.noarch qt5-srpm-macros-5.15.15-1.fc42.noarch qt6-srpm-macros-6.7.2-3.fc41.noarch readline-8.2-11.fc42.aarch64 redhat-rpm-config-296-1.fc42.noarch rpm-4.19.94-1.fc42.aarch64 rpm-build-4.19.94-1.fc42.aarch64 rpm-build-libs-4.19.94-1.fc42.aarch64 rpm-libs-4.19.94-1.fc42.aarch64 rpm-sequoia-1.7.0-2.fc41.aarch64 rust-srpm-macros-26.3-3.fc42.noarch sed-4.9-3.fc41.aarch64 setup-2.15.0-5.fc41.noarch shadow-utils-4.16.0-4.fc42.aarch64 sqlite-libs-3.46.0-4.fc41.aarch64 systemd-libs-256.5-1.fc42.aarch64 tar-1.35-4.fc41.aarch64 unzip-6.0-64.fc41.aarch64 util-linux-2.40.2-8.fc42.aarch64 util-linux-core-2.40.2-8.fc42.aarch64 which-2.21-42.fc41.aarch64 xxhash-libs-0.8.2-3.fc41.aarch64 xz-5.6.2-2.fc41.aarch64 xz-libs-5.6.2-2.fc41.aarch64 zig-srpm-macros-1-3.fc41.noarch zip-3.0-41.fc41.aarch64 zlib-ng-compat-2.1.7-3.fc42.aarch64 zstd-1.5.6-2.fc41.aarch64 Start: buildsrpm Start: rpmbuild -bs Building target platforms: aarch64 Building for target aarch64 setting SOURCE_DATE_EPOCH=1640217600 Wrote: /builddir/build/SRPMS/cocotb-1.9.1-20241002.0.git7bcc8065.fc42.src.rpm Finish: rpmbuild -bs cp: preserving permissions for ‘/var/lib/copr-rpmbuild/results/chroot_scan/var/lib/mock/fedora-rawhide-aarch64-1727918890.874007/root/var/log’: No such file or directory INFO: chroot_scan: 1 files copied to /var/lib/copr-rpmbuild/results/chroot_scan INFO: /var/lib/mock/fedora-rawhide-aarch64-1727918890.874007/root/var/log/dnf5.log Finish: buildsrpm INFO: Done(/var/lib/copr-rpmbuild/workspace/workdir-6posleih/cocotb/cocotb.spec) Config(child) 0 minutes 23 seconds INFO: Results and/or logs in: /var/lib/copr-rpmbuild/results INFO: Cleaning up build root ('cleanup_on_success=True') Start: clean chroot INFO: unmounting tmpfs. Finish: clean chroot INFO: Start(/var/lib/copr-rpmbuild/results/cocotb-1.9.1-20241002.0.git7bcc8065.fc42.src.rpm) Config(fedora-rawhide-aarch64) Start(bootstrap): chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-rawhide-aarch64-bootstrap-1727918890.874007/root. INFO: reusing tmpfs at /var/lib/mock/fedora-rawhide-aarch64-bootstrap-1727918890.874007/root. INFO: calling preinit hooks INFO: enabled root cache INFO: enabled package manager cache Start(bootstrap): cleaning package manager metadata Finish(bootstrap): cleaning package manager metadata Finish(bootstrap): chroot init Start: chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-rawhide-aarch64-1727918890.874007/root. INFO: calling preinit hooks INFO: enabled root cache Start: unpacking root cache Finish: unpacking root cache INFO: enabled package manager cache Start: cleaning package manager metadata Finish: cleaning package manager metadata INFO: enabled HW Info plugin INFO: Buildroot is handled by package management downloaded with a bootstrap image: rpm-4.19.94-1.fc42.aarch64 rpm-sequoia-1.7.0-2.fc41.aarch64 dnf5-5.2.6.2-1.fc42.aarch64 dnf5-plugins-5.2.6.2-1.fc42.aarch64 Finish: chroot init Start: build phase for cocotb-1.9.1-20241002.0.git7bcc8065.fc42.src.rpm Start: build setup for cocotb-1.9.1-20241002.0.git7bcc8065.fc42.src.rpm Building target platforms: aarch64 Building for target aarch64 setting SOURCE_DATE_EPOCH=1640217600 Wrote: /builddir/build/SRPMS/cocotb-1.9.1-20241002.0.git7bcc8065.fc42.src.rpm Updating and loading repositories: fedora 100% | 142.0 KiB/s | 14.5 KiB | 00m00s Additional repo copr_rezso_CUDA 100% | 140.3 KiB/s | 1.8 KiB | 00m00s Additional repo copr_rezso_ML 100% | 140.8 KiB/s | 1.8 KiB | 00m00s Copr repository 100% | 89.4 KiB/s | 2.1 KiB | 00m00s Additional repo http_developer_downloa 100% | 102.6 KiB/s | 3.5 KiB | 00m00s Additional repo http_developer_downloa 100% | 1.1 MiB/s | 3.5 KiB | 00m00s Additional repo http_developer_downloa 100% | 1.1 MiB/s | 3.5 KiB | 00m00s Repositories loaded. Package Arch Version Repository Size Installing: gcc-c++ aarch64 14.2.1-4.fc42 fedora 35.0 MiB git aarch64 2.46.2-1.fc42 fedora 85.2 KiB make aarch64 1:4.4.1-8.fc41 fedora 1.8 MiB python3-devel aarch64 3.13.0~rc2-3.fc42 fedora 1.8 MiB python3-setuptools noarch 69.2.0-8.fc41 fedora 7.2 MiB Installing dependencies: annobin-docs noarch 12.70-1.fc42 fedora 97.7 KiB annobin-plugin-gcc aarch64 12.70-1.fc42 fedora 1.1 MiB cpp aarch64 14.2.1-4.fc42 fedora 31.8 MiB expat aarch64 2.6.3-1.fc42 fedora 539.6 KiB gcc aarch64 14.2.1-4.fc42 fedora 93.8 MiB gcc-plugin-annobin aarch64 14.2.1-4.fc42 fedora 197.1 KiB git-core aarch64 2.46.2-1.fc42 fedora 22.8 MiB git-core-doc noarch 2.46.2-1.fc42 fedora 17.1 MiB glibc-devel aarch64 2.40.9000-1.fc42 fedora 2.2 MiB groff-base aarch64 1.23.0-7.fc41 fedora 5.2 MiB kernel-headers aarch64 6.11.0-63.fc42 fedora 6.3 MiB less aarch64 661-2.fc41 fedora 869.2 KiB libasan aarch64 14.2.1-4.fc42 fedora 1.6 MiB libatomic aarch64 14.2.1-4.fc42 fedora 196.9 KiB libb2 aarch64 0.98.1-12.fc41 fedora 202.2 KiB libcbor aarch64 0.11.0-2.fc41 fedora 202.0 KiB libedit aarch64 3.1-53.20240808cvs.fc41 fedora 344.2 KiB libfido2 aarch64 1.15.0-2.fc41 fedora 342.4 KiB libmpc aarch64 1.3.1-6.fc41 fedora 280.8 KiB libstdc++-devel aarch64 14.2.1-4.fc42 fedora 15.1 MiB libubsan aarch64 14.2.1-4.fc42 fedora 539.3 KiB libxcrypt-devel aarch64 4.4.36-7.fc41 fedora 30.3 KiB mpdecimal aarch64 2.5.1-16.fc41 fedora 328.9 KiB ncurses aarch64 6.5-2.20240629.fc41 fedora 1.7 MiB openssh aarch64 9.8p1-4.fc42 fedora 2.0 MiB openssh-clients aarch64 9.8p1-4.fc42 fedora 3.4 MiB perl-AutoLoader noarch 5.74-511.fc41 fedora 20.5 KiB perl-B aarch64 1.89-511.fc41 fedora 606.1 KiB perl-Carp noarch 1.54-511.fc41 fedora 46.6 KiB perl-Class-Struct noarch 0.68-511.fc41 fedora 25.4 KiB perl-Data-Dumper aarch64 2.189-512.fc41 fedora 263.8 KiB perl-Digest noarch 1.20-511.fc41 fedora 35.3 KiB perl-Digest-MD5 aarch64 2.59-5.fc41 fedora 231.9 KiB perl-DynaLoader aarch64 1.56-511.fc41 fedora 32.1 KiB perl-Encode aarch64 4:3.21-511.fc41 fedora 5.9 MiB perl-Errno aarch64 1.38-511.fc41 fedora 8.4 KiB perl-Error noarch 1:0.17029-16.fc41 fedora 77.3 KiB perl-Exporter noarch 5.78-511.fc41 fedora 54.3 KiB perl-Fcntl aarch64 1.18-511.fc41 fedora 221.1 KiB perl-File-Basename noarch 2.86-511.fc41 fedora 14.0 KiB perl-File-Find noarch 1.44-511.fc41 fedora 41.9 KiB perl-File-Path noarch 2.18-511.fc41 fedora 63.5 KiB perl-File-Temp noarch 1:0.231.100-511.fc41 fedora 162.3 KiB perl-File-stat noarch 1.14-511.fc41 fedora 12.5 KiB perl-FileHandle noarch 2.05-511.fc41 fedora 9.3 KiB perl-Getopt-Long noarch 1:2.58-2.fc41 fedora 144.5 KiB perl-Getopt-Std noarch 1.14-511.fc41 fedora 11.2 KiB perl-Git noarch 2.46.2-1.fc42 fedora 64.1 KiB perl-HTTP-Tiny noarch 0.088-512.fc41 fedora 152.2 KiB perl-IO aarch64 1.55-511.fc41 fedora 319.2 KiB perl-IO-Socket-IP noarch 0.42-512.fc41 fedora 98.7 KiB perl-IO-Socket-SSL noarch 2.089-1.fc42 fedora 703.3 KiB perl-IPC-Open3 noarch 1.22-511.fc41 fedora 22.5 KiB perl-MIME-Base32 noarch 1.303-21.fc41 fedora 30.7 KiB perl-MIME-Base64 aarch64 3.16-511.fc41 fedora 222.2 KiB perl-Mozilla-CA noarch 20240924-1.fc42 fedora 10.3 KiB perl-Net-SSLeay aarch64 1.94-7.fc41 fedora 1.4 MiB perl-POSIX aarch64 2.20-511.fc41 fedora 327.3 KiB perl-PathTools aarch64 3.91-511.fc41 fedora 352.1 KiB perl-Pod-Escapes noarch 1:1.07-511.fc41 fedora 24.9 KiB perl-Pod-Perldoc noarch 3.28.01-512.fc41 fedora 163.7 KiB perl-Pod-Simple noarch 1:3.45-511.fc41 fedora 560.9 KiB perl-Pod-Usage noarch 4:2.03-511.fc41 fedora 84.8 KiB perl-Scalar-List-Utils aarch64 5:1.66-1.fc42 fedora 278.7 KiB perl-SelectSaver noarch 1.02-511.fc41 fedora 2.2 KiB perl-Socket aarch64 4:2.038-511.fc41 fedora 272.1 KiB perl-Storable aarch64 1:3.32-511.fc41 fedora 372.5 KiB perl-Symbol noarch 1.09-511.fc41 fedora 6.8 KiB perl-Term-ANSIColor noarch 5.01-512.fc41 fedora 97.5 KiB perl-Term-Cap noarch 1.18-511.fc41 fedora 29.3 KiB perl-TermReadKey aarch64 2.38-23.fc41 fedora 236.2 KiB perl-Text-ParseWords noarch 3.31-511.fc41 fedora 13.6 KiB perl-Text-Tabs+Wrap noarch 2024.001-511.fc41 fedora 22.6 KiB perl-Time-Local noarch 2:1.350-511.fc41 fedora 69.0 KiB perl-URI noarch 5.29-1.fc42 fedora 251.9 KiB perl-base noarch 2.27-511.fc41 fedora 12.5 KiB perl-constant noarch 1.33-512.fc41 fedora 26.2 KiB perl-if noarch 0.61.000-511.fc41 fedora 5.8 KiB perl-interpreter aarch64 4:5.40.0-511.fc41 fedora 302.3 KiB perl-lib aarch64 0.65-511.fc41 fedora 8.5 KiB perl-libnet noarch 3.15-512.fc41 fedora 289.4 KiB perl-libs aarch64 4:5.40.0-511.fc41 fedora 10.9 MiB perl-locale noarch 1.12-511.fc41 fedora 6.5 KiB perl-mro aarch64 1.29-511.fc41 fedora 209.7 KiB perl-overload noarch 1.37-511.fc41 fedora 71.5 KiB perl-overloading noarch 0.02-511.fc41 fedora 4.8 KiB perl-parent noarch 1:0.242-1.fc42 fedora 10.0 KiB perl-podlators noarch 1:6.0.2-2.fc41 fedora 317.5 KiB perl-vars noarch 1.05-511.fc41 fedora 3.9 KiB pyproject-rpm-macros noarch 1.15.0-1.fc42 fedora 109.0 KiB python-pip-wheel noarch 24.2-2.fc42 fedora 1.2 MiB python-rpm-macros noarch 3.13-3.fc41 fedora 22.1 KiB python3 aarch64 3.13.0~rc2-3.fc42 fedora 211.8 KiB python3-libs aarch64 3.13.0~rc2-3.fc42 fedora 49.8 MiB python3-packaging noarch 24.1-2.fc41 fedora 422.3 KiB python3-rpm-generators noarch 14-11.fc41 fedora 81.7 KiB python3-rpm-macros noarch 3.13-3.fc41 fedora 6.4 KiB tzdata noarch 2024a-9.fc41 fedora 1.7 MiB Transaction Summary: Installing: 103 packages Total size of inbound packages is 96 MiB. Need to download 96 MiB. After this operation, 334 MiB extra will be used (install 334 MiB, remove 0 B). [ 1/103] git-0:2.46.2-1.fc42.aarch64 100% | 3.4 MiB/s | 52.1 KiB | 00m00s [ 2/103] python3-devel-0:3.13.0~rc2-3. 100% | 65.5 MiB/s | 402.5 KiB | 00m00s [ 3/103] make-1:4.4.1-8.fc41.aarch64 100% | 21.1 MiB/s | 583.7 KiB | 00m00s [ 4/103] python3-setuptools-0:69.2.0-8 100% | 92.0 MiB/s | 1.6 MiB | 00m00s [ 5/103] libmpc-0:1.3.1-6.fc41.aarch64 100% | 7.9 MiB/s | 72.7 KiB | 00m00s [ 6/103] libstdc++-devel-0:14.2.1-4.fc 100% | 70.3 MiB/s | 2.7 MiB | 00m00s [ 7/103] gcc-c++-0:14.2.1-4.fc42.aarch 100% | 122.6 MiB/s | 12.9 MiB | 00m00s [ 8/103] git-core-0:2.46.2-1.fc42.aarc 100% | 89.2 MiB/s | 4.9 MiB | 00m00s [ 9/103] git-core-doc-0:2.46.2-1.fc42. 100% | 55.7 MiB/s | 3.0 MiB | 00m00s [ 10/103] perl-File-Basename-0:2.86-511 100% | 778.3 KiB/s | 17.1 KiB | 00m00s [ 11/103] perl-Getopt-Long-1:2.58-2.fc4 100% | 5.7 MiB/s | 63.9 KiB | 00m00s [ 12/103] gcc-0:14.2.1-4.fc42.aarch64 100% | 181.5 MiB/s | 33.8 MiB | 00m00s [ 13/103] perl-Git-0:2.46.2-1.fc42.noar 100% | 1.1 MiB/s | 38.9 KiB | 00m00s [ 14/103] perl-File-Find-0:1.44-511.fc4 100% | 436.0 KiB/s | 25.3 KiB | 00m00s [ 15/103] perl-IPC-Open3-0:1.22-511.fc4 100% | 7.1 MiB/s | 21.8 KiB | 00m00s [ 16/103] perl-PathTools-0:3.91-511.fc4 100% | 28.5 MiB/s | 87.5 KiB | 00m00s [ 17/103] perl-interpreter-4:5.40.0-511 100% | 23.6 MiB/s | 72.4 KiB | 00m00s [ 18/103] perl-TermReadKey-0:2.38-23.fc 100% | 11.6 MiB/s | 35.8 KiB | 00m00s [ 19/103] perl-lib-0:0.65-511.fc41.aarc 100% | 3.6 MiB/s | 14.9 KiB | 00m00s [ 20/103] python3-0:3.13.0~rc2-3.fc42.a 100% | 27.3 MiB/s | 27.9 KiB | 00m00s [ 21/103] perl-libs-4:5.40.0-511.fc41.a 100% | 120.5 MiB/s | 2.3 MiB | 00m00s [ 22/103] libasan-0:14.2.1-4.fc42.aarch 100% | 80.8 MiB/s | 496.1 KiB | 00m00s [ 23/103] libatomic-0:14.2.1-4.fc42.aar 100% | 10.7 MiB/s | 44.0 KiB | 00m00s [ 24/103] libubsan-0:14.2.1-4.fc42.aarc 100% | 35.5 MiB/s | 217.9 KiB | 00m00s [ 25/103] expat-0:2.6.3-1.fc42.aarch64 100% | 21.8 MiB/s | 111.4 KiB | 00m00s [ 26/103] python3-libs-0:3.13.0~rc2-3.f 100% | 172.9 MiB/s | 9.0 MiB | 00m00s [ 27/103] cpp-0:14.2.1-4.fc42.aarch64 100% | 170.0 MiB/s | 10.7 MiB | 00m00s [ 28/103] less-0:661-2.fc41.aarch64 100% | 8.1 MiB/s | 189.8 KiB | 00m00s [ 29/103] openssh-clients-0:9.8p1-4.fc4 100% | 51.5 MiB/s | 738.5 KiB | 00m00s [ 30/103] perl-Carp-0:1.54-511.fc41.noa 100% | 9.4 MiB/s | 28.9 KiB | 00m00s [ 31/103] perl-Pod-Usage-4:2.03-511.fc4 100% | 39.1 MiB/s | 40.0 KiB | 00m00s [ 32/103] perl-Exporter-0:5.78-511.fc41 100% | 7.5 MiB/s | 30.9 KiB | 00m00s [ 33/103] perl-Text-ParseWords-0:3.31-5 100% | 8.1 MiB/s | 16.6 KiB | 00m00s [ 34/103] perl-base-0:2.27-511.fc41.noa 100% | 15.8 MiB/s | 16.2 KiB | 00m00s [ 35/103] perl-constant-0:1.33-512.fc41 100% | 22.5 MiB/s | 23.0 KiB | 00m00s [ 36/103] perl-overload-0:1.37-511.fc41 100% | 44.4 MiB/s | 45.5 KiB | 00m00s [ 37/103] perl-Error-1:0.17029-16.fc41. 100% | 19.8 MiB/s | 40.6 KiB | 00m00s [ 38/103] perl-IO-0:1.55-511.fc41.aarch 100% | 26.7 MiB/s | 82.0 KiB | 00m00s [ 39/103] perl-Fcntl-0:1.18-511.fc41.aa 100% | 5.9 MiB/s | 30.0 KiB | 00m00s [ 40/103] perl-Symbol-0:1.09-511.fc41.n 100% | 13.8 MiB/s | 14.2 KiB | 00m00s [ 41/103] perl-POSIX-0:2.20-511.fc41.aa 100% | 23.7 MiB/s | 97.0 KiB | 00m00s [ 42/103] perl-Errno-0:1.38-511.fc41.aa 100% | 14.5 MiB/s | 14.9 KiB | 00m00s [ 43/103] perl-DynaLoader-0:1.56-511.fc 100% | 12.7 MiB/s | 26.0 KiB | 00m00s [ 44/103] perl-Scalar-List-Utils-5:1.66 100% | 23.2 MiB/s | 71.3 KiB | 00m00s [ 45/103] perl-vars-0:1.05-511.fc41.noa 100% | 6.3 MiB/s | 13.0 KiB | 00m00s [ 46/103] libb2-0:0.98.1-12.fc41.aarch6 100% | 12.2 MiB/s | 24.9 KiB | 00m00s [ 47/103] mpdecimal-0:2.5.1-16.fc41.aar 100% | 29.0 MiB/s | 89.1 KiB | 00m00s [ 48/103] perl-Encode-4:3.21-511.fc41.a 100% | 130.1 MiB/s | 1.0 MiB | 00m00s [ 49/103] tzdata-0:2024a-9.fc41.noarch 100% | 116.3 MiB/s | 714.7 KiB | 00m00s [ 50/103] libedit-0:3.1-53.20240808cvs. 100% | 25.8 MiB/s | 105.7 KiB | 00m00s [ 51/103] python-pip-wheel-0:24.2-2.fc4 100% | 109.2 MiB/s | 1.2 MiB | 00m00s [ 52/103] libfido2-0:1.15.0-2.fc41.aarc 100% | 23.7 MiB/s | 97.0 KiB | 00m00s [ 53/103] openssh-0:9.8p1-4.fc42.aarch6 100% | 134.7 MiB/s | 413.8 KiB | 00m00s [ 54/103] perl-Pod-Perldoc-0:3.28.01-51 100% | 28.0 MiB/s | 86.1 KiB | 00m00s [ 55/103] perl-mro-0:1.29-511.fc41.aarc 100% | 14.4 MiB/s | 29.6 KiB | 00m00s [ 56/103] perl-overloading-0:0.02-511.f 100% | 12.6 MiB/s | 12.9 KiB | 00m00s [ 57/103] perl-podlators-1:6.0.2-2.fc41 100% | 31.5 MiB/s | 128.8 KiB | 00m00s [ 58/103] perl-File-stat-0:1.14-511.fc4 100% | 472.4 KiB/s | 17.0 KiB | 00m00s [ 59/103] perl-SelectSaver-0:1.02-511.f 100% | 324.6 KiB/s | 11.7 KiB | 00m00s [ 60/103] perl-Socket-4:2.038-511.fc41. 100% | 1.5 MiB/s | 55.5 KiB | 00m00s [ 61/103] perl-locale-0:1.12-511.fc41.n 100% | 3.3 MiB/s | 13.6 KiB | 00m00s [ 62/103] perl-MIME-Base64-0:3.16-511.f 100% | 14.8 MiB/s | 30.2 KiB | 00m00s [ 63/103] perl-Getopt-Std-0:1.14-511.fc 100% | 5.1 MiB/s | 15.6 KiB | 00m00s [ 64/103] libcbor-0:0.11.0-2.fc41.aarch 100% | 32.0 MiB/s | 32.8 KiB | 00m00s [ 65/103] perl-Storable-1:3.32-511.fc41 100% | 47.6 MiB/s | 97.4 KiB | 00m00s [ 66/103] perl-parent-1:0.242-1.fc42.no 100% | 7.3 MiB/s | 15.0 KiB | 00m00s [ 67/103] perl-File-Temp-1:0.231.100-51 100% | 57.7 MiB/s | 59.1 KiB | 00m00s [ 68/103] perl-HTTP-Tiny-0:0.088-512.fc 100% | 54.5 MiB/s | 55.8 KiB | 00m00s [ 69/103] groff-base-0:1.23.0-7.fc41.aa 100% | 179.2 MiB/s | 1.1 MiB | 00m00s [ 70/103] perl-Term-ANSIColor-0:5.01-51 100% | 11.6 MiB/s | 47.7 KiB | 00m00s [ 71/103] perl-Pod-Simple-1:3.45-511.fc 100% | 42.8 MiB/s | 219.0 KiB | 00m00s [ 72/103] perl-Term-Cap-0:1.18-511.fc41 100% | 21.6 MiB/s | 22.1 KiB | 00m00s [ 73/103] perl-Class-Struct-0:0.68-511. 100% | 10.8 MiB/s | 22.0 KiB | 00m00s [ 74/103] perl-File-Path-0:2.18-511.fc4 100% | 34.4 MiB/s | 35.3 KiB | 00m00s [ 75/103] perl-Mozilla-CA-0:20240924-1. 100% | 14.2 MiB/s | 14.5 KiB | 00m00s [ 76/103] perl-IO-Socket-SSL-0:2.089-1. 100% | 112.9 MiB/s | 231.2 KiB | 00m00s [ 77/103] perl-Net-SSLeay-0:1.94-7.fc41 100% | 122.2 MiB/s | 375.4 KiB | 00m00s [ 78/103] perl-Time-Local-2:1.350-511.f 100% | 11.2 MiB/s | 34.5 KiB | 00m00s [ 79/103] perl-Text-Tabs+Wrap-0:2024.00 100% | 21.3 MiB/s | 21.9 KiB | 00m00s [ 80/103] perl-Pod-Escapes-1:1.07-511.f 100% | 6.4 MiB/s | 19.8 KiB | 00m00s [ 81/103] perl-if-0:0.61.000-511.fc41.n 100% | 4.5 MiB/s | 14.0 KiB | 00m00s [ 82/103] perl-IO-Socket-IP-0:0.42-512. 100% | 20.4 MiB/s | 41.8 KiB | 00m00s [ 83/103] ncurses-0:6.5-2.20240629.fc41 100% | 103.2 MiB/s | 422.6 KiB | 00m00s [ 84/103] perl-AutoLoader-0:5.74-511.fc 100% | 20.7 MiB/s | 21.2 KiB | 00m00s [ 85/103] perl-URI-0:5.29-1.fc42.noarch 100% | 44.8 MiB/s | 137.7 KiB | 00m00s [ 86/103] perl-Data-Dumper-0:2.189-512. 100% | 26.9 MiB/s | 55.1 KiB | 00m00s [ 87/103] perl-MIME-Base32-0:1.303-21.f 100% | 5.0 MiB/s | 20.5 KiB | 00m00s [ 88/103] perl-libnet-0:3.15-512.fc41.n 100% | 31.4 MiB/s | 128.5 KiB | 00m00s [ 89/103] perl-B-0:1.89-511.fc41.aarch6 100% | 34.6 MiB/s | 177.2 KiB | 00m00s [ 90/103] perl-Digest-0:1.20-511.fc41.n 100% | 24.3 MiB/s | 24.9 KiB | 00m00s [ 91/103] perl-FileHandle-0:2.05-511.fc 100% | 5.0 MiB/s | 15.5 KiB | 00m00s [ 92/103] perl-Digest-MD5-0:2.59-5.fc41 100% | 7.0 MiB/s | 36.1 KiB | 00m00s [ 93/103] libxcrypt-devel-0:4.4.36-7.fc 100% | 14.1 MiB/s | 28.8 KiB | 00m00s [ 94/103] glibc-devel-0:2.40.9000-1.fc4 100% | 124.4 MiB/s | 637.1 KiB | 00m00s [ 95/103] kernel-headers-0:6.11.0-63.fc 100% | 177.0 MiB/s | 1.6 MiB | 00m00s [ 96/103] gcc-plugin-annobin-0:14.2.1-4 100% | 13.5 MiB/s | 55.3 KiB | 00m00s [ 97/103] annobin-plugin-gcc-0:12.70-1. 100% | 94.9 MiB/s | 972.0 KiB | 00m00s [ 98/103] pyproject-rpm-macros-0:1.15.0 100% | 5.3 MiB/s | 43.4 KiB | 00m00s [ 99/103] python-rpm-macros-0:3.13-3.fc 100% | 3.5 MiB/s | 17.7 KiB | 00m00s [100/103] annobin-docs-0:12.70-1.fc42.n 100% | 9.0 MiB/s | 92.0 KiB | 00m00s [101/103] python3-rpm-generators-0:14-1 100% | 28.6 MiB/s | 29.3 KiB | 00m00s [102/103] python3-rpm-macros-0:3.13-3.f 100% | 12.2 MiB/s | 12.4 KiB | 00m00s [103/103] python3-packaging-0:24.1-2.fc 100% | 61.2 MiB/s | 125.4 KiB | 00m00s -------------------------------------------------------------------------------- [103/103] Total 100% | 205.2 MiB/s | 95.6 MiB | 00m00s Running transaction [ 1/105] Verify package files 100% | 305.0 B/s | 103.0 B | 00m00s [ 2/105] Prepare transaction 100% | 1.2 KiB/s | 103.0 B | 00m00s [ 3/105] Installing python-rpm-macros- 100% | 22.3 MiB/s | 22.8 KiB | 00m00s [ 4/105] Installing libmpc-0:1.3.1-6.f 100% | 137.8 MiB/s | 282.3 KiB | 00m00s [ 5/105] Installing python3-rpm-macros 100% | 0.0 B/s | 6.7 KiB | 00m00s [ 6/105] Installing expat-0:2.6.3-1.fc 100% | 264.5 MiB/s | 541.7 KiB | 00m00s [ 7/105] Installing pyproject-rpm-macr 100% | 108.4 MiB/s | 111.0 KiB | 00m00s [ 8/105] Installing cpp-0:14.2.1-4.fc4 100% | 286.4 MiB/s | 31.8 MiB | 00m00s [ 9/105] Installing annobin-docs-0:12. 100% | 32.2 MiB/s | 98.8 KiB | 00m00s [ 10/105] Installing kernel-headers-0:6 100% | 139.8 MiB/s | 6.4 MiB | 00m00s [ 11/105] Installing libxcrypt-devel-0: 100% | 10.6 MiB/s | 32.6 KiB | 00m00s [ 12/105] Installing glibc-devel-0:2.40 100% | 114.3 MiB/s | 2.3 MiB | 00m00s [ 13/105] Installing ncurses-0:6.5-2.20 100% | 129.9 MiB/s | 1.7 MiB | 00m00s [ 14/105] Installing groff-base-0:1.23. 100% | 179.3 MiB/s | 5.2 MiB | 00m00s [ 15/105] Installing perl-Digest-0:1.20 100% | 36.2 MiB/s | 37.1 KiB | 00m00s [ 16/105] Installing perl-B-0:1.89-511. 100% | 198.4 MiB/s | 609.4 KiB | 00m00s [ 17/105] Installing perl-FileHandle-0: 100% | 0.0 B/s | 9.8 KiB | 00m00s [ 18/105] Installing perl-Digest-MD5-0: 100% | 228.3 MiB/s | 233.8 KiB | 00m00s [ 19/105] Installing perl-MIME-Base32-0 100% | 31.4 MiB/s | 32.2 KiB | 00m00s [ 20/105] Installing perl-Data-Dumper-0 100% | 129.7 MiB/s | 265.7 KiB | 00m00s [ 21/105] Installing perl-libnet-0:3.15 100% | 143.9 MiB/s | 294.7 KiB | 00m00s [ 22/105] Installing perl-IO-Socket-IP- 100% | 98.1 MiB/s | 100.5 KiB | 00m00s [ 23/105] Installing perl-AutoLoader-0: 100% | 20.5 MiB/s | 20.9 KiB | 00m00s [ 24/105] Installing perl-URI-0:5.29-1. 100% | 64.4 MiB/s | 263.8 KiB | 00m00s [ 25/105] Installing perl-locale-0:1.12 100% | 0.0 B/s | 6.9 KiB | 00m00s [ 26/105] Installing perl-File-Path-0:2 100% | 63.0 MiB/s | 64.5 KiB | 00m00s [ 27/105] Installing perl-Mozilla-CA-0: 100% | 11.1 MiB/s | 11.3 KiB | 00m00s [ 28/105] Installing perl-Time-Local-2: 100% | 68.9 MiB/s | 70.6 KiB | 00m00s [ 29/105] Installing perl-Pod-Escapes-1 100% | 25.3 MiB/s | 25.9 KiB | 00m00s [ 30/105] Installing perl-Text-Tabs+Wra 100% | 23.3 MiB/s | 23.9 KiB | 00m00s [ 31/105] Installing perl-if-0:0.61.000 100% | 6.1 MiB/s | 6.2 KiB | 00m00s [ 32/105] Installing perl-Net-SSLeay-0: 100% | 179.1 MiB/s | 1.4 MiB | 00m00s [ 33/105] Installing perl-IO-Socket-SSL 100% | 230.3 MiB/s | 707.4 KiB | 00m00s [ 34/105] Installing perl-POSIX-0:2.20- 100% | 160.4 MiB/s | 328.5 KiB | 00m00s [ 35/105] Installing perl-Term-ANSIColo 100% | 96.9 MiB/s | 99.2 KiB | 00m00s [ 36/105] Installing perl-Term-Cap-0:1. 100% | 29.9 MiB/s | 30.6 KiB | 00m00s [ 37/105] Installing perl-IPC-Open3-0:1 100% | 22.7 MiB/s | 23.3 KiB | 00m00s [ 38/105] Installing perl-Class-Struct- 100% | 0.0 B/s | 25.9 KiB | 00m00s [ 39/105] Installing perl-File-Temp-1:0 100% | 160.2 MiB/s | 164.1 KiB | 00m00s [ 40/105] Installing perl-Pod-Simple-1: 100% | 139.3 MiB/s | 570.5 KiB | 00m00s [ 41/105] Installing perl-HTTP-Tiny-0:0 100% | 150.6 MiB/s | 154.2 KiB | 00m00s [ 42/105] Installing perl-Symbol-0:1.09 100% | 0.0 B/s | 7.2 KiB | 00m00s [ 43/105] Installing perl-SelectSaver-0 100% | 0.0 B/s | 2.6 KiB | 00m00s [ 44/105] Installing perl-Socket-4:2.03 100% | 133.9 MiB/s | 274.1 KiB | 00m00s [ 45/105] Installing perl-File-stat-0:1 100% | 0.0 B/s | 13.1 KiB | 00m00s [ 46/105] Installing perl-podlators-1:6 100% | 157.0 MiB/s | 321.4 KiB | 00m00s [ 47/105] Installing perl-Pod-Perldoc-0 100% | 82.6 MiB/s | 169.3 KiB | 00m00s [ 48/105] Installing perl-Text-ParseWor 100% | 14.2 MiB/s | 14.6 KiB | 00m00s [ 49/105] Installing perl-base-0:2.27-5 100% | 0.0 B/s | 12.9 KiB | 00m00s [ 50/105] Installing perl-Fcntl-0:1.18- 100% | 217.0 MiB/s | 222.2 KiB | 00m00s [ 51/105] Installing perl-mro-0:1.29-51 100% | 205.9 MiB/s | 210.8 KiB | 00m00s [ 52/105] Installing perl-overloading-0 100% | 0.0 B/s | 5.5 KiB | 00m00s [ 53/105] Installing perl-IO-0:1.55-511 100% | 157.9 MiB/s | 323.4 KiB | 00m00s [ 54/105] Installing perl-Pod-Usage-4:2 100% | 84.3 MiB/s | 86.3 KiB | 00m00s [ 55/105] Installing perl-constant-0:1. 100% | 26.7 MiB/s | 27.4 KiB | 00m00s [ 56/105] Installing perl-Errno-0:1.38- 100% | 0.0 B/s | 8.8 KiB | 00m00s [ 57/105] Installing perl-Scalar-List-U 100% | 137.7 MiB/s | 282.0 KiB | 00m00s [ 58/105] Installing perl-vars-0:1.05-5 100% | 0.0 B/s | 4.3 KiB | 00m00s [ 59/105] Installing perl-overload-0:1. 100% | 70.3 MiB/s | 71.9 KiB | 00m00s [ 60/105] Installing perl-Getopt-Std-0: 100% | 11.5 MiB/s | 11.7 KiB | 00m00s [ 61/105] Installing perl-MIME-Base64-0 100% | 219.2 MiB/s | 224.4 KiB | 00m00s [ 62/105] Installing perl-parent-1:0.24 100% | 0.0 B/s | 10.7 KiB | 00m00s [ 63/105] Installing perl-Storable-1:3. 100% | 182.6 MiB/s | 374.1 KiB | 00m00s [ 64/105] Installing perl-Getopt-Long-1 100% | 143.8 MiB/s | 147.2 KiB | 00m00s [ 65/105] Installing perl-File-Basename 100% | 0.0 B/s | 14.6 KiB | 00m00s [ 66/105] Installing perl-Carp-0:1.54-5 100% | 46.6 MiB/s | 47.7 KiB | 00m00s [ 67/105] Installing perl-Exporter-0:5. 100% | 54.3 MiB/s | 55.6 KiB | 00m00s [ 68/105] Installing perl-PathTools-0:3 100% | 174.1 MiB/s | 356.6 KiB | 00m00s [ 69/105] Installing perl-DynaLoader-0: 100% | 31.7 MiB/s | 32.5 KiB | 00m00s [ 70/105] Installing perl-Encode-4:3.21 100% | 310.9 MiB/s | 5.9 MiB | 00m00s [ 71/105] Installing perl-libs-4:5.40.0 100% | 206.8 MiB/s | 11.0 MiB | 00m00s [ 72/105] Installing perl-interpreter-4 100% | 296.9 MiB/s | 304.0 KiB | 00m00s [ 73/105] Installing perl-File-Find-0:1 100% | 41.5 MiB/s | 42.5 KiB | 00m00s [ 74/105] Installing perl-TermReadKey-0 100% | 116.4 MiB/s | 238.4 KiB | 00m00s [ 75/105] Installing perl-lib-0:0.65-51 100% | 0.0 B/s | 8.9 KiB | 00m00s [ 76/105] Installing perl-Error-1:0.170 100% | 39.3 MiB/s | 80.5 KiB | 00m00s [ 77/105] Installing libcbor-0:0.11.0-2 100% | 198.6 MiB/s | 203.4 KiB | 00m00s [ 78/105] Installing libfido2-0:1.15.0- 100% | 167.9 MiB/s | 343.9 KiB | 00m00s [ 79/105] Installing openssh-0:9.8p1-4. 100% | 327.7 MiB/s | 2.0 MiB | 00m00s [ 80/105] Installing libedit-0:3.1-53.2 100% | 168.9 MiB/s | 345.8 KiB | 00m00s [ 81/105] Installing openssh-clients-0: 100% | 172.2 MiB/s | 3.4 MiB | 00m00s [ 82/105] Installing tzdata-0:2024a-9.f 100% | 36.6 MiB/s | 1.9 MiB | 00m00s [ 83/105] Installing python-pip-wheel-0 100% | 413.9 MiB/s | 1.2 MiB | 00m00s [ 84/105] Installing mpdecimal-0:2.5.1- 100% | 161.1 MiB/s | 330.0 KiB | 00m00s [ 85/105] Installing libb2-0:0.98.1-12. 100% | 33.1 MiB/s | 203.3 KiB | 00m00s [ 86/105] Installing python3-libs-0:3.1 100% | 274.1 MiB/s | 50.2 MiB | 00m00s [ 87/105] Installing python3-0:3.13.0~r 100% | 208.6 MiB/s | 213.6 KiB | 00m00s [ 88/105] Installing python3-packaging- 100% | 141.0 MiB/s | 433.2 KiB | 00m00s [ 89/105] Installing python3-rpm-genera 100% | 81.0 MiB/s | 82.9 KiB | 00m00s [ 90/105] Installing less-0:661-2.fc41. 100% | 213.0 MiB/s | 872.6 KiB | 00m00s [ 91/105] Installing git-core-0:2.46.2- 100% | 345.8 MiB/s | 22.8 MiB | 00m00s [ 92/105] Installing git-core-doc-0:2.4 100% | 251.0 MiB/s | 17.3 MiB | 00m00s [ 93/105] Installing perl-Git-0:2.46.2- 100% | 63.6 MiB/s | 65.1 KiB | 00m00s [ 94/105] Installing git-0:2.46.2-1.fc4 100% | 85.4 MiB/s | 87.4 KiB | 00m00s [ 95/105] Installing libubsan-0:14.2.1- 100% | 263.7 MiB/s | 540.1 KiB | 00m00s [ 96/105] Installing libatomic-0:14.2.1 100% | 193.1 MiB/s | 197.8 KiB | 00m00s [ 97/105] Installing libasan-0:14.2.1-4 100% | 228.9 MiB/s | 1.6 MiB | 00m00s [ 98/105] Installing libstdc++-devel-0: 100% | 272.1 MiB/s | 15.2 MiB | 00m00s [ 99/105] Installing make-1:4.4.1-8.fc4 100% | 205.6 MiB/s | 1.9 MiB | 00m00s [100/105] Installing gcc-0:14.2.1-4.fc4 100% | 335.0 MiB/s | 93.8 MiB | 00m00s [101/105] Installing gcc-c++-0:14.2.1-4 100% | 289.6 MiB/s | 35.0 MiB | 00m00s [102/105] Installing annobin-plugin-gcc 100% | 64.5 MiB/s | 1.1 MiB | 00m00s [103/105] Installing gcc-plugin-annobin 100% | 10.8 MiB/s | 198.6 KiB | 00m00s [104/105] Installing python3-devel-0:3. 100% | 113.4 MiB/s | 1.8 MiB | 00m00s warning: posix.fork(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.spawn() or rpm.execute() instead warning: posix.wait(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.spawn() or rpm.execute() instead warning: posix.exec(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.spawn() or rpm.execute() instead [105/105] Installing python3-setuptools 100% | 35.4 MiB/s | 7.3 MiB | 00m00s Complete! Finish: build setup for cocotb-1.9.1-20241002.0.git7bcc8065.fc42.src.rpm Start: rpmbuild cocotb-1.9.1-20241002.0.git7bcc8065.fc42.src.rpm Building target platforms: aarch64 Building for target aarch64 setting SOURCE_DATE_EPOCH=1640217600 Executing(%mkbuilddir): /bin/sh -e /var/tmp/rpm-tmp.ZH2LK9 + umask 022 + cd /builddir/build/BUILD/cocotb-1.9.1-build + test -d /builddir/build/BUILD/cocotb-1.9.1-build + /usr/bin/chmod -Rf a+rX,u+w,g-w,o-w /builddir/build/BUILD/cocotb-1.9.1-build + /usr/bin/rm -rf /builddir/build/BUILD/cocotb-1.9.1-build + /usr/bin/mkdir -p /builddir/build/BUILD/cocotb-1.9.1-build + /usr/bin/mkdir -p /builddir/build/BUILD/cocotb-1.9.1-build/SPECPARTS + RPM_EC=0 ++ jobs -p + exit 0 Executing(%prep): /bin/sh -e /var/tmp/rpm-tmp.aF0Wsk + umask 022 + cd /builddir/build/BUILD/cocotb-1.9.1-build + cd /builddir/build/BUILD/cocotb-1.9.1-build + rm -rf cocotb + /usr/bin/mkdir -p cocotb + cd cocotb + /usr/bin/chmod -Rf a+rX,u+w,g-w,o-w . + git clone --depth 1 -n -b master https://github.com/cocotb/cocotb.git . Cloning into '.'... + git fetch --depth 1 origin 7bcc8065e96930fc226463e3dcb0df3cd0b524db From https://github.com/cocotb/cocotb * branch 7bcc8065e96930fc226463e3dcb0df3cd0b524db -> FETCH_HEAD + git reset --hard 7bcc8065e96930fc226463e3dcb0df3cd0b524db HEAD is now at 7bcc806 Prevent warnings in Xcelium when getting bounds of non-indexable signals + git --no-pager log --format=fuller commit 7bcc8065e96930fc226463e3dcb0df3cd0b524db Author: Kaleb Barrett AuthorDate: Tue Oct 1 12:35:53 2024 -0400 Commit: Kaleb Barrett CommitDate: Wed Oct 2 09:33:18 2024 -0600 Prevent warnings in Xcelium when getting bounds of non-indexable signals + RPM_EC=0 ++ jobs -p + exit 0 Executing(%build): /bin/sh -e /var/tmp/rpm-tmp.ewYfII + umask 022 + cd /builddir/build/BUILD/cocotb-1.9.1-build + CFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer ' + export CFLAGS + CXXFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer ' + export CXXFLAGS + FFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -I/usr/lib64/gfortran/modules ' + export FFLAGS + FCFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -I/usr/lib64/gfortran/modules ' + export FCFLAGS + VALAFLAGS=-g + export VALAFLAGS + RUSTFLAGS='-Copt-level=3 -Cdebuginfo=2 -Ccodegen-units=1 -Cstrip=none -Cforce-frame-pointers=yes -Clink-arg=-specs=/usr/lib/rpm/redhat/redhat-package-notes --cap-lints=warn' + export RUSTFLAGS + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes ' + export LDFLAGS + LT_SYS_LIBRARY_PATH=/usr/lib64: + export LT_SYS_LIBRARY_PATH + CC=gcc + export CC + CXX=g++ + export CXX + cd cocotb + sed -i /-rpath/d cocotb_build_libs.py + sed -i 's|"-static-libstdc++"||g' cocotb_build_libs.py + CFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer ' + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes ' + /usr/bin/python3 setup.py build '--executable=/usr/bin/python3 -sP' running build running build_py creating build creating build/lib.linux-aarch64-cpython-313 creating build/lib.linux-aarch64-cpython-313/pygpi copying src/pygpi/entry.py -> build/lib.linux-aarch64-cpython-313/pygpi copying src/pygpi/__init__.py -> build/lib.linux-aarch64-cpython-313/pygpi creating build/lib.linux-aarch64-cpython-313/cocotb_tools copying src/cocotb_tools/runner.py -> build/lib.linux-aarch64-cpython-313/cocotb_tools copying src/cocotb_tools/ipython_support.py -> build/lib.linux-aarch64-cpython-313/cocotb_tools copying src/cocotb_tools/config.py -> build/lib.linux-aarch64-cpython-313/cocotb_tools copying src/cocotb_tools/combine_results.py -> build/lib.linux-aarch64-cpython-313/cocotb_tools copying src/cocotb_tools/__init__.py -> build/lib.linux-aarch64-cpython-313/cocotb_tools creating build/lib.linux-aarch64-cpython-313/cocotb copying src/cocotb/_version.py -> build/lib.linux-aarch64-cpython-313/cocotb copying src/cocotb/utils.py -> build/lib.linux-aarch64-cpython-313/cocotb copying src/cocotb/triggers.py -> build/lib.linux-aarch64-cpython-313/cocotb copying src/cocotb/task.py -> build/lib.linux-aarch64-cpython-313/cocotb copying src/cocotb/result.py -> build/lib.linux-aarch64-cpython-313/cocotb copying src/cocotb/regression.py -> build/lib.linux-aarch64-cpython-313/cocotb copying src/cocotb/queue.py -> build/lib.linux-aarch64-cpython-313/cocotb copying src/cocotb/logging.py -> build/lib.linux-aarch64-cpython-313/cocotb copying src/cocotb/handle.py -> build/lib.linux-aarch64-cpython-313/cocotb copying src/cocotb/clock.py -> build/lib.linux-aarch64-cpython-313/cocotb copying src/cocotb/_xunit_reporter.py -> build/lib.linux-aarch64-cpython-313/cocotb copying src/cocotb/_write_scheduler.py -> build/lib.linux-aarch64-cpython-313/cocotb copying src/cocotb/_utils.py -> build/lib.linux-aarch64-cpython-313/cocotb copying src/cocotb/_sim_versions.py -> build/lib.linux-aarch64-cpython-313/cocotb copying src/cocotb/_scheduler.py -> build/lib.linux-aarch64-cpython-313/cocotb copying src/cocotb/_py_compat.py -> build/lib.linux-aarch64-cpython-313/cocotb copying src/cocotb/_profiling.py -> build/lib.linux-aarch64-cpython-313/cocotb copying src/cocotb/_outcomes.py -> build/lib.linux-aarch64-cpython-313/cocotb copying src/cocotb/_exceptions.py -> build/lib.linux-aarch64-cpython-313/cocotb copying src/cocotb/_deprecation.py -> build/lib.linux-aarch64-cpython-313/cocotb copying src/cocotb/_decorators.py -> build/lib.linux-aarch64-cpython-313/cocotb copying src/cocotb/__init__.py -> build/lib.linux-aarch64-cpython-313/cocotb copying src/cocotb/_ANSI.py -> build/lib.linux-aarch64-cpython-313/cocotb creating build/lib.linux-aarch64-cpython-313/cocotb/types copying src/cocotb/types/range.py -> build/lib.linux-aarch64-cpython-313/cocotb/types copying src/cocotb/types/logic_array.py -> build/lib.linux-aarch64-cpython-313/cocotb/types copying src/cocotb/types/logic.py -> build/lib.linux-aarch64-cpython-313/cocotb/types copying src/cocotb/types/array.py -> build/lib.linux-aarch64-cpython-313/cocotb/types copying src/cocotb/types/__init__.py -> build/lib.linux-aarch64-cpython-313/cocotb/types creating build/lib.linux-aarch64-cpython-313/cocotb/_vendor copying src/cocotb/_vendor/distutils_version.py -> build/lib.linux-aarch64-cpython-313/cocotb/_vendor copying src/cocotb/_vendor/__init__.py -> build/lib.linux-aarch64-cpython-313/cocotb/_vendor copying src/pygpi/py.typed -> build/lib.linux-aarch64-cpython-313/pygpi copying src/cocotb_tools/py.typed -> build/lib.linux-aarch64-cpython-313/cocotb_tools creating build/lib.linux-aarch64-cpython-313/cocotb_tools/makefiles copying src/cocotb_tools/makefiles/Makefile.sim -> build/lib.linux-aarch64-cpython-313/cocotb_tools/makefiles copying src/cocotb_tools/makefiles/Makefile.inc -> build/lib.linux-aarch64-cpython-313/cocotb_tools/makefiles copying src/cocotb_tools/makefiles/Makefile.deprecations -> build/lib.linux-aarch64-cpython-313/cocotb_tools/makefiles creating build/lib.linux-aarch64-cpython-313/cocotb_tools/makefiles/simulators copying src/cocotb_tools/makefiles/simulators/Makefile.xcelium -> build/lib.linux-aarch64-cpython-313/cocotb_tools/makefiles/simulators copying src/cocotb_tools/makefiles/simulators/Makefile.verilator -> build/lib.linux-aarch64-cpython-313/cocotb_tools/makefiles/simulators copying src/cocotb_tools/makefiles/simulators/Makefile.vcs -> build/lib.linux-aarch64-cpython-313/cocotb_tools/makefiles/simulators copying src/cocotb_tools/makefiles/simulators/Makefile.riviera -> build/lib.linux-aarch64-cpython-313/cocotb_tools/makefiles/simulators copying src/cocotb_tools/makefiles/simulators/Makefile.questa -> build/lib.linux-aarch64-cpython-313/cocotb_tools/makefiles/simulators copying src/cocotb_tools/makefiles/simulators/Makefile.nvc -> build/lib.linux-aarch64-cpython-313/cocotb_tools/makefiles/simulators copying src/cocotb_tools/makefiles/simulators/Makefile.modelsim -> build/lib.linux-aarch64-cpython-313/cocotb_tools/makefiles/simulators copying src/cocotb_tools/makefiles/simulators/Makefile.ius -> build/lib.linux-aarch64-cpython-313/cocotb_tools/makefiles/simulators copying src/cocotb_tools/makefiles/simulators/Makefile.icarus -> build/lib.linux-aarch64-cpython-313/cocotb_tools/makefiles/simulators copying src/cocotb_tools/makefiles/simulators/Makefile.ghdl -> build/lib.linux-aarch64-cpython-313/cocotb_tools/makefiles/simulators copying src/cocotb_tools/makefiles/simulators/Makefile.cvc -> build/lib.linux-aarch64-cpython-313/cocotb_tools/makefiles/simulators copying src/cocotb_tools/makefiles/simulators/Makefile.activehdl -> build/lib.linux-aarch64-cpython-313/cocotb_tools/makefiles/simulators copying src/cocotb/simulator.pyi -> build/lib.linux-aarch64-cpython-313/cocotb copying src/cocotb/py.typed -> build/lib.linux-aarch64-cpython-313/cocotb creating build/lib.linux-aarch64-cpython-313/cocotb/share creating build/lib.linux-aarch64-cpython-313/cocotb/share/include copying src/cocotb/share/include/vpi_user_ext.h -> build/lib.linux-aarch64-cpython-313/cocotb/share/include copying src/cocotb/share/include/vhpi_user_ext.h -> build/lib.linux-aarch64-cpython-313/cocotb/share/include copying src/cocotb/share/include/py_gpi_logging.h -> build/lib.linux-aarch64-cpython-313/cocotb/share/include copying src/cocotb/share/include/gpi_logging.h -> build/lib.linux-aarch64-cpython-313/cocotb/share/include copying src/cocotb/share/include/gpi.h -> build/lib.linux-aarch64-cpython-313/cocotb/share/include copying src/cocotb/share/include/exports.h -> build/lib.linux-aarch64-cpython-313/cocotb/share/include copying src/cocotb/share/include/embed.h -> build/lib.linux-aarch64-cpython-313/cocotb/share/include copying src/cocotb/share/include/cocotb_utils.h -> build/lib.linux-aarch64-cpython-313/cocotb/share/include creating build/lib.linux-aarch64-cpython-313/cocotb/share/def copying src/cocotb/share/def/modelsim.def -> build/lib.linux-aarch64-cpython-313/cocotb/share/def copying src/cocotb/share/def/icarus.def -> build/lib.linux-aarch64-cpython-313/cocotb/share/def copying src/cocotb/share/def/ghdl.def -> build/lib.linux-aarch64-cpython-313/cocotb/share/def copying src/cocotb/share/def/aldec.def -> build/lib.linux-aarch64-cpython-313/cocotb/share/def copying src/cocotb/share/def/README.md -> build/lib.linux-aarch64-cpython-313/cocotb/share/def copying src/cocotb/share/def/.gitignore -> build/lib.linux-aarch64-cpython-313/cocotb/share/def creating build/lib.linux-aarch64-cpython-313/cocotb/share/lib creating build/lib.linux-aarch64-cpython-313/cocotb/share/lib/verilator copying src/cocotb/share/lib/verilator/verilator.cpp -> build/lib.linux-aarch64-cpython-313/cocotb/share/lib/verilator running build_ext building 'cocotb/libs/libgpilog' extension creating build/temp.linux-aarch64-cpython-313 creating build/temp.linux-aarch64-cpython-313/cocotb creating build/temp.linux-aarch64-cpython-313/cocotb/libs creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libgpilog creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libgpilog/src creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libgpilog/src/cocotb creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libgpilog/src/cocotb/share creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libgpilog/src/cocotb/share/lib creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libgpilog/src/cocotb/share/lib/gpi_log gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DGPILOG_EXPORTS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/gpi_log/gpi_logging.cpp -o build/temp.linux-aarch64-cpython-313/cocotb/libs/libgpilog/src/cocotb/share/lib/gpi_log/gpi_logging.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto creating build/lib.linux-aarch64-cpython-313/cocotb/libs g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-aarch64-cpython-313/cocotb/libs/libgpilog/src/cocotb/share/lib/gpi_log/gpi_logging.o -Lbuild/lib.linux-aarch64-cpython-313/cocotb/libs -L/usr/lib64 -o build/lib.linux-aarch64-cpython-313/cocotb/libs/libgpilog.so -flto building 'cocotb/libs/libpygpilog' extension creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libpygpilog creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libpygpilog/src creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libpygpilog/src/cocotb creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libpygpilog/src/cocotb/share creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libpygpilog/src/cocotb/share/lib creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libpygpilog/src/cocotb/share/lib/py_gpi_log gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DPYGPILOG_EXPORTS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/py_gpi_log/py_gpi_logging.cpp -o build/temp.linux-aarch64-cpython-313/cocotb/libs/libpygpilog/src/cocotb/share/lib/py_gpi_log/py_gpi_logging.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-aarch64-cpython-313/cocotb/libs/libpygpilog/src/cocotb/share/lib/py_gpi_log/py_gpi_logging.o -Lbuild/lib.linux-aarch64-cpython-313/cocotb/libs -L/usr/lib64 -lgpilog -o build/lib.linux-aarch64-cpython-313/cocotb/libs/libpygpilog.so -flto building 'cocotb/libs/libcocotbutils' extension creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbutils creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbutils/src creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbutils/src/cocotb creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbutils/src/cocotb/share creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbutils/src/cocotb/share/lib creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbutils/src/cocotb/share/lib/utils gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBUTILS_EXPORTS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/utils/cocotb_utils.cpp -o build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbutils/src/cocotb/share/lib/utils/cocotb_utils.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbutils/src/cocotb/share/lib/utils/cocotb_utils.o -Lbuild/lib.linux-aarch64-cpython-313/cocotb/libs -L/usr/lib64 -lgpilog -ldl -o build/lib.linux-aarch64-cpython-313/cocotb/libs/libcocotbutils.so -flto building 'cocotb/libs/libembed' extension creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libembed creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libembed/src creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libembed/src/cocotb creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libembed/src/cocotb/share creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libembed/src/cocotb/share/lib creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libembed/src/cocotb/share/lib/embed gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTB_EMBED_EXPORTS= -DPYTHON_LIB=libpython3.13.so -D__STDC_FORMAT_MACROS= -DEMBED_IMPL_LIB=libcocotb.so -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/embed/embed.cpp -o build/temp.linux-aarch64-cpython-313/cocotb/libs/libembed/src/cocotb/share/lib/embed/embed.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-aarch64-cpython-313/cocotb/libs/libembed/src/cocotb/share/lib/embed/embed.o -Lbuild/lib.linux-aarch64-cpython-313/cocotb/libs -L/usr/lib64 -lgpilog -lcocotbutils -o build/lib.linux-aarch64-cpython-313/cocotb/libs/libembed.so -flto building 'cocotb/libs/libgpi' extension creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libgpi creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libgpi/src creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libgpi/src/cocotb creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libgpi/src/cocotb/share creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libgpi/src/cocotb/share/lib creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libgpi/src/cocotb/share/lib/gpi gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DGPI_EXPORTS= -DLIB_EXT=so -DSINGLETON_HANDLES= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/gpi/GpiCbHdl.cpp -o build/temp.linux-aarch64-cpython-313/cocotb/libs/libgpi/src/cocotb/share/lib/gpi/GpiCbHdl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DGPI_EXPORTS= -DLIB_EXT=so -DSINGLETON_HANDLES= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/gpi/GpiCommon.cpp -o build/temp.linux-aarch64-cpython-313/cocotb/libs/libgpi/src/cocotb/share/lib/gpi/GpiCommon.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-aarch64-cpython-313/cocotb/libs/libgpi/src/cocotb/share/lib/gpi/GpiCbHdl.o build/temp.linux-aarch64-cpython-313/cocotb/libs/libgpi/src/cocotb/share/lib/gpi/GpiCommon.o -Lbuild/lib.linux-aarch64-cpython-313/cocotb/libs -L/usr/lib64 -lcocotbutils -lgpilog -lembed -o build/lib.linux-aarch64-cpython-313/cocotb/libs/libgpi.so -flto building 'cocotb/libs/libcocotb' extension creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotb creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotb/src creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotb/src/cocotb creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotb/src/cocotb/share creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotb/src/cocotb/share/lib creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotb/src/cocotb/share/lib/embed gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/embed/gpi_embed.cpp -o build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotb/src/cocotb/share/lib/embed/gpi_embed.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto src/cocotb/share/lib/embed/gpi_embed.cpp: In function ‘void set_program_name_in_venv()’: src/cocotb/share/lib/embed/gpi_embed.cpp:110:22: warning: ‘void Py_SetProgramName(const wchar_t*)’ is deprecated [-Wdeprecated-declarations] 110 | Py_SetProgramName(venv_path_w); | ~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~ In file included from /usr/include/python3.13/Python.h:119, from src/cocotb/share/lib/embed/gpi_embed.cpp:32: /usr/include/python3.13/pylifecycle.h:37:38: note: declared here 37 | Py_DEPRECATED(3.11) PyAPI_FUNC(void) Py_SetProgramName(const wchar_t *); | ^~~~~~~~~~~~~~~~~ src/cocotb/share/lib/embed/gpi_embed.cpp: In function ‘void _embed_init_python()’: src/cocotb/share/lib/embed/gpi_embed.cpp:147:20: warning: ‘void PySys_SetArgvEx(int, wchar_t**, int)’ is deprecated [-Wdeprecated-declarations] 147 | PySys_SetArgvEx(1, argv, 0); | ~~~~~~~~~~~~~~~^~~~~~~~~~~~ In file included from /usr/include/python3.13/Python.h:121: /usr/include/python3.13/sysmodule.h:11:38: note: declared here 11 | Py_DEPRECATED(3.11) PyAPI_FUNC(void) PySys_SetArgvEx(int, wchar_t **, int); | ^~~~~~~~~~~~~~~ g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotb/src/cocotb/share/lib/embed/gpi_embed.o -Lbuild/lib.linux-aarch64-cpython-313/cocotb/libs -L/usr/lib64 -lgpilog -lcocotbutils -lpygpilog -o build/lib.linux-aarch64-cpython-313/cocotb/libs/libcocotb.so -flto building 'cocotb/simulator' extension creating build/temp.linux-aarch64-cpython-313/cocotb/simulator creating build/temp.linux-aarch64-cpython-313/cocotb/simulator/src creating build/temp.linux-aarch64-cpython-313/cocotb/simulator/src/cocotb creating build/temp.linux-aarch64-cpython-313/cocotb/simulator/src/cocotb/share creating build/temp.linux-aarch64-cpython-313/cocotb/simulator/src/cocotb/share/lib creating build/temp.linux-aarch64-cpython-313/cocotb/simulator/src/cocotb/share/lib/simulator gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/simulator/simulatormodule.cpp -o build/temp.linux-aarch64-cpython-313/cocotb/simulator/src/cocotb/share/lib/simulator/simulatormodule.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-aarch64-cpython-313/cocotb/simulator/src/cocotb/share/lib/simulator/simulatormodule.o -Lbuild/lib.linux-aarch64-cpython-313/cocotb/libs -L/usr/lib64 -lcocotbutils -lgpilog -lgpi -lpygpilog -o build/lib.linux-aarch64-cpython-313/cocotb/simulator.cpython-313-aarch64-linux-gnu.so -flto building 'cocotb/libs/libcocotbvpi_icarus' extension creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_icarus creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_icarus/src creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_icarus/src/cocotb creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_icarus/src/cocotb/share creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_icarus/src/cocotb/share/lib creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_icarus/src/cocotb/share/lib/vpi gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DICARUS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/vpi/VpiCbHdl.cpp -o build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_icarus/src/cocotb/share/lib/vpi/VpiCbHdl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DICARUS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/vpi/VpiImpl.cpp -o build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_icarus/src/cocotb/share/lib/vpi/VpiImpl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DICARUS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/vpi/VpiIterator.cpp -o build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_icarus/src/cocotb/share/lib/vpi/VpiIterator.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DICARUS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/vpi/VpiObj.cpp -o build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_icarus/src/cocotb/share/lib/vpi/VpiObj.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DICARUS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/vpi/VpiSignal.cpp -o build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_icarus/src/cocotb/share/lib/vpi/VpiSignal.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_icarus/src/cocotb/share/lib/vpi/VpiCbHdl.o build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_icarus/src/cocotb/share/lib/vpi/VpiImpl.o build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_icarus/src/cocotb/share/lib/vpi/VpiIterator.o build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_icarus/src/cocotb/share/lib/vpi/VpiObj.o build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_icarus/src/cocotb/share/lib/vpi/VpiSignal.o -Lbuild/lib.linux-aarch64-cpython-313/cocotb/libs -L/usr/lib64 -lgpi -lgpilog -o build/lib.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_icarus.vpl -flto building 'cocotb/libs/libcocotbvpi_modelsim' extension creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_modelsim creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_modelsim/src creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_modelsim/src/cocotb creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_modelsim/src/cocotb/share creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_modelsim/src/cocotb/share/lib creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_modelsim/src/cocotb/share/lib/vpi gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DMODELSIM= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/vpi/VpiCbHdl.cpp -o build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_modelsim/src/cocotb/share/lib/vpi/VpiCbHdl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DMODELSIM= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/vpi/VpiImpl.cpp -o build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_modelsim/src/cocotb/share/lib/vpi/VpiImpl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DMODELSIM= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/vpi/VpiIterator.cpp -o build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_modelsim/src/cocotb/share/lib/vpi/VpiIterator.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DMODELSIM= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/vpi/VpiObj.cpp -o build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_modelsim/src/cocotb/share/lib/vpi/VpiObj.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DMODELSIM= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/vpi/VpiSignal.cpp -o build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_modelsim/src/cocotb/share/lib/vpi/VpiSignal.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_modelsim/src/cocotb/share/lib/vpi/VpiCbHdl.o build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_modelsim/src/cocotb/share/lib/vpi/VpiImpl.o build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_modelsim/src/cocotb/share/lib/vpi/VpiIterator.o build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_modelsim/src/cocotb/share/lib/vpi/VpiObj.o build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_modelsim/src/cocotb/share/lib/vpi/VpiSignal.o -Lbuild/lib.linux-aarch64-cpython-313/cocotb/libs -L/usr/lib64 -lgpi -lgpilog -o build/lib.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_modelsim.so -flto building 'cocotb/libs/libcocotbvhpi_modelsim' extension creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvhpi_modelsim creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvhpi_modelsim/src creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvhpi_modelsim/src/cocotb creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvhpi_modelsim/src/cocotb/share creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvhpi_modelsim/src/cocotb/share/lib creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvhpi_modelsim/src/cocotb/share/lib/vhpi gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVHPI_EXPORTS= -DVHPI_CHECKING=1 -DMODELSIM= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/vhpi/VhpiCbHdl.cpp -o build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvhpi_modelsim/src/cocotb/share/lib/vhpi/VhpiCbHdl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVHPI_EXPORTS= -DVHPI_CHECKING=1 -DMODELSIM= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/vhpi/VhpiImpl.cpp -o build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvhpi_modelsim/src/cocotb/share/lib/vhpi/VhpiImpl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvhpi_modelsim/src/cocotb/share/lib/vhpi/VhpiCbHdl.o build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvhpi_modelsim/src/cocotb/share/lib/vhpi/VhpiImpl.o -Lbuild/lib.linux-aarch64-cpython-313/cocotb/libs -L/usr/lib64 -lgpi -lgpilog -o build/lib.linux-aarch64-cpython-313/cocotb/libs/libcocotbvhpi_modelsim.so -flto building 'cocotb/libs/libcocotbfli_modelsim' extension creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbfli_modelsim creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbfli_modelsim/src creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbfli_modelsim/src/cocotb creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbfli_modelsim/src/cocotb/share creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbfli_modelsim/src/cocotb/share/lib creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbfli_modelsim/src/cocotb/share/lib/fli gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBFLI_EXPORTS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/fli/FliCbHdl.cpp -o build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbfli_modelsim/src/cocotb/share/lib/fli/FliCbHdl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBFLI_EXPORTS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/fli/FliImpl.cpp -o build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbfli_modelsim/src/cocotb/share/lib/fli/FliImpl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBFLI_EXPORTS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/fli/FliObjHdl.cpp -o build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbfli_modelsim/src/cocotb/share/lib/fli/FliObjHdl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbfli_modelsim/src/cocotb/share/lib/fli/FliCbHdl.o build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbfli_modelsim/src/cocotb/share/lib/fli/FliImpl.o build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbfli_modelsim/src/cocotb/share/lib/fli/FliObjHdl.o -Lbuild/lib.linux-aarch64-cpython-313/cocotb/libs -L/usr/lib64 -lgpi -lgpilog -o build/lib.linux-aarch64-cpython-313/cocotb/libs/libcocotbfli_modelsim.so -flto building 'cocotb/libs/libcocotbvpi_ghdl' extension creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_ghdl creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_ghdl/src creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_ghdl/src/cocotb creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_ghdl/src/cocotb/share creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_ghdl/src/cocotb/share/lib creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_ghdl/src/cocotb/share/lib/vpi gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DGHDL= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/vpi/VpiCbHdl.cpp -o build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_ghdl/src/cocotb/share/lib/vpi/VpiCbHdl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DGHDL= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/vpi/VpiImpl.cpp -o build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_ghdl/src/cocotb/share/lib/vpi/VpiImpl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DGHDL= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/vpi/VpiIterator.cpp -o build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_ghdl/src/cocotb/share/lib/vpi/VpiIterator.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DGHDL= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/vpi/VpiObj.cpp -o build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_ghdl/src/cocotb/share/lib/vpi/VpiObj.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DGHDL= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/vpi/VpiSignal.cpp -o build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_ghdl/src/cocotb/share/lib/vpi/VpiSignal.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_ghdl/src/cocotb/share/lib/vpi/VpiCbHdl.o build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_ghdl/src/cocotb/share/lib/vpi/VpiImpl.o build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_ghdl/src/cocotb/share/lib/vpi/VpiIterator.o build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_ghdl/src/cocotb/share/lib/vpi/VpiObj.o build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_ghdl/src/cocotb/share/lib/vpi/VpiSignal.o -Lbuild/lib.linux-aarch64-cpython-313/cocotb/libs -L/usr/lib64 -lgpi -lgpilog -o build/lib.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_ghdl.so -flto building 'cocotb/libs/libcocotbvpi_ius' extension creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_ius creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_ius/src creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_ius/src/cocotb creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_ius/src/cocotb/share creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_ius/src/cocotb/share/lib creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_ius/src/cocotb/share/lib/vpi gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DIUS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/vpi/VpiCbHdl.cpp -o build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_ius/src/cocotb/share/lib/vpi/VpiCbHdl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DIUS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/vpi/VpiImpl.cpp -o build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_ius/src/cocotb/share/lib/vpi/VpiImpl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DIUS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/vpi/VpiIterator.cpp -o build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_ius/src/cocotb/share/lib/vpi/VpiIterator.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DIUS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/vpi/VpiObj.cpp -o build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_ius/src/cocotb/share/lib/vpi/VpiObj.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DIUS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/vpi/VpiSignal.cpp -o build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_ius/src/cocotb/share/lib/vpi/VpiSignal.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_ius/src/cocotb/share/lib/vpi/VpiCbHdl.o build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_ius/src/cocotb/share/lib/vpi/VpiImpl.o build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_ius/src/cocotb/share/lib/vpi/VpiIterator.o build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_ius/src/cocotb/share/lib/vpi/VpiObj.o build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_ius/src/cocotb/share/lib/vpi/VpiSignal.o -Lbuild/lib.linux-aarch64-cpython-313/cocotb/libs -L/usr/lib64 -lgpi -lgpilog -o build/lib.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_ius.so -flto building 'cocotb/libs/libcocotbvhpi_ius' extension creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvhpi_ius creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvhpi_ius/src creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvhpi_ius/src/cocotb creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvhpi_ius/src/cocotb/share creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvhpi_ius/src/cocotb/share/lib creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvhpi_ius/src/cocotb/share/lib/vhpi gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVHPI_EXPORTS= -DVHPI_CHECKING=1 -DIUS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/vhpi/VhpiCbHdl.cpp -o build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvhpi_ius/src/cocotb/share/lib/vhpi/VhpiCbHdl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVHPI_EXPORTS= -DVHPI_CHECKING=1 -DIUS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/vhpi/VhpiImpl.cpp -o build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvhpi_ius/src/cocotb/share/lib/vhpi/VhpiImpl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvhpi_ius/src/cocotb/share/lib/vhpi/VhpiCbHdl.o build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvhpi_ius/src/cocotb/share/lib/vhpi/VhpiImpl.o -Lbuild/lib.linux-aarch64-cpython-313/cocotb/libs -L/usr/lib64 -lgpi -lgpilog -o build/lib.linux-aarch64-cpython-313/cocotb/libs/libcocotbvhpi_ius.so -flto building 'cocotb/libs/libcocotbvpi_vcs' extension creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_vcs creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_vcs/src creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_vcs/src/cocotb creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_vcs/src/cocotb/share creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_vcs/src/cocotb/share/lib creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_vcs/src/cocotb/share/lib/vpi gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DVCS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/vpi/VpiCbHdl.cpp -o build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_vcs/src/cocotb/share/lib/vpi/VpiCbHdl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DVCS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/vpi/VpiImpl.cpp -o build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_vcs/src/cocotb/share/lib/vpi/VpiImpl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DVCS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/vpi/VpiIterator.cpp -o build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_vcs/src/cocotb/share/lib/vpi/VpiIterator.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DVCS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/vpi/VpiObj.cpp -o build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_vcs/src/cocotb/share/lib/vpi/VpiObj.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DVCS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/vpi/VpiSignal.cpp -o build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_vcs/src/cocotb/share/lib/vpi/VpiSignal.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_vcs/src/cocotb/share/lib/vpi/VpiCbHdl.o build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_vcs/src/cocotb/share/lib/vpi/VpiImpl.o build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_vcs/src/cocotb/share/lib/vpi/VpiIterator.o build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_vcs/src/cocotb/share/lib/vpi/VpiObj.o build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_vcs/src/cocotb/share/lib/vpi/VpiSignal.o -Lbuild/lib.linux-aarch64-cpython-313/cocotb/libs -L/usr/lib64 -lgpi -lgpilog -o build/lib.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_vcs.so -flto building 'cocotb/libs/libcocotbvpi_aldec' extension creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_aldec creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_aldec/src creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_aldec/src/cocotb creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_aldec/src/cocotb/share creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_aldec/src/cocotb/share/lib creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_aldec/src/cocotb/share/lib/vpi gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DALDEC= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/vpi/VpiCbHdl.cpp -o build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_aldec/src/cocotb/share/lib/vpi/VpiCbHdl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DALDEC= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/vpi/VpiImpl.cpp -o build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_aldec/src/cocotb/share/lib/vpi/VpiImpl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DALDEC= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/vpi/VpiIterator.cpp -o build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_aldec/src/cocotb/share/lib/vpi/VpiIterator.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DALDEC= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/vpi/VpiObj.cpp -o build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_aldec/src/cocotb/share/lib/vpi/VpiObj.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DALDEC= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/vpi/VpiSignal.cpp -o build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_aldec/src/cocotb/share/lib/vpi/VpiSignal.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_aldec/src/cocotb/share/lib/vpi/VpiCbHdl.o build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_aldec/src/cocotb/share/lib/vpi/VpiImpl.o build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_aldec/src/cocotb/share/lib/vpi/VpiIterator.o build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_aldec/src/cocotb/share/lib/vpi/VpiObj.o build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_aldec/src/cocotb/share/lib/vpi/VpiSignal.o -Lbuild/lib.linux-aarch64-cpython-313/cocotb/libs -L/usr/lib64 -lgpi -lgpilog -o build/lib.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_aldec.so -flto building 'cocotb/libs/libcocotbvhpi_aldec' extension creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvhpi_aldec creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvhpi_aldec/src creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvhpi_aldec/src/cocotb creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvhpi_aldec/src/cocotb/share creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvhpi_aldec/src/cocotb/share/lib creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvhpi_aldec/src/cocotb/share/lib/vhpi gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVHPI_EXPORTS= -DVHPI_CHECKING=1 -DALDEC= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/vhpi/VhpiCbHdl.cpp -o build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvhpi_aldec/src/cocotb/share/lib/vhpi/VhpiCbHdl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVHPI_EXPORTS= -DVHPI_CHECKING=1 -DALDEC= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/vhpi/VhpiImpl.cpp -o build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvhpi_aldec/src/cocotb/share/lib/vhpi/VhpiImpl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvhpi_aldec/src/cocotb/share/lib/vhpi/VhpiCbHdl.o build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvhpi_aldec/src/cocotb/share/lib/vhpi/VhpiImpl.o -Lbuild/lib.linux-aarch64-cpython-313/cocotb/libs -L/usr/lib64 -lgpi -lgpilog -o build/lib.linux-aarch64-cpython-313/cocotb/libs/libcocotbvhpi_aldec.so -flto building 'cocotb/libs/libcocotbvpi_verilator' extension creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_verilator creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_verilator/src creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_verilator/src/cocotb creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_verilator/src/cocotb/share creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_verilator/src/cocotb/share/lib creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_verilator/src/cocotb/share/lib/vpi gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DVERILATOR= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/vpi/VpiCbHdl.cpp -o build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_verilator/src/cocotb/share/lib/vpi/VpiCbHdl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DVERILATOR= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/vpi/VpiImpl.cpp -o build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_verilator/src/cocotb/share/lib/vpi/VpiImpl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DVERILATOR= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/vpi/VpiIterator.cpp -o build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_verilator/src/cocotb/share/lib/vpi/VpiIterator.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DVERILATOR= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/vpi/VpiObj.cpp -o build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_verilator/src/cocotb/share/lib/vpi/VpiObj.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DVERILATOR= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/vpi/VpiSignal.cpp -o build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_verilator/src/cocotb/share/lib/vpi/VpiSignal.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_verilator/src/cocotb/share/lib/vpi/VpiCbHdl.o build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_verilator/src/cocotb/share/lib/vpi/VpiImpl.o build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_verilator/src/cocotb/share/lib/vpi/VpiIterator.o build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_verilator/src/cocotb/share/lib/vpi/VpiObj.o build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_verilator/src/cocotb/share/lib/vpi/VpiSignal.o -Lbuild/lib.linux-aarch64-cpython-313/cocotb/libs -L/usr/lib64 -lgpi -lgpilog -o build/lib.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_verilator.so -flto building 'cocotb/libs/libcocotbvhpi_nvc' extension creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvhpi_nvc creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvhpi_nvc/src creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvhpi_nvc/src/cocotb creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvhpi_nvc/src/cocotb/share creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvhpi_nvc/src/cocotb/share/lib creating build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvhpi_nvc/src/cocotb/share/lib/vhpi gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVHPI_EXPORTS= -DVHPI_CHECKING=1 -DNVC= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/vhpi/VhpiCbHdl.cpp -o build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvhpi_nvc/src/cocotb/share/lib/vhpi/VhpiCbHdl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVHPI_EXPORTS= -DVHPI_CHECKING=1 -DNVC= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/vhpi/VhpiImpl.cpp -o build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvhpi_nvc/src/cocotb/share/lib/vhpi/VhpiImpl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvhpi_nvc/src/cocotb/share/lib/vhpi/VhpiCbHdl.o build/temp.linux-aarch64-cpython-313/cocotb/libs/libcocotbvhpi_nvc/src/cocotb/share/lib/vhpi/VhpiImpl.o -Lbuild/lib.linux-aarch64-cpython-313/cocotb/libs -L/usr/lib64 -lgpi -lgpilog -o build/lib.linux-aarch64-cpython-313/cocotb/libs/libcocotbvhpi_nvc.so -flto + RPM_EC=0 ++ jobs -p + exit 0 Executing(%install): /bin/sh -e /var/tmp/rpm-tmp.MNCR8r + umask 022 + cd /builddir/build/BUILD/cocotb-1.9.1-build + '[' /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT '!=' / ']' + rm -rf /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT ++ dirname /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT + mkdir -p /builddir/build/BUILD/cocotb-1.9.1-build + mkdir /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT + CFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer ' + export CFLAGS + CXXFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer ' + export CXXFLAGS + FFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -I/usr/lib64/gfortran/modules ' + export FFLAGS + FCFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -I/usr/lib64/gfortran/modules ' + export FCFLAGS + VALAFLAGS=-g + export VALAFLAGS + RUSTFLAGS='-Copt-level=3 -Cdebuginfo=2 -Ccodegen-units=1 -Cstrip=none -Cforce-frame-pointers=yes -Clink-arg=-specs=/usr/lib/rpm/redhat/redhat-package-notes --cap-lints=warn' + export RUSTFLAGS + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes ' + export LDFLAGS + LT_SYS_LIBRARY_PATH=/usr/lib64: + export LT_SYS_LIBRARY_PATH + CC=gcc + export CC + CXX=g++ + export CXX + cd cocotb + CFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer ' + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes ' + /usr/bin/python3 setup.py install -O1 --skip-build --root /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT --prefix /usr running install /usr/lib/python3.13/site-packages/setuptools/_distutils/cmd.py:66: SetuptoolsDeprecationWarning: setup.py install is deprecated. !! ******************************************************************************** Please avoid running ``setup.py`` directly. Instead, use pypa/build, pypa/installer or other standards-based tools. Follow the current Python packaging guidelines when building Python RPM packages. See https://blog.ganssle.io/articles/2021/10/setup-py-deprecated.html and https://docs.fedoraproject.org/en-US/packaging-guidelines/Python/ for details. ******************************************************************************** !! self.initialize_options() running install_lib creating /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr creating /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64 creating /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13 creating /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages creating /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb copying build/lib.linux-aarch64-cpython-313/cocotb/simulator.cpython-313-aarch64-linux-gnu.so -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb creating /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/libs copying build/lib.linux-aarch64-cpython-313/cocotb/libs/libcocotbvhpi_nvc.so -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/libs copying build/lib.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_verilator.so -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/libs copying build/lib.linux-aarch64-cpython-313/cocotb/libs/libcocotbvhpi_aldec.so -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/libs copying build/lib.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_aldec.so -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/libs copying build/lib.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_vcs.so -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/libs copying build/lib.linux-aarch64-cpython-313/cocotb/libs/libcocotbvhpi_ius.so -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/libs copying build/lib.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_ius.so -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/libs copying build/lib.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_ghdl.so -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/libs copying build/lib.linux-aarch64-cpython-313/cocotb/libs/libcocotbfli_modelsim.so -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/libs copying build/lib.linux-aarch64-cpython-313/cocotb/libs/libcocotbvhpi_modelsim.so -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/libs copying build/lib.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_modelsim.so -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/libs copying build/lib.linux-aarch64-cpython-313/cocotb/libs/libcocotbvpi_icarus.vpl -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/libs copying build/lib.linux-aarch64-cpython-313/cocotb/libs/libcocotb.so -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/libs copying build/lib.linux-aarch64-cpython-313/cocotb/libs/libgpi.so -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/libs copying build/lib.linux-aarch64-cpython-313/cocotb/libs/libembed.so -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/libs copying build/lib.linux-aarch64-cpython-313/cocotb/libs/libcocotbutils.so -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/libs copying build/lib.linux-aarch64-cpython-313/cocotb/libs/libpygpilog.so -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/libs copying build/lib.linux-aarch64-cpython-313/cocotb/libs/libgpilog.so -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/libs creating /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/share creating /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/share/lib creating /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/share/lib/verilator copying build/lib.linux-aarch64-cpython-313/cocotb/share/lib/verilator/verilator.cpp -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/share/lib/verilator creating /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/share/def copying build/lib.linux-aarch64-cpython-313/cocotb/share/def/.gitignore -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/share/def copying build/lib.linux-aarch64-cpython-313/cocotb/share/def/README.md -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/share/def copying build/lib.linux-aarch64-cpython-313/cocotb/share/def/aldec.def -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/share/def copying build/lib.linux-aarch64-cpython-313/cocotb/share/def/ghdl.def -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/share/def copying build/lib.linux-aarch64-cpython-313/cocotb/share/def/icarus.def -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/share/def copying build/lib.linux-aarch64-cpython-313/cocotb/share/def/modelsim.def -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/share/def creating /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/share/include copying build/lib.linux-aarch64-cpython-313/cocotb/share/include/cocotb_utils.h -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/share/include copying build/lib.linux-aarch64-cpython-313/cocotb/share/include/embed.h -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/share/include copying build/lib.linux-aarch64-cpython-313/cocotb/share/include/exports.h -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/share/include copying build/lib.linux-aarch64-cpython-313/cocotb/share/include/gpi.h -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/share/include copying build/lib.linux-aarch64-cpython-313/cocotb/share/include/gpi_logging.h -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/share/include copying build/lib.linux-aarch64-cpython-313/cocotb/share/include/py_gpi_logging.h -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/share/include copying build/lib.linux-aarch64-cpython-313/cocotb/share/include/vhpi_user_ext.h -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/share/include copying build/lib.linux-aarch64-cpython-313/cocotb/share/include/vpi_user_ext.h -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/share/include copying build/lib.linux-aarch64-cpython-313/cocotb/py.typed -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb copying build/lib.linux-aarch64-cpython-313/cocotb/simulator.pyi -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb creating /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/_vendor copying build/lib.linux-aarch64-cpython-313/cocotb/_vendor/__init__.py -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/_vendor copying build/lib.linux-aarch64-cpython-313/cocotb/_vendor/distutils_version.py -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/_vendor creating /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/types copying build/lib.linux-aarch64-cpython-313/cocotb/types/__init__.py -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/types copying build/lib.linux-aarch64-cpython-313/cocotb/types/array.py -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/types copying build/lib.linux-aarch64-cpython-313/cocotb/types/logic.py -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/types copying build/lib.linux-aarch64-cpython-313/cocotb/types/logic_array.py -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/types copying build/lib.linux-aarch64-cpython-313/cocotb/types/range.py -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/types copying build/lib.linux-aarch64-cpython-313/cocotb/_ANSI.py -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb copying build/lib.linux-aarch64-cpython-313/cocotb/__init__.py -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb copying build/lib.linux-aarch64-cpython-313/cocotb/_decorators.py -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb copying build/lib.linux-aarch64-cpython-313/cocotb/_deprecation.py -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb copying build/lib.linux-aarch64-cpython-313/cocotb/_exceptions.py -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb copying build/lib.linux-aarch64-cpython-313/cocotb/_outcomes.py -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb copying build/lib.linux-aarch64-cpython-313/cocotb/_profiling.py -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb copying build/lib.linux-aarch64-cpython-313/cocotb/_py_compat.py -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb copying build/lib.linux-aarch64-cpython-313/cocotb/_scheduler.py -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb copying build/lib.linux-aarch64-cpython-313/cocotb/_sim_versions.py -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb copying build/lib.linux-aarch64-cpython-313/cocotb/_utils.py -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb copying build/lib.linux-aarch64-cpython-313/cocotb/_write_scheduler.py -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb copying build/lib.linux-aarch64-cpython-313/cocotb/_xunit_reporter.py -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb copying build/lib.linux-aarch64-cpython-313/cocotb/clock.py -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb copying build/lib.linux-aarch64-cpython-313/cocotb/handle.py -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb copying build/lib.linux-aarch64-cpython-313/cocotb/logging.py -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb copying build/lib.linux-aarch64-cpython-313/cocotb/queue.py -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb copying build/lib.linux-aarch64-cpython-313/cocotb/regression.py -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb copying build/lib.linux-aarch64-cpython-313/cocotb/result.py -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb copying build/lib.linux-aarch64-cpython-313/cocotb/task.py -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb copying build/lib.linux-aarch64-cpython-313/cocotb/triggers.py -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb copying build/lib.linux-aarch64-cpython-313/cocotb/utils.py -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb copying build/lib.linux-aarch64-cpython-313/cocotb/_version.py -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb creating /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb_tools creating /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb_tools/makefiles creating /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb_tools/makefiles/simulators copying build/lib.linux-aarch64-cpython-313/cocotb_tools/makefiles/simulators/Makefile.activehdl -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb_tools/makefiles/simulators copying build/lib.linux-aarch64-cpython-313/cocotb_tools/makefiles/simulators/Makefile.cvc -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb_tools/makefiles/simulators copying build/lib.linux-aarch64-cpython-313/cocotb_tools/makefiles/simulators/Makefile.ghdl -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb_tools/makefiles/simulators copying build/lib.linux-aarch64-cpython-313/cocotb_tools/makefiles/simulators/Makefile.icarus -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb_tools/makefiles/simulators copying build/lib.linux-aarch64-cpython-313/cocotb_tools/makefiles/simulators/Makefile.ius -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb_tools/makefiles/simulators copying build/lib.linux-aarch64-cpython-313/cocotb_tools/makefiles/simulators/Makefile.modelsim -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb_tools/makefiles/simulators copying build/lib.linux-aarch64-cpython-313/cocotb_tools/makefiles/simulators/Makefile.nvc -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb_tools/makefiles/simulators copying build/lib.linux-aarch64-cpython-313/cocotb_tools/makefiles/simulators/Makefile.questa -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb_tools/makefiles/simulators copying build/lib.linux-aarch64-cpython-313/cocotb_tools/makefiles/simulators/Makefile.riviera -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb_tools/makefiles/simulators copying build/lib.linux-aarch64-cpython-313/cocotb_tools/makefiles/simulators/Makefile.vcs -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb_tools/makefiles/simulators copying build/lib.linux-aarch64-cpython-313/cocotb_tools/makefiles/simulators/Makefile.verilator -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb_tools/makefiles/simulators copying build/lib.linux-aarch64-cpython-313/cocotb_tools/makefiles/simulators/Makefile.xcelium -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb_tools/makefiles/simulators copying build/lib.linux-aarch64-cpython-313/cocotb_tools/makefiles/Makefile.deprecations -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb_tools/makefiles copying build/lib.linux-aarch64-cpython-313/cocotb_tools/makefiles/Makefile.inc -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb_tools/makefiles copying build/lib.linux-aarch64-cpython-313/cocotb_tools/makefiles/Makefile.sim -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb_tools/makefiles copying build/lib.linux-aarch64-cpython-313/cocotb_tools/py.typed -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb_tools copying build/lib.linux-aarch64-cpython-313/cocotb_tools/__init__.py -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb_tools copying build/lib.linux-aarch64-cpython-313/cocotb_tools/combine_results.py -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb_tools copying build/lib.linux-aarch64-cpython-313/cocotb_tools/config.py -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb_tools copying build/lib.linux-aarch64-cpython-313/cocotb_tools/ipython_support.py -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb_tools copying build/lib.linux-aarch64-cpython-313/cocotb_tools/runner.py -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb_tools creating /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/pygpi copying build/lib.linux-aarch64-cpython-313/pygpi/py.typed -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/pygpi copying build/lib.linux-aarch64-cpython-313/pygpi/__init__.py -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/pygpi copying build/lib.linux-aarch64-cpython-313/pygpi/entry.py -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/pygpi byte-compiling /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/_vendor/__init__.py to __init__.cpython-313.pyc byte-compiling /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/_vendor/distutils_version.py to distutils_version.cpython-313.pyc byte-compiling /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/types/__init__.py to __init__.cpython-313.pyc byte-compiling /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/types/array.py to array.cpython-313.pyc byte-compiling /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/types/logic.py to logic.cpython-313.pyc byte-compiling /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/types/logic_array.py to logic_array.cpython-313.pyc byte-compiling /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/types/range.py to range.cpython-313.pyc byte-compiling /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/_ANSI.py to _ANSI.cpython-313.pyc byte-compiling /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/__init__.py to __init__.cpython-313.pyc byte-compiling /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/_decorators.py to _decorators.cpython-313.pyc byte-compiling /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/_deprecation.py to _deprecation.cpython-313.pyc byte-compiling /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/_exceptions.py to _exceptions.cpython-313.pyc byte-compiling /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/_outcomes.py to _outcomes.cpython-313.pyc byte-compiling /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/_profiling.py to _profiling.cpython-313.pyc byte-compiling /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/_py_compat.py to _py_compat.cpython-313.pyc byte-compiling /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/_scheduler.py to _scheduler.cpython-313.pyc byte-compiling /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/_sim_versions.py to _sim_versions.cpython-313.pyc byte-compiling /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/_utils.py to _utils.cpython-313.pyc byte-compiling /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/_write_scheduler.py to _write_scheduler.cpython-313.pyc byte-compiling /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/_xunit_reporter.py to _xunit_reporter.cpython-313.pyc byte-compiling /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/clock.py to clock.cpython-313.pyc byte-compiling /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/handle.py to handle.cpython-313.pyc byte-compiling /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/logging.py to logging.cpython-313.pyc byte-compiling /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/queue.py to queue.cpython-313.pyc byte-compiling /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/regression.py to regression.cpython-313.pyc byte-compiling /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/result.py to result.cpython-313.pyc byte-compiling /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/task.py to task.cpython-313.pyc byte-compiling /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/triggers.py to triggers.cpython-313.pyc byte-compiling /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/utils.py to utils.cpython-313.pyc byte-compiling /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/_version.py to _version.cpython-313.pyc byte-compiling /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb_tools/__init__.py to __init__.cpython-313.pyc byte-compiling /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb_tools/combine_results.py to combine_results.cpython-313.pyc byte-compiling /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb_tools/config.py to config.cpython-313.pyc byte-compiling /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb_tools/ipython_support.py to ipython_support.cpython-313.pyc byte-compiling /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb_tools/runner.py to runner.cpython-313.pyc byte-compiling /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/pygpi/__init__.py to __init__.cpython-313.pyc byte-compiling /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/pygpi/entry.py to entry.cpython-313.pyc writing byte-compilation script '/tmp/tmpdfclbh6d.py' /usr/bin/python3 /tmp/tmpdfclbh6d.py removing /tmp/tmpdfclbh6d.py running install_egg_info running egg_info creating src/cocotb.egg-info writing src/cocotb.egg-info/PKG-INFO writing dependency_links to src/cocotb.egg-info/dependency_links.txt writing entry points to src/cocotb.egg-info/entry_points.txt writing requirements to src/cocotb.egg-info/requires.txt writing top-level names to src/cocotb.egg-info/top_level.txt writing manifest file 'src/cocotb.egg-info/SOURCES.txt' reading manifest file 'src/cocotb.egg-info/SOURCES.txt' reading manifest template 'MANIFEST.in' adding license file 'LICENSE' writing manifest file 'src/cocotb.egg-info/SOURCES.txt' Copying src/cocotb.egg-info to /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb-2.0.0.dev0+7bcc806-py3.13.egg-info running install_scripts Installing cocotb-config script to /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/bin + rm -rfv /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/bin/__pycache__ + /usr/bin/find-debuginfo -j4 --strict-build-id -m -i --build-id-seed 1.9.1-20241002.0.git7bcc8065.fc42 --unique-debug-suffix -1.9.1-20241002.0.git7bcc8065.fc42.aarch64 --unique-debug-src-base cocotb-1.9.1-20241002.0.git7bcc8065.fc42.aarch64 --run-dwz --dwz-low-mem-die-limit 10000000 --dwz-max-die-limit 50000000 -S debugsourcefiles.list /builddir/build/BUILD/cocotb-1.9.1-build/cocotb find-debuginfo: starting Extracting debug info from 19 files DWARF-compressing 19 files sepdebugcrcfix: Updated 19 CRC32s, 0 CRC32s did match. Creating .debug symlinks for symlinks to ELF files Copying sources found by 'debugedit -l' to /usr/src/debug/cocotb-1.9.1-20241002.0.git7bcc8065.fc42.aarch64 1577 blocks find-debuginfo: done + /usr/lib/rpm/check-buildroot + /usr/lib/rpm/redhat/brp-ldconfig + /usr/lib/rpm/brp-compress + /usr/lib/rpm/redhat/brp-strip-lto /usr/bin/strip + /usr/lib/rpm/brp-strip-static-archive /usr/bin/strip + /usr/lib/rpm/check-rpaths + /usr/lib/rpm/redhat/brp-mangle-shebangs + /usr/lib/rpm/brp-remove-la-files + env /usr/lib/rpm/redhat/brp-python-bytecompile '' 1 0 -j4 Bytecompiling .py files below /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib/debug/usr/lib64/python3.13 using python3.13 Bytecompiling .py files below /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13 using python3.13 + /usr/lib/rpm/redhat/brp-python-hardlink + /usr/bin/add-determinism --brp -j4 /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/pygpi/__pycache__/entry.cpython-313.opt-1.pyc: rewriting with normalized contents /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/pygpi/__pycache__/__init__.cpython-313.opt-1.pyc: rewriting with normalized contents /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb_tools/__pycache__/runner.cpython-313.opt-1.pyc: replacing with normalized version /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb_tools/__pycache__/ipython_support.cpython-313.opt-1.pyc: rewriting with normalized contents /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb_tools/__pycache__/config.cpython-313.opt-1.pyc: rewriting with normalized contents /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb_tools/__pycache__/combine_results.cpython-313.opt-1.pyc: replacing with normalized version /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb_tools/__pycache__/__init__.cpython-313.opt-1.pyc: rewriting with normalized contents /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb_tools/__pycache__/combine_results.cpython-313.pyc: replacing with normalized version /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb_tools/__pycache__/runner.cpython-313.pyc: replacing with normalized version /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/__pycache__/regression.cpython-313.opt-1.pyc: replacing with normalized version /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/__pycache__/triggers.cpython-313.opt-1.pyc: rewriting with normalized contents /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/__pycache__/utils.cpython-313.opt-1.pyc: rewriting with normalized contents /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/__pycache__/regression.cpython-313.pyc: replacing with normalized version /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/__pycache__/queue.cpython-313.opt-1.pyc: rewriting with normalized contents /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/__pycache__/task.cpython-313.opt-1.pyc: rewriting with normalized contents /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/__pycache__/handle.cpython-313.opt-1.pyc: rewriting with normalized contents /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/__pycache__/logging.cpython-313.opt-1.pyc: rewriting with normalized contents /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/__pycache__/result.cpython-313.opt-1.pyc: rewriting with normalized contents /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/__pycache__/_utils.cpython-313.opt-1.pyc: replacing with normalized version /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/__pycache__/_utils.cpython-313.pyc: replacing with normalized version /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/__pycache__/_xunit_reporter.cpython-313.opt-1.pyc: rewriting with normalized contents /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/__pycache__/_sim_versions.cpython-313.opt-1.pyc: rewriting with normalized contents /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/__pycache__/_deprecation.cpython-313.opt-1.pyc: rewriting with normalized contents /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/__pycache__/_write_scheduler.cpython-313.opt-1.pyc: rewriting with normalized contents /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/__pycache__/_decorators.cpython-313.opt-1.pyc: rewriting with normalized contents /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/__pycache__/_version.cpython-313.opt-1.pyc: rewriting with normalized contents /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/__pycache__/_scheduler.cpython-313.opt-1.pyc: replacing with normalized version /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/__pycache__/clock.cpython-313.opt-1.pyc: rewriting with normalized contents /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/__pycache__/__init__.cpython-313.opt-1.pyc: rewriting with normalized contents /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/__pycache__/_scheduler.cpython-313.pyc: replacing with normalized version /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/__pycache__/_py_compat.cpython-313.opt-1.pyc: rewriting with normalized contents /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/__pycache__/_profiling.cpython-313.opt-1.pyc: rewriting with normalized contents /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/__pycache__/_exceptions.cpython-313.opt-1.pyc: rewriting with normalized contents /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/__pycache__/_ANSI.cpython-313.opt-1.pyc: rewriting with normalized contents /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/__pycache__/_outcomes.cpython-313.opt-1.pyc: rewriting with normalized contents /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/types/__pycache__/logic_array.cpython-313.opt-1.pyc: rewriting with normalized contents /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/types/__pycache__/range.cpython-313.opt-1.pyc: rewriting with normalized contents /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/types/__pycache__/logic.cpython-313.opt-1.pyc: rewriting with normalized contents /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/types/__pycache__/__init__.cpython-313.opt-1.pyc: rewriting with normalized contents /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/_vendor/__pycache__/__init__.cpython-313.opt-1.pyc: rewriting with normalized contents /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/_vendor/__pycache__/distutils_version.cpython-313.opt-1.pyc: replacing with normalized version /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/types/__pycache__/array.cpython-313.opt-1.pyc: rewriting with normalized contents /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/_vendor/__pycache__/distutils_version.cpython-313.pyc: replacing with normalized version Scanned 56 directories and 225 files, processed 43 inodes, 43 modified (12 replaced + 31 rewritten), 0 unsupported format, 0 errors Reading /builddir/build/BUILD/cocotb-1.9.1-build/SPECPARTS/rpm-debuginfo.specpart Processing files: cocotb-python3-1.9.1-20241002.0.git7bcc8065.fc42.aarch64 Executing(%doc): /bin/sh -e /var/tmp/rpm-tmp.z7eANH + umask 022 + cd /builddir/build/BUILD/cocotb-1.9.1-build + cd cocotb + DOCDIR=/builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/share/doc/cocotb-python3 + export LC_ALL=C.UTF-8 + LC_ALL=C.UTF-8 + export DOCDIR + /usr/bin/mkdir -p /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/share/doc/cocotb-python3 + cp -pr /builddir/build/BUILD/cocotb-1.9.1-build/cocotb/README.md /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/share/doc/cocotb-python3 + RPM_EC=0 ++ jobs -p + exit 0 Executing(%license): /bin/sh -e /var/tmp/rpm-tmp.DPPvJd + umask 022 + cd /builddir/build/BUILD/cocotb-1.9.1-build + cd cocotb + LICENSEDIR=/builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/share/licenses/cocotb-python3 + export LC_ALL=C.UTF-8 + LC_ALL=C.UTF-8 + export LICENSEDIR + /usr/bin/mkdir -p /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/share/licenses/cocotb-python3 + cp -pr /builddir/build/BUILD/cocotb-1.9.1-build/cocotb/LICENSE /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/share/licenses/cocotb-python3 + RPM_EC=0 ++ jobs -p + exit 0 warning: Duplicate build-ids /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/libs/libcocotbvpi_icarus.vpl and /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/libs/libcocotbvpi_ghdl.so warning: Duplicate build-ids /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/libs/libcocotbvpi_icarus.vpl and /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/libs/libcocotbvpi_vcs.so warning: Duplicate build-ids /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/libs/libcocotbvpi_icarus.vpl and /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/libs/libcocotbvpi_aldec.so warning: Duplicate build-ids /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/libs/libcocotbvpi_icarus.vpl and /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/libs/libcocotbvpi_verilator.so Provides: cocotb cocotb-python3 = 1.9.1-20241002.0.git7bcc8065.fc42 cocotb-python3(aarch-64) = 1.9.1-20241002.0.git7bcc8065.fc42 libcocotb.so()(64bit) libcocotbfli_modelsim.so()(64bit) libcocotbutils.so()(64bit) libcocotbvhpi_aldec.so()(64bit) libcocotbvhpi_ius.so()(64bit) libcocotbvhpi_modelsim.so()(64bit) libcocotbvhpi_nvc.so()(64bit) libcocotbvpi_aldec.so()(64bit) libcocotbvpi_ghdl.so()(64bit) libcocotbvpi_ius.so()(64bit) libcocotbvpi_modelsim.so()(64bit) libcocotbvpi_vcs.so()(64bit) libcocotbvpi_verilator.so()(64bit) libembed.so()(64bit) libgpi.so()(64bit) libgpilog.so()(64bit) libpygpilog.so()(64bit) python3.13dist(cocotb) = 2~~dev0 python3dist(cocotb) = 2~~dev0 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PartialHardlinkSets) <= 4.0.4-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Requires: /usr/bin/python3 ld-linux-aarch64.so.1()(64bit) ld-linux-aarch64.so.1(GLIBC_2.17)(64bit) libc.so.6()(64bit) libc.so.6(GLIBC_2.17)(64bit) libc.so.6(GLIBC_2.34)(64bit) libc.so.6(GLIBC_2.38)(64bit) libc.so.6(GLIBC_ABI_DT_RELR)(64bit) libcocotbutils.so()(64bit) libembed.so()(64bit) libgcc_s.so.1()(64bit) libgcc_s.so.1(GCC_3.0)(64bit) libgcc_s.so.1(GCC_3.3.1)(64bit) libgpi.so()(64bit) libgpilog.so()(64bit) libpygpilog.so()(64bit) libstdc++.so.6()(64bit) libstdc++.so.6(CXXABI_1.3)(64bit) libstdc++.so.6(CXXABI_1.3.8)(64bit) libstdc++.so.6(GLIBCXX_3.4)(64bit) libstdc++.so.6(GLIBCXX_3.4.20)(64bit) libstdc++.so.6(GLIBCXX_3.4.29)(64bit) libstdc++.so.6(GLIBCXX_3.4.30)(64bit) python(abi) = 3.13 python3.13dist(find-libpython) rtld(GNU_HASH) Processing files: cocotb-debugsource-1.9.1-20241002.0.git7bcc8065.fc42.aarch64 Provides: cocotb-debugsource = 1.9.1-20241002.0.git7bcc8065.fc42 cocotb-debugsource(aarch-64) = 1.9.1-20241002.0.git7bcc8065.fc42 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Processing files: cocotb-python3-debuginfo-1.9.1-20241002.0.git7bcc8065.fc42.aarch64 warning: Duplicate build-ids /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib/debug/usr/lib64/python3.13/site-packages/cocotb/libs/libcocotbvpi_aldec.so-1.9.1-20241002.0.git7bcc8065.fc42.aarch64.debug and /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib/debug/usr/lib64/python3.13/site-packages/cocotb/libs/libcocotbvpi_ghdl.so-1.9.1-20241002.0.git7bcc8065.fc42.aarch64.debug warning: Duplicate build-ids /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib/debug/usr/lib64/python3.13/site-packages/cocotb/libs/libcocotbvpi_aldec.so-1.9.1-20241002.0.git7bcc8065.fc42.aarch64.debug and /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib/debug/usr/lib64/python3.13/site-packages/cocotb/libs/libcocotbvpi_icarus.vpl-1.9.1-20241002.0.git7bcc8065.fc42.aarch64.debug warning: Duplicate build-ids /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib/debug/usr/lib64/python3.13/site-packages/cocotb/libs/libcocotbvpi_aldec.so-1.9.1-20241002.0.git7bcc8065.fc42.aarch64.debug and /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib/debug/usr/lib64/python3.13/site-packages/cocotb/libs/libcocotbvpi_vcs.so-1.9.1-20241002.0.git7bcc8065.fc42.aarch64.debug warning: Duplicate build-ids /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib/debug/usr/lib64/python3.13/site-packages/cocotb/libs/libcocotbvpi_aldec.so-1.9.1-20241002.0.git7bcc8065.fc42.aarch64.debug and /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib/debug/usr/lib64/python3.13/site-packages/cocotb/libs/libcocotbvpi_verilator.so-1.9.1-20241002.0.git7bcc8065.fc42.aarch64.debug Provides: cocotb-python3-debuginfo = 1.9.1-20241002.0.git7bcc8065.fc42 cocotb-python3-debuginfo(aarch-64) = 1.9.1-20241002.0.git7bcc8065.fc42 debuginfo(build-id) = 1aad1debce8d0883b2c8a9dc5c8550e63b06cfd1 debuginfo(build-id) = 1b43d01d9c323a2edfdc3c1d1fba0dd8a22b9430 debuginfo(build-id) = 1bca5ae0236ff190d0ec1150497df19dc318102b debuginfo(build-id) = 200f73104ab92f0430a92e49c01a4b72ba21039b debuginfo(build-id) = 34e18d64795e639ec0d008b7fc353d906d7dc735 debuginfo(build-id) = 4091917eb333e94cd53101d194b0c45aad93352d debuginfo(build-id) = 76496c61cf7c6970748d39804e4e49274a6748bc debuginfo(build-id) = 89014393d80790299f8c5d42c7138854bb1755cc debuginfo(build-id) = 9cbe0d4a5bfb9b050133b8f78f345d85e43ad1a7 debuginfo(build-id) = a5d3f343f20358397aee2980c00a48f37aa844ff debuginfo(build-id) = b7c52daccb3e516fd69282af5cf1d6f00573114e debuginfo(build-id) = c7dcf0c065886ebd9b672842f046b89ed848d0b7 debuginfo(build-id) = d6933b4bcfe1f5cb3968bd6398b62a043681b491 debuginfo(build-id) = d7dba110362bc3079fde07bf19f3f13a7d259fdb debuginfo(build-id) = fc3ca62ed82d0194c1e7a3887bf662f9830a293d debuginfo(build-id) = nilnil libcocotb.so-1.9.1-20241002.0.git7bcc8065.fc42.aarch64.debug()(64bit) libcocotbfli_modelsim.so-1.9.1-20241002.0.git7bcc8065.fc42.aarch64.debug()(64bit) libcocotbutils.so-1.9.1-20241002.0.git7bcc8065.fc42.aarch64.debug()(64bit) libcocotbvhpi_aldec.so-1.9.1-20241002.0.git7bcc8065.fc42.aarch64.debug()(64bit) libcocotbvhpi_ius.so-1.9.1-20241002.0.git7bcc8065.fc42.aarch64.debug()(64bit) libcocotbvhpi_modelsim.so-1.9.1-20241002.0.git7bcc8065.fc42.aarch64.debug()(64bit) libcocotbvhpi_nvc.so-1.9.1-20241002.0.git7bcc8065.fc42.aarch64.debug()(64bit) libcocotbvpi_aldec.so-1.9.1-20241002.0.git7bcc8065.fc42.aarch64.debug()(64bit) libcocotbvpi_ghdl.so-1.9.1-20241002.0.git7bcc8065.fc42.aarch64.debug()(64bit) libcocotbvpi_ius.so-1.9.1-20241002.0.git7bcc8065.fc42.aarch64.debug()(64bit) libcocotbvpi_modelsim.so-1.9.1-20241002.0.git7bcc8065.fc42.aarch64.debug()(64bit) libcocotbvpi_vcs.so-1.9.1-20241002.0.git7bcc8065.fc42.aarch64.debug()(64bit) libcocotbvpi_verilator.so-1.9.1-20241002.0.git7bcc8065.fc42.aarch64.debug()(64bit) libembed.so-1.9.1-20241002.0.git7bcc8065.fc42.aarch64.debug()(64bit) libgpi.so-1.9.1-20241002.0.git7bcc8065.fc42.aarch64.debug()(64bit) libgpilog.so-1.9.1-20241002.0.git7bcc8065.fc42.aarch64.debug()(64bit) libpygpilog.so-1.9.1-20241002.0.git7bcc8065.fc42.aarch64.debug()(64bit) Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Recommends: cocotb-debugsource(aarch-64) = 1.9.1-20241002.0.git7bcc8065.fc42 Checking for unpackaged file(s): /usr/lib/rpm/check-files /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT Wrote: /builddir/build/RPMS/cocotb-debugsource-1.9.1-20241002.0.git7bcc8065.fc42.aarch64.rpm Wrote: /builddir/build/RPMS/cocotb-python3-1.9.1-20241002.0.git7bcc8065.fc42.aarch64.rpm Wrote: /builddir/build/RPMS/cocotb-python3-debuginfo-1.9.1-20241002.0.git7bcc8065.fc42.aarch64.rpm Executing(rmbuild): /bin/sh -e /var/tmp/rpm-tmp.PDOiUf + umask 022 + cd /builddir/build/BUILD/cocotb-1.9.1-build + test -d /builddir/build/BUILD/cocotb-1.9.1-build + /usr/bin/chmod -Rf a+rX,u+w,g-w,o-w /builddir/build/BUILD/cocotb-1.9.1-build + rm -rf /builddir/build/BUILD/cocotb-1.9.1-build + RPM_EC=0 ++ jobs -p + exit 0 RPM build warnings: Duplicate build-ids /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/libs/libcocotbvpi_icarus.vpl and /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/libs/libcocotbvpi_ghdl.so Duplicate build-ids /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/libs/libcocotbvpi_icarus.vpl and /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/libs/libcocotbvpi_vcs.so Duplicate build-ids /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/libs/libcocotbvpi_icarus.vpl and /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/libs/libcocotbvpi_aldec.so Duplicate build-ids /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/libs/libcocotbvpi_icarus.vpl and /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/libs/libcocotbvpi_verilator.so Duplicate build-ids /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib/debug/usr/lib64/python3.13/site-packages/cocotb/libs/libcocotbvpi_aldec.so-1.9.1-20241002.0.git7bcc8065.fc42.aarch64.debug and /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib/debug/usr/lib64/python3.13/site-packages/cocotb/libs/libcocotbvpi_ghdl.so-1.9.1-20241002.0.git7bcc8065.fc42.aarch64.debug Duplicate build-ids /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib/debug/usr/lib64/python3.13/site-packages/cocotb/libs/libcocotbvpi_aldec.so-1.9.1-20241002.0.git7bcc8065.fc42.aarch64.debug and /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib/debug/usr/lib64/python3.13/site-packages/cocotb/libs/libcocotbvpi_icarus.vpl-1.9.1-20241002.0.git7bcc8065.fc42.aarch64.debug Duplicate build-ids /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib/debug/usr/lib64/python3.13/site-packages/cocotb/libs/libcocotbvpi_aldec.so-1.9.1-20241002.0.git7bcc8065.fc42.aarch64.debug and /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib/debug/usr/lib64/python3.13/site-packages/cocotb/libs/libcocotbvpi_vcs.so-1.9.1-20241002.0.git7bcc8065.fc42.aarch64.debug Duplicate build-ids /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib/debug/usr/lib64/python3.13/site-packages/cocotb/libs/libcocotbvpi_aldec.so-1.9.1-20241002.0.git7bcc8065.fc42.aarch64.debug and /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib/debug/usr/lib64/python3.13/site-packages/cocotb/libs/libcocotbvpi_verilator.so-1.9.1-20241002.0.git7bcc8065.fc42.aarch64.debug Finish: rpmbuild cocotb-1.9.1-20241002.0.git7bcc8065.fc42.src.rpm Finish: build phase for cocotb-1.9.1-20241002.0.git7bcc8065.fc42.src.rpm INFO: chroot_scan: 1 files copied to /var/lib/copr-rpmbuild/results/chroot_scan INFO: /var/lib/mock/fedora-rawhide-aarch64-1727918890.874007/root/var/log/dnf5.log INFO: Done(/var/lib/copr-rpmbuild/results/cocotb-1.9.1-20241002.0.git7bcc8065.fc42.src.rpm) Config(child) 1 minutes 22 seconds INFO: Results and/or logs in: /var/lib/copr-rpmbuild/results INFO: Cleaning up build root ('cleanup_on_success=True') Start: clean chroot INFO: unmounting tmpfs. Finish: clean chroot Finish: run Running RPMResults tool Package info: { "packages": [ { "name": "cocotb", "epoch": null, "version": "1.9.1", "release": "20241002.0.git7bcc8065.fc42", "arch": "src" }, { "name": "cocotb-python3-debuginfo", "epoch": null, "version": "1.9.1", "release": "20241002.0.git7bcc8065.fc42", "arch": "aarch64" }, { "name": "cocotb-debugsource", "epoch": null, "version": "1.9.1", "release": "20241002.0.git7bcc8065.fc42", "arch": "aarch64" }, { "name": "cocotb-python3", "epoch": null, "version": "1.9.1", "release": "20241002.0.git7bcc8065.fc42", "arch": "aarch64" } ] } RPMResults finished