Warning: Permanently added '2620:52:3:1:dead:beef:cafe:c289' (ED25519) to the list of known hosts. You can reproduce this build on your computer by running: sudo dnf install copr-rpmbuild /usr/bin/copr-rpmbuild --verbose --drop-resultdir --task-url https://copr.fedorainfracloud.org/backend/get-build-task/6149582-fedora-rawhide-ppc64le --chroot fedora-rawhide-ppc64le Version: 0.68 PID: 14534 Logging PID: 14535 Task: {'appstream': False, 'background': False, 'build_id': 6149582, 'buildroot_pkgs': [], 'chroot': 'fedora-rawhide-ppc64le', 'enable_net': True, 'fedora_review': False, 'git_hash': '11f7004f67a9fd8b7dd76010bfc06213b3ef55f6', 'git_repo': 'https://copr-dist-git.fedorainfracloud.org/git/rezso/HDL/litex-pythondata-cpu-blackparrot', 'isolation': 'default', 'memory_reqs': 2048, 'package_name': 'litex-pythondata-cpu-blackparrot', 'package_version': '2022.08-20210926.3.gitba50883f', 'project_dirname': 'HDL', 'project_name': 'HDL', 'project_owner': 'rezso', 'repos': [{'baseurl': 'https://download.copr.fedorainfracloud.org/results/rezso/HDL/fedora-rawhide-ppc64le/', 'id': 'copr_base', 'name': 'Copr repository'}, {'baseurl': 'https://download.copr.fedorainfracloud.org/results/rezso/ML/fedora-rawhide-ppc64le/', 'id': 'copr_rezso_ML', 'name': 'Additional repo copr_rezso_ML'}, {'baseurl': 'https://download.copr.fedorainfracloud.org/results/rezso/CUDA/fedora-rawhide-ppc64le/', 'id': 'copr_rezso_CUDA', 'name': 'Additional repo copr_rezso_CUDA'}, {'baseurl': 'http://developer.download.nvidia.com/compute/cuda/repos/rhel8/x86_64', 'id': 'http_developer_download_nvidia_com_compute_cuda_repos_rhel8_x86_64', 'name': 'Additional repo http_developer_download_nvidia_com_compute_cuda_repos_rhel8_x86_64'}, {'baseurl': 'http://developer.download.nvidia.com/compute/cuda/repos/rhel8/sbsa', 'id': 'http_developer_download_nvidia_com_compute_cuda_repos_rhel8_sbsa', 'name': 'Additional repo http_developer_download_nvidia_com_compute_cuda_repos_rhel8_sbsa'}, {'baseurl': 'http://developer.download.nvidia.com/compute/cuda/repos/rhel8/ppc64le', 'id': 'http_developer_download_nvidia_com_compute_cuda_repos_rhel8_ppc64le', 'name': 'Additional repo http_developer_download_nvidia_com_compute_cuda_repos_rhel8_ppc64le'}], 'sandbox': 'rezso/HDL--rezso', 'source_json': {}, 'source_type': None, 'submitter': 'rezso', 'tags': [], 'task_id': '6149582-fedora-rawhide-ppc64le', 'timeout': 172800, 'uses_devel_repo': False, 'with_opts': [], 'without_opts': []} Running: git clone https://copr-dist-git.fedorainfracloud.org/git/rezso/HDL/litex-pythondata-cpu-blackparrot /var/lib/copr-rpmbuild/workspace/workdir-jsgek649/litex-pythondata-cpu-blackparrot --depth 500 --no-single-branch --recursive cmd: ['git', 'clone', 'https://copr-dist-git.fedorainfracloud.org/git/rezso/HDL/litex-pythondata-cpu-blackparrot', '/var/lib/copr-rpmbuild/workspace/workdir-jsgek649/litex-pythondata-cpu-blackparrot', '--depth', '500', '--no-single-branch', '--recursive'] cwd: . rc: 0 stdout: stderr: Cloning into '/var/lib/copr-rpmbuild/workspace/workdir-jsgek649/litex-pythondata-cpu-blackparrot'... Running: git checkout 11f7004f67a9fd8b7dd76010bfc06213b3ef55f6 -- cmd: ['git', 'checkout', '11f7004f67a9fd8b7dd76010bfc06213b3ef55f6', '--'] cwd: /var/lib/copr-rpmbuild/workspace/workdir-jsgek649/litex-pythondata-cpu-blackparrot rc: 0 stdout: stderr: Note: switching to '11f7004f67a9fd8b7dd76010bfc06213b3ef55f6'. You are in 'detached HEAD' state. You can look around, make experimental changes and commit them, and you can discard any commits you make in this state without impacting any branches by switching back to a branch. If you want to create a new branch to retain commits you create, you may do so (now or later) by using -c with the switch command. Example: git switch -c Or undo this operation with: git switch - Turn off this advice by setting config variable advice.detachedHead to false HEAD is now at 11f7004 automatic import of litex-pythondata-cpu-blackparrot Running: copr-distgit-client sources cmd: ['copr-distgit-client', 'sources'] cwd: /var/lib/copr-rpmbuild/workspace/workdir-jsgek649/litex-pythondata-cpu-blackparrot rc: 0 stdout: stderr: INFO: Reading stdout from command: git rev-parse --abbrev-ref HEAD INFO: Reading stdout from command: git rev-parse HEAD INFO: Reading sources specification file: sources /usr/bin/tail: /var/lib/copr-rpmbuild/main.log: file truncated Running (timeout=172800): unbuffer mock --buildsrpm --spec /var/lib/copr-rpmbuild/workspace/workdir-jsgek649/litex-pythondata-cpu-blackparrot/litex-pythondata-cpu-blackparrot.spec --sources /var/lib/copr-rpmbuild/workspace/workdir-jsgek649/litex-pythondata-cpu-blackparrot --resultdir /var/lib/copr-rpmbuild/results --uniqueext 1688731923.087669 -r /var/lib/copr-rpmbuild/results/configs/child.cfg INFO: mock.py version 4.1 starting (python version = 3.11.3, NVR = mock-4.1-1.fc38)... Start(bootstrap): init plugins INFO: tmpfs initialized INFO: selinux enabled INFO: chroot_scan: initialized INFO: compress_logs: initialized Finish(bootstrap): init plugins Start: init plugins INFO: tmpfs initialized INFO: selinux enabled INFO: chroot_scan: initialized INFO: compress_logs: initialized Finish: init plugins INFO: Signal handler active Start: run INFO: Start(/var/lib/copr-rpmbuild/workspace/workdir-jsgek649/litex-pythondata-cpu-blackparrot/litex-pythondata-cpu-blackparrot.spec) Config(fedora-rawhide-ppc64le) Start: clean chroot Finish: clean chroot Start(bootstrap): chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-rawhide-ppc64le-bootstrap-1688731923.087669/root. INFO: calling preinit hooks INFO: enabled root cache INFO: enabled package manager cache Start(bootstrap): cleaning package manager metadata Finish(bootstrap): cleaning package manager metadata INFO: enabled HW Info plugin Mock Version: 4.1 INFO: Mock Version: 4.1 INFO: Package manager dnf detected and used (fallback) Start(bootstrap): installing dnf tooling No matches found for the following disable plugin patterns: local, spacewalk, versionlock Updating Subscription Management repositories. Unable to read consumer identity This system is not registered with an entitlement server. You can use subscription-manager to register. Copr repository 5.0 MB/s | 1.1 MB 00:00 Additional repo copr_rezso_ML 3.6 MB/s | 762 kB 00:00 Additional repo copr_rezso_CUDA 298 kB/s | 57 kB 00:00 Additional repo http_developer_download_nvidia_ 20 MB/s | 2.4 MB 00:00 Additional repo http_developer_download_nvidia_ 13 MB/s | 1.4 MB 00:00 Additional repo http_developer_download_nvidia_ 7.2 MB/s | 1.5 MB 00:00 fedora 10 MB/s | 66 MB 00:06 Dependencies resolved. ================================================================================ Package Arch Version Repo Size ================================================================================ Installing: dnf-plugins-core noarch 4.4.1-4.fc39 fedora 38 k python3-dnf noarch 4.16.1-3.fc39 fedora 586 k Installing dependencies: alternatives ppc64le 1.24-1.fc39 fedora 41 k audit-libs ppc64le 3.1.1-3.fc39 fedora 132 k basesystem noarch 11-17.fc39 fedora 7.0 k bash ppc64le 5.2.15-3.fc38 fedora 1.9 M bzip2-libs ppc64le 1.0.8-13.fc38 fedora 48 k ca-certificates noarch 2023.2.60-2.fc38 fedora 845 k coreutils ppc64le 9.3-1.fc39 fedora 1.4 M coreutils-common ppc64le 9.3-1.fc39 fedora 2.1 M crypto-policies noarch 20230614-1.git5f3458e.fc39 fedora 94 k curl ppc64le 8.1.2-1.fc39 fedora 348 k cyrus-sasl-lib ppc64le 2.1.28-10.fc39 fedora 876 k dbus-libs ppc64le 1:1.14.8-1.fc39 fedora 177 k dnf-data noarch 4.16.1-3.fc39 fedora 38 k elfutils-default-yama-scope noarch 0.189-3.fc39 fedora 13 k elfutils-libelf ppc64le 0.189-3.fc39 fedora 204 k elfutils-libs ppc64le 0.189-3.fc39 fedora 293 k expat ppc64le 2.5.0-2.fc38 fedora 117 k fedora-gpg-keys noarch 39-0.1 fedora 126 k fedora-release noarch 39-0.14 fedora 6.6 k fedora-release-common noarch 39-0.14 fedora 17 k fedora-release-identity-basic noarch 39-0.14 fedora 7.4 k fedora-repos noarch 39-0.1 fedora 9.4 k fedora-repos-rawhide noarch 39-0.1 fedora 9.0 k file-libs ppc64le 5.44-4.fc39 fedora 742 k filesystem ppc64le 3.18-4.fc39 fedora 1.1 M findutils ppc64le 1:4.9.0-4.fc39 fedora 539 k fmt ppc64le 10.0.0-2.fc39 fedora 149 k gawk ppc64le 5.2.2-1.fc39 fedora 1.1 M gdbm-libs ppc64le 1:1.23-3.fc38 fedora 62 k glib2 ppc64le 2.76.3-1.fc39 fedora 2.9 M glibc ppc64le 2.37.9000-15.fc39 fedora 2.2 M glibc-common ppc64le 2.37.9000-15.fc39 fedora 354 k glibc-minimal-langpack ppc64le 2.37.9000-15.fc39 fedora 61 k gmp ppc64le 1:6.2.1-4.fc38 fedora 304 k gnupg2 ppc64le 2.4.2-2.fc39 fedora 2.8 M gnutls ppc64le 3.8.0-6.fc39 fedora 1.1 M gpgme ppc64le 1.20.0-4.fc39 fedora 233 k grep ppc64le 3.11-1.fc39 fedora 308 k ima-evm-utils ppc64le 1.5-1.fc39 fedora 67 k json-c ppc64le 0.16-4.fc38 fedora 46 k keyutils-libs ppc64le 1.6.1-6.fc38 fedora 32 k krb5-libs ppc64le 1.21-1.fc39 fedora 850 k libacl ppc64le 2.3.1-7.fc39 fedora 26 k libarchive ppc64le 3.6.1-5.fc39 fedora 464 k libassuan ppc64le 2.5.6-1.fc39 fedora 71 k libattr ppc64le 2.5.1-7.fc39 fedora 19 k libb2 ppc64le 0.98.1-8.fc38 fedora 25 k libblkid ppc64le 2.39.1-2.fc39 fedora 133 k libbrotli ppc64le 1.0.9-12.fc39 fedora 344 k libcap ppc64le 2.48-6.fc38 fedora 72 k libcap-ng ppc64le 0.8.3-6.fc39 fedora 33 k libcom_err ppc64le 1.47.0-1.fc39 fedora 26 k libcomps ppc64le 0.1.19-2.fc39 fedora 84 k libcurl ppc64le 8.1.2-1.fc39 fedora 357 k libdnf ppc64le 0.70.1-4.fc39 fedora 671 k libdnf5 ppc64le 5.0.15-3.fc39 fedora 888 k libeconf ppc64le 0.4.0-5.fc38 fedora 30 k libevent ppc64le 2.1.12-8.fc38 fedora 275 k libffi ppc64le 3.4.4-3.fc39 fedora 38 k libfsverity ppc64le 1.4-9.fc38 fedora 20 k libgcc ppc64le 13.1.1-4.fc39 fedora 102 k libgcrypt ppc64le 1.10.2-1.fc39 fedora 600 k libgomp ppc64le 13.1.1-4.fc39 fedora 330 k libgpg-error ppc64le 1.47-1.fc39 fedora 240 k libidn2 ppc64le 2.3.4-2.fc38 fedora 163 k libksba ppc64le 1.6.4-1.fc39 fedora 174 k libmodulemd ppc64le 2.15.0-4.fc39 fedora 232 k libmount ppc64le 2.39.1-2.fc39 fedora 176 k libnghttp2 ppc64le 1.54.0-1.fc39 fedora 85 k libnsl2 ppc64le 2.0.0-5.fc38 fedora 32 k libpsl ppc64le 0.21.2-3.fc39 fedora 64 k librepo ppc64le 1.15.1-3.fc39 fedora 103 k libreport-filesystem noarch 2.17.11-2.fc39 fedora 14 k libselinux ppc64le 3.5-4.fc39 fedora 100 k libsemanage ppc64le 3.5-3.fc39 fedora 131 k libsepol ppc64le 3.5-1.fc39 fedora 356 k libsigsegv ppc64le 2.14-4.fc38 fedora 28 k libsmartcols ppc64le 2.39.1-2.fc39 fedora 73 k libsolv ppc64le 0.7.24-5.fc39 fedora 482 k libssh ppc64le 0.10.5-1.fc39 fedora 238 k libssh-config noarch 0.10.5-1.fc39 fedora 9.0 k libstdc++ ppc64le 13.1.1-4.fc39 fedora 996 k libtasn1 ppc64le 4.19.0-2.fc38 fedora 80 k libtirpc ppc64le 1.3.3-1.rc1.fc39 fedora 108 k libunistring ppc64le 1.1-3.fc38 fedora 578 k libunistring1.0 ppc64le 1.0-1.fc38 fedora 575 k libuuid ppc64le 2.39.1-2.fc39 fedora 30 k libverto ppc64le 0.3.2-5.fc38 fedora 22 k libxcrypt ppc64le 4.4.36-1.fc39 fedora 131 k libxml2 ppc64le 2.10.4-2.fc39 fedora 780 k libyaml ppc64le 0.2.5-9.fc38 fedora 69 k libzstd ppc64le 1.5.5-1.fc39 fedora 336 k lua-libs ppc64le 5.4.4-9.fc39 fedora 149 k lz4-libs ppc64le 1.9.4-3.fc39 fedora 85 k mpdecimal ppc64le 2.5.1-6.fc38 fedora 104 k mpfr ppc64le 4.1.1-3.fc38 fedora 609 k ncurses-base noarch 6.4-5.20230520.fc39 fedora 88 k ncurses-libs ppc64le 6.4-5.20230520.fc39 fedora 378 k nettle ppc64le 3.9.1-1.fc39 fedora 460 k npth ppc64le 1.6-13.fc39 fedora 24 k openldap ppc64le 2.6.4-2.fc39 fedora 287 k openssl-libs ppc64le 1:3.0.8-2.fc39 fedora 2.3 M p11-kit ppc64le 0.24.1-6.fc38 fedora 368 k p11-kit-trust ppc64le 0.24.1-6.fc38 fedora 148 k pcre2 ppc64le 10.42-1.fc38.1 fedora 242 k pcre2-syntax noarch 10.42-1.fc38.1 fedora 144 k popt ppc64le 1.19-2.fc38 fedora 72 k publicsuffix-list-dafsa noarch 20230614-1.fc39 fedora 57 k python-pip-wheel noarch 23.1.2-2.fc39 fedora 1.4 M python3 ppc64le 3.12.0~b3-2.fc39 fedora 26 k python3-dateutil noarch 1:2.8.2-9.fc39 fedora 355 k python3-dbus ppc64le 1.3.2-3.fc39 fedora 161 k python3-distro noarch 1.8.0-5.fc39 fedora 49 k python3-dnf-plugins-core noarch 4.4.1-4.fc39 fedora 293 k python3-gpg ppc64le 1.20.0-4.fc39 fedora 304 k python3-hawkey ppc64le 0.70.1-4.fc39 fedora 104 k python3-libcomps ppc64le 0.1.19-2.fc39 fedora 52 k python3-libdnf ppc64le 0.70.1-4.fc39 fedora 818 k python3-libs ppc64le 3.12.0~b3-2.fc39 fedora 9.3 M python3-rpm ppc64le 4.18.91-7.fc39 fedora 70 k python3-six noarch 1.16.0-11.fc39 fedora 41 k python3-systemd ppc64le 235-4.fc39 fedora 108 k readline ppc64le 8.2-3.fc38 fedora 229 k rpm ppc64le 4.18.91-7.fc39 fedora 528 k rpm-build-libs ppc64le 4.18.91-7.fc39 fedora 103 k rpm-libs ppc64le 4.18.91-7.fc39 fedora 355 k rpm-sequoia ppc64le 1.4.1-1.fc39 fedora 1.5 M rpm-sign-libs ppc64le 4.18.91-7.fc39 fedora 27 k sed ppc64le 4.8-12.fc38 fedora 312 k setup noarch 2.14.3-3.fc39 fedora 152 k shadow-utils ppc64le 2:4.13-7.fc39 fedora 1.3 M sqlite-libs ppc64le 3.41.2-3.fc39 fedora 777 k systemd-libs ppc64le 253.5-6.fc39 fedora 692 k tpm2-tss ppc64le 4.0.1-3.fc38 fedora 633 k tzdata noarch 2023c-1.fc39 fedora 718 k xz-libs ppc64le 5.4.3-1.fc39 fedora 121 k zchunk-libs ppc64le 1.3.1-1.fc39 fedora 57 k zlib ppc64le 1.2.13-3.fc38 fedora 100 k Transaction Summary ================================================================================ Install 140 Packages Total download size: 61 M Installed size: 288 M Downloading Packages: (1/140): basesystem-11-17.fc39.noarch.rpm 48 kB/s | 7.0 kB 00:00 (2/140): alternatives-1.24-1.fc39.ppc64le.rpm 159 kB/s | 41 kB 00:00 (3/140): bzip2-libs-1.0.8-13.fc38.ppc64le.rpm 342 kB/s | 48 kB 00:00 (4/140): audit-libs-3.1.1-3.fc39.ppc64le.rpm 325 kB/s | 132 kB 00:00 (5/140): bash-5.2.15-3.fc38.ppc64le.rpm 2.7 MB/s | 1.9 MB 00:00 (6/140): ca-certificates-2023.2.60-2.fc38.noarc 1.8 MB/s | 845 kB 00:00 (7/140): coreutils-9.3-1.fc39.ppc64le.rpm 2.7 MB/s | 1.4 MB 00:00 (8/140): crypto-policies-20230614-1.git5f3458e. 1.2 MB/s | 94 kB 00:00 (9/140): curl-8.1.2-1.fc39.ppc64le.rpm 3.8 MB/s | 348 kB 00:00 (10/140): cyrus-sasl-lib-2.1.28-10.fc39.ppc64le 7.2 MB/s | 876 kB 00:00 (11/140): dbus-libs-1.14.8-1.fc39.ppc64le.rpm 2.1 MB/s | 177 kB 00:00 (12/140): coreutils-common-9.3-1.fc39.ppc64le.r 7.8 MB/s | 2.1 MB 00:00 (13/140): dnf-data-4.16.1-3.fc39.noarch.rpm 481 kB/s | 38 kB 00:00 (14/140): dnf-plugins-core-4.4.1-4.fc39.noarch. 512 kB/s | 38 kB 00:00 (15/140): elfutils-default-yama-scope-0.189-3.f 174 kB/s | 13 kB 00:00 (16/140): elfutils-libelf-0.189-3.fc39.ppc64le. 2.4 MB/s | 204 kB 00:00 (17/140): elfutils-libs-0.189-3.fc39.ppc64le.rp 3.3 MB/s | 293 kB 00:00 (18/140): expat-2.5.0-2.fc38.ppc64le.rpm 1.5 MB/s | 117 kB 00:00 (19/140): fedora-gpg-keys-39-0.1.noarch.rpm 1.4 MB/s | 126 kB 00:00 (20/140): fedora-release-39-0.14.noarch.rpm 90 kB/s | 6.6 kB 00:00 (21/140): fedora-release-common-39-0.14.noarch. 229 kB/s | 17 kB 00:00 (22/140): fedora-release-identity-basic-39-0.14 101 kB/s | 7.4 kB 00:00 (23/140): fedora-repos-39-0.1.noarch.rpm 130 kB/s | 9.4 kB 00:00 (24/140): fedora-repos-rawhide-39-0.1.noarch.rp 124 kB/s | 9.0 kB 00:00 (25/140): file-libs-5.44-4.fc39.ppc64le.rpm 6.7 MB/s | 742 kB 00:00 (26/140): fmt-10.0.0-2.fc39.ppc64le.rpm 1.8 MB/s | 149 kB 00:00 (27/140): gawk-5.2.2-1.fc39.ppc64le.rpm 8.1 MB/s | 1.1 MB 00:00 (28/140): gdbm-libs-1.23-3.fc38.ppc64le.rpm 818 kB/s | 62 kB 00:00 (29/140): findutils-4.9.0-4.fc39.ppc64le.rpm 1.4 MB/s | 539 kB 00:00 (30/140): filesystem-3.18-4.fc39.ppc64le.rpm 1.8 MB/s | 1.1 MB 00:00 (31/140): glib2-2.76.3-1.fc39.ppc64le.rpm 12 MB/s | 2.9 MB 00:00 (32/140): glibc-2.37.9000-15.fc39.ppc64le.rpm 9.0 MB/s | 2.2 MB 00:00 (33/140): glibc-minimal-langpack-2.37.9000-15.f 816 kB/s | 61 kB 00:00 (34/140): glibc-common-2.37.9000-15.fc39.ppc64l 3.0 MB/s | 354 kB 00:00 (35/140): gmp-6.2.1-4.fc38.ppc64le.rpm 3.0 MB/s | 304 kB 00:00 (36/140): gnutls-3.8.0-6.fc39.ppc64le.rpm 4.5 MB/s | 1.1 MB 00:00 (37/140): gnupg2-2.4.2-2.fc39.ppc64le.rpm 8.2 MB/s | 2.8 MB 00:00 (38/140): grep-3.11-1.fc39.ppc64le.rpm 1.8 MB/s | 308 kB 00:00 (39/140): ima-evm-utils-1.5-1.fc39.ppc64le.rpm 864 kB/s | 67 kB 00:00 (40/140): keyutils-libs-1.6.1-6.fc38.ppc64le.rp 439 kB/s | 32 kB 00:00 (41/140): json-c-0.16-4.fc38.ppc64le.rpm 597 kB/s | 46 kB 00:00 (42/140): libacl-2.3.1-7.fc39.ppc64le.rpm 336 kB/s | 26 kB 00:00 (43/140): krb5-libs-1.21-1.fc39.ppc64le.rpm 7.4 MB/s | 850 kB 00:00 (44/140): libassuan-2.5.6-1.fc39.ppc64le.rpm 936 kB/s | 71 kB 00:00 (45/140): libarchive-3.6.1-5.fc39.ppc64le.rpm 3.7 MB/s | 464 kB 00:00 (46/140): libattr-2.5.1-7.fc39.ppc64le.rpm 254 kB/s | 19 kB 00:00 (47/140): gpgme-1.20.0-4.fc39.ppc64le.rpm 332 kB/s | 233 kB 00:00 (48/140): libb2-0.98.1-8.fc38.ppc64le.rpm 335 kB/s | 25 kB 00:00 (49/140): libblkid-2.39.1-2.fc39.ppc64le.rpm 1.7 MB/s | 133 kB 00:00 (50/140): libcap-2.48-6.fc38.ppc64le.rpm 906 kB/s | 72 kB 00:00 (51/140): libbrotli-1.0.9-12.fc39.ppc64le.rpm 3.4 MB/s | 344 kB 00:00 (52/140): libcap-ng-0.8.3-6.fc39.ppc64le.rpm 445 kB/s | 33 kB 00:00 (53/140): libcom_err-1.47.0-1.fc39.ppc64le.rpm 351 kB/s | 26 kB 00:00 (54/140): libcomps-0.1.19-2.fc39.ppc64le.rpm 1.1 MB/s | 84 kB 00:00 (55/140): libcurl-8.1.2-1.fc39.ppc64le.rpm 4.0 MB/s | 357 kB 00:00 (56/140): libeconf-0.4.0-5.fc38.ppc64le.rpm 409 kB/s | 30 kB 00:00 (57/140): libdnf-0.70.1-4.fc39.ppc64le.rpm 4.4 MB/s | 671 kB 00:00 (58/140): libdnf5-5.0.15-3.fc39.ppc64le.rpm 6.0 MB/s | 888 kB 00:00 (59/140): libffi-3.4.4-3.fc39.ppc64le.rpm 501 kB/s | 38 kB 00:00 (60/140): libevent-2.1.12-8.fc38.ppc64le.rpm 3.2 MB/s | 275 kB 00:00 (61/140): libfsverity-1.4-9.fc38.ppc64le.rpm 270 kB/s | 20 kB 00:00 (62/140): libgcc-13.1.1-4.fc39.ppc64le.rpm 1.2 MB/s | 102 kB 00:00 (63/140): libgcrypt-1.10.2-1.fc39.ppc64le.rpm 6.0 MB/s | 600 kB 00:00 (64/140): libgomp-13.1.1-4.fc39.ppc64le.rpm 3.4 MB/s | 330 kB 00:00 (65/140): libgpg-error-1.47-1.fc39.ppc64le.rpm 2.4 MB/s | 240 kB 00:00 (66/140): libidn2-2.3.4-2.fc38.ppc64le.rpm 2.0 MB/s | 163 kB 00:00 (67/140): libksba-1.6.4-1.fc39.ppc64le.rpm 1.9 MB/s | 174 kB 00:00 (68/140): libmount-2.39.1-2.fc39.ppc64le.rpm 2.1 MB/s | 176 kB 00:00 (69/140): libnghttp2-1.54.0-1.fc39.ppc64le.rpm 1.1 MB/s | 85 kB 00:00 (70/140): libmodulemd-2.15.0-4.fc39.ppc64le.rpm 2.3 MB/s | 232 kB 00:00 (71/140): libnsl2-2.0.0-5.fc38.ppc64le.rpm 433 kB/s | 32 kB 00:00 (72/140): libpsl-0.21.2-3.fc39.ppc64le.rpm 847 kB/s | 64 kB 00:00 (73/140): librepo-1.15.1-3.fc39.ppc64le.rpm 1.2 MB/s | 103 kB 00:00 (74/140): libreport-filesystem-2.17.11-2.fc39.n 190 kB/s | 14 kB 00:00 (75/140): libselinux-3.5-4.fc39.ppc64le.rpm 1.2 MB/s | 100 kB 00:00 (76/140): libsemanage-3.5-3.fc39.ppc64le.rpm 1.4 MB/s | 131 kB 00:00 (77/140): libsepol-3.5-1.fc39.ppc64le.rpm 3.7 MB/s | 356 kB 00:00 (78/140): libsigsegv-2.14-4.fc38.ppc64le.rpm 338 kB/s | 28 kB 00:00 (79/140): libsmartcols-2.39.1-2.fc39.ppc64le.rp 824 kB/s | 73 kB 00:00 (80/140): libssh-config-0.10.5-1.fc39.noarch.rp 110 kB/s | 9.0 kB 00:00 (81/140): libsolv-0.7.24-5.fc39.ppc64le.rpm 2.6 MB/s | 482 kB 00:00 (82/140): libssh-0.10.5-1.fc39.ppc64le.rpm 1.3 MB/s | 238 kB 00:00 (83/140): libstdc++-13.1.1-4.fc39.ppc64le.rpm 3.7 MB/s | 996 kB 00:00 (84/140): libtasn1-4.19.0-2.fc38.ppc64le.rpm 339 kB/s | 80 kB 00:00 (85/140): libtirpc-1.3.3-1.rc1.fc39.ppc64le.rpm 394 kB/s | 108 kB 00:00 (86/140): libunistring-1.1-3.fc38.ppc64le.rpm 4.0 MB/s | 578 kB 00:00 (87/140): libuuid-2.39.1-2.fc39.ppc64le.rpm 363 kB/s | 30 kB 00:00 (88/140): libverto-0.3.2-5.fc38.ppc64le.rpm 281 kB/s | 22 kB 00:00 (89/140): libxcrypt-4.4.36-1.fc39.ppc64le.rpm 1.1 MB/s | 131 kB 00:00 (90/140): libyaml-0.2.5-9.fc38.ppc64le.rpm 725 kB/s | 69 kB 00:00 (91/140): libxml2-2.10.4-2.fc39.ppc64le.rpm 4.7 MB/s | 780 kB 00:00 (92/140): libunistring1.0-1.0-1.fc38.ppc64le.rp 1.4 MB/s | 575 kB 00:00 (93/140): lua-libs-5.4.4-9.fc39.ppc64le.rpm 1.6 MB/s | 149 kB 00:00 (94/140): lz4-libs-1.9.4-3.fc39.ppc64le.rpm 753 kB/s | 85 kB 00:00 (95/140): libzstd-1.5.5-1.fc39.ppc64le.rpm 1.8 MB/s | 336 kB 00:00 (96/140): mpdecimal-2.5.1-6.fc38.ppc64le.rpm 1.2 MB/s | 104 kB 00:00 (97/140): ncurses-base-6.4-5.20230520.fc39.noar 879 kB/s | 88 kB 00:00 (98/140): ncurses-libs-6.4-5.20230520.fc39.ppc6 3.2 MB/s | 378 kB 00:00 (99/140): npth-1.6-13.fc39.ppc64le.rpm 323 kB/s | 24 kB 00:00 (100/140): mpfr-4.1.1-3.fc38.ppc64le.rpm 1.9 MB/s | 609 kB 00:00 (101/140): nettle-3.9.1-1.fc39.ppc64le.rpm 2.2 MB/s | 460 kB 00:00 (102/140): openldap-2.6.4-2.fc39.ppc64le.rpm 2.5 MB/s | 287 kB 00:00 (103/140): p11-kit-0.24.1-6.fc38.ppc64le.rpm 3.0 MB/s | 368 kB 00:00 (104/140): p11-kit-trust-0.24.1-6.fc38.ppc64le. 1.2 MB/s | 148 kB 00:00 (105/140): pcre2-10.42-1.fc38.1.ppc64le.rpm 2.4 MB/s | 242 kB 00:00 (106/140): pcre2-syntax-10.42-1.fc38.1.noarch.r 1.2 MB/s | 144 kB 00:00 (107/140): popt-1.19-2.fc38.ppc64le.rpm 892 kB/s | 72 kB 00:00 (108/140): publicsuffix-list-dafsa-20230614-1.f 643 kB/s | 57 kB 00:00 (109/140): python3-3.12.0~b3-2.fc39.ppc64le.rpm 330 kB/s | 26 kB 00:00 (110/140): python-pip-wheel-23.1.2-2.fc39.noarc 6.4 MB/s | 1.4 MB 00:00 (111/140): python3-dateutil-2.8.2-9.fc39.noarch 2.0 MB/s | 355 kB 00:00 (112/140): openssl-libs-3.0.8-2.fc39.ppc64le.rp 3.2 MB/s | 2.3 MB 00:00 (113/140): python3-dbus-1.3.2-3.fc39.ppc64le.rp 913 kB/s | 161 kB 00:00 (114/140): python3-distro-1.8.0-5.fc39.noarch.r 276 kB/s | 49 kB 00:00 (115/140): python3-gpg-1.20.0-4.fc39.ppc64le.rp 1.2 MB/s | 304 kB 00:00 (116/140): python3-hawkey-0.70.1-4.fc39.ppc64le 632 kB/s | 104 kB 00:00 (117/140): python3-libcomps-0.1.19-2.fc39.ppc64 602 kB/s | 52 kB 00:00 (118/140): python3-dnf-plugins-core-4.4.1-4.fc3 414 kB/s | 293 kB 00:00 (119/140): python3-dnf-4.16.1-3.fc39.noarch.rpm 765 kB/s | 586 kB 00:00 (120/140): python3-libdnf-0.70.1-4.fc39.ppc64le 3.0 MB/s | 818 kB 00:00 (121/140): python3-rpm-4.18.91-7.fc39.ppc64le.r 808 kB/s | 70 kB 00:00 (122/140): python3-six-1.16.0-11.fc39.noarch.rp 505 kB/s | 41 kB 00:00 (123/140): python3-systemd-235-4.fc39.ppc64le.r 1.2 MB/s | 108 kB 00:00 (124/140): readline-8.2-3.fc38.ppc64le.rpm 1.4 MB/s | 229 kB 00:00 (125/140): rpm-4.18.91-7.fc39.ppc64le.rpm 3.4 MB/s | 528 kB 00:00 (126/140): rpm-build-libs-4.18.91-7.fc39.ppc64l 1.1 MB/s | 103 kB 00:00 (127/140): rpm-libs-4.18.91-7.fc39.ppc64le.rpm 2.7 MB/s | 355 kB 00:00 (128/140): python3-libs-3.12.0~b3-2.fc39.ppc64l 15 MB/s | 9.3 MB 00:00 (129/140): rpm-sign-libs-4.18.91-7.fc39.ppc64le 245 kB/s | 27 kB 00:00 (130/140): setup-2.14.3-3.fc39.noarch.rpm 1.9 MB/s | 152 kB 00:00 (131/140): sed-4.8-12.fc38.ppc64le.rpm 2.5 MB/s | 312 kB 00:00 (132/140): rpm-sequoia-1.4.1-1.fc39.ppc64le.rpm 4.1 MB/s | 1.5 MB 00:00 (133/140): shadow-utils-4.13-7.fc39.ppc64le.rpm 10 MB/s | 1.3 MB 00:00 (134/140): systemd-libs-253.5-6.fc39.ppc64le.rp 6.7 MB/s | 692 kB 00:00 (135/140): sqlite-libs-3.41.2-3.fc39.ppc64le.rp 4.1 MB/s | 777 kB 00:00 (136/140): tpm2-tss-4.0.1-3.fc38.ppc64le.rpm 3.4 MB/s | 633 kB 00:00 (137/140): xz-libs-5.4.3-1.fc39.ppc64le.rpm 1.3 MB/s | 121 kB 00:00 (138/140): tzdata-2023c-1.fc39.noarch.rpm 6.8 MB/s | 718 kB 00:00 (139/140): zchunk-libs-1.3.1-1.fc39.ppc64le.rpm 690 kB/s | 57 kB 00:00 (140/140): zlib-1.2.13-3.fc38.ppc64le.rpm 1.1 MB/s | 100 kB 00:00 -------------------------------------------------------------------------------- Total 8.0 MB/s | 61 MB 00:07 fedora 1.6 MB/s | 1.6 kB 00:00 Importing GPG key 0x18B8E74C: Userid : "Fedora (39) " Fingerprint: E8F2 3996 F232 1864 0CB4 4CBE 75CF 5AC4 18B8 E74C From : /usr/share/distribution-gpg-keys/fedora/RPM-GPG-KEY-fedora-39-primary Key imported successfully fedora 1.6 MB/s | 1.6 kB 00:00 GPG key at file:///usr/share/distribution-gpg-keys/fedora/RPM-GPG-KEY-fedora-39-primary (0x18B8E74C) is already installed fedora 1.6 MB/s | 1.6 kB 00:00 Importing GPG key 0xEB10B464: Userid : "Fedora (38) " Fingerprint: 6A51 BBAB BA3D 5467 B617 1221 809A 8D7C EB10 B464 From : /usr/share/distribution-gpg-keys/fedora/RPM-GPG-KEY-fedora-38-primary Key imported successfully Running transaction check Transaction check succeeded. Running transaction test Transaction test succeeded. Running transaction Running scriptlet: filesystem-3.18-4.fc39.ppc64le 1/1 Preparing : 1/1 Installing : libgcc-13.1.1-4.fc39.ppc64le 1/140 Running scriptlet: libgcc-13.1.1-4.fc39.ppc64le 1/140 Installing : tzdata-2023c-1.fc39.noarch 2/140 Installing : crypto-policies-20230614-1.git5f3458e.fc39.noarc 3/140 Running scriptlet: crypto-policies-20230614-1.git5f3458e.fc39.noarc 3/140 Installing : fedora-release-identity-basic-39-0.14.noarch 4/140 Installing : publicsuffix-list-dafsa-20230614-1.fc39.noarch 5/140 Installing : pcre2-syntax-10.42-1.fc38.1.noarch 6/140 Installing : ncurses-base-6.4-5.20230520.fc39.noarch 7/140 Installing : libssh-config-0.10.5-1.fc39.noarch 8/140 Installing : libreport-filesystem-2.17.11-2.fc39.noarch 9/140 Installing : fedora-gpg-keys-39-0.1.noarch 10/140 Installing : fedora-release-39-0.14.noarch 11/140 Installing : fedora-release-common-39-0.14.noarch 12/140 Installing : fedora-repos-rawhide-39-0.1.noarch 13/140 Installing : fedora-repos-39-0.1.noarch 14/140 Installing : setup-2.14.3-3.fc39.noarch 15/140 Running scriptlet: setup-2.14.3-3.fc39.noarch 15/140 Installing : filesystem-3.18-4.fc39.ppc64le 16/140 Installing : basesystem-11-17.fc39.noarch 17/140 Installing : glibc-minimal-langpack-2.37.9000-15.fc39.ppc64le 18/140 Installing : glibc-common-2.37.9000-15.fc39.ppc64le 19/140 Running scriptlet: glibc-2.37.9000-15.fc39.ppc64le 20/140 Installing : glibc-2.37.9000-15.fc39.ppc64le 20/140 Running scriptlet: glibc-2.37.9000-15.fc39.ppc64le 20/140 Installing : ncurses-libs-6.4-5.20230520.fc39.ppc64le 21/140 Installing : bash-5.2.15-3.fc38.ppc64le 22/140 Running scriptlet: bash-5.2.15-3.fc38.ppc64le 22/140 Installing : zlib-1.2.13-3.fc38.ppc64le 23/140 Installing : bzip2-libs-1.0.8-13.fc38.ppc64le 24/140 Installing : libzstd-1.5.5-1.fc39.ppc64le 25/140 Installing : xz-libs-5.4.3-1.fc39.ppc64le 26/140 Installing : libxml2-2.10.4-2.fc39.ppc64le 27/140 Installing : sqlite-libs-3.41.2-3.fc39.ppc64le 28/140 Installing : gmp-1:6.2.1-4.fc38.ppc64le 29/140 Installing : libgpg-error-1.47-1.fc39.ppc64le 30/140 Installing : libstdc++-13.1.1-4.fc39.ppc64le 31/140 Installing : libcap-2.48-6.fc38.ppc64le 32/140 Installing : libuuid-2.39.1-2.fc39.ppc64le 33/140 Installing : readline-8.2-3.fc38.ppc64le 34/140 Installing : libattr-2.5.1-7.fc39.ppc64le 35/140 Installing : libacl-2.3.1-7.fc39.ppc64le 36/140 Installing : libffi-3.4.4-3.fc39.ppc64le 37/140 Installing : p11-kit-0.24.1-6.fc38.ppc64le 38/140 Installing : libxcrypt-4.4.36-1.fc39.ppc64le 39/140 Installing : pcre2-10.42-1.fc38.1.ppc64le 40/140 Installing : popt-1.19-2.fc38.ppc64le 41/140 Installing : libassuan-2.5.6-1.fc39.ppc64le 42/140 Installing : elfutils-libelf-0.189-3.fc39.ppc64le 43/140 Installing : expat-2.5.0-2.fc38.ppc64le 44/140 Installing : gdbm-libs-1:1.23-3.fc38.ppc64le 45/140 Installing : json-c-0.16-4.fc38.ppc64le 46/140 Installing : keyutils-libs-1.6.1-6.fc38.ppc64le 47/140 Installing : libcom_err-1.47.0-1.fc39.ppc64le 48/140 Installing : libgomp-13.1.1-4.fc39.ppc64le 49/140 Installing : libsepol-3.5-1.fc39.ppc64le 50/140 Installing : libselinux-3.5-4.fc39.ppc64le 51/140 Installing : sed-4.8-12.fc38.ppc64le 52/140 Installing : libsmartcols-2.39.1-2.fc39.ppc64le 53/140 Installing : libtasn1-4.19.0-2.fc38.ppc64le 54/140 Installing : libunistring-1.1-3.fc38.ppc64le 55/140 Installing : lua-libs-5.4.4-9.fc39.ppc64le 56/140 Installing : lz4-libs-1.9.4-3.fc39.ppc64le 57/140 Installing : systemd-libs-253.5-6.fc39.ppc64le 58/140 Installing : dbus-libs-1:1.14.8-1.fc39.ppc64le 59/140 Installing : findutils-1:4.9.0-4.fc39.ppc64le 60/140 Installing : libb2-0.98.1-8.fc38.ppc64le 61/140 Installing : cyrus-sasl-lib-2.1.28-10.fc39.ppc64le 62/140 Installing : libcomps-0.1.19-2.fc39.ppc64le 63/140 Installing : grep-3.11-1.fc39.ppc64le 64/140 Installing : libblkid-2.39.1-2.fc39.ppc64le 65/140 Installing : libmount-2.39.1-2.fc39.ppc64le 66/140 Installing : fmt-10.0.0-2.fc39.ppc64le 67/140 Installing : libgcrypt-1.10.2-1.fc39.ppc64le 68/140 Installing : libksba-1.6.4-1.fc39.ppc64le 69/140 Installing : mpfr-4.1.1-3.fc38.ppc64le 70/140 Installing : nettle-3.9.1-1.fc39.ppc64le 71/140 Installing : file-libs-5.44-4.fc39.ppc64le 72/140 Installing : elfutils-default-yama-scope-0.189-3.fc39.noarch 73/140 Running scriptlet: elfutils-default-yama-scope-0.189-3.fc39.noarch 73/140 Installing : elfutils-libs-0.189-3.fc39.ppc64le 74/140 Installing : alternatives-1.24-1.fc39.ppc64le 75/140 Installing : p11-kit-trust-0.24.1-6.fc38.ppc64le 76/140 Running scriptlet: p11-kit-trust-0.24.1-6.fc38.ppc64le 76/140 Installing : libbrotli-1.0.9-12.fc39.ppc64le 77/140 Installing : libcap-ng-0.8.3-6.fc39.ppc64le 78/140 Installing : audit-libs-3.1.1-3.fc39.ppc64le 79/140 Installing : libsemanage-3.5-3.fc39.ppc64le 80/140 Installing : libeconf-0.4.0-5.fc38.ppc64le 81/140 Installing : shadow-utils-2:4.13-7.fc39.ppc64le 82/140 Installing : libnghttp2-1.54.0-1.fc39.ppc64le 83/140 Installing : libsigsegv-2.14-4.fc38.ppc64le 84/140 Installing : gawk-5.2.2-1.fc39.ppc64le 85/140 Installing : libunistring1.0-1.0-1.fc38.ppc64le 86/140 Installing : libidn2-2.3.4-2.fc38.ppc64le 87/140 Installing : gnutls-3.8.0-6.fc39.ppc64le 88/140 Installing : glib2-2.76.3-1.fc39.ppc64le 89/140 Installing : libpsl-0.21.2-3.fc39.ppc64le 90/140 Installing : libverto-0.3.2-5.fc38.ppc64le 91/140 Installing : libyaml-0.2.5-9.fc38.ppc64le 92/140 Installing : mpdecimal-2.5.1-6.fc38.ppc64le 93/140 Installing : npth-1.6-13.fc39.ppc64le 94/140 Installing : coreutils-common-9.3-1.fc39.ppc64le 95/140 Installing : openssl-libs-1:3.0.8-2.fc39.ppc64le 96/140 Installing : coreutils-9.3-1.fc39.ppc64le 97/140 Running scriptlet: ca-certificates-2023.2.60-2.fc38.noarch 98/140 Installing : ca-certificates-2023.2.60-2.fc38.noarch 98/140 Running scriptlet: ca-certificates-2023.2.60-2.fc38.noarch 98/140 Installing : krb5-libs-1.21-1.fc39.ppc64le 99/140 Installing : libtirpc-1.3.3-1.rc1.fc39.ppc64le 100/140 Installing : zchunk-libs-1.3.1-1.fc39.ppc64le 101/140 Installing : libnsl2-2.0.0-5.fc38.ppc64le 102/140 Installing : libssh-0.10.5-1.fc39.ppc64le 103/140 Installing : python-pip-wheel-23.1.2-2.fc39.noarch 104/140 Installing : python3-3.12.0~b3-2.fc39.ppc64le 105/140 Installing : python3-libs-3.12.0~b3-2.fc39.ppc64le 106/140 Installing : python3-libcomps-0.1.19-2.fc39.ppc64le 107/140 Installing : python3-dbus-1.3.2-3.fc39.ppc64le 108/140 Installing : python3-distro-1.8.0-5.fc39.noarch 109/140 Installing : python3-six-1.16.0-11.fc39.noarch 110/140 Installing : python3-dateutil-1:2.8.2-9.fc39.noarch 111/140 Installing : python3-systemd-235-4.fc39.ppc64le 112/140 Installing : libarchive-3.6.1-5.fc39.ppc64le 113/140 Installing : libevent-2.1.12-8.fc38.ppc64le 114/140 Installing : openldap-2.6.4-2.fc39.ppc64le 115/140 Installing : libcurl-8.1.2-1.fc39.ppc64le 116/140 Running scriptlet: tpm2-tss-4.0.1-3.fc38.ppc64le 117/140 useradd: Warning: missing or non-executable shell '/usr/sbin/nologin' Installing : tpm2-tss-4.0.1-3.fc38.ppc64le 117/140 Installing : gnupg2-2.4.2-2.fc39.ppc64le 118/140 Installing : gpgme-1.20.0-4.fc39.ppc64le 119/140 Installing : librepo-1.15.1-3.fc39.ppc64le 120/140 Installing : python3-gpg-1.20.0-4.fc39.ppc64le 121/140 Installing : ima-evm-utils-1.5-1.fc39.ppc64le 122/140 Installing : curl-8.1.2-1.fc39.ppc64le 123/140 Installing : libfsverity-1.4-9.fc38.ppc64le 124/140 Installing : rpm-sequoia-1.4.1-1.fc39.ppc64le 125/140 Installing : rpm-libs-4.18.91-7.fc39.ppc64le 126/140 Installing : libmodulemd-2.15.0-4.fc39.ppc64le 127/140 Installing : libsolv-0.7.24-5.fc39.ppc64le 128/140 Installing : libdnf-0.70.1-4.fc39.ppc64le 129/140 Installing : python3-libdnf-0.70.1-4.fc39.ppc64le 130/140 Installing : python3-hawkey-0.70.1-4.fc39.ppc64le 131/140 Installing : libdnf5-5.0.15-3.fc39.ppc64le 132/140 warning: /etc/dnf/dnf.conf created as /etc/dnf/dnf.conf.rpmnew Installing : dnf-data-4.16.1-3.fc39.noarch 133/140 Installing : rpm-build-libs-4.18.91-7.fc39.ppc64le 134/140 Installing : rpm-sign-libs-4.18.91-7.fc39.ppc64le 135/140 Installing : python3-rpm-4.18.91-7.fc39.ppc64le 136/140 Installing : python3-dnf-4.16.1-3.fc39.noarch 137/140 Installing : python3-dnf-plugins-core-4.4.1-4.fc39.noarch 138/140 Installing : dnf-plugins-core-4.4.1-4.fc39.noarch 139/140 Running scriptlet: rpm-4.18.91-7.fc39.ppc64le 140/140 Installing : rpm-4.18.91-7.fc39.ppc64le 140/140 Running scriptlet: filesystem-3.18-4.fc39.ppc64le 140/140 Running scriptlet: ca-certificates-2023.2.60-2.fc38.noarch 140/140 Running scriptlet: rpm-4.18.91-7.fc39.ppc64le 140/140 Verifying : alternatives-1.24-1.fc39.ppc64le 1/140 Verifying : audit-libs-3.1.1-3.fc39.ppc64le 2/140 Verifying : basesystem-11-17.fc39.noarch 3/140 Verifying : bash-5.2.15-3.fc38.ppc64le 4/140 Verifying : bzip2-libs-1.0.8-13.fc38.ppc64le 5/140 Verifying : ca-certificates-2023.2.60-2.fc38.noarch 6/140 Verifying : coreutils-9.3-1.fc39.ppc64le 7/140 Verifying : coreutils-common-9.3-1.fc39.ppc64le 8/140 Verifying : crypto-policies-20230614-1.git5f3458e.fc39.noarc 9/140 Verifying : curl-8.1.2-1.fc39.ppc64le 10/140 Verifying : cyrus-sasl-lib-2.1.28-10.fc39.ppc64le 11/140 Verifying : dbus-libs-1:1.14.8-1.fc39.ppc64le 12/140 Verifying : dnf-data-4.16.1-3.fc39.noarch 13/140 Verifying : dnf-plugins-core-4.4.1-4.fc39.noarch 14/140 Verifying : elfutils-default-yama-scope-0.189-3.fc39.noarch 15/140 Verifying : elfutils-libelf-0.189-3.fc39.ppc64le 16/140 Verifying : elfutils-libs-0.189-3.fc39.ppc64le 17/140 Verifying : expat-2.5.0-2.fc38.ppc64le 18/140 Verifying : fedora-gpg-keys-39-0.1.noarch 19/140 Verifying : fedora-release-39-0.14.noarch 20/140 Verifying : fedora-release-common-39-0.14.noarch 21/140 Verifying : fedora-release-identity-basic-39-0.14.noarch 22/140 Verifying : fedora-repos-39-0.1.noarch 23/140 Verifying : fedora-repos-rawhide-39-0.1.noarch 24/140 Verifying : file-libs-5.44-4.fc39.ppc64le 25/140 Verifying : filesystem-3.18-4.fc39.ppc64le 26/140 Verifying : findutils-1:4.9.0-4.fc39.ppc64le 27/140 Verifying : fmt-10.0.0-2.fc39.ppc64le 28/140 Verifying : gawk-5.2.2-1.fc39.ppc64le 29/140 Verifying : gdbm-libs-1:1.23-3.fc38.ppc64le 30/140 Verifying : glib2-2.76.3-1.fc39.ppc64le 31/140 Verifying : glibc-2.37.9000-15.fc39.ppc64le 32/140 Verifying : glibc-common-2.37.9000-15.fc39.ppc64le 33/140 Verifying : glibc-minimal-langpack-2.37.9000-15.fc39.ppc64le 34/140 Verifying : gmp-1:6.2.1-4.fc38.ppc64le 35/140 Verifying : gnupg2-2.4.2-2.fc39.ppc64le 36/140 Verifying : gnutls-3.8.0-6.fc39.ppc64le 37/140 Verifying : gpgme-1.20.0-4.fc39.ppc64le 38/140 Verifying : grep-3.11-1.fc39.ppc64le 39/140 Verifying : ima-evm-utils-1.5-1.fc39.ppc64le 40/140 Verifying : json-c-0.16-4.fc38.ppc64le 41/140 Verifying : keyutils-libs-1.6.1-6.fc38.ppc64le 42/140 Verifying : krb5-libs-1.21-1.fc39.ppc64le 43/140 Verifying : libacl-2.3.1-7.fc39.ppc64le 44/140 Verifying : libarchive-3.6.1-5.fc39.ppc64le 45/140 Verifying : libassuan-2.5.6-1.fc39.ppc64le 46/140 Verifying : libattr-2.5.1-7.fc39.ppc64le 47/140 Verifying : libb2-0.98.1-8.fc38.ppc64le 48/140 Verifying : libblkid-2.39.1-2.fc39.ppc64le 49/140 Verifying : libbrotli-1.0.9-12.fc39.ppc64le 50/140 Verifying : libcap-2.48-6.fc38.ppc64le 51/140 Verifying : libcap-ng-0.8.3-6.fc39.ppc64le 52/140 Verifying : libcom_err-1.47.0-1.fc39.ppc64le 53/140 Verifying : libcomps-0.1.19-2.fc39.ppc64le 54/140 Verifying : libcurl-8.1.2-1.fc39.ppc64le 55/140 Verifying : libdnf-0.70.1-4.fc39.ppc64le 56/140 Verifying : libdnf5-5.0.15-3.fc39.ppc64le 57/140 Verifying : libeconf-0.4.0-5.fc38.ppc64le 58/140 Verifying : libevent-2.1.12-8.fc38.ppc64le 59/140 Verifying : libffi-3.4.4-3.fc39.ppc64le 60/140 Verifying : libfsverity-1.4-9.fc38.ppc64le 61/140 Verifying : libgcc-13.1.1-4.fc39.ppc64le 62/140 Verifying : libgcrypt-1.10.2-1.fc39.ppc64le 63/140 Verifying : libgomp-13.1.1-4.fc39.ppc64le 64/140 Verifying : libgpg-error-1.47-1.fc39.ppc64le 65/140 Verifying : libidn2-2.3.4-2.fc38.ppc64le 66/140 Verifying : libksba-1.6.4-1.fc39.ppc64le 67/140 Verifying : libmodulemd-2.15.0-4.fc39.ppc64le 68/140 Verifying : libmount-2.39.1-2.fc39.ppc64le 69/140 Verifying : libnghttp2-1.54.0-1.fc39.ppc64le 70/140 Verifying : libnsl2-2.0.0-5.fc38.ppc64le 71/140 Verifying : libpsl-0.21.2-3.fc39.ppc64le 72/140 Verifying : librepo-1.15.1-3.fc39.ppc64le 73/140 Verifying : libreport-filesystem-2.17.11-2.fc39.noarch 74/140 Verifying : libselinux-3.5-4.fc39.ppc64le 75/140 Verifying : libsemanage-3.5-3.fc39.ppc64le 76/140 Verifying : libsepol-3.5-1.fc39.ppc64le 77/140 Verifying : libsigsegv-2.14-4.fc38.ppc64le 78/140 Verifying : libsmartcols-2.39.1-2.fc39.ppc64le 79/140 Verifying : libsolv-0.7.24-5.fc39.ppc64le 80/140 Verifying : libssh-0.10.5-1.fc39.ppc64le 81/140 Verifying : libssh-config-0.10.5-1.fc39.noarch 82/140 Verifying : libstdc++-13.1.1-4.fc39.ppc64le 83/140 Verifying : libtasn1-4.19.0-2.fc38.ppc64le 84/140 Verifying : libtirpc-1.3.3-1.rc1.fc39.ppc64le 85/140 Verifying : libunistring-1.1-3.fc38.ppc64le 86/140 Verifying : libunistring1.0-1.0-1.fc38.ppc64le 87/140 Verifying : libuuid-2.39.1-2.fc39.ppc64le 88/140 Verifying : libverto-0.3.2-5.fc38.ppc64le 89/140 Verifying : libxcrypt-4.4.36-1.fc39.ppc64le 90/140 Verifying : libxml2-2.10.4-2.fc39.ppc64le 91/140 Verifying : libyaml-0.2.5-9.fc38.ppc64le 92/140 Verifying : libzstd-1.5.5-1.fc39.ppc64le 93/140 Verifying : lua-libs-5.4.4-9.fc39.ppc64le 94/140 Verifying : lz4-libs-1.9.4-3.fc39.ppc64le 95/140 Verifying : mpdecimal-2.5.1-6.fc38.ppc64le 96/140 Verifying : mpfr-4.1.1-3.fc38.ppc64le 97/140 Verifying : ncurses-base-6.4-5.20230520.fc39.noarch 98/140 Verifying : ncurses-libs-6.4-5.20230520.fc39.ppc64le 99/140 Verifying : nettle-3.9.1-1.fc39.ppc64le 100/140 Verifying : npth-1.6-13.fc39.ppc64le 101/140 Verifying : openldap-2.6.4-2.fc39.ppc64le 102/140 Verifying : openssl-libs-1:3.0.8-2.fc39.ppc64le 103/140 Verifying : p11-kit-0.24.1-6.fc38.ppc64le 104/140 Verifying : p11-kit-trust-0.24.1-6.fc38.ppc64le 105/140 Verifying : pcre2-10.42-1.fc38.1.ppc64le 106/140 Verifying : pcre2-syntax-10.42-1.fc38.1.noarch 107/140 Verifying : popt-1.19-2.fc38.ppc64le 108/140 Verifying : publicsuffix-list-dafsa-20230614-1.fc39.noarch 109/140 Verifying : python-pip-wheel-23.1.2-2.fc39.noarch 110/140 Verifying : python3-3.12.0~b3-2.fc39.ppc64le 111/140 Verifying : python3-dateutil-1:2.8.2-9.fc39.noarch 112/140 Verifying : python3-dbus-1.3.2-3.fc39.ppc64le 113/140 Verifying : python3-distro-1.8.0-5.fc39.noarch 114/140 Verifying : python3-dnf-4.16.1-3.fc39.noarch 115/140 Verifying : python3-dnf-plugins-core-4.4.1-4.fc39.noarch 116/140 Verifying : python3-gpg-1.20.0-4.fc39.ppc64le 117/140 Verifying : python3-hawkey-0.70.1-4.fc39.ppc64le 118/140 Verifying : python3-libcomps-0.1.19-2.fc39.ppc64le 119/140 Verifying : python3-libdnf-0.70.1-4.fc39.ppc64le 120/140 Verifying : python3-libs-3.12.0~b3-2.fc39.ppc64le 121/140 Verifying : python3-rpm-4.18.91-7.fc39.ppc64le 122/140 Verifying : python3-six-1.16.0-11.fc39.noarch 123/140 Verifying : python3-systemd-235-4.fc39.ppc64le 124/140 Verifying : readline-8.2-3.fc38.ppc64le 125/140 Verifying : rpm-4.18.91-7.fc39.ppc64le 126/140 Verifying : rpm-build-libs-4.18.91-7.fc39.ppc64le 127/140 Verifying : rpm-libs-4.18.91-7.fc39.ppc64le 128/140 Verifying : rpm-sequoia-1.4.1-1.fc39.ppc64le 129/140 Verifying : rpm-sign-libs-4.18.91-7.fc39.ppc64le 130/140 Verifying : sed-4.8-12.fc38.ppc64le 131/140 Verifying : setup-2.14.3-3.fc39.noarch 132/140 Verifying : shadow-utils-2:4.13-7.fc39.ppc64le 133/140 Verifying : sqlite-libs-3.41.2-3.fc39.ppc64le 134/140 Verifying : systemd-libs-253.5-6.fc39.ppc64le 135/140 Verifying : tpm2-tss-4.0.1-3.fc38.ppc64le 136/140 Verifying : tzdata-2023c-1.fc39.noarch 137/140 Verifying : xz-libs-5.4.3-1.fc39.ppc64le 138/140 Verifying : zchunk-libs-1.3.1-1.fc39.ppc64le 139/140 Verifying : zlib-1.2.13-3.fc38.ppc64le 140/140 Installed products updated. Installed: alternatives-1.24-1.fc39.ppc64le audit-libs-3.1.1-3.fc39.ppc64le basesystem-11-17.fc39.noarch bash-5.2.15-3.fc38.ppc64le bzip2-libs-1.0.8-13.fc38.ppc64le ca-certificates-2023.2.60-2.fc38.noarch coreutils-9.3-1.fc39.ppc64le coreutils-common-9.3-1.fc39.ppc64le crypto-policies-20230614-1.git5f3458e.fc39.noarch curl-8.1.2-1.fc39.ppc64le cyrus-sasl-lib-2.1.28-10.fc39.ppc64le dbus-libs-1:1.14.8-1.fc39.ppc64le dnf-data-4.16.1-3.fc39.noarch dnf-plugins-core-4.4.1-4.fc39.noarch elfutils-default-yama-scope-0.189-3.fc39.noarch elfutils-libelf-0.189-3.fc39.ppc64le elfutils-libs-0.189-3.fc39.ppc64le expat-2.5.0-2.fc38.ppc64le fedora-gpg-keys-39-0.1.noarch fedora-release-39-0.14.noarch fedora-release-common-39-0.14.noarch fedora-release-identity-basic-39-0.14.noarch fedora-repos-39-0.1.noarch fedora-repos-rawhide-39-0.1.noarch file-libs-5.44-4.fc39.ppc64le filesystem-3.18-4.fc39.ppc64le findutils-1:4.9.0-4.fc39.ppc64le fmt-10.0.0-2.fc39.ppc64le gawk-5.2.2-1.fc39.ppc64le gdbm-libs-1:1.23-3.fc38.ppc64le glib2-2.76.3-1.fc39.ppc64le glibc-2.37.9000-15.fc39.ppc64le glibc-common-2.37.9000-15.fc39.ppc64le glibc-minimal-langpack-2.37.9000-15.fc39.ppc64le gmp-1:6.2.1-4.fc38.ppc64le gnupg2-2.4.2-2.fc39.ppc64le gnutls-3.8.0-6.fc39.ppc64le gpgme-1.20.0-4.fc39.ppc64le grep-3.11-1.fc39.ppc64le ima-evm-utils-1.5-1.fc39.ppc64le json-c-0.16-4.fc38.ppc64le keyutils-libs-1.6.1-6.fc38.ppc64le krb5-libs-1.21-1.fc39.ppc64le libacl-2.3.1-7.fc39.ppc64le libarchive-3.6.1-5.fc39.ppc64le libassuan-2.5.6-1.fc39.ppc64le libattr-2.5.1-7.fc39.ppc64le libb2-0.98.1-8.fc38.ppc64le libblkid-2.39.1-2.fc39.ppc64le libbrotli-1.0.9-12.fc39.ppc64le libcap-2.48-6.fc38.ppc64le libcap-ng-0.8.3-6.fc39.ppc64le libcom_err-1.47.0-1.fc39.ppc64le libcomps-0.1.19-2.fc39.ppc64le libcurl-8.1.2-1.fc39.ppc64le libdnf-0.70.1-4.fc39.ppc64le libdnf5-5.0.15-3.fc39.ppc64le libeconf-0.4.0-5.fc38.ppc64le libevent-2.1.12-8.fc38.ppc64le libffi-3.4.4-3.fc39.ppc64le libfsverity-1.4-9.fc38.ppc64le libgcc-13.1.1-4.fc39.ppc64le libgcrypt-1.10.2-1.fc39.ppc64le libgomp-13.1.1-4.fc39.ppc64le libgpg-error-1.47-1.fc39.ppc64le libidn2-2.3.4-2.fc38.ppc64le libksba-1.6.4-1.fc39.ppc64le libmodulemd-2.15.0-4.fc39.ppc64le libmount-2.39.1-2.fc39.ppc64le libnghttp2-1.54.0-1.fc39.ppc64le libnsl2-2.0.0-5.fc38.ppc64le libpsl-0.21.2-3.fc39.ppc64le librepo-1.15.1-3.fc39.ppc64le libreport-filesystem-2.17.11-2.fc39.noarch libselinux-3.5-4.fc39.ppc64le libsemanage-3.5-3.fc39.ppc64le libsepol-3.5-1.fc39.ppc64le libsigsegv-2.14-4.fc38.ppc64le libsmartcols-2.39.1-2.fc39.ppc64le libsolv-0.7.24-5.fc39.ppc64le libssh-0.10.5-1.fc39.ppc64le libssh-config-0.10.5-1.fc39.noarch libstdc++-13.1.1-4.fc39.ppc64le libtasn1-4.19.0-2.fc38.ppc64le libtirpc-1.3.3-1.rc1.fc39.ppc64le libunistring-1.1-3.fc38.ppc64le libunistring1.0-1.0-1.fc38.ppc64le libuuid-2.39.1-2.fc39.ppc64le libverto-0.3.2-5.fc38.ppc64le libxcrypt-4.4.36-1.fc39.ppc64le libxml2-2.10.4-2.fc39.ppc64le libyaml-0.2.5-9.fc38.ppc64le libzstd-1.5.5-1.fc39.ppc64le lua-libs-5.4.4-9.fc39.ppc64le lz4-libs-1.9.4-3.fc39.ppc64le mpdecimal-2.5.1-6.fc38.ppc64le mpfr-4.1.1-3.fc38.ppc64le ncurses-base-6.4-5.20230520.fc39.noarch ncurses-libs-6.4-5.20230520.fc39.ppc64le nettle-3.9.1-1.fc39.ppc64le npth-1.6-13.fc39.ppc64le openldap-2.6.4-2.fc39.ppc64le openssl-libs-1:3.0.8-2.fc39.ppc64le p11-kit-0.24.1-6.fc38.ppc64le p11-kit-trust-0.24.1-6.fc38.ppc64le pcre2-10.42-1.fc38.1.ppc64le pcre2-syntax-10.42-1.fc38.1.noarch popt-1.19-2.fc38.ppc64le publicsuffix-list-dafsa-20230614-1.fc39.noarch python-pip-wheel-23.1.2-2.fc39.noarch python3-3.12.0~b3-2.fc39.ppc64le python3-dateutil-1:2.8.2-9.fc39.noarch python3-dbus-1.3.2-3.fc39.ppc64le python3-distro-1.8.0-5.fc39.noarch python3-dnf-4.16.1-3.fc39.noarch python3-dnf-plugins-core-4.4.1-4.fc39.noarch python3-gpg-1.20.0-4.fc39.ppc64le python3-hawkey-0.70.1-4.fc39.ppc64le python3-libcomps-0.1.19-2.fc39.ppc64le python3-libdnf-0.70.1-4.fc39.ppc64le python3-libs-3.12.0~b3-2.fc39.ppc64le python3-rpm-4.18.91-7.fc39.ppc64le python3-six-1.16.0-11.fc39.noarch python3-systemd-235-4.fc39.ppc64le readline-8.2-3.fc38.ppc64le rpm-4.18.91-7.fc39.ppc64le rpm-build-libs-4.18.91-7.fc39.ppc64le rpm-libs-4.18.91-7.fc39.ppc64le rpm-sequoia-1.4.1-1.fc39.ppc64le rpm-sign-libs-4.18.91-7.fc39.ppc64le sed-4.8-12.fc38.ppc64le setup-2.14.3-3.fc39.noarch shadow-utils-2:4.13-7.fc39.ppc64le sqlite-libs-3.41.2-3.fc39.ppc64le systemd-libs-253.5-6.fc39.ppc64le tpm2-tss-4.0.1-3.fc38.ppc64le tzdata-2023c-1.fc39.noarch xz-libs-5.4.3-1.fc39.ppc64le zchunk-libs-1.3.1-1.fc39.ppc64le zlib-1.2.13-3.fc38.ppc64le Complete! Finish(bootstrap): installing dnf tooling Start(bootstrap): creating root cache Finish(bootstrap): creating root cache Finish(bootstrap): chroot init Start: chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-rawhide-ppc64le-1688731923.087669/root. INFO: calling preinit hooks INFO: enabled root cache INFO: enabled package manager cache Start: cleaning package manager metadata Finish: cleaning package manager metadata INFO: enabled HW Info plugin Mock Version: 4.1 INFO: Mock Version: 4.1 INFO: Package manager dnf detected and used (direct choice) Start: installing minimal buildroot with dnf No matches found for the following disable plugin patterns: local, spacewalk, versionlock Copr repository 5.0 MB/s | 1.1 MB 00:00 Additional repo copr_rezso_ML 1.5 MB/s | 762 kB 00:00 Additional repo copr_rezso_CUDA 310 kB/s | 57 kB 00:00 Additional repo http_developer_download_nvidia_ 17 MB/s | 2.4 MB 00:00 Additional repo http_developer_download_nvidia_ 11 MB/s | 1.4 MB 00:00 Additional repo http_developer_download_nvidia_ 8.6 MB/s | 1.5 MB 00:00 fedora 8.8 MB/s | 66 MB 00:07 Dependencies resolved. ================================================================================ Package Arch Version Repo Size ================================================================================ Installing group/module packages: bash ppc64le 5.2.15-3.fc38 fedora 1.9 M bzip2 ppc64le 1.0.8-13.fc38 fedora 53 k coreutils ppc64le 9.3-1.fc39 fedora 1.4 M cpio ppc64le 2.14-2.fc39 fedora 285 k diffutils ppc64le 3.10-2.fc39 fedora 408 k fedora-release-common noarch 39-0.14 fedora 17 k findutils ppc64le 1:4.9.0-4.fc39 fedora 539 k gawk ppc64le 5.2.2-1.fc39 fedora 1.1 M glibc-minimal-langpack ppc64le 2.37.9000-15.fc39 fedora 61 k grep ppc64le 3.11-1.fc39 fedora 308 k gzip ppc64le 1.12-3.fc38 fedora 170 k info ppc64le 7.0.3-2.fc39 fedora 200 k patch ppc64le 2.7.6-21.fc39 fedora 136 k redhat-rpm-config noarch 260-1.fc39 fedora 84 k rpm-build ppc64le 4.18.91-7.fc39 fedora 79 k sed ppc64le 4.8-12.fc38 fedora 312 k shadow-utils ppc64le 2:4.13-7.fc39 fedora 1.3 M tar ppc64le 2:1.34-8.fc39 fedora 917 k unzip ppc64le 6.0-60.fc38 fedora 190 k util-linux ppc64le 2.39.1-2.fc39 fedora 1.3 M which ppc64le 2.21-39.fc39 fedora 43 k xz ppc64le 5.4.3-1.fc39 fedora 558 k Installing dependencies: alternatives ppc64le 1.24-1.fc39 fedora 41 k ansible-srpm-macros noarch 1-10.fc39 fedora 21 k audit-libs ppc64le 3.1.1-3.fc39 fedora 132 k authselect ppc64le 1.4.2-2.fc38 fedora 145 k authselect-libs ppc64le 1.4.2-2.fc38 fedora 254 k basesystem noarch 11-17.fc39 fedora 7.0 k binutils ppc64le 2.40-9.fc39 fedora 6.4 M binutils-gold ppc64le 2.40-9.fc39 fedora 1.1 M bzip2-libs ppc64le 1.0.8-13.fc38 fedora 48 k ca-certificates noarch 2023.2.60-2.fc38 fedora 845 k coreutils-common ppc64le 9.3-1.fc39 fedora 2.1 M cracklib ppc64le 2.9.7-31.fc38 fedora 94 k crypto-policies noarch 20230614-1.git5f3458e.fc39 fedora 94 k curl ppc64le 8.1.2-1.fc39 fedora 348 k cyrus-sasl-lib ppc64le 2.1.28-10.fc39 fedora 876 k debugedit ppc64le 5.0-9.fc39 fedora 80 k dwz ppc64le 0.15-2.fc38 fedora 146 k ed ppc64le 1.19-2.fc38 fedora 81 k efi-srpm-macros noarch 5-8.fc39 fedora 22 k elfutils ppc64le 0.189-3.fc39 fedora 568 k elfutils-debuginfod-client ppc64le 0.189-3.fc39 fedora 40 k elfutils-default-yama-scope noarch 0.189-3.fc39 fedora 13 k elfutils-libelf ppc64le 0.189-3.fc39 fedora 204 k elfutils-libs ppc64le 0.189-3.fc39 fedora 293 k fedora-gpg-keys noarch 39-0.1 fedora 126 k fedora-release noarch 39-0.14 fedora 6.6 k fedora-release-identity-basic noarch 39-0.14 fedora 7.4 k fedora-repos noarch 39-0.1 fedora 9.4 k fedora-repos-rawhide noarch 39-0.1 fedora 9.0 k file ppc64le 5.44-4.fc39 fedora 50 k file-libs ppc64le 5.44-4.fc39 fedora 742 k filesystem ppc64le 3.18-4.fc39 fedora 1.1 M fonts-srpm-macros noarch 1:2.0.5-11.fc38 fedora 26 k fpc-srpm-macros noarch 1.3-7.fc38 fedora 7.8 k gdb-minimal ppc64le 13.2-2.fc39 fedora 4.2 M gdbm-libs ppc64le 1:1.23-3.fc38 fedora 62 k ghc-srpm-macros noarch 1.6.1-1.fc38 fedora 8.0 k glibc ppc64le 2.37.9000-15.fc39 fedora 2.2 M glibc-common ppc64le 2.37.9000-15.fc39 fedora 354 k glibc-gconv-extra ppc64le 2.37.9000-15.fc39 fedora 2.0 M gmp ppc64le 1:6.2.1-4.fc38 fedora 304 k gnat-srpm-macros noarch 6-2.fc38 fedora 8.8 k go-srpm-macros noarch 3.2.0-3.fc39 fedora 27 k jansson ppc64le 2.13.1-6.fc38 fedora 49 k kernel-srpm-macros noarch 1.0-19.fc39 fedora 10 k keyutils-libs ppc64le 1.6.1-6.fc38 fedora 32 k krb5-libs ppc64le 1.21-1.fc39 fedora 850 k libacl ppc64le 2.3.1-7.fc39 fedora 26 k libarchive ppc64le 3.6.1-5.fc39 fedora 464 k libattr ppc64le 2.5.1-7.fc39 fedora 19 k libblkid ppc64le 2.39.1-2.fc39 fedora 133 k libbrotli ppc64le 1.0.9-12.fc39 fedora 344 k libcap ppc64le 2.48-6.fc38 fedora 72 k libcap-ng ppc64le 0.8.3-6.fc39 fedora 33 k libcom_err ppc64le 1.47.0-1.fc39 fedora 26 k libcurl ppc64le 8.1.2-1.fc39 fedora 357 k libdb ppc64le 5.3.28-55.fc38 fedora 837 k libeconf ppc64le 0.4.0-5.fc38 fedora 30 k libevent ppc64le 2.1.12-8.fc38 fedora 275 k libfdisk ppc64le 2.39.1-2.fc39 fedora 178 k libffi ppc64le 3.4.4-3.fc39 fedora 38 k libgcc ppc64le 13.1.1-4.fc39 fedora 102 k libgomp ppc64le 13.1.1-4.fc39 fedora 330 k libidn2 ppc64le 2.3.4-2.fc38 fedora 163 k libmount ppc64le 2.39.1-2.fc39 fedora 176 k libnghttp2 ppc64le 1.54.0-1.fc39 fedora 85 k libnsl2 ppc64le 2.0.0-5.fc38 fedora 32 k libpkgconf ppc64le 1.9.4-2.fc39 fedora 43 k libpsl ppc64le 0.21.2-3.fc39 fedora 64 k libpwquality ppc64le 1.4.5-5.fc39 fedora 123 k librtas ppc64le 2.0.4-2.fc39 fedora 70 k libselinux ppc64le 3.5-4.fc39 fedora 100 k libsemanage ppc64le 3.5-3.fc39 fedora 131 k libsepol ppc64le 3.5-1.fc39 fedora 356 k libsigsegv ppc64le 2.14-4.fc38 fedora 28 k libsmartcols ppc64le 2.39.1-2.fc39 fedora 73 k libssh ppc64le 0.10.5-1.fc39 fedora 238 k libssh-config noarch 0.10.5-1.fc39 fedora 9.0 k libstdc++ ppc64le 13.1.1-4.fc39 fedora 996 k libtasn1 ppc64le 4.19.0-2.fc38 fedora 80 k libtirpc ppc64le 1.3.3-1.rc1.fc39 fedora 108 k libunistring ppc64le 1.1-3.fc38 fedora 578 k libunistring1.0 ppc64le 1.0-1.fc38 fedora 575 k libutempter ppc64le 1.2.1-9.fc39 fedora 26 k libuuid ppc64le 2.39.1-2.fc39 fedora 30 k libverto ppc64le 0.3.2-5.fc38 fedora 22 k libxcrypt ppc64le 4.4.36-1.fc39 fedora 131 k libxml2 ppc64le 2.10.4-2.fc39 fedora 780 k libzstd ppc64le 1.5.5-1.fc39 fedora 336 k lua-libs ppc64le 5.4.4-9.fc39 fedora 149 k lua-srpm-macros noarch 1-8.fc38 fedora 8.6 k lz4-libs ppc64le 1.9.4-3.fc39 fedora 85 k mpfr ppc64le 4.1.1-3.fc38 fedora 609 k ncurses-base noarch 6.4-5.20230520.fc39 fedora 88 k ncurses-libs ppc64le 6.4-5.20230520.fc39 fedora 378 k ocaml-srpm-macros noarch 7-3.fc38 fedora 13 k openblas-srpm-macros noarch 2-13.fc38 fedora 7.5 k openldap ppc64le 2.6.4-2.fc39 fedora 287 k openssl-libs ppc64le 1:3.0.8-2.fc39 fedora 2.3 M p11-kit ppc64le 0.24.1-6.fc38 fedora 368 k p11-kit-trust ppc64le 0.24.1-6.fc38 fedora 148 k package-notes-srpm-macros noarch 0.5-8.fc39 fedora 11 k pam ppc64le 1.5.3-1.fc39 fedora 592 k pam-libs ppc64le 1.5.3-1.fc39 fedora 64 k pcre2 ppc64le 10.42-1.fc38.1 fedora 242 k pcre2-syntax noarch 10.42-1.fc38.1 fedora 144 k perl-srpm-macros noarch 1-48.fc38 fedora 8.4 k pkgconf ppc64le 1.9.4-2.fc39 fedora 43 k pkgconf-m4 noarch 1.9.4-2.fc39 fedora 14 k pkgconf-pkg-config ppc64le 1.9.4-2.fc39 fedora 9.5 k popt ppc64le 1.19-2.fc38 fedora 72 k publicsuffix-list-dafsa noarch 20230614-1.fc39 fedora 57 k pyproject-srpm-macros noarch 1.9.0-1.fc39 fedora 15 k python-srpm-macros noarch 3.12-1.fc39 fedora 25 k qt5-srpm-macros noarch 5.15.10-1.fc39 fedora 7.8 k qt6-srpm-macros noarch 6.5.1-1.fc39 fedora 9.2 k readline ppc64le 8.2-3.fc38 fedora 229 k rpm ppc64le 4.18.91-7.fc39 fedora 528 k rpm-build-libs ppc64le 4.18.91-7.fc39 fedora 103 k rpm-libs ppc64le 4.18.91-7.fc39 fedora 355 k rpm-sequoia ppc64le 1.4.1-1.fc39 fedora 1.5 M rpmautospec-rpm-macros noarch 0.3.5-3.fc39 fedora 8.7 k rust-srpm-macros noarch 24-2.fc39 fedora 12 k setup noarch 2.14.3-3.fc39 fedora 152 k sqlite-libs ppc64le 3.41.2-3.fc39 fedora 777 k systemd-libs ppc64le 253.5-6.fc39 fedora 692 k tzdata noarch 2023c-1.fc39 fedora 718 k util-linux-core ppc64le 2.39.1-2.fc39 fedora 535 k xxhash-libs ppc64le 0.8.1-5.fc39 fedora 39 k xz-libs ppc64le 5.4.3-1.fc39 fedora 121 k zip ppc64le 3.0-36.fc38 fedora 273 k zlib ppc64le 1.2.13-3.fc38 fedora 100 k zstd ppc64le 1.5.5-1.fc39 fedora 499 k Installing Groups: Buildsystem building group Transaction Summary ================================================================================ Install 155 Packages Total download size: 58 M Installed size: 329 M Downloading Packages: (1/155): ansible-srpm-macros-1-10.fc39.noarch.r 91 kB/s | 21 kB 00:00 (2/155): alternatives-1.24-1.fc39.ppc64le.rpm 158 kB/s | 41 kB 00:00 (3/155): audit-libs-3.1.1-3.fc39.ppc64le.rpm 328 kB/s | 132 kB 00:00 (4/155): basesystem-11-17.fc39.noarch.rpm 94 kB/s | 7.0 kB 00:00 (5/155): authselect-1.4.2-2.fc38.ppc64le.rpm 550 kB/s | 145 kB 00:00 (6/155): authselect-libs-1.4.2-2.fc38.ppc64le.r 858 kB/s | 254 kB 00:00 (7/155): binutils-gold-2.40-9.fc39.ppc64le.rpm 4.2 MB/s | 1.1 MB 00:00 (8/155): bash-5.2.15-3.fc38.ppc64le.rpm 4.8 MB/s | 1.9 MB 00:00 (9/155): bzip2-1.0.8-13.fc38.ppc64le.rpm 710 kB/s | 53 kB 00:00 (10/155): bzip2-libs-1.0.8-13.fc38.ppc64le.rpm 643 kB/s | 48 kB 00:00 (11/155): binutils-2.40-9.fc39.ppc64le.rpm 12 MB/s | 6.4 MB 00:00 (12/155): ca-certificates-2023.2.60-2.fc38.noar 5.8 MB/s | 845 kB 00:00 (13/155): coreutils-9.3-1.fc39.ppc64le.rpm 12 MB/s | 1.4 MB 00:00 (14/155): cpio-2.14-2.fc39.ppc64le.rpm 3.6 MB/s | 285 kB 00:00 (15/155): cracklib-2.9.7-31.fc38.ppc64le.rpm 1.2 MB/s | 94 kB 00:00 (16/155): crypto-policies-20230614-1.git5f3458e 1.2 MB/s | 94 kB 00:00 (17/155): coreutils-common-9.3-1.fc39.ppc64le.r 12 MB/s | 2.1 MB 00:00 (18/155): curl-8.1.2-1.fc39.ppc64le.rpm 4.1 MB/s | 348 kB 00:00 (19/155): cyrus-sasl-lib-2.1.28-10.fc39.ppc64le 9.6 MB/s | 876 kB 00:00 (20/155): debugedit-5.0-9.fc39.ppc64le.rpm 1.0 MB/s | 80 kB 00:00 (21/155): diffutils-3.10-2.fc39.ppc64le.rpm 4.7 MB/s | 408 kB 00:00 (22/155): dwz-0.15-2.fc38.ppc64le.rpm 1.9 MB/s | 146 kB 00:00 (23/155): ed-1.19-2.fc38.ppc64le.rpm 1.0 MB/s | 81 kB 00:00 (24/155): efi-srpm-macros-5-8.fc39.noarch.rpm 300 kB/s | 22 kB 00:00 (25/155): elfutils-debuginfod-client-0.189-3.fc 536 kB/s | 40 kB 00:00 (26/155): elfutils-0.189-3.fc39.ppc64le.rpm 6.6 MB/s | 568 kB 00:00 (27/155): elfutils-default-yama-scope-0.189-3.f 184 kB/s | 13 kB 00:00 (28/155): elfutils-libelf-0.189-3.fc39.ppc64le. 2.6 MB/s | 204 kB 00:00 (29/155): elfutils-libs-0.189-3.fc39.ppc64le.rp 3.6 MB/s | 293 kB 00:00 (30/155): fedora-gpg-keys-39-0.1.noarch.rpm 1.5 MB/s | 126 kB 00:00 (31/155): fedora-release-39-0.14.noarch.rpm 85 kB/s | 6.6 kB 00:00 (32/155): fedora-release-common-39-0.14.noarch. 228 kB/s | 17 kB 00:00 (33/155): fedora-release-identity-basic-39-0.14 101 kB/s | 7.4 kB 00:00 (34/155): fedora-repos-39-0.1.noarch.rpm 129 kB/s | 9.4 kB 00:00 (35/155): fedora-repos-rawhide-39-0.1.noarch.rp 123 kB/s | 9.0 kB 00:00 (36/155): file-5.44-4.fc39.ppc64le.rpm 673 kB/s | 50 kB 00:00 (37/155): file-libs-5.44-4.fc39.ppc64le.rpm 8.5 MB/s | 742 kB 00:00 (38/155): fonts-srpm-macros-2.0.5-11.fc38.noarc 351 kB/s | 26 kB 00:00 (39/155): findutils-4.9.0-4.fc39.ppc64le.rpm 3.1 MB/s | 539 kB 00:00 (40/155): fpc-srpm-macros-1.3-7.fc38.noarch.rpm 106 kB/s | 7.8 kB 00:00 (41/155): gawk-5.2.2-1.fc39.ppc64le.rpm 5.9 MB/s | 1.1 MB 00:00 (42/155): gdbm-libs-1.23-3.fc38.ppc64le.rpm 833 kB/s | 62 kB 00:00 (43/155): gdb-minimal-13.2-2.fc39.ppc64le.rpm 15 MB/s | 4.2 MB 00:00 (44/155): ghc-srpm-macros-1.6.1-1.fc38.noarch.r 102 kB/s | 8.0 kB 00:00 (45/155): filesystem-3.18-4.fc39.ppc64le.rpm 1.9 MB/s | 1.1 MB 00:00 (46/155): glibc-common-2.37.9000-15.fc39.ppc64l 2.1 MB/s | 354 kB 00:00 (47/155): glibc-2.37.9000-15.fc39.ppc64le.rpm 8.8 MB/s | 2.2 MB 00:00 (48/155): glibc-minimal-langpack-2.37.9000-15.f 775 kB/s | 61 kB 00:00 (49/155): gmp-6.2.1-4.fc38.ppc64le.rpm 3.5 MB/s | 304 kB 00:00 (50/155): gnat-srpm-macros-6-2.fc38.noarch.rpm 120 kB/s | 8.8 kB 00:00 (51/155): go-srpm-macros-3.2.0-3.fc39.noarch.rp 368 kB/s | 27 kB 00:00 (52/155): grep-3.11-1.fc39.ppc64le.rpm 2.8 MB/s | 308 kB 00:00 (53/155): gzip-1.12-3.fc38.ppc64le.rpm 2.0 MB/s | 170 kB 00:00 (54/155): info-7.0.3-2.fc39.ppc64le.rpm 2.0 MB/s | 200 kB 00:00 (55/155): jansson-2.13.1-6.fc38.ppc64le.rpm 665 kB/s | 49 kB 00:00 (56/155): kernel-srpm-macros-1.0-19.fc39.noarch 137 kB/s | 10 kB 00:00 (57/155): keyutils-libs-1.6.1-6.fc38.ppc64le.rp 434 kB/s | 32 kB 00:00 (58/155): libacl-2.3.1-7.fc39.ppc64le.rpm 346 kB/s | 26 kB 00:00 (59/155): krb5-libs-1.21-1.fc39.ppc64le.rpm 4.9 MB/s | 850 kB 00:00 (60/155): libarchive-3.6.1-5.fc39.ppc64le.rpm 4.9 MB/s | 464 kB 00:00 (61/155): libattr-2.5.1-7.fc39.ppc64le.rpm 251 kB/s | 19 kB 00:00 (62/155): libblkid-2.39.1-2.fc39.ppc64le.rpm 1.7 MB/s | 133 kB 00:00 (63/155): libcap-2.48-6.fc38.ppc64le.rpm 957 kB/s | 72 kB 00:00 (64/155): libbrotli-1.0.9-12.fc39.ppc64le.rpm 3.0 MB/s | 344 kB 00:00 (65/155): libcap-ng-0.8.3-6.fc39.ppc64le.rpm 447 kB/s | 33 kB 00:00 (66/155): libcom_err-1.47.0-1.fc39.ppc64le.rpm 352 kB/s | 26 kB 00:00 (67/155): libcurl-8.1.2-1.fc39.ppc64le.rpm 4.0 MB/s | 357 kB 00:00 (68/155): libeconf-0.4.0-5.fc38.ppc64le.rpm 410 kB/s | 30 kB 00:00 (69/155): libdb-5.3.28-55.fc38.ppc64le.rpm 5.0 MB/s | 837 kB 00:00 (70/155): libevent-2.1.12-8.fc38.ppc64le.rpm 3.2 MB/s | 275 kB 00:00 (71/155): libfdisk-2.39.1-2.fc39.ppc64le.rpm 1.9 MB/s | 178 kB 00:00 (72/155): libffi-3.4.4-3.fc39.ppc64le.rpm 516 kB/s | 38 kB 00:00 (73/155): libgcc-13.1.1-4.fc39.ppc64le.rpm 1.2 MB/s | 102 kB 00:00 (74/155): libgomp-13.1.1-4.fc39.ppc64le.rpm 3.8 MB/s | 330 kB 00:00 (75/155): libidn2-2.3.4-2.fc38.ppc64le.rpm 1.8 MB/s | 163 kB 00:00 (76/155): libmount-2.39.1-2.fc39.ppc64le.rpm 2.2 MB/s | 176 kB 00:00 (77/155): libnghttp2-1.54.0-1.fc39.ppc64le.rpm 1.0 MB/s | 85 kB 00:00 (78/155): libnsl2-2.0.0-5.fc38.ppc64le.rpm 435 kB/s | 32 kB 00:00 (79/155): libpkgconf-1.9.4-2.fc39.ppc64le.rpm 531 kB/s | 43 kB 00:00 (80/155): libpsl-0.21.2-3.fc39.ppc64le.rpm 859 kB/s | 64 kB 00:00 (81/155): libpwquality-1.4.5-5.fc39.ppc64le.rpm 501 kB/s | 123 kB 00:00 (82/155): libselinux-3.5-4.fc39.ppc64le.rpm 1.1 MB/s | 100 kB 00:00 (83/155): librtas-2.0.4-2.fc39.ppc64le.rpm 211 kB/s | 70 kB 00:00 (84/155): libsemanage-3.5-3.fc39.ppc64le.rpm 778 kB/s | 131 kB 00:00 (85/155): libsigsegv-2.14-4.fc38.ppc64le.rpm 259 kB/s | 28 kB 00:00 (86/155): libsmartcols-2.39.1-2.fc39.ppc64le.rp 437 kB/s | 73 kB 00:00 (87/155): libssh-0.10.5-1.fc39.ppc64le.rpm 747 kB/s | 238 kB 00:00 (88/155): libssh-config-0.10.5-1.fc39.noarch.rp 111 kB/s | 9.0 kB 00:00 (89/155): glibc-gconv-extra-2.37.9000-15.fc39.p 639 kB/s | 2.0 MB 00:03 (90/155): libtasn1-4.19.0-2.fc38.ppc64le.rpm 213 kB/s | 80 kB 00:00 (91/155): libstdc++-13.1.1-4.fc39.ppc64le.rpm 1.1 MB/s | 996 kB 00:00 (92/155): libsepol-3.5-1.fc39.ppc64le.rpm 204 kB/s | 356 kB 00:01 (93/155): libtirpc-1.3.3-1.rc1.fc39.ppc64le.rpm 640 kB/s | 108 kB 00:00 (94/155): libutempter-1.2.1-9.fc39.ppc64le.rpm 114 kB/s | 26 kB 00:00 (95/155): libuuid-2.39.1-2.fc39.ppc64le.rpm 179 kB/s | 30 kB 00:00 (96/155): libverto-0.3.2-5.fc38.ppc64le.rpm 230 kB/s | 22 kB 00:00 (97/155): libunistring1.0-1.0-1.fc38.ppc64le.rp 984 kB/s | 575 kB 00:00 (98/155): libxcrypt-4.4.36-1.fc39.ppc64le.rpm 686 kB/s | 131 kB 00:00 (99/155): libzstd-1.5.5-1.fc39.ppc64le.rpm 897 kB/s | 336 kB 00:00 (100/155): libxml2-2.10.4-2.fc39.ppc64le.rpm 1.3 MB/s | 780 kB 00:00 (101/155): lua-srpm-macros-1-8.fc38.noarch.rpm 113 kB/s | 8.6 kB 00:00 (102/155): lua-libs-5.4.4-9.fc39.ppc64le.rpm 757 kB/s | 149 kB 00:00 (103/155): lz4-libs-1.9.4-3.fc39.ppc64le.rpm 721 kB/s | 85 kB 00:00 (104/155): libunistring-1.1-3.fc38.ppc64le.rpm 399 kB/s | 578 kB 00:01 (105/155): ncurses-base-6.4-5.20230520.fc39.noa 737 kB/s | 88 kB 00:00 (106/155): ocaml-srpm-macros-7-3.fc38.noarch.rp 173 kB/s | 13 kB 00:00 (107/155): openblas-srpm-macros-2-13.fc38.noarc 99 kB/s | 7.5 kB 00:00 (108/155): mpfr-4.1.1-3.fc38.ppc64le.rpm 1.2 MB/s | 609 kB 00:00 (109/155): openldap-2.6.4-2.fc39.ppc64le.rpm 1.3 MB/s | 287 kB 00:00 (110/155): ncurses-libs-6.4-5.20230520.fc39.ppc 736 kB/s | 378 kB 00:00 (111/155): p11-kit-0.24.1-6.fc38.ppc64le.rpm 1.6 MB/s | 368 kB 00:00 (112/155): package-notes-srpm-macros-0.5-8.fc39 144 kB/s | 11 kB 00:00 (113/155): p11-kit-trust-0.24.1-6.fc38.ppc64le. 771 kB/s | 148 kB 00:00 (114/155): pam-libs-1.5.3-1.fc39.ppc64le.rpm 520 kB/s | 64 kB 00:00 (115/155): pam-1.5.3-1.fc39.ppc64le.rpm 2.2 MB/s | 592 kB 00:00 (116/155): openssl-libs-3.0.8-2.fc39.ppc64le.rp 3.2 MB/s | 2.3 MB 00:00 (117/155): patch-2.7.6-21.fc39.ppc64le.rpm 761 kB/s | 136 kB 00:00 (118/155): perl-srpm-macros-1-48.fc38.noarch.rp 114 kB/s | 8.4 kB 00:00 (119/155): pcre2-10.42-1.fc38.1.ppc64le.rpm 1.6 MB/s | 242 kB 00:00 (120/155): pkgconf-1.9.4-2.fc39.ppc64le.rpm 532 kB/s | 43 kB 00:00 (121/155): pkgconf-m4-1.9.4-2.fc39.noarch.rpm 182 kB/s | 14 kB 00:00 (122/155): pcre2-syntax-10.42-1.fc38.1.noarch.r 813 kB/s | 144 kB 00:00 (123/155): pkgconf-pkg-config-1.9.4-2.fc39.ppc6 128 kB/s | 9.5 kB 00:00 (124/155): publicsuffix-list-dafsa-20230614-1.f 641 kB/s | 57 kB 00:00 (125/155): popt-1.19-2.fc38.ppc64le.rpm 583 kB/s | 72 kB 00:00 (126/155): pyproject-srpm-macros-1.9.0-1.fc39.n 200 kB/s | 15 kB 00:00 (127/155): python-srpm-macros-3.12-1.fc39.noarc 316 kB/s | 25 kB 00:00 (128/155): qt5-srpm-macros-5.15.10-1.fc39.noarc 101 kB/s | 7.8 kB 00:00 (129/155): qt6-srpm-macros-6.5.1-1.fc39.noarch. 124 kB/s | 9.2 kB 00:00 (130/155): readline-8.2-3.fc38.ppc64le.rpm 1.6 MB/s | 229 kB 00:00 (131/155): redhat-rpm-config-260-1.fc39.noarch. 635 kB/s | 84 kB 00:00 (132/155): rpm-4.18.91-7.fc39.ppc64le.rpm 3.2 MB/s | 528 kB 00:00 (133/155): rpm-build-4.18.91-7.fc39.ppc64le.rpm 831 kB/s | 79 kB 00:00 (134/155): rpm-build-libs-4.18.91-7.fc39.ppc64l 705 kB/s | 103 kB 00:00 (135/155): rpm-libs-4.18.91-7.fc39.ppc64le.rpm 2.6 MB/s | 355 kB 00:00 (136/155): rpmautospec-rpm-macros-0.3.5-3.fc39. 112 kB/s | 8.7 kB 00:00 (137/155): rust-srpm-macros-24-2.fc39.noarch.rp 167 kB/s | 12 kB 00:00 (138/155): setup-2.14.3-3.fc39.noarch.rpm 1.5 MB/s | 152 kB 00:00 (139/155): rpm-sequoia-1.4.1-1.fc39.ppc64le.rpm 3.7 MB/s | 1.5 MB 00:00 (140/155): sed-4.8-12.fc38.ppc64le.rpm 1.1 MB/s | 312 kB 00:00 (141/155): shadow-utils-4.13-7.fc39.ppc64le.rpm 4.6 MB/s | 1.3 MB 00:00 (142/155): systemd-libs-253.5-6.fc39.ppc64le.rp 3.6 MB/s | 692 kB 00:00 (143/155): tar-1.34-8.fc39.ppc64le.rpm 3.1 MB/s | 917 kB 00:00 (144/155): unzip-6.0-60.fc38.ppc64le.rpm 1.9 MB/s | 190 kB 00:00 (145/155): sqlite-libs-3.41.2-3.fc39.ppc64le.rp 1.4 MB/s | 777 kB 00:00 (146/155): util-linux-2.39.1-2.fc39.ppc64le.rpm 5.3 MB/s | 1.3 MB 00:00 (147/155): which-2.21-39.fc39.ppc64le.rpm 556 kB/s | 43 kB 00:00 (148/155): tzdata-2023c-1.fc39.noarch.rpm 1.1 MB/s | 718 kB 00:00 (149/155): util-linux-core-2.39.1-2.fc39.ppc64l 1.6 MB/s | 535 kB 00:00 (150/155): xxhash-libs-0.8.1-5.fc39.ppc64le.rpm 496 kB/s | 39 kB 00:00 (151/155): xz-libs-5.4.3-1.fc39.ppc64le.rpm 1.2 MB/s | 121 kB 00:00 (152/155): zip-3.0-36.fc38.ppc64le.rpm 2.5 MB/s | 273 kB 00:00 (153/155): zlib-1.2.13-3.fc38.ppc64le.rpm 1.0 MB/s | 100 kB 00:00 (154/155): zstd-1.5.5-1.fc39.ppc64le.rpm 3.6 MB/s | 499 kB 00:00 (155/155): xz-5.4.3-1.fc39.ppc64le.rpm 1.7 MB/s | 558 kB 00:00 -------------------------------------------------------------------------------- Total 5.4 MB/s | 58 MB 00:10 fedora 1.6 MB/s | 1.6 kB 00:00 Importing GPG key 0x18B8E74C: Userid : "Fedora (39) " Fingerprint: E8F2 3996 F232 1864 0CB4 4CBE 75CF 5AC4 18B8 E74C From : /usr/share/distribution-gpg-keys/fedora/RPM-GPG-KEY-fedora-39-primary Key imported successfully fedora 1.6 MB/s | 1.6 kB 00:00 GPG key at file:///usr/share/distribution-gpg-keys/fedora/RPM-GPG-KEY-fedora-39-primary (0x18B8E74C) is already installed fedora 1.6 MB/s | 1.6 kB 00:00 Importing GPG key 0xEB10B464: Userid : "Fedora (38) " Fingerprint: 6A51 BBAB BA3D 5467 B617 1221 809A 8D7C EB10 B464 From : /usr/share/distribution-gpg-keys/fedora/RPM-GPG-KEY-fedora-38-primary Key imported successfully Running transaction check Transaction check succeeded. Running transaction test Transaction test succeeded. Running transaction Running scriptlet: filesystem-3.18-4.fc39.ppc64le 1/1 Preparing : 1/1 Installing : libgcc-13.1.1-4.fc39.ppc64le 1/155 Running scriptlet: libgcc-13.1.1-4.fc39.ppc64le 1/155 Installing : crypto-policies-20230614-1.git5f3458e.fc39.noarc 2/155 Running scriptlet: crypto-policies-20230614-1.git5f3458e.fc39.noarc 2/155 Installing : tzdata-2023c-1.fc39.noarch 3/155 Installing : fedora-release-identity-basic-39-0.14.noarch 4/155 Installing : rust-srpm-macros-24-2.fc39.noarch 5/155 Installing : qt6-srpm-macros-6.5.1-1.fc39.noarch 6/155 Installing : qt5-srpm-macros-5.15.10-1.fc39.noarch 7/155 Installing : pyproject-srpm-macros-1.9.0-1.fc39.noarch 8/155 Installing : publicsuffix-list-dafsa-20230614-1.fc39.noarch 9/155 Installing : pkgconf-m4-1.9.4-2.fc39.noarch 10/155 Installing : perl-srpm-macros-1-48.fc38.noarch 11/155 Installing : pcre2-syntax-10.42-1.fc38.1.noarch 12/155 Installing : package-notes-srpm-macros-0.5-8.fc39.noarch 13/155 Installing : openblas-srpm-macros-2-13.fc38.noarch 14/155 Installing : ocaml-srpm-macros-7-3.fc38.noarch 15/155 Installing : ncurses-base-6.4-5.20230520.fc39.noarch 16/155 Installing : libssh-config-0.10.5-1.fc39.noarch 17/155 Installing : kernel-srpm-macros-1.0-19.fc39.noarch 18/155 Installing : gnat-srpm-macros-6-2.fc38.noarch 19/155 Installing : ghc-srpm-macros-1.6.1-1.fc38.noarch 20/155 Installing : fpc-srpm-macros-1.3-7.fc38.noarch 21/155 Installing : fedora-gpg-keys-39-0.1.noarch 22/155 Installing : fedora-release-39-0.14.noarch 23/155 Installing : fedora-release-common-39-0.14.noarch 24/155 Installing : fedora-repos-rawhide-39-0.1.noarch 25/155 Installing : fedora-repos-39-0.1.noarch 26/155 Installing : setup-2.14.3-3.fc39.noarch 27/155 Running scriptlet: setup-2.14.3-3.fc39.noarch 27/155 Installing : filesystem-3.18-4.fc39.ppc64le 28/155 Installing : basesystem-11-17.fc39.noarch 29/155 Installing : glibc-gconv-extra-2.37.9000-15.fc39.ppc64le 30/155 Running scriptlet: glibc-gconv-extra-2.37.9000-15.fc39.ppc64le 30/155 Installing : glibc-minimal-langpack-2.37.9000-15.fc39.ppc64le 31/155 Installing : glibc-common-2.37.9000-15.fc39.ppc64le 32/155 Running scriptlet: glibc-2.37.9000-15.fc39.ppc64le 33/155 Installing : glibc-2.37.9000-15.fc39.ppc64le 33/155 Running scriptlet: glibc-2.37.9000-15.fc39.ppc64le 33/155 Installing : ncurses-libs-6.4-5.20230520.fc39.ppc64le 34/155 Installing : bash-5.2.15-3.fc38.ppc64le 35/155 Running scriptlet: bash-5.2.15-3.fc38.ppc64le 35/155 Installing : zlib-1.2.13-3.fc38.ppc64le 36/155 Installing : xz-libs-5.4.3-1.fc39.ppc64le 37/155 Installing : bzip2-libs-1.0.8-13.fc38.ppc64le 38/155 Installing : libstdc++-13.1.1-4.fc39.ppc64le 39/155 Installing : libuuid-2.39.1-2.fc39.ppc64le 40/155 Installing : libzstd-1.5.5-1.fc39.ppc64le 41/155 Installing : elfutils-libelf-0.189-3.fc39.ppc64le 42/155 Installing : popt-1.19-2.fc38.ppc64le 43/155 Installing : libblkid-2.39.1-2.fc39.ppc64le 44/155 Installing : readline-8.2-3.fc38.ppc64le 45/155 Installing : gmp-1:6.2.1-4.fc38.ppc64le 46/155 Installing : libattr-2.5.1-7.fc39.ppc64le 47/155 Installing : libacl-2.3.1-7.fc39.ppc64le 48/155 Installing : libcap-2.48-6.fc38.ppc64le 49/155 Installing : libxcrypt-4.4.36-1.fc39.ppc64le 50/155 Installing : lz4-libs-1.9.4-3.fc39.ppc64le 51/155 Installing : systemd-libs-253.5-6.fc39.ppc64le 52/155 Installing : mpfr-4.1.1-3.fc38.ppc64le 53/155 Installing : dwz-0.15-2.fc38.ppc64le 54/155 Installing : unzip-6.0-60.fc38.ppc64le 55/155 Installing : file-libs-5.44-4.fc39.ppc64le 56/155 Installing : file-5.44-4.fc39.ppc64le 57/155 Installing : alternatives-1.24-1.fc39.ppc64le 58/155 Installing : jansson-2.13.1-6.fc38.ppc64le 59/155 Installing : libcap-ng-0.8.3-6.fc39.ppc64le 60/155 Installing : audit-libs-3.1.1-3.fc39.ppc64le 61/155 Installing : pam-libs-1.5.3-1.fc39.ppc64le 62/155 Installing : libcom_err-1.47.0-1.fc39.ppc64le 63/155 Installing : libsepol-3.5-1.fc39.ppc64le 64/155 Installing : libsmartcols-2.39.1-2.fc39.ppc64le 65/155 Installing : lua-libs-5.4.4-9.fc39.ppc64le 66/155 Installing : pcre2-10.42-1.fc38.1.ppc64le 67/155 Installing : libselinux-3.5-4.fc39.ppc64le 68/155 Installing : sed-4.8-12.fc38.ppc64le 69/155 Installing : grep-3.11-1.fc39.ppc64le 70/155 Installing : findutils-1:4.9.0-4.fc39.ppc64le 71/155 Installing : xz-5.4.3-1.fc39.ppc64le 72/155 Installing : libmount-2.39.1-2.fc39.ppc64le 73/155 Installing : util-linux-core-2.39.1-2.fc39.ppc64le 74/155 Installing : libsemanage-3.5-3.fc39.ppc64le 75/155 Installing : tar-2:1.34-8.fc39.ppc64le 76/155 Installing : zip-3.0-36.fc38.ppc64le 77/155 Installing : zstd-1.5.5-1.fc39.ppc64le 78/155 Installing : libfdisk-2.39.1-2.fc39.ppc64le 79/155 Installing : bzip2-1.0.8-13.fc38.ppc64le 80/155 Installing : libxml2-2.10.4-2.fc39.ppc64le 81/155 Installing : sqlite-libs-3.41.2-3.fc39.ppc64le 82/155 Installing : ed-1.19-2.fc38.ppc64le 83/155 Installing : patch-2.7.6-21.fc39.ppc64le 84/155 Installing : elfutils-default-yama-scope-0.189-3.fc39.noarch 85/155 Running scriptlet: elfutils-default-yama-scope-0.189-3.fc39.noarch 85/155 Installing : cpio-2.14-2.fc39.ppc64le 86/155 Installing : diffutils-3.10-2.fc39.ppc64le 87/155 Installing : gdbm-libs-1:1.23-3.fc38.ppc64le 88/155 Installing : cyrus-sasl-lib-2.1.28-10.fc39.ppc64le 89/155 Installing : keyutils-libs-1.6.1-6.fc38.ppc64le 90/155 Installing : libbrotli-1.0.9-12.fc39.ppc64le 91/155 Installing : libdb-5.3.28-55.fc38.ppc64le 92/155 Installing : libeconf-0.4.0-5.fc38.ppc64le 93/155 Installing : shadow-utils-2:4.13-7.fc39.ppc64le 94/155 Running scriptlet: libutempter-1.2.1-9.fc39.ppc64le 95/155 Installing : libutempter-1.2.1-9.fc39.ppc64le 95/155 Installing : libffi-3.4.4-3.fc39.ppc64le 96/155 Installing : p11-kit-0.24.1-6.fc38.ppc64le 97/155 Installing : libgomp-13.1.1-4.fc39.ppc64le 98/155 Installing : libnghttp2-1.54.0-1.fc39.ppc64le 99/155 Installing : libpkgconf-1.9.4-2.fc39.ppc64le 100/155 Installing : pkgconf-1.9.4-2.fc39.ppc64le 101/155 Installing : pkgconf-pkg-config-1.9.4-2.fc39.ppc64le 102/155 Installing : librtas-2.0.4-2.fc39.ppc64le 103/155 Running scriptlet: librtas-2.0.4-2.fc39.ppc64le 103/155 Installing : libsigsegv-2.14-4.fc38.ppc64le 104/155 Installing : gawk-5.2.2-1.fc39.ppc64le 105/155 Installing : libtasn1-4.19.0-2.fc38.ppc64le 106/155 Installing : p11-kit-trust-0.24.1-6.fc38.ppc64le 107/155 Running scriptlet: p11-kit-trust-0.24.1-6.fc38.ppc64le 107/155 Installing : libunistring-1.1-3.fc38.ppc64le 108/155 Installing : libunistring1.0-1.0-1.fc38.ppc64le 109/155 Installing : libidn2-2.3.4-2.fc38.ppc64le 110/155 Installing : libpsl-0.21.2-3.fc39.ppc64le 111/155 Installing : libverto-0.3.2-5.fc38.ppc64le 112/155 Installing : xxhash-libs-0.8.1-5.fc39.ppc64le 113/155 Installing : coreutils-common-9.3-1.fc39.ppc64le 114/155 Installing : openssl-libs-1:3.0.8-2.fc39.ppc64le 115/155 Installing : coreutils-9.3-1.fc39.ppc64le 116/155 Running scriptlet: ca-certificates-2023.2.60-2.fc38.noarch 117/155 Installing : ca-certificates-2023.2.60-2.fc38.noarch 117/155 Running scriptlet: ca-certificates-2023.2.60-2.fc38.noarch 117/155 Installing : krb5-libs-1.21-1.fc39.ppc64le 118/155 Installing : libtirpc-1.3.3-1.rc1.fc39.ppc64le 119/155 Running scriptlet: authselect-libs-1.4.2-2.fc38.ppc64le 120/155 Installing : authselect-libs-1.4.2-2.fc38.ppc64le 120/155 Installing : gzip-1.12-3.fc38.ppc64le 121/155 Installing : cracklib-2.9.7-31.fc38.ppc64le 122/155 Installing : libpwquality-1.4.5-5.fc39.ppc64le 123/155 Installing : authselect-1.4.2-2.fc38.ppc64le 124/155 Installing : libnsl2-2.0.0-5.fc38.ppc64le 125/155 Installing : pam-1.5.3-1.fc39.ppc64le 126/155 Installing : libssh-0.10.5-1.fc39.ppc64le 127/155 Installing : libarchive-3.6.1-5.fc39.ppc64le 128/155 Installing : libevent-2.1.12-8.fc38.ppc64le 129/155 Installing : openldap-2.6.4-2.fc39.ppc64le 130/155 Installing : libcurl-8.1.2-1.fc39.ppc64le 131/155 Installing : elfutils-libs-0.189-3.fc39.ppc64le 132/155 Installing : elfutils-debuginfod-client-0.189-3.fc39.ppc64le 133/155 Installing : binutils-gold-2.40-9.fc39.ppc64le 134/155 Installing : binutils-2.40-9.fc39.ppc64le 135/155 Running scriptlet: binutils-2.40-9.fc39.ppc64le 135/155 Installing : elfutils-0.189-3.fc39.ppc64le 136/155 Installing : gdb-minimal-13.2-2.fc39.ppc64le 137/155 Installing : debugedit-5.0-9.fc39.ppc64le 138/155 Installing : curl-8.1.2-1.fc39.ppc64le 139/155 Installing : rpm-sequoia-1.4.1-1.fc39.ppc64le 140/155 Installing : rpm-libs-4.18.91-7.fc39.ppc64le 141/155 Running scriptlet: rpm-4.18.91-7.fc39.ppc64le 142/155 Installing : rpm-4.18.91-7.fc39.ppc64le 142/155 Installing : efi-srpm-macros-5-8.fc39.noarch 143/155 Installing : lua-srpm-macros-1-8.fc38.noarch 144/155 Installing : rpmautospec-rpm-macros-0.3.5-3.fc39.noarch 145/155 Installing : rpm-build-libs-4.18.91-7.fc39.ppc64le 146/155 Installing : ansible-srpm-macros-1-10.fc39.noarch 147/155 Installing : fonts-srpm-macros-1:2.0.5-11.fc38.noarch 148/155 Installing : go-srpm-macros-3.2.0-3.fc39.noarch 149/155 Installing : python-srpm-macros-3.12-1.fc39.noarch 150/155 Installing : redhat-rpm-config-260-1.fc39.noarch 151/155 Installing : rpm-build-4.18.91-7.fc39.ppc64le 152/155 Installing : util-linux-2.39.1-2.fc39.ppc64le 153/155 Installing : which-2.21-39.fc39.ppc64le 154/155 Installing : info-7.0.3-2.fc39.ppc64le 155/155 Running scriptlet: filesystem-3.18-4.fc39.ppc64le 155/155 Running scriptlet: ca-certificates-2023.2.60-2.fc38.noarch 155/155 Running scriptlet: authselect-libs-1.4.2-2.fc38.ppc64le 155/155 Running scriptlet: rpm-4.18.91-7.fc39.ppc64le 155/155 Running scriptlet: info-7.0.3-2.fc39.ppc64le 155/155 Verifying : alternatives-1.24-1.fc39.ppc64le 1/155 Verifying : ansible-srpm-macros-1-10.fc39.noarch 2/155 Verifying : audit-libs-3.1.1-3.fc39.ppc64le 3/155 Verifying : authselect-1.4.2-2.fc38.ppc64le 4/155 Verifying : authselect-libs-1.4.2-2.fc38.ppc64le 5/155 Verifying : basesystem-11-17.fc39.noarch 6/155 Verifying : bash-5.2.15-3.fc38.ppc64le 7/155 Verifying : binutils-2.40-9.fc39.ppc64le 8/155 Verifying : binutils-gold-2.40-9.fc39.ppc64le 9/155 Verifying : bzip2-1.0.8-13.fc38.ppc64le 10/155 Verifying : bzip2-libs-1.0.8-13.fc38.ppc64le 11/155 Verifying : ca-certificates-2023.2.60-2.fc38.noarch 12/155 Verifying : coreutils-9.3-1.fc39.ppc64le 13/155 Verifying : coreutils-common-9.3-1.fc39.ppc64le 14/155 Verifying : cpio-2.14-2.fc39.ppc64le 15/155 Verifying : cracklib-2.9.7-31.fc38.ppc64le 16/155 Verifying : crypto-policies-20230614-1.git5f3458e.fc39.noarc 17/155 Verifying : curl-8.1.2-1.fc39.ppc64le 18/155 Verifying : cyrus-sasl-lib-2.1.28-10.fc39.ppc64le 19/155 Verifying : debugedit-5.0-9.fc39.ppc64le 20/155 Verifying : diffutils-3.10-2.fc39.ppc64le 21/155 Verifying : dwz-0.15-2.fc38.ppc64le 22/155 Verifying : ed-1.19-2.fc38.ppc64le 23/155 Verifying : efi-srpm-macros-5-8.fc39.noarch 24/155 Verifying : elfutils-0.189-3.fc39.ppc64le 25/155 Verifying : elfutils-debuginfod-client-0.189-3.fc39.ppc64le 26/155 Verifying : elfutils-default-yama-scope-0.189-3.fc39.noarch 27/155 Verifying : elfutils-libelf-0.189-3.fc39.ppc64le 28/155 Verifying : elfutils-libs-0.189-3.fc39.ppc64le 29/155 Verifying : fedora-gpg-keys-39-0.1.noarch 30/155 Verifying : fedora-release-39-0.14.noarch 31/155 Verifying : fedora-release-common-39-0.14.noarch 32/155 Verifying : fedora-release-identity-basic-39-0.14.noarch 33/155 Verifying : fedora-repos-39-0.1.noarch 34/155 Verifying : fedora-repos-rawhide-39-0.1.noarch 35/155 Verifying : file-5.44-4.fc39.ppc64le 36/155 Verifying : file-libs-5.44-4.fc39.ppc64le 37/155 Verifying : filesystem-3.18-4.fc39.ppc64le 38/155 Verifying : findutils-1:4.9.0-4.fc39.ppc64le 39/155 Verifying : fonts-srpm-macros-1:2.0.5-11.fc38.noarch 40/155 Verifying : fpc-srpm-macros-1.3-7.fc38.noarch 41/155 Verifying : gawk-5.2.2-1.fc39.ppc64le 42/155 Verifying : gdb-minimal-13.2-2.fc39.ppc64le 43/155 Verifying : gdbm-libs-1:1.23-3.fc38.ppc64le 44/155 Verifying : ghc-srpm-macros-1.6.1-1.fc38.noarch 45/155 Verifying : glibc-2.37.9000-15.fc39.ppc64le 46/155 Verifying : glibc-common-2.37.9000-15.fc39.ppc64le 47/155 Verifying : glibc-gconv-extra-2.37.9000-15.fc39.ppc64le 48/155 Verifying : glibc-minimal-langpack-2.37.9000-15.fc39.ppc64le 49/155 Verifying : gmp-1:6.2.1-4.fc38.ppc64le 50/155 Verifying : gnat-srpm-macros-6-2.fc38.noarch 51/155 Verifying : go-srpm-macros-3.2.0-3.fc39.noarch 52/155 Verifying : grep-3.11-1.fc39.ppc64le 53/155 Verifying : gzip-1.12-3.fc38.ppc64le 54/155 Verifying : info-7.0.3-2.fc39.ppc64le 55/155 Verifying : jansson-2.13.1-6.fc38.ppc64le 56/155 Verifying : kernel-srpm-macros-1.0-19.fc39.noarch 57/155 Verifying : keyutils-libs-1.6.1-6.fc38.ppc64le 58/155 Verifying : krb5-libs-1.21-1.fc39.ppc64le 59/155 Verifying : libacl-2.3.1-7.fc39.ppc64le 60/155 Verifying : libarchive-3.6.1-5.fc39.ppc64le 61/155 Verifying : libattr-2.5.1-7.fc39.ppc64le 62/155 Verifying : libblkid-2.39.1-2.fc39.ppc64le 63/155 Verifying : libbrotli-1.0.9-12.fc39.ppc64le 64/155 Verifying : libcap-2.48-6.fc38.ppc64le 65/155 Verifying : libcap-ng-0.8.3-6.fc39.ppc64le 66/155 Verifying : libcom_err-1.47.0-1.fc39.ppc64le 67/155 Verifying : libcurl-8.1.2-1.fc39.ppc64le 68/155 Verifying : libdb-5.3.28-55.fc38.ppc64le 69/155 Verifying : libeconf-0.4.0-5.fc38.ppc64le 70/155 Verifying : libevent-2.1.12-8.fc38.ppc64le 71/155 Verifying : libfdisk-2.39.1-2.fc39.ppc64le 72/155 Verifying : libffi-3.4.4-3.fc39.ppc64le 73/155 Verifying : libgcc-13.1.1-4.fc39.ppc64le 74/155 Verifying : libgomp-13.1.1-4.fc39.ppc64le 75/155 Verifying : libidn2-2.3.4-2.fc38.ppc64le 76/155 Verifying : libmount-2.39.1-2.fc39.ppc64le 77/155 Verifying : libnghttp2-1.54.0-1.fc39.ppc64le 78/155 Verifying : libnsl2-2.0.0-5.fc38.ppc64le 79/155 Verifying : libpkgconf-1.9.4-2.fc39.ppc64le 80/155 Verifying : libpsl-0.21.2-3.fc39.ppc64le 81/155 Verifying : libpwquality-1.4.5-5.fc39.ppc64le 82/155 Verifying : librtas-2.0.4-2.fc39.ppc64le 83/155 Verifying : libselinux-3.5-4.fc39.ppc64le 84/155 Verifying : libsemanage-3.5-3.fc39.ppc64le 85/155 Verifying : libsepol-3.5-1.fc39.ppc64le 86/155 Verifying : libsigsegv-2.14-4.fc38.ppc64le 87/155 Verifying : libsmartcols-2.39.1-2.fc39.ppc64le 88/155 Verifying : libssh-0.10.5-1.fc39.ppc64le 89/155 Verifying : libssh-config-0.10.5-1.fc39.noarch 90/155 Verifying : libstdc++-13.1.1-4.fc39.ppc64le 91/155 Verifying : libtasn1-4.19.0-2.fc38.ppc64le 92/155 Verifying : libtirpc-1.3.3-1.rc1.fc39.ppc64le 93/155 Verifying : libunistring-1.1-3.fc38.ppc64le 94/155 Verifying : libunistring1.0-1.0-1.fc38.ppc64le 95/155 Verifying : libutempter-1.2.1-9.fc39.ppc64le 96/155 Verifying : libuuid-2.39.1-2.fc39.ppc64le 97/155 Verifying : libverto-0.3.2-5.fc38.ppc64le 98/155 Verifying : libxcrypt-4.4.36-1.fc39.ppc64le 99/155 Verifying : libxml2-2.10.4-2.fc39.ppc64le 100/155 Verifying : libzstd-1.5.5-1.fc39.ppc64le 101/155 Verifying : lua-libs-5.4.4-9.fc39.ppc64le 102/155 Verifying : lua-srpm-macros-1-8.fc38.noarch 103/155 Verifying : lz4-libs-1.9.4-3.fc39.ppc64le 104/155 Verifying : mpfr-4.1.1-3.fc38.ppc64le 105/155 Verifying : ncurses-base-6.4-5.20230520.fc39.noarch 106/155 Verifying : ncurses-libs-6.4-5.20230520.fc39.ppc64le 107/155 Verifying : ocaml-srpm-macros-7-3.fc38.noarch 108/155 Verifying : openblas-srpm-macros-2-13.fc38.noarch 109/155 Verifying : openldap-2.6.4-2.fc39.ppc64le 110/155 Verifying : openssl-libs-1:3.0.8-2.fc39.ppc64le 111/155 Verifying : p11-kit-0.24.1-6.fc38.ppc64le 112/155 Verifying : p11-kit-trust-0.24.1-6.fc38.ppc64le 113/155 Verifying : package-notes-srpm-macros-0.5-8.fc39.noarch 114/155 Verifying : pam-1.5.3-1.fc39.ppc64le 115/155 Verifying : pam-libs-1.5.3-1.fc39.ppc64le 116/155 Verifying : patch-2.7.6-21.fc39.ppc64le 117/155 Verifying : pcre2-10.42-1.fc38.1.ppc64le 118/155 Verifying : pcre2-syntax-10.42-1.fc38.1.noarch 119/155 Verifying : perl-srpm-macros-1-48.fc38.noarch 120/155 Verifying : pkgconf-1.9.4-2.fc39.ppc64le 121/155 Verifying : pkgconf-m4-1.9.4-2.fc39.noarch 122/155 Verifying : pkgconf-pkg-config-1.9.4-2.fc39.ppc64le 123/155 Verifying : popt-1.19-2.fc38.ppc64le 124/155 Verifying : publicsuffix-list-dafsa-20230614-1.fc39.noarch 125/155 Verifying : pyproject-srpm-macros-1.9.0-1.fc39.noarch 126/155 Verifying : python-srpm-macros-3.12-1.fc39.noarch 127/155 Verifying : qt5-srpm-macros-5.15.10-1.fc39.noarch 128/155 Verifying : qt6-srpm-macros-6.5.1-1.fc39.noarch 129/155 Verifying : readline-8.2-3.fc38.ppc64le 130/155 Verifying : redhat-rpm-config-260-1.fc39.noarch 131/155 Verifying : rpm-4.18.91-7.fc39.ppc64le 132/155 Verifying : rpm-build-4.18.91-7.fc39.ppc64le 133/155 Verifying : rpm-build-libs-4.18.91-7.fc39.ppc64le 134/155 Verifying : rpm-libs-4.18.91-7.fc39.ppc64le 135/155 Verifying : rpm-sequoia-1.4.1-1.fc39.ppc64le 136/155 Verifying : rpmautospec-rpm-macros-0.3.5-3.fc39.noarch 137/155 Verifying : rust-srpm-macros-24-2.fc39.noarch 138/155 Verifying : sed-4.8-12.fc38.ppc64le 139/155 Verifying : setup-2.14.3-3.fc39.noarch 140/155 Verifying : shadow-utils-2:4.13-7.fc39.ppc64le 141/155 Verifying : sqlite-libs-3.41.2-3.fc39.ppc64le 142/155 Verifying : systemd-libs-253.5-6.fc39.ppc64le 143/155 Verifying : tar-2:1.34-8.fc39.ppc64le 144/155 Verifying : tzdata-2023c-1.fc39.noarch 145/155 Verifying : unzip-6.0-60.fc38.ppc64le 146/155 Verifying : util-linux-2.39.1-2.fc39.ppc64le 147/155 Verifying : util-linux-core-2.39.1-2.fc39.ppc64le 148/155 Verifying : which-2.21-39.fc39.ppc64le 149/155 Verifying : xxhash-libs-0.8.1-5.fc39.ppc64le 150/155 Verifying : xz-5.4.3-1.fc39.ppc64le 151/155 Verifying : xz-libs-5.4.3-1.fc39.ppc64le 152/155 Verifying : zip-3.0-36.fc38.ppc64le 153/155 Verifying : zlib-1.2.13-3.fc38.ppc64le 154/155 Verifying : zstd-1.5.5-1.fc39.ppc64le 155/155 Installed: alternatives-1.24-1.fc39.ppc64le ansible-srpm-macros-1-10.fc39.noarch audit-libs-3.1.1-3.fc39.ppc64le authselect-1.4.2-2.fc38.ppc64le authselect-libs-1.4.2-2.fc38.ppc64le basesystem-11-17.fc39.noarch bash-5.2.15-3.fc38.ppc64le binutils-2.40-9.fc39.ppc64le binutils-gold-2.40-9.fc39.ppc64le bzip2-1.0.8-13.fc38.ppc64le bzip2-libs-1.0.8-13.fc38.ppc64le ca-certificates-2023.2.60-2.fc38.noarch coreutils-9.3-1.fc39.ppc64le coreutils-common-9.3-1.fc39.ppc64le cpio-2.14-2.fc39.ppc64le cracklib-2.9.7-31.fc38.ppc64le crypto-policies-20230614-1.git5f3458e.fc39.noarch curl-8.1.2-1.fc39.ppc64le cyrus-sasl-lib-2.1.28-10.fc39.ppc64le debugedit-5.0-9.fc39.ppc64le diffutils-3.10-2.fc39.ppc64le dwz-0.15-2.fc38.ppc64le ed-1.19-2.fc38.ppc64le efi-srpm-macros-5-8.fc39.noarch elfutils-0.189-3.fc39.ppc64le elfutils-debuginfod-client-0.189-3.fc39.ppc64le elfutils-default-yama-scope-0.189-3.fc39.noarch elfutils-libelf-0.189-3.fc39.ppc64le elfutils-libs-0.189-3.fc39.ppc64le fedora-gpg-keys-39-0.1.noarch fedora-release-39-0.14.noarch fedora-release-common-39-0.14.noarch fedora-release-identity-basic-39-0.14.noarch fedora-repos-39-0.1.noarch fedora-repos-rawhide-39-0.1.noarch file-5.44-4.fc39.ppc64le file-libs-5.44-4.fc39.ppc64le filesystem-3.18-4.fc39.ppc64le findutils-1:4.9.0-4.fc39.ppc64le fonts-srpm-macros-1:2.0.5-11.fc38.noarch fpc-srpm-macros-1.3-7.fc38.noarch gawk-5.2.2-1.fc39.ppc64le gdb-minimal-13.2-2.fc39.ppc64le gdbm-libs-1:1.23-3.fc38.ppc64le ghc-srpm-macros-1.6.1-1.fc38.noarch glibc-2.37.9000-15.fc39.ppc64le glibc-common-2.37.9000-15.fc39.ppc64le glibc-gconv-extra-2.37.9000-15.fc39.ppc64le glibc-minimal-langpack-2.37.9000-15.fc39.ppc64le gmp-1:6.2.1-4.fc38.ppc64le gnat-srpm-macros-6-2.fc38.noarch go-srpm-macros-3.2.0-3.fc39.noarch grep-3.11-1.fc39.ppc64le gzip-1.12-3.fc38.ppc64le info-7.0.3-2.fc39.ppc64le jansson-2.13.1-6.fc38.ppc64le kernel-srpm-macros-1.0-19.fc39.noarch keyutils-libs-1.6.1-6.fc38.ppc64le krb5-libs-1.21-1.fc39.ppc64le libacl-2.3.1-7.fc39.ppc64le libarchive-3.6.1-5.fc39.ppc64le libattr-2.5.1-7.fc39.ppc64le libblkid-2.39.1-2.fc39.ppc64le libbrotli-1.0.9-12.fc39.ppc64le libcap-2.48-6.fc38.ppc64le libcap-ng-0.8.3-6.fc39.ppc64le libcom_err-1.47.0-1.fc39.ppc64le libcurl-8.1.2-1.fc39.ppc64le libdb-5.3.28-55.fc38.ppc64le libeconf-0.4.0-5.fc38.ppc64le libevent-2.1.12-8.fc38.ppc64le libfdisk-2.39.1-2.fc39.ppc64le libffi-3.4.4-3.fc39.ppc64le libgcc-13.1.1-4.fc39.ppc64le libgomp-13.1.1-4.fc39.ppc64le libidn2-2.3.4-2.fc38.ppc64le libmount-2.39.1-2.fc39.ppc64le libnghttp2-1.54.0-1.fc39.ppc64le libnsl2-2.0.0-5.fc38.ppc64le libpkgconf-1.9.4-2.fc39.ppc64le libpsl-0.21.2-3.fc39.ppc64le libpwquality-1.4.5-5.fc39.ppc64le librtas-2.0.4-2.fc39.ppc64le libselinux-3.5-4.fc39.ppc64le libsemanage-3.5-3.fc39.ppc64le libsepol-3.5-1.fc39.ppc64le libsigsegv-2.14-4.fc38.ppc64le libsmartcols-2.39.1-2.fc39.ppc64le libssh-0.10.5-1.fc39.ppc64le libssh-config-0.10.5-1.fc39.noarch libstdc++-13.1.1-4.fc39.ppc64le libtasn1-4.19.0-2.fc38.ppc64le libtirpc-1.3.3-1.rc1.fc39.ppc64le libunistring-1.1-3.fc38.ppc64le libunistring1.0-1.0-1.fc38.ppc64le libutempter-1.2.1-9.fc39.ppc64le libuuid-2.39.1-2.fc39.ppc64le libverto-0.3.2-5.fc38.ppc64le libxcrypt-4.4.36-1.fc39.ppc64le libxml2-2.10.4-2.fc39.ppc64le libzstd-1.5.5-1.fc39.ppc64le lua-libs-5.4.4-9.fc39.ppc64le lua-srpm-macros-1-8.fc38.noarch lz4-libs-1.9.4-3.fc39.ppc64le mpfr-4.1.1-3.fc38.ppc64le ncurses-base-6.4-5.20230520.fc39.noarch ncurses-libs-6.4-5.20230520.fc39.ppc64le ocaml-srpm-macros-7-3.fc38.noarch openblas-srpm-macros-2-13.fc38.noarch openldap-2.6.4-2.fc39.ppc64le openssl-libs-1:3.0.8-2.fc39.ppc64le p11-kit-0.24.1-6.fc38.ppc64le p11-kit-trust-0.24.1-6.fc38.ppc64le package-notes-srpm-macros-0.5-8.fc39.noarch pam-1.5.3-1.fc39.ppc64le pam-libs-1.5.3-1.fc39.ppc64le patch-2.7.6-21.fc39.ppc64le pcre2-10.42-1.fc38.1.ppc64le pcre2-syntax-10.42-1.fc38.1.noarch perl-srpm-macros-1-48.fc38.noarch pkgconf-1.9.4-2.fc39.ppc64le pkgconf-m4-1.9.4-2.fc39.noarch pkgconf-pkg-config-1.9.4-2.fc39.ppc64le popt-1.19-2.fc38.ppc64le publicsuffix-list-dafsa-20230614-1.fc39.noarch pyproject-srpm-macros-1.9.0-1.fc39.noarch python-srpm-macros-3.12-1.fc39.noarch qt5-srpm-macros-5.15.10-1.fc39.noarch qt6-srpm-macros-6.5.1-1.fc39.noarch readline-8.2-3.fc38.ppc64le redhat-rpm-config-260-1.fc39.noarch rpm-4.18.91-7.fc39.ppc64le rpm-build-4.18.91-7.fc39.ppc64le rpm-build-libs-4.18.91-7.fc39.ppc64le rpm-libs-4.18.91-7.fc39.ppc64le rpm-sequoia-1.4.1-1.fc39.ppc64le rpmautospec-rpm-macros-0.3.5-3.fc39.noarch rust-srpm-macros-24-2.fc39.noarch sed-4.8-12.fc38.ppc64le setup-2.14.3-3.fc39.noarch shadow-utils-2:4.13-7.fc39.ppc64le sqlite-libs-3.41.2-3.fc39.ppc64le systemd-libs-253.5-6.fc39.ppc64le tar-2:1.34-8.fc39.ppc64le tzdata-2023c-1.fc39.noarch unzip-6.0-60.fc38.ppc64le util-linux-2.39.1-2.fc39.ppc64le util-linux-core-2.39.1-2.fc39.ppc64le which-2.21-39.fc39.ppc64le xxhash-libs-0.8.1-5.fc39.ppc64le xz-5.4.3-1.fc39.ppc64le xz-libs-5.4.3-1.fc39.ppc64le zip-3.0-36.fc38.ppc64le zlib-1.2.13-3.fc38.ppc64le zstd-1.5.5-1.fc39.ppc64le Complete! Finish: installing minimal buildroot with dnf Start: creating root cache Finish: creating root cache Finish: chroot init INFO: Installed packages: INFO: ed-1.19-2.fc38.ppc64le libevent-2.1.12-8.fc38.ppc64le rust-srpm-macros-24-2.fc39.noarch fedora-release-39-0.14.noarch glibc-minimal-langpack-2.37.9000-15.fc39.ppc64le pkgconf-pkg-config-1.9.4-2.fc39.ppc64le libtasn1-4.19.0-2.fc38.ppc64le librtas-2.0.4-2.fc39.ppc64le fedora-gpg-keys-39-0.1.noarch libpwquality-1.4.5-5.fc39.ppc64le p11-kit-trust-0.24.1-6.fc38.ppc64le jansson-2.13.1-6.fc38.ppc64le libverto-0.3.2-5.fc38.ppc64le elfutils-debuginfod-client-0.189-3.fc39.ppc64le ghc-srpm-macros-1.6.1-1.fc38.noarch fedora-repos-39-0.1.noarch cyrus-sasl-lib-2.1.28-10.fc39.ppc64le rpmautospec-rpm-macros-0.3.5-3.fc39.noarch gdb-minimal-13.2-2.fc39.ppc64le lua-srpm-macros-1-8.fc38.noarch libpkgconf-1.9.4-2.fc39.ppc64le bzip2-1.0.8-13.fc38.ppc64le ocaml-srpm-macros-7-3.fc38.noarch curl-8.1.2-1.fc39.ppc64le ca-certificates-2023.2.60-2.fc38.noarch fedora-repos-rawhide-39-0.1.noarch binutils-2.40-9.fc39.ppc64le libsepol-3.5-1.fc39.ppc64le libutempter-1.2.1-9.fc39.ppc64le fonts-srpm-macros-2.0.5-11.fc38.noarch pyproject-srpm-macros-1.9.0-1.fc39.noarch libselinux-3.5-4.fc39.ppc64le grep-3.11-1.fc39.ppc64le qt5-srpm-macros-5.15.10-1.fc39.noarch libunistring-1.1-3.fc38.ppc64le file-libs-5.44-4.fc39.ppc64le libacl-2.3.1-7.fc39.ppc64le cracklib-2.9.7-31.fc38.ppc64le tzdata-2023c-1.fc39.noarch bzip2-libs-1.0.8-13.fc38.ppc64le lua-libs-5.4.4-9.fc39.ppc64le unzip-6.0-60.fc38.ppc64le libgcc-13.1.1-4.fc39.ppc64le info-7.0.3-2.fc39.ppc64le zlib-1.2.13-3.fc38.ppc64le xz-libs-5.4.3-1.fc39.ppc64le xz-5.4.3-1.fc39.ppc64le keyutils-libs-1.6.1-6.fc38.ppc64le readline-8.2-3.fc38.ppc64le libuuid-2.39.1-2.fc39.ppc64le authselect-1.4.2-2.fc38.ppc64le libidn2-2.3.4-2.fc38.ppc64le libssh-config-0.10.5-1.fc39.noarch gzip-1.12-3.fc38.ppc64le xxhash-libs-0.8.1-5.fc39.ppc64le libzstd-1.5.5-1.fc39.ppc64le libgomp-13.1.1-4.fc39.ppc64le libbrotli-1.0.9-12.fc39.ppc64le findutils-4.9.0-4.fc39.ppc64le alternatives-1.24-1.fc39.ppc64le elfutils-0.189-3.fc39.ppc64le pam-libs-1.5.3-1.fc39.ppc64le gnat-srpm-macros-6-2.fc38.noarch libcom_err-1.47.0-1.fc39.ppc64le gmp-6.2.1-4.fc38.ppc64le binutils-gold-2.40-9.fc39.ppc64le filesystem-3.18-4.fc39.ppc64le libpsl-0.21.2-3.fc39.ppc64le audit-libs-3.1.1-3.fc39.ppc64le lz4-libs-1.9.4-3.fc39.ppc64le ncurses-base-6.4-5.20230520.fc39.noarch zip-3.0-36.fc38.ppc64le python-srpm-macros-3.12-1.fc39.noarch gawk-5.2.2-1.fc39.ppc64le libdb-5.3.28-55.fc38.ppc64le pkgconf-m4-1.9.4-2.fc39.noarch file-5.44-4.fc39.ppc64le package-notes-srpm-macros-0.5-8.fc39.noarch libstdc++-13.1.1-4.fc39.ppc64le libffi-3.4.4-3.fc39.ppc64le rpm-build-4.18.91-7.fc39.ppc64le patch-2.7.6-21.fc39.ppc64le rpm-4.18.91-7.fc39.ppc64le libxcrypt-4.4.36-1.fc39.ppc64le openldap-2.6.4-2.fc39.ppc64le debugedit-5.0-9.fc39.ppc64le pcre2-10.42-1.fc38.1.ppc64le tar-1.34-8.fc39.ppc64le libxml2-2.10.4-2.fc39.ppc64le rpm-build-libs-4.18.91-7.fc39.ppc64le gpg-pubkey-18b8e74c-62f2920f gdbm-libs-1.23-3.fc38.ppc64le libattr-2.5.1-7.fc39.ppc64le which-2.21-39.fc39.ppc64le sqlite-libs-3.41.2-3.fc39.ppc64le pam-1.5.3-1.fc39.ppc64le redhat-rpm-config-260-1.fc39.noarch publicsuffix-list-dafsa-20230614-1.fc39.noarch systemd-libs-253.5-6.fc39.ppc64le elfutils-libs-0.189-3.fc39.ppc64le setup-2.14.3-3.fc39.noarch krb5-libs-1.21-1.fc39.ppc64le fedora-release-common-39-0.14.noarch elfutils-libelf-0.189-3.fc39.ppc64le gpg-pubkey-eb10b464-6202d9c6 libblkid-2.39.1-2.fc39.ppc64le openssl-libs-3.0.8-2.fc39.ppc64le libfdisk-2.39.1-2.fc39.ppc64le ncurses-libs-6.4-5.20230520.fc39.ppc64le pcre2-syntax-10.42-1.fc38.1.noarch authselect-libs-1.4.2-2.fc38.ppc64le mpfr-4.1.1-3.fc38.ppc64le util-linux-core-2.39.1-2.fc39.ppc64le coreutils-common-9.3-1.fc39.ppc64le pkgconf-1.9.4-2.fc39.ppc64le p11-kit-0.24.1-6.fc38.ppc64le libtirpc-1.3.3-1.rc1.fc39.ppc64le fpc-srpm-macros-1.3-7.fc38.noarch crypto-policies-20230614-1.git5f3458e.fc39.noarch sed-4.8-12.fc38.ppc64le util-linux-2.39.1-2.fc39.ppc64le libeconf-0.4.0-5.fc38.ppc64le diffutils-3.10-2.fc39.ppc64le ansible-srpm-macros-1-10.fc39.noarch popt-1.19-2.fc38.ppc64le libnghttp2-1.54.0-1.fc39.ppc64le glibc-2.37.9000-15.fc39.ppc64le basesystem-11-17.fc39.noarch glibc-common-2.37.9000-15.fc39.ppc64le elfutils-default-yama-scope-0.189-3.fc39.noarch fedora-release-identity-basic-39-0.14.noarch efi-srpm-macros-5-8.fc39.noarch libcurl-8.1.2-1.fc39.ppc64le perl-srpm-macros-1-48.fc38.noarch libcap-2.48-6.fc38.ppc64le libmount-2.39.1-2.fc39.ppc64le bash-5.2.15-3.fc38.ppc64le libcap-ng-0.8.3-6.fc39.ppc64le coreutils-9.3-1.fc39.ppc64le rpm-sequoia-1.4.1-1.fc39.ppc64le libsigsegv-2.14-4.fc38.ppc64le libunistring1.0-1.0-1.fc38.ppc64le libsmartcols-2.39.1-2.fc39.ppc64le libsemanage-3.5-3.fc39.ppc64le rpm-libs-4.18.91-7.fc39.ppc64le kernel-srpm-macros-1.0-19.fc39.noarch libnsl2-2.0.0-5.fc38.ppc64le libssh-0.10.5-1.fc39.ppc64le zstd-1.5.5-1.fc39.ppc64le qt6-srpm-macros-6.5.1-1.fc39.noarch openblas-srpm-macros-2-13.fc38.noarch libarchive-3.6.1-5.fc39.ppc64le cpio-2.14-2.fc39.ppc64le go-srpm-macros-3.2.0-3.fc39.noarch dwz-0.15-2.fc38.ppc64le glibc-gconv-extra-2.37.9000-15.fc39.ppc64le shadow-utils-4.13-7.fc39.ppc64le Start: buildsrpm Start: rpmbuild -bs warning: %patchN is deprecated (1 usages found), use %patch N (or %patch -P N) Building target platforms: ppc64le Building for target ppc64le setting SOURCE_DATE_EPOCH=1637193600 Wrote: /builddir/build/SRPMS/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.src.rpm RPM build warnings: %patchN is deprecated (1 usages found), use %patch N (or %patch -P N) Finish: rpmbuild -bs INFO: chroot_scan: 3 files copied to /var/lib/copr-rpmbuild/results/chroot_scan INFO: /var/lib/mock/fedora-rawhide-ppc64le-1688731923.087669/root/var/log/dnf.rpm.log /var/lib/mock/fedora-rawhide-ppc64le-1688731923.087669/root/var/log/dnf.librepo.log /var/lib/mock/fedora-rawhide-ppc64le-1688731923.087669/root/var/log/dnf.log Finish: buildsrpm INFO: Done(/var/lib/copr-rpmbuild/workspace/workdir-jsgek649/litex-pythondata-cpu-blackparrot/litex-pythondata-cpu-blackparrot.spec) Config(child) 2 minutes 18 seconds INFO: Results and/or logs in: /var/lib/copr-rpmbuild/results INFO: Cleaning up build root ('cleanup_on_success=True') Start: clean chroot INFO: unmounting tmpfs. Finish: clean chroot Finish: run Running (timeout=172800): unbuffer mock --rebuild /var/lib/copr-rpmbuild/results/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.src.rpm --resultdir /var/lib/copr-rpmbuild/results --uniqueext 1688731923.087669 -r /var/lib/copr-rpmbuild/results/configs/child.cfg INFO: mock.py version 4.1 starting (python version = 3.11.3, NVR = mock-4.1-1.fc38)... Start(bootstrap): init plugins INFO: tmpfs initialized INFO: selinux enabled INFO: chroot_scan: initialized INFO: compress_logs: initialized Finish(bootstrap): init plugins Start: init plugins INFO: tmpfs initialized INFO: selinux enabled INFO: chroot_scan: initialized INFO: compress_logs: initialized Finish: init plugins INFO: Signal handler active Start: run INFO: Start(/var/lib/copr-rpmbuild/results/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.src.rpm) Config(fedora-rawhide-ppc64le) Start: clean chroot Finish: clean chroot Start(bootstrap): chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-rawhide-ppc64le-bootstrap-1688731923.087669/root. INFO: reusing tmpfs at /var/lib/mock/fedora-rawhide-ppc64le-bootstrap-1688731923.087669/root. INFO: calling preinit hooks INFO: enabled root cache INFO: enabled package manager cache Start(bootstrap): cleaning package manager metadata Finish(bootstrap): cleaning package manager metadata INFO: enabled HW Info plugin Mock Version: 4.1 INFO: Mock Version: 4.1 INFO: Package manager dnf detected and used (fallback) Finish(bootstrap): chroot init Start: chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-rawhide-ppc64le-1688731923.087669/root. INFO: calling preinit hooks INFO: enabled root cache Start: unpacking root cache Finish: unpacking root cache INFO: enabled package manager cache Start: cleaning package manager metadata Finish: cleaning package manager metadata INFO: enabled HW Info plugin Mock Version: 4.1 INFO: Mock Version: 4.1 INFO: Package manager dnf detected and used (direct choice) Start: dnf update No matches found for the following disable plugin patterns: local, spacewalk, versionlock Copr repository 17 kB/s | 2.1 kB 00:00 Additional repo copr_rezso_ML 23 kB/s | 1.8 kB 00:00 Additional repo copr_rezso_CUDA 20 kB/s | 1.8 kB 00:00 Additional repo http_developer_download_nvidia_ 144 kB/s | 3.5 kB 00:00 Additional repo http_developer_download_nvidia_ 147 kB/s | 3.5 kB 00:00 Additional repo http_developer_download_nvidia_ 147 kB/s | 3.5 kB 00:00 fedora 73 kB/s | 5.6 kB 00:00 Dependencies resolved. Nothing to do. Complete! Finish: dnf update Finish: chroot init Start: build phase for litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.src.rpm Start: build setup for litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.src.rpm warning: %patchN is deprecated (1 usages found), use %patch N (or %patch -P N) Building target platforms: ppc64le Building for target ppc64le setting SOURCE_DATE_EPOCH=1637193600 Wrote: /builddir/build/SRPMS/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.src.rpm RPM build warnings: %patchN is deprecated (1 usages found), use %patch N (or %patch -P N) No matches found for the following disable plugin patterns: local, spacewalk, versionlock Copr repository 19 kB/s | 2.1 kB 00:00 Additional repo copr_rezso_ML 24 kB/s | 1.8 kB 00:00 Additional repo copr_rezso_CUDA 26 kB/s | 1.8 kB 00:00 Additional repo http_developer_download_nvidia_ 136 kB/s | 3.5 kB 00:00 Additional repo http_developer_download_nvidia_ 131 kB/s | 3.5 kB 00:00 Additional repo http_developer_download_nvidia_ 133 kB/s | 3.5 kB 00:00 fedora 75 kB/s | 5.6 kB 00:00 Dependencies resolved. ================================================================================ Package Arch Version Repo Size ================================================================================ Installing: git ppc64le 2.41.0-1.fc39 fedora 54 k python3-devel ppc64le 3.12.0~b3-2.fc39 fedora 310 k python3-setuptools noarch 67.7.2-5.fc39 fedora 1.5 M Installing dependencies: expat ppc64le 2.5.0-2.fc38 fedora 117 k git-core ppc64le 2.41.0-1.fc39 fedora 4.8 M git-core-doc noarch 2.41.0-1.fc39 fedora 2.8 M groff-base ppc64le 1.22.4-11.fc38 fedora 1.1 M less ppc64le 633-1.fc39 fedora 189 k libb2 ppc64le 0.98.1-8.fc38 fedora 25 k libcbor ppc64le 0.10.2-1.fc39 fedora 59 k libedit ppc64le 3.1-45.20221030cvs.fc38 fedora 121 k libfido2 ppc64le 1.13.0-2.fc39 fedora 109 k mpdecimal ppc64le 2.5.1-6.fc38 fedora 104 k ncurses ppc64le 6.4-5.20230520.fc39 fedora 424 k openssh ppc64le 9.3p1-3.fc39 fedora 462 k openssh-clients ppc64le 9.3p1-3.fc39 fedora 793 k perl-Carp noarch 1.54-1.fc39 fedora 29 k perl-Class-Struct noarch 0.66-497.fc39 fedora 23 k perl-DynaLoader ppc64le 1.52-497.fc39 fedora 27 k perl-Encode ppc64le 4:3.19-493.fc38 fedora 1.7 M perl-Errno ppc64le 1.36-497.fc39 fedora 16 k perl-Error noarch 1:0.17029-12.fc39 fedora 40 k perl-Exporter noarch 5.77-490.fc38 fedora 31 k perl-Fcntl ppc64le 1.15-497.fc39 fedora 22 k perl-File-Basename noarch 2.85-497.fc39 fedora 18 k perl-File-Find noarch 1.40-497.fc39 fedora 27 k perl-File-Path noarch 2.18-490.fc38 fedora 35 k perl-File-Temp noarch 1:0.231.100-490.fc38 fedora 59 k perl-File-stat noarch 1.12-497.fc39 fedora 18 k perl-Getopt-Long noarch 1:2.54-2.fc38 fedora 60 k perl-Getopt-Std noarch 1.13-497.fc39 fedora 17 k perl-Git noarch 2.41.0-1.fc39 fedora 41 k perl-HTTP-Tiny noarch 0.086-1.fc39 fedora 55 k perl-IO ppc64le 1.50-497.fc39 fedora 94 k perl-IPC-Open3 noarch 1.22-497.fc39 fedora 24 k perl-MIME-Base64 ppc64le 3.16-490.fc38 fedora 31 k perl-POSIX ppc64le 2.03-497.fc39 fedora 120 k perl-PathTools ppc64le 3.89-1.fc39 fedora 88 k perl-Pod-Escapes noarch 1:1.07-490.fc38 fedora 20 k perl-Pod-Perldoc noarch 3.28.01-491.fc38 fedora 86 k perl-Pod-Simple noarch 1:3.45-2.fc39 fedora 219 k perl-Pod-Usage noarch 4:2.03-4.fc38 fedora 40 k perl-Scalar-List-Utils ppc64le 5:1.63-491.fc39 fedora 75 k perl-SelectSaver noarch 1.02-497.fc39 fedora 13 k perl-Socket ppc64le 4:2.037-1.fc39 fedora 56 k perl-Storable ppc64le 1:3.32-1.fc39 fedora 102 k perl-Symbol noarch 1.09-497.fc39 fedora 15 k perl-Term-ANSIColor noarch 5.01-491.fc38 fedora 47 k perl-Term-Cap noarch 1.18-1.fc39 fedora 22 k perl-TermReadKey ppc64le 2.38-16.fc38 fedora 37 k perl-Text-ParseWords noarch 3.31-490.fc38 fedora 16 k perl-Text-Tabs+Wrap noarch 2023.0511-1.fc39 fedora 22 k perl-Time-Local noarch 2:1.350-1.fc39 fedora 34 k perl-constant noarch 1.33-492.fc39 fedora 23 k perl-if noarch 0.61.000-497.fc39 fedora 15 k perl-interpreter ppc64le 4:5.36.1-497.fc39 fedora 73 k perl-lib ppc64le 0.65-497.fc39 fedora 16 k perl-libs ppc64le 4:5.36.1-497.fc39 fedora 2.3 M perl-locale noarch 1.10-497.fc39 fedora 15 k perl-mro ppc64le 1.26-497.fc39 fedora 30 k perl-overload noarch 1.35-497.fc39 fedora 47 k perl-overloading noarch 0.02-497.fc39 fedora 14 k perl-parent noarch 1:0.241-1.fc39 fedora 14 k perl-podlators noarch 1:5.01-2.fc38 fedora 125 k perl-vars noarch 1.05-497.fc39 fedora 14 k pyproject-rpm-macros noarch 1.9.0-1.fc39 fedora 42 k python-pip-wheel noarch 23.1.2-2.fc39 fedora 1.4 M python-rpm-macros noarch 3.12-1.fc39 fedora 19 k python3 ppc64le 3.12.0~b3-2.fc39 fedora 26 k python3-libs ppc64le 3.12.0~b3-2.fc39 fedora 9.3 M python3-packaging noarch 23.1-3.fc39 fedora 114 k python3-rpm-generators noarch 14-6.fc39 fedora 30 k python3-rpm-macros noarch 3.12-1.fc39 fedora 15 k Transaction Summary ================================================================================ Install 73 Packages Total download size: 30 M Installed size: 147 M Downloading Packages: (1/73): git-2.41.0-1.fc39.ppc64le.rpm 171 kB/s | 54 kB 00:00 (2/73): expat-2.5.0-2.fc38.ppc64le.rpm 294 kB/s | 117 kB 00:00 (3/73): groff-base-1.22.4-11.fc38.ppc64le.rpm 1.7 MB/s | 1.1 MB 00:00 (4/73): less-633-1.fc39.ppc64le.rpm 1.0 MB/s | 189 kB 00:00 (5/73): git-core-doc-2.41.0-1.fc39.noarch.rpm 3.1 MB/s | 2.8 MB 00:00 (6/73): libb2-0.98.1-8.fc38.ppc64le.rpm 327 kB/s | 25 kB 00:00 (7/73): libcbor-0.10.2-1.fc39.ppc64le.rpm 780 kB/s | 59 kB 00:00 (8/73): git-core-2.41.0-1.fc39.ppc64le.rpm 3.5 MB/s | 4.8 MB 00:01 (9/73): libedit-3.1-45.20221030cvs.fc38.ppc64le 1.4 MB/s | 121 kB 00:00 (10/73): libfido2-1.13.0-2.fc39.ppc64le.rpm 1.4 MB/s | 109 kB 00:00 (11/73): mpdecimal-2.5.1-6.fc38.ppc64le.rpm 1.3 MB/s | 104 kB 00:00 (12/73): openssh-9.3p1-3.fc39.ppc64le.rpm 4.7 MB/s | 462 kB 00:00 (13/73): ncurses-6.4-5.20230520.fc39.ppc64le.rp 3.6 MB/s | 424 kB 00:00 (14/73): perl-Carp-1.54-1.fc39.noarch.rpm 350 kB/s | 29 kB 00:00 (15/73): perl-Class-Struct-0.66-497.fc39.noarch 280 kB/s | 23 kB 00:00 (16/73): perl-DynaLoader-1.52-497.fc39.ppc64le. 368 kB/s | 27 kB 00:00 (17/73): perl-Errno-1.36-497.fc39.ppc64le.rpm 215 kB/s | 16 kB 00:00 (18/73): perl-Encode-3.19-493.fc38.ppc64le.rpm 7.7 MB/s | 1.7 MB 00:00 (19/73): perl-Error-0.17029-12.fc39.noarch.rpm 520 kB/s | 40 kB 00:00 (20/73): perl-Exporter-5.77-490.fc38.noarch.rpm 407 kB/s | 31 kB 00:00 (21/73): perl-Fcntl-1.15-497.fc39.ppc64le.rpm 293 kB/s | 22 kB 00:00 (22/73): openssh-clients-9.3p1-3.fc39.ppc64le.r 1.5 MB/s | 793 kB 00:00 (23/73): perl-File-Path-2.18-490.fc38.noarch.rp 417 kB/s | 35 kB 00:00 (24/73): perl-File-Basename-2.85-497.fc39.noarc 100 kB/s | 18 kB 00:00 (25/73): perl-File-Find-1.40-497.fc39.noarch.rp 144 kB/s | 27 kB 00:00 (26/73): perl-File-Temp-0.231.100-490.fc38.noar 712 kB/s | 59 kB 00:00 (27/73): perl-File-stat-1.12-497.fc39.noarch.rp 225 kB/s | 18 kB 00:00 (28/73): perl-Getopt-Long-2.54-2.fc38.noarch.rp 716 kB/s | 60 kB 00:00 (29/73): perl-Getopt-Std-1.13-497.fc39.noarch.r 202 kB/s | 17 kB 00:00 (30/73): perl-IO-1.50-497.fc39.ppc64le.rpm 1.1 MB/s | 94 kB 00:00 (31/73): perl-IPC-Open3-1.22-497.fc39.noarch.rp 287 kB/s | 24 kB 00:00 (32/73): perl-Git-2.41.0-1.fc39.noarch.rpm 169 kB/s | 41 kB 00:00 (33/73): perl-MIME-Base64-3.16-490.fc38.ppc64le 411 kB/s | 31 kB 00:00 (34/73): perl-POSIX-2.03-497.fc39.ppc64le.rpm 1.4 MB/s | 120 kB 00:00 (35/73): perl-HTTP-Tiny-0.086-1.fc39.noarch.rpm 170 kB/s | 55 kB 00:00 (36/73): perl-PathTools-3.89-1.fc39.ppc64le.rpm 1.1 MB/s | 88 kB 00:00 (37/73): perl-Pod-Escapes-1.07-490.fc38.noarch. 263 kB/s | 20 kB 00:00 (38/73): perl-Pod-Usage-2.03-4.fc38.noarch.rpm 522 kB/s | 40 kB 00:00 (39/73): perl-Pod-Perldoc-3.28.01-491.fc38.noar 471 kB/s | 86 kB 00:00 (40/73): perl-Pod-Simple-3.45-2.fc39.noarch.rpm 1.4 MB/s | 219 kB 00:00 (41/73): perl-Scalar-List-Utils-1.63-491.fc39.p 939 kB/s | 75 kB 00:00 (42/73): perl-SelectSaver-1.02-497.fc39.noarch. 151 kB/s | 13 kB 00:00 (43/73): perl-Storable-3.32-1.fc39.ppc64le.rpm 1.2 MB/s | 102 kB 00:00 (44/73): perl-Socket-2.037-1.fc39.ppc64le.rpm 568 kB/s | 56 kB 00:00 (45/73): perl-Symbol-1.09-497.fc39.noarch.rpm 173 kB/s | 15 kB 00:00 (46/73): perl-Term-ANSIColor-5.01-491.fc38.noar 628 kB/s | 47 kB 00:00 (47/73): perl-Term-Cap-1.18-1.fc39.noarch.rpm 266 kB/s | 22 kB 00:00 (48/73): perl-Text-ParseWords-3.31-490.fc38.noa 196 kB/s | 16 kB 00:00 (49/73): perl-Text-Tabs+Wrap-2023.0511-1.fc39.n 245 kB/s | 22 kB 00:00 (50/73): perl-Time-Local-1.350-1.fc39.noarch.rp 416 kB/s | 34 kB 00:00 (51/73): perl-TermReadKey-2.38-16.fc38.ppc64le. 187 kB/s | 37 kB 00:00 (52/73): perl-if-0.61.000-497.fc39.noarch.rpm 183 kB/s | 15 kB 00:00 (53/73): perl-lib-0.65-497.fc39.ppc64le.rpm 204 kB/s | 16 kB 00:00 (54/73): perl-interpreter-5.36.1-497.fc39.ppc64 424 kB/s | 73 kB 00:00 (55/73): perl-locale-1.10-497.fc39.noarch.rpm 152 kB/s | 15 kB 00:00 (56/73): perl-mro-1.26-497.fc39.ppc64le.rpm 252 kB/s | 30 kB 00:00 (57/73): perl-libs-5.36.1-497.fc39.ppc64le.rpm 6.9 MB/s | 2.3 MB 00:00 (58/73): perl-constant-1.33-492.fc39.noarch.rpm 40 kB/s | 23 kB 00:00 (59/73): perl-overload-1.35-497.fc39.noarch.rpm 343 kB/s | 47 kB 00:00 (60/73): perl-overloading-0.02-497.fc39.noarch. 170 kB/s | 14 kB 00:00 (61/73): perl-vars-1.05-497.fc39.noarch.rpm 174 kB/s | 14 kB 00:00 (62/73): perl-parent-0.241-1.fc39.noarch.rpm 59 kB/s | 14 kB 00:00 (63/73): pyproject-rpm-macros-1.9.0-1.fc39.noar 524 kB/s | 42 kB 00:00 (64/73): perl-podlators-5.01-2.fc38.noarch.rpm 528 kB/s | 125 kB 00:00 (65/73): python-rpm-macros-3.12-1.fc39.noarch.r 232 kB/s | 19 kB 00:00 (66/73): python3-3.12.0~b3-2.fc39.ppc64le.rpm 243 kB/s | 26 kB 00:00 (67/73): python-pip-wheel-23.1.2-2.fc39.noarch. 6.8 MB/s | 1.4 MB 00:00 (68/73): python3-devel-3.12.0~b3-2.fc39.ppc64le 1.4 MB/s | 310 kB 00:00 (69/73): python3-packaging-23.1-3.fc39.noarch.r 1.3 MB/s | 114 kB 00:00 (70/73): python3-rpm-generators-14-6.fc39.noarc 362 kB/s | 30 kB 00:00 (71/73): python3-rpm-macros-3.12-1.fc39.noarch. 175 kB/s | 15 kB 00:00 (72/73): python3-setuptools-67.7.2-5.fc39.noarc 6.4 MB/s | 1.5 MB 00:00 (73/73): python3-libs-3.12.0~b3-2.fc39.ppc64le. 3.2 MB/s | 9.3 MB 00:02 -------------------------------------------------------------------------------- Total 4.4 MB/s | 30 MB 00:06 Running transaction check Transaction check succeeded. Running transaction test Transaction test succeeded. Running transaction Preparing : 1/1 Installing : python-rpm-macros-3.12-1.fc39.noarch 1/73 Installing : python3-rpm-macros-3.12-1.fc39.noarch 2/73 Installing : expat-2.5.0-2.fc38.ppc64le 3/73 Installing : pyproject-rpm-macros-1.9.0-1.fc39.noarch 4/73 Installing : python-pip-wheel-23.1.2-2.fc39.noarch 5/73 Installing : openssh-9.3p1-3.fc39.ppc64le 6/73 Installing : ncurses-6.4-5.20230520.fc39.ppc64le 7/73 Installing : mpdecimal-2.5.1-6.fc38.ppc64le 8/73 Installing : libedit-3.1-45.20221030cvs.fc38.ppc64le 9/73 Installing : libcbor-0.10.2-1.fc39.ppc64le 10/73 Installing : libfido2-1.13.0-2.fc39.ppc64le 11/73 Installing : openssh-clients-9.3p1-3.fc39.ppc64le 12/73 Running scriptlet: openssh-clients-9.3p1-3.fc39.ppc64le 12/73 Installing : libb2-0.98.1-8.fc38.ppc64le 13/73 Installing : python3-3.12.0~b3-2.fc39.ppc64le 14/73 Installing : python3-libs-3.12.0~b3-2.fc39.ppc64le 15/73 Installing : python3-packaging-23.1-3.fc39.noarch 16/73 Installing : python3-rpm-generators-14-6.fc39.noarch 17/73 Installing : less-633-1.fc39.ppc64le 18/73 Installing : git-core-2.41.0-1.fc39.ppc64le 19/73 Installing : git-core-doc-2.41.0-1.fc39.noarch 20/73 Running scriptlet: groff-base-1.22.4-11.fc38.ppc64le 21/73 Installing : groff-base-1.22.4-11.fc38.ppc64le 21/73 Running scriptlet: groff-base-1.22.4-11.fc38.ppc64le 21/73 Installing : perl-Text-Tabs+Wrap-2023.0511-1.fc39.noarch 22/73 Installing : perl-if-0.61.000-497.fc39.noarch 23/73 Installing : perl-locale-1.10-497.fc39.noarch 24/73 Installing : perl-Time-Local-2:1.350-1.fc39.noarch 25/73 Installing : perl-File-Path-2.18-490.fc38.noarch 26/73 Installing : perl-Pod-Escapes-1:1.07-490.fc38.noarch 27/73 Installing : perl-Class-Struct-0.66-497.fc39.noarch 28/73 Installing : perl-Term-ANSIColor-5.01-491.fc38.noarch 29/73 Installing : perl-POSIX-2.03-497.fc39.ppc64le 30/73 Installing : perl-IPC-Open3-1.22-497.fc39.noarch 31/73 Installing : perl-HTTP-Tiny-0.086-1.fc39.noarch 32/73 Installing : perl-File-Temp-1:0.231.100-490.fc38.noarch 33/73 Installing : perl-Term-Cap-1.18-1.fc39.noarch 34/73 Installing : perl-Pod-Simple-1:3.45-2.fc39.noarch 35/73 Installing : perl-Socket-4:2.037-1.fc39.ppc64le 36/73 Installing : perl-SelectSaver-1.02-497.fc39.noarch 37/73 Installing : perl-Symbol-1.09-497.fc39.noarch 38/73 Installing : perl-File-stat-1.12-497.fc39.noarch 39/73 Installing : perl-podlators-1:5.01-2.fc38.noarch 40/73 Installing : perl-Pod-Perldoc-3.28.01-491.fc38.noarch 41/73 Installing : perl-Fcntl-1.15-497.fc39.ppc64le 42/73 Installing : perl-Text-ParseWords-3.31-490.fc38.noarch 43/73 Installing : perl-mro-1.26-497.fc39.ppc64le 44/73 Installing : perl-IO-1.50-497.fc39.ppc64le 45/73 Installing : perl-overloading-0.02-497.fc39.noarch 46/73 Installing : perl-Pod-Usage-4:2.03-4.fc38.noarch 47/73 Installing : perl-Errno-1.36-497.fc39.ppc64le 48/73 Installing : perl-File-Basename-2.85-497.fc39.noarch 49/73 Installing : perl-Getopt-Std-1.13-497.fc39.noarch 50/73 Installing : perl-MIME-Base64-3.16-490.fc38.ppc64le 51/73 Installing : perl-Scalar-List-Utils-5:1.63-491.fc39.ppc64le 52/73 Installing : perl-constant-1.33-492.fc39.noarch 53/73 Installing : perl-Storable-1:3.32-1.fc39.ppc64le 54/73 Installing : perl-overload-1.35-497.fc39.noarch 55/73 Installing : perl-parent-1:0.241-1.fc39.noarch 56/73 Installing : perl-vars-1.05-497.fc39.noarch 57/73 Installing : perl-Getopt-Long-1:2.54-2.fc38.noarch 58/73 Installing : perl-Carp-1.54-1.fc39.noarch 59/73 Installing : perl-Exporter-5.77-490.fc38.noarch 60/73 Installing : perl-PathTools-3.89-1.fc39.ppc64le 61/73 Installing : perl-DynaLoader-1.52-497.fc39.ppc64le 62/73 Installing : perl-Encode-4:3.19-493.fc38.ppc64le 63/73 Installing : perl-libs-4:5.36.1-497.fc39.ppc64le 64/73 Installing : perl-interpreter-4:5.36.1-497.fc39.ppc64le 65/73 Installing : perl-Error-1:0.17029-12.fc39.noarch 66/73 Installing : perl-File-Find-1.40-497.fc39.noarch 67/73 Installing : perl-TermReadKey-2.38-16.fc38.ppc64le 68/73 Installing : perl-lib-0.65-497.fc39.ppc64le 69/73 Installing : perl-Git-2.41.0-1.fc39.noarch 70/73 Installing : git-2.41.0-1.fc39.ppc64le 71/73 Installing : python3-devel-3.12.0~b3-2.fc39.ppc64le 72/73 Installing : python3-setuptools-67.7.2-5.fc39.noarch 73/73 Running scriptlet: python3-setuptools-67.7.2-5.fc39.noarch 73/73 Verifying : expat-2.5.0-2.fc38.ppc64le 1/73 Verifying : git-2.41.0-1.fc39.ppc64le 2/73 Verifying : git-core-2.41.0-1.fc39.ppc64le 3/73 Verifying : git-core-doc-2.41.0-1.fc39.noarch 4/73 Verifying : groff-base-1.22.4-11.fc38.ppc64le 5/73 Verifying : less-633-1.fc39.ppc64le 6/73 Verifying : libb2-0.98.1-8.fc38.ppc64le 7/73 Verifying : libcbor-0.10.2-1.fc39.ppc64le 8/73 Verifying : libedit-3.1-45.20221030cvs.fc38.ppc64le 9/73 Verifying : libfido2-1.13.0-2.fc39.ppc64le 10/73 Verifying : mpdecimal-2.5.1-6.fc38.ppc64le 11/73 Verifying : ncurses-6.4-5.20230520.fc39.ppc64le 12/73 Verifying : openssh-9.3p1-3.fc39.ppc64le 13/73 Verifying : openssh-clients-9.3p1-3.fc39.ppc64le 14/73 Verifying : perl-Carp-1.54-1.fc39.noarch 15/73 Verifying : perl-Class-Struct-0.66-497.fc39.noarch 16/73 Verifying : perl-DynaLoader-1.52-497.fc39.ppc64le 17/73 Verifying : perl-Encode-4:3.19-493.fc38.ppc64le 18/73 Verifying : perl-Errno-1.36-497.fc39.ppc64le 19/73 Verifying : perl-Error-1:0.17029-12.fc39.noarch 20/73 Verifying : perl-Exporter-5.77-490.fc38.noarch 21/73 Verifying : perl-Fcntl-1.15-497.fc39.ppc64le 22/73 Verifying : perl-File-Basename-2.85-497.fc39.noarch 23/73 Verifying : perl-File-Find-1.40-497.fc39.noarch 24/73 Verifying : perl-File-Path-2.18-490.fc38.noarch 25/73 Verifying : perl-File-Temp-1:0.231.100-490.fc38.noarch 26/73 Verifying : perl-File-stat-1.12-497.fc39.noarch 27/73 Verifying : perl-Getopt-Long-1:2.54-2.fc38.noarch 28/73 Verifying : perl-Getopt-Std-1.13-497.fc39.noarch 29/73 Verifying : perl-Git-2.41.0-1.fc39.noarch 30/73 Verifying : perl-HTTP-Tiny-0.086-1.fc39.noarch 31/73 Verifying : perl-IO-1.50-497.fc39.ppc64le 32/73 Verifying : perl-IPC-Open3-1.22-497.fc39.noarch 33/73 Verifying : perl-MIME-Base64-3.16-490.fc38.ppc64le 34/73 Verifying : perl-POSIX-2.03-497.fc39.ppc64le 35/73 Verifying : perl-PathTools-3.89-1.fc39.ppc64le 36/73 Verifying : perl-Pod-Escapes-1:1.07-490.fc38.noarch 37/73 Verifying : perl-Pod-Perldoc-3.28.01-491.fc38.noarch 38/73 Verifying : perl-Pod-Simple-1:3.45-2.fc39.noarch 39/73 Verifying : perl-Pod-Usage-4:2.03-4.fc38.noarch 40/73 Verifying : perl-Scalar-List-Utils-5:1.63-491.fc39.ppc64le 41/73 Verifying : perl-SelectSaver-1.02-497.fc39.noarch 42/73 Verifying : perl-Socket-4:2.037-1.fc39.ppc64le 43/73 Verifying : perl-Storable-1:3.32-1.fc39.ppc64le 44/73 Verifying : perl-Symbol-1.09-497.fc39.noarch 45/73 Verifying : perl-Term-ANSIColor-5.01-491.fc38.noarch 46/73 Verifying : perl-Term-Cap-1.18-1.fc39.noarch 47/73 Verifying : perl-TermReadKey-2.38-16.fc38.ppc64le 48/73 Verifying : perl-Text-ParseWords-3.31-490.fc38.noarch 49/73 Verifying : perl-Text-Tabs+Wrap-2023.0511-1.fc39.noarch 50/73 Verifying : perl-Time-Local-2:1.350-1.fc39.noarch 51/73 Verifying : perl-constant-1.33-492.fc39.noarch 52/73 Verifying : perl-if-0.61.000-497.fc39.noarch 53/73 Verifying : perl-interpreter-4:5.36.1-497.fc39.ppc64le 54/73 Verifying : perl-lib-0.65-497.fc39.ppc64le 55/73 Verifying : perl-libs-4:5.36.1-497.fc39.ppc64le 56/73 Verifying : perl-locale-1.10-497.fc39.noarch 57/73 Verifying : perl-mro-1.26-497.fc39.ppc64le 58/73 Verifying : perl-overload-1.35-497.fc39.noarch 59/73 Verifying : perl-overloading-0.02-497.fc39.noarch 60/73 Verifying : perl-parent-1:0.241-1.fc39.noarch 61/73 Verifying : perl-podlators-1:5.01-2.fc38.noarch 62/73 Verifying : perl-vars-1.05-497.fc39.noarch 63/73 Verifying : pyproject-rpm-macros-1.9.0-1.fc39.noarch 64/73 Verifying : python-pip-wheel-23.1.2-2.fc39.noarch 65/73 Verifying : python-rpm-macros-3.12-1.fc39.noarch 66/73 Verifying : python3-3.12.0~b3-2.fc39.ppc64le 67/73 Verifying : python3-devel-3.12.0~b3-2.fc39.ppc64le 68/73 Verifying : python3-libs-3.12.0~b3-2.fc39.ppc64le 69/73 Verifying : python3-packaging-23.1-3.fc39.noarch 70/73 Verifying : python3-rpm-generators-14-6.fc39.noarch 71/73 Verifying : python3-rpm-macros-3.12-1.fc39.noarch 72/73 Verifying : python3-setuptools-67.7.2-5.fc39.noarch 73/73 Installed: expat-2.5.0-2.fc38.ppc64le git-2.41.0-1.fc39.ppc64le git-core-2.41.0-1.fc39.ppc64le git-core-doc-2.41.0-1.fc39.noarch groff-base-1.22.4-11.fc38.ppc64le less-633-1.fc39.ppc64le libb2-0.98.1-8.fc38.ppc64le libcbor-0.10.2-1.fc39.ppc64le libedit-3.1-45.20221030cvs.fc38.ppc64le libfido2-1.13.0-2.fc39.ppc64le mpdecimal-2.5.1-6.fc38.ppc64le ncurses-6.4-5.20230520.fc39.ppc64le openssh-9.3p1-3.fc39.ppc64le openssh-clients-9.3p1-3.fc39.ppc64le perl-Carp-1.54-1.fc39.noarch perl-Class-Struct-0.66-497.fc39.noarch perl-DynaLoader-1.52-497.fc39.ppc64le perl-Encode-4:3.19-493.fc38.ppc64le perl-Errno-1.36-497.fc39.ppc64le perl-Error-1:0.17029-12.fc39.noarch perl-Exporter-5.77-490.fc38.noarch perl-Fcntl-1.15-497.fc39.ppc64le perl-File-Basename-2.85-497.fc39.noarch perl-File-Find-1.40-497.fc39.noarch perl-File-Path-2.18-490.fc38.noarch perl-File-Temp-1:0.231.100-490.fc38.noarch perl-File-stat-1.12-497.fc39.noarch perl-Getopt-Long-1:2.54-2.fc38.noarch perl-Getopt-Std-1.13-497.fc39.noarch perl-Git-2.41.0-1.fc39.noarch perl-HTTP-Tiny-0.086-1.fc39.noarch perl-IO-1.50-497.fc39.ppc64le perl-IPC-Open3-1.22-497.fc39.noarch perl-MIME-Base64-3.16-490.fc38.ppc64le perl-POSIX-2.03-497.fc39.ppc64le perl-PathTools-3.89-1.fc39.ppc64le perl-Pod-Escapes-1:1.07-490.fc38.noarch perl-Pod-Perldoc-3.28.01-491.fc38.noarch perl-Pod-Simple-1:3.45-2.fc39.noarch perl-Pod-Usage-4:2.03-4.fc38.noarch perl-Scalar-List-Utils-5:1.63-491.fc39.ppc64le perl-SelectSaver-1.02-497.fc39.noarch perl-Socket-4:2.037-1.fc39.ppc64le perl-Storable-1:3.32-1.fc39.ppc64le perl-Symbol-1.09-497.fc39.noarch perl-Term-ANSIColor-5.01-491.fc38.noarch perl-Term-Cap-1.18-1.fc39.noarch perl-TermReadKey-2.38-16.fc38.ppc64le perl-Text-ParseWords-3.31-490.fc38.noarch perl-Text-Tabs+Wrap-2023.0511-1.fc39.noarch perl-Time-Local-2:1.350-1.fc39.noarch perl-constant-1.33-492.fc39.noarch perl-if-0.61.000-497.fc39.noarch perl-interpreter-4:5.36.1-497.fc39.ppc64le perl-lib-0.65-497.fc39.ppc64le perl-libs-4:5.36.1-497.fc39.ppc64le perl-locale-1.10-497.fc39.noarch perl-mro-1.26-497.fc39.ppc64le perl-overload-1.35-497.fc39.noarch perl-overloading-0.02-497.fc39.noarch perl-parent-1:0.241-1.fc39.noarch perl-podlators-1:5.01-2.fc38.noarch perl-vars-1.05-497.fc39.noarch pyproject-rpm-macros-1.9.0-1.fc39.noarch python-pip-wheel-23.1.2-2.fc39.noarch python-rpm-macros-3.12-1.fc39.noarch python3-3.12.0~b3-2.fc39.ppc64le python3-devel-3.12.0~b3-2.fc39.ppc64le python3-libs-3.12.0~b3-2.fc39.ppc64le python3-packaging-23.1-3.fc39.noarch python3-rpm-generators-14-6.fc39.noarch python3-rpm-macros-3.12-1.fc39.noarch python3-setuptools-67.7.2-5.fc39.noarch Complete! Finish: build setup for litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.src.rpm Start: rpmbuild litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.src.rpm warning: %patchN is deprecated (1 usages found), use %patch N (or %patch -P N) Building target platforms: ppc64le Building for target ppc64le setting SOURCE_DATE_EPOCH=1637193600 Executing(%prep): /bin/sh -e /var/tmp/rpm-tmp.7HL0IA + umask 022 + cd /builddir/build/BUILD + cd /builddir/build/BUILD + rm -rf litex-pythondata-cpu-blackparrot + /usr/bin/mkdir -p litex-pythondata-cpu-blackparrot + cd litex-pythondata-cpu-blackparrot + /usr/bin/mkdir -p SPECPARTS + /usr/bin/chmod -Rf a+rX,u+w,g-w,o-w . + find /builddir/build/BUILD -name SPECPARTS -exec rm -rf '{}' + + git clone --depth 1 -n -b master https://github.com/litex-hub/pythondata-cpu-blackparrot.git . Cloning into '.'... + git fetch --depth 1 origin ba50883f12d33e1d834640640c84ddc9329bb68a From https://github.com/litex-hub/pythondata-cpu-blackparrot * branch ba50883f12d33e1d834640640c84ddc9329bb68a -> FETCH_HEAD + git reset --hard ba50883f12d33e1d834640640c84ddc9329bb68a HEAD is now at ba50883 Update CSR location in LiteX memory layout + git log --format=fuller commit ba50883f12d33e1d834640640c84ddc9329bb68a Author: developandplay <34752929+developandplay@users.noreply.github.com> AuthorDate: Sun Sep 26 19:02:04 2021 +0200 Commit: developandplay <34752929+developandplay@users.noreply.github.com> CommitDate: Sun Sep 26 19:02:04 2021 +0200 Update CSR location in LiteX memory layout Patch #0 (pythondata-cpu-blackparrot.patch): + echo 'Patch #0 (pythondata-cpu-blackparrot.patch):' + /usr/bin/patch --no-backup-if-mismatch -f -p1 --fuzz=0 patching file pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py/checker.py patching file pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/software/py/ptgen.py patching file pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_scatter_gather.py patching file pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_ascii_to_rom.py patching file pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_round_robin_arb.py patching file pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_mesh_to_ring_stitch.py patching file pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/generate_tb.py patching file pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_rf_gen.py patching file pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_dff_gen.py patching file pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_gate_stack_gen.py patching file pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_mux_gen.py patching file pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_reduce_gen.py patching file pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_dataflow/bsg_fifo_shift_gen.py patching file pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_rf_gen.py patching file pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_dff_gen.py patching file pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_gate_stack_gen.py patching file pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_and_csa_gen.py patching file pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_booth_4_block_gen_cornice.py patching file pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_booth_4_block_gen_end_cornice.py patching file pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_booth_4_block_gen.py patching file pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_comp42_gen.py patching file pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mux_gen.py patching file pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_reduce_gen.py patching file pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_rf_gen.py patching file pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_dff_gen.py patching file pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_gate_stack_gen.py patching file pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_and_csa_gen.py patching file pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_booth_4_block_gen_cornice.py patching file pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_booth_4_block_gen_end_cornice.py patching file pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_booth_4_block_gen.py patching file pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_comp42_gen.py patching file pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mux_gen.py patching file pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_reduce_gen.py + RPM_EC=0 ++ jobs -p + exit 0 Executing(%build): /bin/sh -e /var/tmp/rpm-tmp.pBq9oA + umask 022 + cd /builddir/build/BUILD + CFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection ' + export CFLAGS + CXXFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection ' + export CXXFLAGS + FFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -I/usr/lib64/gfortran/modules ' + export FFLAGS + FCFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -I/usr/lib64/gfortran/modules ' + export FCFLAGS + VALAFLAGS=-g + export VALAFLAGS + RUSTFLAGS='-Copt-level=3 -Cdebuginfo=2 -Ccodegen-units=1 -Clink-arg=-Wl,-z,relro -Clink-arg=-Wl,-z,now -Clink-arg=-specs=/usr/lib/rpm/redhat/redhat-package-notes --cap-lints=warn' + export RUSTFLAGS + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes ' + export LDFLAGS + LT_SYS_LIBRARY_PATH=/usr/lib64: + export LT_SYS_LIBRARY_PATH + CC=gcc + export CC + CXX=g++ + export CXX + cd litex-pythondata-cpu-blackparrot + CFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection ' + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes ' + /usr/bin/python3 setup.py build '--executable=/usr/bin/python3 -sP' running build running build_py creating build creating build/lib creating build/lib/pythondata_cpu_blackparrot copying pythondata_cpu_blackparrot/__init__.py -> build/lib/pythondata_cpu_blackparrot running egg_info creating pythondata_cpu_blackparrot.egg-info writing pythondata_cpu_blackparrot.egg-info/PKG-INFO writing dependency_links to pythondata_cpu_blackparrot.egg-info/dependency_links.txt writing top-level names to pythondata_cpu_blackparrot.egg-info/top_level.txt writing manifest file 'pythondata_cpu_blackparrot.egg-info/SOURCES.txt' reading manifest file 'pythondata_cpu_blackparrot.egg-info/SOURCES.txt' reading manifest template 'MANIFEST.in' warning: no previously-included files matching '*.py[cod]' found anywhere in distribution adding license file 'LICENSE' writing manifest file 'pythondata_cpu_blackparrot.egg-info/SOURCES.txt' /usr/lib/python3.12/site-packages/setuptools/command/build_py.py:201: _Warning: Package 'pythondata_cpu_blackparrot.system_verilog' is absent from the `packages` configuration. !! ******************************************************************************** ############################ # Package would be ignored # ############################ Python recognizes 'pythondata_cpu_blackparrot.system_verilog' as an importable package[^1], but it is absent from setuptools' `packages` configuration. This leads to an ambiguous overall configuration. If you want to distribute this package, please make sure that 'pythondata_cpu_blackparrot.system_verilog' is explicitly added to the `packages` configuration field. Alternatively, you can also rely on setuptools' discovery methods (for example by using `find_namespace_packages(...)`/`find_namespace:` instead of `find_packages(...)`/`find:`). You can read more about "package discovery" on setuptools documentation page: - https://setuptools.pypa.io/en/latest/userguide/package_discovery.html If you don't want 'pythondata_cpu_blackparrot.system_verilog' to be distributed and are already explicitly excluding 'pythondata_cpu_blackparrot.system_verilog' via `find_namespace_packages(...)/find_namespace` or `find_packages(...)/find`, you can try to use `exclude_package_data`, or `include-package-data=False` in combination with a more fine grained `package-data` configuration. You can read more about "package data files" on setuptools documentation page: - https://setuptools.pypa.io/en/latest/userguide/datafiles.html [^1]: For Python, any directory (with suitable naming) can be imported, even if it does not contain any `.py` files. On the other hand, currently there is no concept of package data directory, all directories are treated like packages. ******************************************************************************** !! check.warn(importable) /usr/lib/python3.12/site-packages/setuptools/command/build_py.py:201: _Warning: Package 'pythondata_cpu_blackparrot.system_verilog.bp_litex' is absent from the `packages` configuration. !! ******************************************************************************** ############################ # Package would be ignored # ############################ Python recognizes 'pythondata_cpu_blackparrot.system_verilog.bp_litex' as an importable package[^1], but it is absent from setuptools' `packages` configuration. This leads to an ambiguous overall configuration. If you want to distribute this package, please make sure that 'pythondata_cpu_blackparrot.system_verilog.bp_litex' is explicitly added to the `packages` configuration field. Alternatively, you can also rely on setuptools' discovery methods (for example by using `find_namespace_packages(...)`/`find_namespace:` instead of `find_packages(...)`/`find:`). You can read more about "package discovery" on setuptools documentation page: - https://setuptools.pypa.io/en/latest/userguide/package_discovery.html If you don't want 'pythondata_cpu_blackparrot.system_verilog.bp_litex' to be distributed and are already explicitly excluding 'pythondata_cpu_blackparrot.system_verilog.bp_litex' via `find_namespace_packages(...)/find_namespace` or `find_packages(...)/find`, you can try to use `exclude_package_data`, or `include-package-data=False` in combination with a more fine grained `package-data` configuration. You can read more about "package data files" on setuptools documentation page: - https://setuptools.pypa.io/en/latest/userguide/datafiles.html [^1]: For Python, any directory (with suitable naming) can be imported, even if it does not contain any `.py` files. On the other hand, currently there is no concept of package data directory, all directories are treated like packages. ******************************************************************************** !! check.warn(importable) /usr/lib/python3.12/site-packages/setuptools/command/build_py.py:201: _Warning: Package 'pythondata_cpu_blackparrot.system_verilog.bp_litex.fpga' is absent from the `packages` configuration. !! ******************************************************************************** ############################ # Package would be ignored # ############################ Python recognizes 'pythondata_cpu_blackparrot.system_verilog.bp_litex.fpga' as an importable package[^1], but it is absent from setuptools' `packages` configuration. This leads to an ambiguous overall configuration. If you want to distribute this package, please make sure that 'pythondata_cpu_blackparrot.system_verilog.bp_litex.fpga' is explicitly added to the `packages` configuration field. Alternatively, you can also rely on setuptools' discovery methods (for example by using `find_namespace_packages(...)`/`find_namespace:` instead of `find_packages(...)`/`find:`). You can read more about "package discovery" on setuptools documentation page: - https://setuptools.pypa.io/en/latest/userguide/package_discovery.html If you don't want 'pythondata_cpu_blackparrot.system_verilog.bp_litex.fpga' to be distributed and are already explicitly excluding 'pythondata_cpu_blackparrot.system_verilog.bp_litex.fpga' via `find_namespace_packages(...)/find_namespace` or `find_packages(...)/find`, you can try to use `exclude_package_data`, or `include-package-data=False` in combination with a more fine grained `package-data` configuration. You can read more about "package data files" on setuptools documentation page: - https://setuptools.pypa.io/en/latest/userguide/datafiles.html [^1]: For Python, any directory (with suitable naming) can be imported, even if it does not contain any `.py` files. On the other hand, currently there is no concept of package data directory, all directories are treated like packages. ******************************************************************************** !! check.warn(importable) /usr/lib/python3.12/site-packages/setuptools/command/build_py.py:201: _Warning: Package 'pythondata_cpu_blackparrot.system_verilog.bp_litex.simulation' is absent from the `packages` configuration. !! ******************************************************************************** ############################ # Package would be ignored # ############################ Python recognizes 'pythondata_cpu_blackparrot.system_verilog.bp_litex.simulation' as an importable package[^1], but it is absent from setuptools' `packages` configuration. This leads to an ambiguous overall configuration. If you want to distribute this package, please make sure that 'pythondata_cpu_blackparrot.system_verilog.bp_litex.simulation' is explicitly added to the `packages` configuration field. Alternatively, you can also rely on setuptools' discovery methods (for example by using `find_namespace_packages(...)`/`find_namespace:` instead of `find_packages(...)`/`find:`). You can read more about "package discovery" on setuptools documentation page: - https://setuptools.pypa.io/en/latest/userguide/package_discovery.html If you don't want 'pythondata_cpu_blackparrot.system_verilog.bp_litex.simulation' to be distributed and are already explicitly excluding 'pythondata_cpu_blackparrot.system_verilog.bp_litex.simulation' via `find_namespace_packages(...)/find_namespace` or `find_packages(...)/find`, you can try to use `exclude_package_data`, or `include-package-data=False` in combination with a more fine grained `package-data` configuration. You can read more about "package data files" on setuptools documentation page: - https://setuptools.pypa.io/en/latest/userguide/datafiles.html [^1]: For Python, any directory (with suitable naming) can be imported, even if it does not contain any `.py` files. On the other hand, currently there is no concept of package data directory, all directories are treated like packages. ******************************************************************************** !! check.warn(importable) creating build/lib/pythondata_cpu_blackparrot/system_verilog creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot copying pythondata_cpu_blackparrot/system_verilog/black-parrot/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot copying pythondata_cpu_blackparrot/system_verilog/black-parrot/.gitlab-ci.yml -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot copying pythondata_cpu_blackparrot/system_verilog/black-parrot/CONTRIBUTING.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot copying pythondata_cpu_blackparrot/system_verilog/black-parrot/LICENSE -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot copying pythondata_cpu_blackparrot/system_verilog/black-parrot/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot copying pythondata_cpu_blackparrot/system_verilog/black-parrot/Makefile.common -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot copying pythondata_cpu_blackparrot/system_verilog/black-parrot/Makefile.tools -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot copying pythondata_cpu_blackparrot/system_verilog/black-parrot/README.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/.github copying pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/PULL_REQUEST_TEMPLATE.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/.github creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/ISSUE_TEMPLATE copying pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/ISSUE_TEMPLATE/bug_report.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/ISSUE_TEMPLATE copying pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/ISSUE_TEMPLATE/config.yml -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/ISSUE_TEMPLATE copying pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/ISSUE_TEMPLATE/feature_request.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/ISSUE_TEMPLATE copying pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/ISSUE_TEMPLATE/informational.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/ISSUE_TEMPLATE creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/README.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include/bp_be_ctl_pkgdef.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include/bp_be_dcache_defines.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include/bp_be_dcache_pkgdef.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include/bp_be_defines.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include/bp_be_pkg.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_top.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_calculator_top.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_csr.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_fp_to_rec.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_pipe_aux.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_pipe_ctl.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_pipe_fma.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_pipe_int.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_pipe_long.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_pipe_mem.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_pipe_sys.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_ptw.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_rec_to_fp.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker/bp_be_cmd_queue.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker/bp_be_detector.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker/bp_be_director.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker/bp_be_instr_decoder.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker/bp_be_issue_queue.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker/bp_be_regfile.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker/bp_be_scheduler.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker/bp_be_scoreboard.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_dcache copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_dcache/bp_be_dcache.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_dcache copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_dcache/bp_be_dcache_decoder.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_dcache copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_dcache/bp_be_dcache_wbuf.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_dcache creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/syn copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/syn/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/syn copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/syn/coverage_hier.vcs -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/syn copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/syn/coverage_hier.verilator -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/syn copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/syn/flist.vcs -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/syn creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/Makefile.cfgs -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/Makefile.dc -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/Makefile.params -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/Makefile.testlist -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/Makefile.vcs -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/Makefile.verilator -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/flist.vcs -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/test_bp.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/testbench.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/trace_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/trace_script.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/wrapper.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/software creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/software/py copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/software/py/blood_graph.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/software/py copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/software/py/nbf.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/software/py copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/software/py/pc_histogram.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/software/py creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_addr_defines.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_addr_pkgdef.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_aviary_defines.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_aviary_pkgdef.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_bedrock_if.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_bedrock_pkgdef.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_cache_engine_if.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_cache_engine_pkgdef.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_cache_pkgdef.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_cfg_bus_defines.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_cfg_bus_pkgdef.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_clint_pkgdef.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_core_if.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_core_pkgdef.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_defines.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_host_pkgdef.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_log_defines.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_pkg.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_rv64_csr_defines.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_rv64_instr_defines.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_rv64_pkgdef.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bp_mmu.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bp_pma.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bp_tlb.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_async_noc_link.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_bus_pack.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_cache_dma_to_wormhole.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_dff_reset_half.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_fifo_1r1w_rolly.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_parallel_in_serial_out_passthrough_dynamic.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_rom_param.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_serial_in_parallel_out_passthrough_dynamic.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_wormhole_stream_control.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_wormhole_stream_in.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_wormhole_stream_out.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_wormhole_to_cache_dma_fanout.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/Makefile.common -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/Makefile.dc -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/Makefile.surelog -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/Makefile.sv2v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/Makefile.vcs -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/Makefile.verilator -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/Makefile.vivado -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/flist.vcs -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/tcl copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/tcl/dc_elab.tcl -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/tcl copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/tcl/vivado_synth.tcl -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/tcl creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v/bsg_mem_1r1w_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v/bsg_mem_1rw_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v/bsg_mem_1rw_sync_mask_write_bit.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v/bsg_mem_1rw_sync_mask_write_byte.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v/bsg_mem_2r1w_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v/bsg_mem_3r1w_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/README.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/schm copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/schm/BlackParrot_FE_icache.svg -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/schm copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/schm/BlackParrot_FE_pcgen.svg -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/schm copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/schm/BlackParrot_FE_top.svg -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/schm creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include/bp_fe_decompress.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include/bp_fe_defines.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include/bp_fe_icache_defines.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include/bp_fe_icache_pkgdef.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include/bp_fe_pkg.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v/bp_fe_bht.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v/bp_fe_btb.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v/bp_fe_icache.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v/bp_fe_instr_scan.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v/bp_fe_pc_gen.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v/bp_fe_top.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn/coverage_hier.vcs -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn/coverage_hier.verilator -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn/flist.vcs -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn/test.lst -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/Makefile.cfgs -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/Makefile.dc -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/Makefile.frag -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/Makefile.params -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/Makefile.testlist -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/Makefile.vcs -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/Makefile.verilator -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/flist.vcs -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/test_bp.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/testbench.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/trace_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/trace_script.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/wrapper.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/README.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py/axe_trace_filter.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py/c_array_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py/checker.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py/npa_addr_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py/trace_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/scripts copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/scripts/build.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/scripts copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/scripts/run.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/scripts creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include/bp_me_axi_pkgdef.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include/bp_me_cache_defines.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include/bp_me_cce_defines.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include/bp_me_cce_inst_defines.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include/bp_me_cce_inst_pkgdef.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include/bp_me_defines.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include/bp_me_pkg.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include/bp_me_wormhole_defines.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cache copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cache/bp_me_cce_to_cache.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cache creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_alu.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_arbitrate.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_branch.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_dir.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_dir_lru_extract.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_dir_segment.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_dir_tag_checker.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_fsm.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_fsm_top.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_gad.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_inst_decode.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_inst_predecode.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_inst_ram.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_inst_stall.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_msg.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_pending_bits.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_pma.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_reg.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_spec_bits.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_src_sel.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_wrapper.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_io_cce.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_uce.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/dev copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/dev/bp_me_bedrock_register.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/dev copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/dev/bp_me_cfg.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/dev copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/dev/bp_me_clint_slice.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/dev copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/dev/bp_me_loopback.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/dev creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/lce copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/lce/bp_lce.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/lce copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/lce/bp_lce_cmd.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/lce copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/lce/bp_lce_req.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/lce creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/network copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/network/axi_fifo.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/network copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/network/axi_lite_to_bp_lite_client.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/network copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/network/bp_lite_to_axi_lite_master.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/network creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_burst_to_lite.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_lite_to_burst.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_lite_to_stream.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_addr_to_cce_id.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_cce_id_to_cord.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_cce_to_mem_link_bidir.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_cce_to_mem_link_client.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_cce_to_mem_link_master.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_cord_to_id.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_lce_id_to_cord.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_wormhole_packet_encode_lce_cmd.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_wormhole_packet_encode_lce_req.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_wormhole_packet_encode_lce_resp.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_wormhole_packet_encode_mem_cmd.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_wormhole_packet_encode_mem_resp.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_stream_to_lite.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/syn copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/syn/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/syn copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/syn/coverage_hier.vcs -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/syn copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/syn/coverage_hier.verilator -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/syn copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/syn/flist.vcs -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/syn creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_cce_dir_nonsynth_tracer.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_cce_mmio_cfg_loader.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_ddr.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_me_nonsynth_cce_dir_tracer.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_me_nonsynth_cce_tracer.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_me_nonsynth_lce_tr_tracer.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_me_nonsynth_lce_tracer.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_me_nonsynth_mock_lce.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_me_nonsynth_mock_lce_tag_lookup.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_me_nonsynth_pkg.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_mem_nonsynth_tracer.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_nonsynth_dram.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_nonsynth_mem.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bsg_trace_node_master.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/examples copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/examples/README.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/examples copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/examples/mesi.m -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/examples copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/examples/moesi.m -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/examples copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/examples/msi.m -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/examples creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/protocols copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/protocols/README.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/protocols copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/protocols/mesi.m -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/protocols creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/Makefile.cfgs -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/Makefile.dc -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/Makefile.params -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/Makefile.testlist -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/Makefile.vcs -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/Makefile.verilator -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/bsg_trace_rom.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/flist.vcs -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/test_bp.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/test_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/test_memory.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/testbench.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/wrapper.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/software creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/software/py copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/software/py/ptgen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/software/py creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/include copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/include/bp_top_defines.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/include copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/include/bp_top_pkg.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/include creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_cacc_complex.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_cacc_tile.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_cacc_tile_node.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_cacc_vdp.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_core.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_core_complex.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_core_minimal.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_io_complex.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_io_link_to_lce.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_io_tile.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_io_tile_node.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_l2e_tile.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_l2e_tile_node.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_mem_complex.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_multicore.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_nd_socket.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_sacc_complex.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_sacc_tile.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_sacc_tile_node.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_sacc_vdp.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_tile.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_tile_node.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_unicore.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_unicore_lite.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn/coverage_hier.vcs -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn/coverage_hier.verilator -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn/flist.vcs -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn/lint_settings.verilator -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_monitor.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_branch_profiler.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_cache_tracer.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_core_profiler.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_cosim.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_host.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_if_verif.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_nbf_loader.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_pc_profiler.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_perf.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_vm_tracer.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_watchdog.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/dromajo_cosim.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.cfgs -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.dc -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.params -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.surelog -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.sv2v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.testlist -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.vcs -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.verilator -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.vivado -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/design.xdc -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/flist.vcs -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/hello_world.dump -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/hello_world.mem -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/hello_world.riscv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/test_bp.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/testbench.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/wrapper.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci copying pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/bloodgraph.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci copying pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/bootrom.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci copying pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/check_design.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci copying pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/check_loops.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci copying pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/checkpoint.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci copying pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/dcache_regress.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci copying pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/dram.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci copying pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/example_job.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci copying pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/icache_regress.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci copying pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/l2e_config.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci copying pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/lint.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci copying pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/linux.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci copying pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/me_regress.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci copying pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/multicore.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci copying pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/single_core_atomics.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci copying pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/single_core_testlist.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci copying pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/surelog.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci copying pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/sv2v.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci copying pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/synth_vivado.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci copying pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/tire_kick.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci copying pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/weird_config.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/backend_guide.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/bedrock.png -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/bedrock_guide.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/bedrock_microcode_table.pdf -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/bedrock_uarch_guide.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/bp_logo.png -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/cce_micro.png -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/core_micro.png -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/debug_boot.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/debug_cache.png -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/debug_cfgbus.png -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/debug_dma.png -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/debug_freeze.png -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/debug_io.png -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/debug_rf.png -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/eval_guide.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/interface_specification.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/issue_bug.png -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/issue_feature.png -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/issue_info.png -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/microarchitecture_guide.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/platform_guide.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/style_guide.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/testbench_guide.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/tile_taxonomy.png -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/COPYING.txt -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/README.html -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/README.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/README.txt -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/doc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/doc/HardFloat-Verilog.html -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/doc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/doc/HardFloat-test-Verilator.html -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/doc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/doc/HardFloat-test-Verilog.html -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/doc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/doc/fma.svg -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/doc creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/HardFloat_consts.vi -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/HardFloat_localFuncs.vi -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/HardFloat_primitives.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/HardFloat_rawFN.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/addRecFN.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/bsg_hardfloat_pkg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/compareRecFN.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/divSqrtRecFN_small.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/fNToRecFN.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/iNToRecFN.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/isSigNaNRecFN.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/mulAddRecFN.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/mulRecFN.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/recFNToFN.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/recFNToIN.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/recFNToRecFN.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/8086-SSE copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/8086-SSE/HardFloat_specialize.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/8086-SSE copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/8086-SSE/HardFloat_specialize.vi -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/8086-SSE creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/ARM-VFPv2 copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/ARM-VFPv2/HardFloat_specialize.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/ARM-VFPv2 copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/ARM-VFPv2/HardFloat_specialize.vi -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/ARM-VFPv2 creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/RISCV copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/RISCV/HardFloat_specialize.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/RISCV copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/RISCV/HardFloat_specialize.vi -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/RISCV creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/addRecFN_spec.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/compareRecFN_spec.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/divSqrtRecFN_small_spec.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/fNToRecFN_spec.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/finish_fail-IcarusVerilog.vi -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/iNToRecFN_spec.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/mulAddRecFN_spec.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/mulRecFN_spec.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/recFNToFN_spec.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/recFNToIN_spec.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/recFNToRecFN_spec.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/sameRecFN.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_addRecFN_add.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_addRecFN_sub.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_compareRecFN.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_divSqrtRecFN_small_div.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_divSqrtRecFN_small_sqrt.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_iNToRecFN.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_mulAddRecFN.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_mulAddRecFN_add.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_mulAddRecFN_mul.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_mulRecFN.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_recFNToFN.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_recFNToIN.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_recFNToRecFN.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/testCommon.c -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/testCommon.h -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_abz_recF128.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_abz_recF16.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_abz_recF32.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_abz_recF64.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_compareRecF128.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_compareRecF16.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_compareRecF32.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_compareRecF64.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_divSqrtRecF128_small_div.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_divSqrtRecF128_small_sqrt.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_divSqrtRecF16_small_div.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_divSqrtRecF16_small_sqrt.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_divSqrtRecF32_small_div.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_divSqrtRecF32_small_sqrt.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_divSqrtRecF64_small_div.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_divSqrtRecF64_small_sqrt.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_f128ToRecF128.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_f16ToRecF16.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_f32ToRecF32.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_f64ToRecF64.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_i32ToRecF128.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_i32ToRecF16.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_i32ToRecF32.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_i32ToRecF64.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_i64ToRecF128.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_i64ToRecF16.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_i64ToRecF32.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_i64ToRecF64.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_mulAddRecF128.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_mulAddRecF16.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_mulAddRecF32.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_mulAddRecF64.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF128ToF128.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF128ToI32.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF128ToI64.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF128ToRecF16.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF128ToRecF32.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF128ToRecF64.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF128ToUi32.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF128ToUi64.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF16ToF16.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF16ToI32.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF16ToI64.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF16ToRecF128.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF16ToRecF32.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF16ToRecF64.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF16ToUi32.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF16ToUi64.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF32ToF32.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF32ToI32.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF32ToI64.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF32ToRecF128.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF32ToRecF16.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF32ToRecF64.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF32ToUi32.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF32ToUi64.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF64ToF64.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF64ToI32.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF64ToI64.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF64ToRecF128.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF64ToRecF16.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF64ToRecF32.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF64ToUi32.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF64ToUi64.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_ui32ToRecF128.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_ui32ToRecF16.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_ui32ToRecF32.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_ui32ToRecF64.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_ui64ToRecF128.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_ui64ToRecF16.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_ui64ToRecF32.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_ui64ToRecF64.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/.gitmodules -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/LICENSE -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/README.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/README_contributing -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async/bsg_async_credit_counter.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async/bsg_async_fifo.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async/bsg_async_ptr_gray.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async/bsg_launch_sync_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async/bsg_sync_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache.vh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_decode.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_dma.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_miss.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_data_mem.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_decode.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_dma.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_mhu.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_miss_fifo.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_pkg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_stat_mem.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_tag_mem.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_tl_stage.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_pkg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_sbuf.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_sbuf_queue.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_axi.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_axi_rx.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_axi_tx.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_dram_ctrl.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_dram_ctrl_rx.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_dram_ctrl_tx.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_test_dram.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_test_dram_rx.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_test_dram_rx_reorder.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_test_dram_tx.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_nonsynth_cache_axe_tracer.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_nonsynth_mixin_motherboard.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_rocket copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_rocket/README -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_rocket copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_rocket/bsg_chip_rocket.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_rocket copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_rocket/bsg_nonsynth_chipset_rocket_fsb.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_rocket copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_rocket/bsg_rocket_core_fsb.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_rocket creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen/bsg_clk_gen.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen/bsg_clk_gen.vh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen/bsg_clk_gen_osc.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen/bsg_dly_line.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen/bsg_dram_clk_gen.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen/bsg_edge_balanced_mux4.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen/bsg_nonsynth_clk_watcher.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/README.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_assembler_in.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_assembler_out.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_comm_link.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_comm_link.vh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_source_sync_channel_control_master.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_source_sync_channel_control_master_master.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_source_sync_channel_control_slave.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_source_sync_input.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_source_sync_output.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/test_bsg_comm_link_checker.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip/README -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip/bsg_comm_link_master_calib_skip_rom.trace.in -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip/bsg_comm_link_master_calib_skip_rom.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip/bsg_source_sync_channel_control_master.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip/bsg_source_sync_channel_control_master_master.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/README -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_assembler copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_assembler/test_bsg_assembler.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_assembler creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_comm_link copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_comm_link/test_bsg_comm_link.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_comm_link creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_source_sync copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_source_sync/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_source_sync copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_source_sync/test_bsg_source_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_source_sync creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_1_to_n_tagged.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_1_to_n_tagged_fifo.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_1_to_n_tagged_fifo_shared.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_8b10b_decode_comb.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_8b10b_encode_comb.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_8b10b_shift_decoder.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_channel_narrow.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_channel_tunnel.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_channel_tunnel_in.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_channel_tunnel_out.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_channel_tunnel_wormhole.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_compare_and_swap.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_credit_to_token.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1r1w_large.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1r1w_large_banked.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1r1w_narrowed.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1r1w_pseudo_large.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1r1w_small.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1r1w_small_credit_on_input.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1r1w_small_hardened.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1r1w_small_unhardened.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1rw_large.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_bypass.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_reorder.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_shift_datapath.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_tracker.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_flatten_2D_array.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_flow_convert.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_flow_counter.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_make_2D_array.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_one_fifo.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_parallel_in_serial_out.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_parallel_in_serial_out_dynamic.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_parallel_in_serial_out_passthrough.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_permute_box.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_ready_to_credit_flow_converter.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_relay_fifo.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_round_robin_1_to_n.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_round_robin_2_to_2.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_round_robin_fifo_to_fifo.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_round_robin_n_to_1.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_sbox.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_scatter_gather.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_scatter_gather.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_serial_in_parallel_out.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_serial_in_parallel_out_dynamic.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_serial_in_parallel_out_full.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_serial_in_parallel_out_passthrough.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_shift_reg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_sort_4.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_sort_stable.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_two_buncher.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_two_fifo.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc/README.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc/bsg_dmc.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc/bsg_dmc_clk_rst_gen.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc/bsg_dmc_controller.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc/bsg_dmc_phy.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc/bsg_dmc_pkg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/README.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_add_sub.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_classify.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_clz.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_cmp.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_defines.vh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_f2i.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_i2f.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_mul.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_preprocess.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_sticky.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_front_side_bus_hop_in.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_front_side_bus_hop_in_no_fc.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_front_side_bus_hop_out.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_fsb.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_fsb_murn_gateway.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_fsb_node_async_buffer.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_fsb_node_level_shift_fsb_domain.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_fsb_node_level_shift_node_domain.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_fsb_node_trace_replay.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_fsb_pkg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_nonsynth_fsb_node_trace_replay.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_legacy copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_legacy/bsg_murn_converter.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_legacy creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_ddr_downstream.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_ddr_upstream.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_iddr_phy.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_isdr_phy.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_oddr_phy.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_osdr_phy.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_osdr_phy_phase_align.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_sdr.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_sdr_downstream.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_sdr_upstream.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_source_sync_downstream.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_source_sync_upstream.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_source_sync_upstream_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/bsg_hypotenuse.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/test_bsg_hypotenuse.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/c copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/c/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/c copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/c/README -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/c copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/c/sqrt.c -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/c creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/README -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_ascii_to_rom.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_cam_1r1w.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_cam_1r1w_replacement.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_cam_1r1w_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_cam_1r1w_sync_unmanaged.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_cam_1r1w_tag_array.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_cam_1r1w_unmanaged.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1r1w.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1r1w_one_hot.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1r1w_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1r1w_sync_mask_write_bit.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1r1w_sync_mask_write_bit_synth.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1r1w_sync_mask_write_var.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1r1w_sync_synth.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1r1w_synth.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_banked.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_bit_banked.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_bit_synth.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_byte.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_byte_banked.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_byte_synth.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_var.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_synth.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_2r1w.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_2r1w_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_2r1w_sync_synth.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_2r1w_synth.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_3r1w.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_3r1w_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_3r1w_sync_synth.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_3r1w_synth.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_banked_crossbar.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_dma.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_dma.hpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_multiport.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_nonsynth_mem_1r1w_sync_dma.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_nonsynth_mem_1r1w_sync_mask_write_byte_dma.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_nonsynth_mem_1rw_sync_assoc.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_assoc.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/sim copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/sim/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/sim creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src/bsg_ddr_sampler.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src/bsg_logic_analyzer.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src/bsg_mesosync_core.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src/bsg_mesosync_input.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src/bsg_mesosync_link.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src/bsg_mesosync_output.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src/config_defs.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src/definitions.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts/bsg_mesosync_link.constraints.tcl -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts/bsg_mesosync_link.dc.read_design.tcl -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts/common_setup.tcl -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts/dc.tcl -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts/dc_setup.tcl -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts/dc_setup_filenames.tcl -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/tests copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/tests/mesosynctb.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/tests copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/tests/mesosynctb_gate_level.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/tests creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_abs.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_adder_cin.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_adder_one_hot.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_adder_ripple_carry.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_and.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_arb_fixed.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_arb_round_robin.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_array_concentrate_static.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_array_reverse.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_binary_plus_one_to_gray.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_buf.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_buf_ctrl.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_circular_ptr.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_clkbuf.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_clkgate_optional.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_concentrate_static.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_clear_up.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_clear_up_one_hot.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_clock_downsample.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_dynamic_limit.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_dynamic_limit_en.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_overflow_en.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_overflow_set_en.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_set_down.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_set_en.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_up_down.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_up_down_variable.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counting_leading_zeros.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_crossbar_control_basic_o_by_i.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_crossbar_o_by_i.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_cycle_counter.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_decode.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_decode_with_v.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_defines.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_async_reset.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_chain.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_en.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_en_bypass.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_gatestack.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_negedge_reset.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_reset.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_reset_en.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_reset_en_bypass.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_reset_set_clear.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dlatch.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_edge_detect.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_encode_one_hot.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_expand_bitmask.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_gray_to_binary.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_hash_bank.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_hash_bank_reverse.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_id_pool.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_idiv_iterative.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_idiv_iterative_controller.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_imul_iterative.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_inv.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_less_than.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_level_shift_up_down_sink.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_level_shift_up_down_source.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_lfsr.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_locking_arb_fixed.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_lru_pseudo_tree_backup.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_lru_pseudo_tree_decode.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_lru_pseudo_tree_encode.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul_add_unsigned.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul_array.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul_array_row.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul_pipelined.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul_synth.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mux.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mux2_gatestack.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mux_bitwise.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mux_butterfly.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mux_one_hot.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mux_segmented.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_muxi2_gatestack.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_nand.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_nor2.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_nor3.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_pg_tree.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_popcount.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_priority_encode.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_priority_encode_one_hot_out.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_reduce.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_reduce_segmented.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_rotate_left.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_rotate_right.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_round_robin_arb.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_round_robin_arb.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_scan.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_strobe.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_swap.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_thermometer_count.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_tiehi.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_tielo.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_transpose.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_unconcentrate_static.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_wait_after_reset.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_wait_cycles.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_xnor.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_xor.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul/bsg_mul_booth_4_block.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul/bsg_mul_booth_4_block_rep.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul/bsg_mul_comp42.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul/bsg_mul_comp42_rep.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_barrier.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_mesh_router.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_mesh_router_buffered.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_mesh_router_decoder_dor.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_mesh_router_pkg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_mesh_stitch.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_mesh_to_ring_stitch.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_mesh_to_ring_stitch.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_noc_links.vh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_noc_pkg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_noc_repeater_node.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_ready_and_link_async_to_wormhole.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_router_crossbar_o_by_i.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_concentrator.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_concentrator_in.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_concentrator_out.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router.vh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router_adapter.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router_adapter_in.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router_adapter_out.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router_decoder_dor.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router_input_control.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router_output_control.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router_pkg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_hasti copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_hasti/bsg_vscale_hasti_converter.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_hasti copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_hasti/bsg_vscale_pkg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_hasti creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_htif copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_htif/bsg_fsb_to_htif_connector.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_htif creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_nasti copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_nasti/bsg_fsb_to_nasti_master_connector.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_nasti copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_nasti/bsg_fsb_to_nasti_slave_connector.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_nasti copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_nasti/bsg_nasti_pkg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_nasti creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/bsg_tag.vh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/bsg_tag_client.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/bsg_tag_client_unsync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/bsg_tag_master.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/bsg_tag_master_decentralized.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/bsg_tag_pkg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/bsg_tag_trace_replay.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/20130219.bib -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/20130219.pdf -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/20130219.tex -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/config-net.tex -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/config-node.tex -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/metastability.tex -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/nand2-in0.tex -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/nand2-in1.tex -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/nand2.tex -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/our-cdc.tex -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/relay-node.tex -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/ucsd_ccores.png -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/config_file_setter.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/config_node_bind.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/config_setter.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/config_snooper_bind.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/config_spec.in -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/generate_tb.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/send_config_tag.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src/cfgtaggw.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src/config_defs.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src/config_node.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src/config_snooper.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src/config_utils.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src/rNandMeta.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src/relay_node.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/tests creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/tests/cfgtaggw_test copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/tests/cfgtaggw_test/cfg_tag_tb.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/tests/cfgtaggw_test copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/tests/cfgtaggw_test/cfgtag.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/tests/cfgtaggw_test copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/tests/cfgtaggw_test/config_defs.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/tests/cfgtaggw_test creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_dramsim3.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_dramsim3.vh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_dramsim3_pkg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_ascii_writer.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_axi_mem.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_clock_gen.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_clock_gen_plusarg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_delay_line.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi.hpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_clock_gen.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_clock_gen.hpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_clock_gen.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_cycle_counter.hpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_cycle_counter.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_errno.hpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_fifo.hpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_from_fifo.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_gpio.hpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_gpio.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_rom.hpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_rom.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_to_fifo.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dramsim3.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dramsim3.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dramsim3_map.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dramsim3_unmap.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_ramulator_hbm.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_random_gen.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_reset_gen.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_test_rom.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_triwire.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_val_watcher_1p.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_ramulator_hbm.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_trace_replay.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/libdramsim3.mk -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/test_assembler_defines.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/test_bsg_clock_params.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/test_bsg_data_gen.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/distrib copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/distrib/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/distrib creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/docs copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/docs/BaseJump_STL_DAC_2018_Camera_Ready.pdf -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/docs copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/docs/README.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/docs creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14 copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/README.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14 creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_async copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_async/bsg_launch_sync_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_async copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_async/bsg_sync_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_async creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/README.verification -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/bsg_clk_gen_osc.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/bsg_rp_clk_gen_atomic_delay_tuner.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/bsg_rp_clk_gen_coarse_delay_tuner.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/bsg_rp_clk_gen_fine_delay_tuner.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice/fo4.sp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice/io.sp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice/osc.sp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice/setup.14.sp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice/setup.sx -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link/bsg_link_isdr_phy.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link/bsg_link_osdr_phy.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link/tcl copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link/tcl/bsg_link_ddr.constraints.tcl -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link/tcl copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link/tcl/bsg_link_sdr.constraints.tcl -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link/tcl creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_1r1w_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_1r1w_sync_macros.vh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_1rw_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_1rw_sync_macros.vh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_1rw_sync_mask_write_bit_macros.vh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_1rw_sync_mask_write_byte.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_1rw_sync_mask_write_byte_macros.vh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_2r1w_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_2r1w_sync_macros.vh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_3r1w_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_3r1w_sync_macros.vh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_rf_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_dff_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_gate_stack_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_mux.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_mux_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_reduce_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_tiehi.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_tielo.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40 creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40/bsg_mem copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40/bsg_mem/bsg_mem_1rw_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40/bsg_mem copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40/bsg_mem copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40/bsg_mem/bsg_mem_1rw_sync_mask_write_byte.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40/bsg_mem copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40/bsg_mem/bsg_mem_2r1w_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40/bsg_mem creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90 creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem/bsg_mem_1r1w.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem/bsg_mem_1r1w_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem/bsg_mem_1rw_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem/bsg_mem_1rw_sync_mask_write_byte.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_misc/bsg_clkgate_optional.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_misc/bsg_dff_gatestack.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_misc/bsg_mux2_gatestack.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_misc/bsg_muxi2_gatestack.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_misc creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16 creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_async copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_async/bsg_launch_sync_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_async copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_async/bsg_sync_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_async creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem/bsg_mem_1r1w_sync_mask_write_bit.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem/bsg_mem_1rw_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem/bsg_mem_1rw_sync_mask_write_byte.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem/bsg_mem_2r1w_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_misc/bsg_level_shift_up_down_sink.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_misc/bsg_level_shift_up_down_source.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_misc/bsg_mux.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_misc creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250 creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/README.verification -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/bsg_clk_gen_osc.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/bsg_rp_clk_gen_atomic_delay_tuner.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/bsg_rp_clk_gen_coarse_delay_tuner.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/bsg_rp_clk_gen_fine_delay_tuner.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice/io.sp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice/osc.sp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice/setup.180.sp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice/setup.250.sp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice/setup.sx -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_dataflow copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_dataflow/bsg_fifo_shift_datapath.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_dataflow copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_dataflow/bsg_fifo_shift_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_dataflow creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_mem_1r1w.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_mem_1r1w_sync_mask_write_bit.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_mem_1rw_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_mem_1rw_sync_mask_write_byte.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_mem_2r1w.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_mem_2r1w_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_rf_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_and.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_buf.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_clkbuf.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_dff.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_dff_en.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_dff_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_dff_reset.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_dff_reset_en.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_gate_stack_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_inv.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mux.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mux_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mux_one_hot.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_nand.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_nor3.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_reduce.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_reduce_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_tiehi.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_tielo.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_xnor.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_xor.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/README -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_and_csa_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_booth_4_block_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_booth_4_block_gen_cornice.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_booth_4_block_gen_end_cornice.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_comp42_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_mul_and_csa_block_hard.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_mul_booth_4_block_rep.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_mul_comp42_rep.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_place_mul_32_32.tcl -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40 creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/README.verification -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/bsg_clk_gen_osc.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/bsg_dly_line.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/bsg_rp_clk_gen_atomic_delay_tuner.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/bsg_rp_clk_gen_coarse_delay_tuner.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/bsg_rp_clk_gen_fine_delay_tuner.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice/fo4.sp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice/io.sp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice/osc.sp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice/setup.180.sp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice/setup.250.sp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice/setup.40.sp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice/setup.sx -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_mem_1r1w.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_mem_1r1w_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_mem_1r1w_sync_mask_write_bit.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_mem_1rw_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_mem_1rw_sync_mask_write_byte.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_mem_2r1w.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_mem_2r1w_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_rf_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_and.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_buf.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_clkbuf.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_dff.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_dff_en.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_dff_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_dff_reset.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_dff_reset_en.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_gate_stack_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_inv.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mux.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mux_bitwise.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mux_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mux_one_hot.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_nand.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_nor3.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_reduce.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_reduce_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_tiehi.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_tielo.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_xnor.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_xor.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/README -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_and_csa_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_booth_4_block_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_booth_4_block_gen_cornice.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_booth_4_block_gen_end_cornice.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_comp42_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_mul_and_csa_block_hard.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_mul_booth_4_block_rep.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_mul_comp42_rep.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_place_mul_32_32.tcl -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/ultrascale_plus creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/ultrascale_plus/bsg_mem copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/ultrascale_plus/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/ultrascale_plus/bsg_mem creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/ultrascale_plus/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/ultrascale_plus/bsg_misc/bsg_mul_add_unsigned.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/ultrascale_plus/bsg_misc creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/imports copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/imports/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/imports creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/Makefile.sim -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test/README.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test/axe_trace_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test/wave.tcl -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common/basic_checker_32.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common/bsg_cache_trace_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common/bsg_nonsynth_dma_model.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common/bsg_nonsynth_non_blocking_dma_model.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common/bsg_nonsynth_random_yumi_gen.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/bsg_test_master.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/bsg_trace_rom.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/dmc_trace_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/wave.tcl -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/README.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/lru_stats.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/sweep.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/test_base.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/test_lock1.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/test_lock2.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/test_lock_multiset.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/test_lock_multiway.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram/README.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram/bsg_test_node_master.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/README.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/trace_way2.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/trace_way4.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/trace_way8.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/README.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/basic_checker.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_atomic1.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_atomic2.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_atomic3.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_atomic4.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_base.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_mask1.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_random1.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_random2.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_random3.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_stride1.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_stride2.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/width_sweep.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/README.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/ainv_checker.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/basic_checker.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/block_ld_checker.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/bsg_cache_non_blocking_trace_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/cov.tcl -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/cov_mhu.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/cov_miss_fifo.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/cov_tl_stage.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/cov_top.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/exclusion.el -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/tag_checker.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_ainv.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_alock.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_base.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_block.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_block_ld.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_block_ld2.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_block_ld3.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_burst.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_byte.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_clean_read.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_invalid_lock.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_invalid_lock2.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_ld_st.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_linear.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_long_interval.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_miss_fifo_cov.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_pe_cover.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_random.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_random_afl.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_random_aflinv.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_random_aflinv2.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_random_flush.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_random_tagfl.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_square.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_stride.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_tag_access.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_zorder.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/sweep.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_aflinv1.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_alock1.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_atomic1.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_atomic2.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_atomic3.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_atomic4.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_base.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_block1.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_byte1.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_byte2.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_byte3.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_mask1.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_random1.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_random2.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_store_buffer1.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_store_buffer2.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_store_buffer3.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_store_load.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_store_load2.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_stride1.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_tagfl1.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_clk_gen copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_clk_gen/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_clk_gen copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_clk_gen/README.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_clk_gen copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_clk_gen/bsg_nonsynth_clk_gen_tester.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_clk_gen copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_clk_gen/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_clk_gen creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_narrow copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_narrow/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_narrow copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_narrow/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_narrow creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_tunnel copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_tunnel/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_tunnel copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_tunnel/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_tunnel creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_compare_and_swap copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_compare_and_swap/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_compare_and_swap copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_compare_and_swap/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_compare_and_swap creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_large copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_large/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_large copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_large/test_bsg_fifo_1r1w_large.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_large creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_pseudo_large copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_pseudo_large/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_pseudo_large copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_pseudo_large/bsg_test.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_pseudo_large copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_pseudo_large/test_bsg_fifo_1r1w_pseudo_large.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_pseudo_large creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened/README -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened/bsg_fifo_1r1w_small_hardened_test_node.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened/bsg_fifo_1r1w_small_hardened_tester.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened/filelist -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_bypass copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_bypass/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_bypass copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_bypass/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_bypass copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_bypass/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_bypass creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_reorder copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_reorder/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_reorder copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_reorder/remote_node.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_reorder copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_reorder/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_reorder copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_reorder/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_reorder creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out/README -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out/bsg_parallel_in_serial_out_test_node.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out/bsg_parallel_in_serial_out_tester.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out/filelist -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough_arb copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough_arb/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough_arb copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough_arb/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough_arb copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough_arb/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough_arb copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough_arb/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough_arb creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_serial_in_parallel_out_passthrough copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_serial_in_parallel_out_passthrough/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_serial_in_parallel_out_passthrough copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_serial_in_parallel_out_passthrough/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_serial_in_parallel_out_passthrough copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_serial_in_parallel_out_passthrough/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_serial_in_parallel_out_passthrough copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_serial_in_parallel_out_passthrough/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_serial_in_parallel_out_passthrough creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/filelist.lst -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/tasks.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/1024Mb_mobile_ddr_parameters.vh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/128Mb_mobile_ddr_parameters.vh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/2048Mb_mobile_ddr_parameters.vh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/256Mb_mobile_ddr_parameters.vh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/512Mb_mobile_ddr_parameters.vh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/mobile_ddr.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/mobile_ddr_mcp.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/readme.txt -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/subtest.vh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/tb.do -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/tb.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32 copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32 copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32/add_sub_32.c -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32 copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32/rtl_sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32 copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32/synth_sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32 copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32 creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/bsg_fpu_sticky copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/bsg_fpu_sticky/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/bsg_fpu_sticky copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/bsg_fpu_sticky/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/bsg_fpu_sticky copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/bsg_fpu_sticky/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/bsg_fpu_sticky creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32 copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32 copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32/cmp_32.c -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32 copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32/rtl_sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32 copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32/synth_sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32 copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32 creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/common copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/common/fpu_common.c -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/common copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/common/fpu_common.h -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/common creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32 copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32 copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32/f2i_32.c -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32 copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32/rtl_sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32 copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32/synth_sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32 copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32 creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32 copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32 copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32/i2f_32.c -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32 copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32/rtl_sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32 copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32/synth_sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32 copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32 creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32 copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32 copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32/mul_32.c -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32 copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32/rtl_sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32 copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32/synth_sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32 copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32 creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/README -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_ddr_downstream_encode.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_ddr_test_node.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_ddr_tester.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/filelist -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_sdr copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_sdr/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_sdr copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_sdr/bsg_link_sdr_test_node.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_sdr copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_sdr/bsg_link_sdr_tester.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_sdr copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_sdr/filelist -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_sdr creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync_unmanaged copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync_unmanaged/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync_unmanaged copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync_unmanaged/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync_unmanaged copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync_unmanaged/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync_unmanaged copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync_unmanaged/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync_unmanaged creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1r1w copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1r1w/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1r1w copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1r1w/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1r1w creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1rw_sync copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1rw_sync/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1rw_sync copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1rw_sync/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1rw_sync creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_2r1w copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_2r1w/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_2r1w copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_2r1w/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_2r1w creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_banked_crossbar copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_banked_crossbar/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_banked_crossbar copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_banked_crossbar/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_banked_crossbar creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma/tracegen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/test_bsg.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_adder_one_hot copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_adder_one_hot/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_adder_one_hot creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_arb_round_robin copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_arb_round_robin/test.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_arb_round_robin creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_binary_plus_one_to_gray copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_binary_plus_one_to_gray/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_binary_plus_one_to_gray copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_binary_plus_one_to_gray/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_binary_plus_one_to_gray creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_clock_downsample copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_clock_downsample/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_clock_downsample copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_clock_downsample/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_clock_downsample creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_dynamic_limit copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_dynamic_limit/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_dynamic_limit copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_dynamic_limit/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_dynamic_limit creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_up_down copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_up_down/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_up_down copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_up_down/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_up_down creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_cycle_counter copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_cycle_counter/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_cycle_counter copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_cycle_counter/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_cycle_counter creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff_en_reset copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff_en_reset/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff_en_reset copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff_en_reset/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff_en_reset creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_encode_one_hot copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_encode_one_hot/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_encode_one_hot copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_encode_one_hot/another_test.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_encode_one_hot copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_encode_one_hot/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_encode_one_hot creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_gray_to_binary copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_gray_to_binary/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_gray_to_binary copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_gray_to_binary/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_gray_to_binary creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_hash_bank copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_hash_bank/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_hash_bank copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_hash_bank/tb.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_hash_bank copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_hash_bank/verilator_top.cc -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_hash_bank creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/divide.files -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/divide_32.stim -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/divide_4.stim -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/divide_64.stim -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/get_stim.c -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/mypli.tab -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/random_stim.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/s_expected.txt -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/signed.c -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/u_expected.txt -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/unsigned.c -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_imul_iterative copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_imul_iterative/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_imul_iterative copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_imul_iterative/filelist.f -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_imul_iterative copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_imul_iterative/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_imul_iterative creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_backup copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_backup/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_backup copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_backup/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_backup copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_backup/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_backup creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_decode copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_decode/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_decode copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_decode/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_decode copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_decode/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_decode creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_encode copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_encode/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_encode copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_encode/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_encode copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_encode/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_encode creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mul copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mul/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mul copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mul/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mul creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_bitwise copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_bitwise/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_bitwise copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_bitwise/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_bitwise creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_one_hot copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_one_hot/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_one_hot copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_one_hot/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_one_hot creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_pg_tree copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_pg_tree/test.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_pg_tree creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_popcount copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_popcount/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_popcount copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_popcount/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_popcount creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_priority_encode copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_priority_encode/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_priority_encode copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_priority_encode/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_priority_encode copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_priority_encode/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_priority_encode creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_rotate_left copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_rotate_left/main.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_rotate_left creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_arb copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_arb/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_arb copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_arb/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_arb creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_reset_arb copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_reset_arb/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_reset_arb copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_reset_arb/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_reset_arb creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_scan copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_scan/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_scan copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_scan/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_scan creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_strobe copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_strobe/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_strobe copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_strobe/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_strobe creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_thermometer_count copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_thermometer_count/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_thermometer_count copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_thermometer_count/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_thermometer_count creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_after_reset copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_after_reset/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_after_reset copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_after_reset/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_after_reset creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_cycles copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_cycles/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_cycles copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_cycles/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_cycles creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mux_test copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mux_test/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mux_test copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mux_test/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mux_test copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mux_test/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mux_test creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_barrier copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_barrier/test.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_barrier creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all/README.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all/test.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all/test_pkg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all/test_tile.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_to_ring_stitch copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_to_ring_stitch/test_mesh_to_ring_stitch.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_to_ring_stitch creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator/README -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator/bsg_wormhole_concentrator_test_node.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator/bsg_wormhole_concentrator_tester.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator/filelist -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network/README -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network/bsg_wormhole_network_test_node_client.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network/bsg_wormhole_network_test_node_master.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network/bsg_wormhole_network_tester.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network/filelist -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router/README -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router/bsg_wormhole_router_test_node.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router/bsg_wormhole_router_tester.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router/filelist -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_in copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_in/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_in copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_in/bsg_trace_rom.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_in copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_in/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_in copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_in/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_in creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_out copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_out/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_out copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_out/bsg_trace_rom.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_out copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_out/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_out copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_out/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_out creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_tag copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_tag/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_tag copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_tag/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_tag creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi/main.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi/top.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi_rom copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi_rom/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi_rom copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi_rom/main.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi_rom copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi_rom/top.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi_rom creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3 copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3 copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3 copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3/hbm_trace_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3 copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3/latency.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3 copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3 copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3 copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3/testbench_multi.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3 creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm/hbm_trace_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm/latency.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_random_gen copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_random_gen/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_random_gen copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_random_gen/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_random_gen creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay/dut.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay/response.trace.out -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay/stimulus.trace.in -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay/test_bench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/constrained_random.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/random_full.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/test_reorder.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/trace_gen_base.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/unit.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/unit_load.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/unit_load_conflict.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/cache_miss_counter.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/const_random.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/full_random.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/miss_latency.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/stream_read.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/stream_write.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/trace_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/vcache_blocking.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/ramulator_hbm_bandwidth copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/ramulator_hbm_bandwidth/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/ramulator_hbm_bandwidth creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/tools copying pythondata_cpu_blackparrot/system_verilog/black-parrot/tools/Makefile.common -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/tools creating build/lib/pythondata_cpu_blackparrot/system_verilog/bp_litex copying pythondata_cpu_blackparrot/system_verilog/bp_litex/bp2wb_convertor.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/bp_litex copying pythondata_cpu_blackparrot/system_verilog/bp_litex/cce_ucode.mem -> build/lib/pythondata_cpu_blackparrot/system_verilog/bp_litex copying pythondata_cpu_blackparrot/system_verilog/bp_litex/flist.fpga -> build/lib/pythondata_cpu_blackparrot/system_verilog/bp_litex copying pythondata_cpu_blackparrot/system_verilog/bp_litex/flist.verilator -> build/lib/pythondata_cpu_blackparrot/system_verilog/bp_litex creating build/lib/pythondata_cpu_blackparrot/system_verilog/bp_litex/fpga copying pythondata_cpu_blackparrot/system_verilog/bp_litex/fpga/ExampleBlackParrotSystem.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/bp_litex/fpga creating build/lib/pythondata_cpu_blackparrot/system_verilog/bp_litex/simulation copying pythondata_cpu_blackparrot/system_verilog/bp_litex/simulation/ExampleBlackParrotSystem.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/bp_litex/simulation + RPM_EC=0 ++ jobs -p + exit 0 Executing(%install): /bin/sh -e /var/tmp/rpm-tmp.Ij5IAr + umask 022 + cd /builddir/build/BUILD + '[' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le '!=' / ']' + rm -rf /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le ++ dirname /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le + mkdir -p /builddir/build/BUILDROOT + mkdir /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le + CFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection ' + export CFLAGS + CXXFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection ' + export CXXFLAGS + FFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -I/usr/lib64/gfortran/modules ' + export FFLAGS + FCFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -I/usr/lib64/gfortran/modules ' + export FCFLAGS + VALAFLAGS=-g + export VALAFLAGS + RUSTFLAGS='-Copt-level=3 -Cdebuginfo=2 -Ccodegen-units=1 -Clink-arg=-Wl,-z,relro -Clink-arg=-Wl,-z,now -Clink-arg=-specs=/usr/lib/rpm/redhat/redhat-package-notes --cap-lints=warn' + export RUSTFLAGS + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes ' + export LDFLAGS + LT_SYS_LIBRARY_PATH=/usr/lib64: + export LT_SYS_LIBRARY_PATH + CC=gcc + export CC + CXX=g++ + export CXX + cd litex-pythondata-cpu-blackparrot + CFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection ' + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes ' + /usr/bin/python3 setup.py install -O1 --skip-build --root /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le --prefix /usr running install /usr/lib/python3.12/site-packages/setuptools/_distutils/cmd.py:66: SetuptoolsDeprecationWarning: setup.py install is deprecated. !! ******************************************************************************** Please avoid running ``setup.py`` directly. Instead, use pypa/build, pypa/installer, pypa/build or other standards-based tools. Follow the current Python packaging guidelines when building Python RPM packages. See https://blog.ganssle.io/articles/2021/10/setup-py-deprecated.html and https://docs.fedoraproject.org/en-US/packaging-guidelines/Python/ for details. ******************************************************************************** !! self.initialize_options() running install_lib creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12 creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/bp_litex creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/bp_litex/simulation copying build/lib/pythondata_cpu_blackparrot/system_verilog/bp_litex/simulation/ExampleBlackParrotSystem.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/bp_litex/simulation creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/bp_litex/fpga copying build/lib/pythondata_cpu_blackparrot/system_verilog/bp_litex/fpga/ExampleBlackParrotSystem.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/bp_litex/fpga copying build/lib/pythondata_cpu_blackparrot/system_verilog/bp_litex/flist.verilator -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/bp_litex copying build/lib/pythondata_cpu_blackparrot/system_verilog/bp_litex/flist.fpga -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/bp_litex copying build/lib/pythondata_cpu_blackparrot/system_verilog/bp_litex/cce_ucode.mem -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/bp_litex copying build/lib/pythondata_cpu_blackparrot/system_verilog/bp_litex/bp2wb_convertor.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/bp_litex creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/tools copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/tools/Makefile.common -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/tools creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/ramulator_hbm_bandwidth copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/ramulator_hbm_bandwidth/.gitignore -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/ramulator_hbm_bandwidth creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/vcache_blocking.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/trace_gen.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/testbench.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/stream_write.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/stream_read.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/miss_latency.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/full_random.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/const_random.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/cache_miss_counter.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/.gitignore -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/unit_load_conflict.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/unit_load.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/unit.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/trace_gen_base.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/testbench.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/test_reorder.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/random_full.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/constrained_random.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/.gitignore -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay/test_bench.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay/stimulus.trace.in -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay/response.trace.out -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay/dut.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_random_gen copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_random_gen/test_bsg.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_random_gen copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_random_gen/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_random_gen creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm/testbench.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm/sv.include -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm/latency.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm/hbm_trace_gen.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm/.gitignore -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3 copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3/testbench_multi.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3 copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3/testbench.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3 copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3/sv.include -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3 copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3/latency.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3 copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3/hbm_trace_gen.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3 copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3 copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3/.gitignore -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3 creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi_rom copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi_rom/top.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi_rom copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi_rom/main.cpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi_rom copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi_rom/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi_rom creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi/top.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi/main.cpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_tag copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_tag/test_bsg.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_tag copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_tag/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_tag creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_out copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_out/testbench.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_out copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_out/sv.include -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_out copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_out/bsg_trace_rom.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_out copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_out/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_out creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_in copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_in/testbench.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_in copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_in/sv.include -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_in copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_in/bsg_trace_rom.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_in copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_in/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_in creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router/filelist -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router/bsg_wormhole_router_tester.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router/bsg_wormhole_router_test_node.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router/README -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network/filelist -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network/bsg_wormhole_network_tester.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network/bsg_wormhole_network_test_node_master.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network/bsg_wormhole_network_test_node_client.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network/README -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator/filelist -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator/bsg_wormhole_concentrator_tester.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator/bsg_wormhole_concentrator_test_node.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator/README -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_to_ring_stitch copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_to_ring_stitch/test_mesh_to_ring_stitch.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_to_ring_stitch creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all/testbench.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all/test_tile.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all/test_pkg.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all/test.sh -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all/sv.include -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all/README.md -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/test_bsg.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_barrier copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_barrier/test.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_barrier creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mux_test copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mux_test/testbench.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mux_test copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mux_test/sv.include -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mux_test copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mux_test/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mux_test creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_cycles copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_cycles/test_bsg.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_cycles copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_cycles/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_cycles creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_after_reset copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_after_reset/test_bsg.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_after_reset copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_after_reset/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_after_reset creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_thermometer_count copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_thermometer_count/test_bsg.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_thermometer_count copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_thermometer_count/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_thermometer_count creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_strobe copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_strobe/test_bsg.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_strobe copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_strobe/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_strobe creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_scan copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_scan/test_bsg.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_scan copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_scan/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_scan creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_reset_arb copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_reset_arb/test_bsg.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_reset_arb copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_reset_arb/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_reset_arb creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_arb copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_arb/test_bsg.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_arb copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_arb/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_arb creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_rotate_left copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_rotate_left/main.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_rotate_left creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_priority_encode copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_priority_encode/testbench.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_priority_encode copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_priority_encode/sv.include -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_priority_encode copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_priority_encode/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_priority_encode creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_popcount copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_popcount/test_bsg.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_popcount copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_popcount/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_popcount creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_pg_tree copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_pg_tree/test.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_pg_tree creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_one_hot copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_one_hot/test_bsg.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_one_hot copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_one_hot/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_one_hot creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_bitwise copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_bitwise/test_bsg.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_bitwise copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_bitwise/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_bitwise creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux/test_bsg.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mul copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mul/test_bsg.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mul copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mul/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mul creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_encode copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_encode/testbench.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_encode copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_encode/sv.include -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_encode copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_encode/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_encode creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_decode copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_decode/testbench.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_decode copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_decode/sv.include -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_decode copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_decode/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_decode creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_backup copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_backup/testbench.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_backup copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_backup/sv.include -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_backup copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_backup/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_backup creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_imul_iterative copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_imul_iterative/test_bsg.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_imul_iterative copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_imul_iterative/filelist.f -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_imul_iterative copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_imul_iterative/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_imul_iterative creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/unsigned.c -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/u_expected.txt -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/test_bsg.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/signed.c -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/s_expected.txt -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/random_stim.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/mypli.tab -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/get_stim.c -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/divide_64.stim -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/divide_4.stim -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/divide_32.stim -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/divide.files -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_hash_bank copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_hash_bank/verilator_top.cc -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_hash_bank copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_hash_bank/tb.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_hash_bank copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_hash_bank/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_hash_bank creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_gray_to_binary copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_gray_to_binary/test_bsg.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_gray_to_binary copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_gray_to_binary/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_gray_to_binary creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_encode_one_hot copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_encode_one_hot/test_bsg.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_encode_one_hot copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_encode_one_hot/another_test.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_encode_one_hot copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_encode_one_hot/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_encode_one_hot creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff_en_reset copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff_en_reset/test_bsg.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff_en_reset copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff_en_reset/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff_en_reset creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff/test_bsg.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_cycle_counter copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_cycle_counter/test_bsg.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_cycle_counter copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_cycle_counter/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_cycle_counter creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_up_down copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_up_down/test_bsg.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_up_down copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_up_down/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_up_down creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_dynamic_limit copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_dynamic_limit/test_bsg.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_dynamic_limit copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_dynamic_limit/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_dynamic_limit creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_clock_downsample copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_clock_downsample/test_bsg.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_clock_downsample copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_clock_downsample/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_clock_downsample creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_binary_plus_one_to_gray copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_binary_plus_one_to_gray/test_bsg.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_binary_plus_one_to_gray copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_binary_plus_one_to_gray/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_binary_plus_one_to_gray creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_arb_round_robin copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_arb_round_robin/test.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_arb_round_robin creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_adder_one_hot copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_adder_one_hot/test_bsg.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_adder_one_hot copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/test_bsg.cpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma/tracegen.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma/testbench.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma/.gitignore -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_banked_crossbar copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_banked_crossbar/test_bsg.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_banked_crossbar copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_banked_crossbar/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_banked_crossbar creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_2r1w copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_2r1w/test_bsg.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_2r1w copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_2r1w/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_2r1w creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1rw_sync copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1rw_sync/test_bsg.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1rw_sync copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1rw_sync/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1rw_sync creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1r1w copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1r1w/test_bsg.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1r1w copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1r1w/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1r1w creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync_unmanaged copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync_unmanaged/testbench.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync_unmanaged copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync_unmanaged/sv.include -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync_unmanaged copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync_unmanaged/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync_unmanaged copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync_unmanaged/.gitignore -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync_unmanaged creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync/testbench.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync/sv.include -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync/.gitignore -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_sdr copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_sdr/filelist -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_sdr copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_sdr/bsg_link_sdr_tester.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_sdr copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_sdr/bsg_link_sdr_test_node.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_sdr copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_sdr/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_sdr copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/filelist -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_ddr_tester.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_ddr_test_node.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_ddr_downstream_encode.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/README -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32 copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32/testbench.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32 copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32/synth_sv.include -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32 copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32/rtl_sv.include -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32 copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32/mul_32.c -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32 copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32 creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32 copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32/testbench.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32 copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32/synth_sv.include -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32 copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32/rtl_sv.include -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32 copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32/i2f_32.c -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32 copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32 creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32 copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32/testbench.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32 copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32/synth_sv.include -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32 copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32/rtl_sv.include -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32 copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32/f2i_32.c -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32 copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32 creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/common copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/common/fpu_common.h -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/common copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/common/fpu_common.c -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/common creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32 copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32/testbench.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32 copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32/synth_sv.include -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32 copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32/rtl_sv.include -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32 copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32/cmp_32.c -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32 copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32 creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/bsg_fpu_sticky copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/bsg_fpu_sticky/testbench.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/bsg_fpu_sticky copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/bsg_fpu_sticky/sv.include -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/bsg_fpu_sticky copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/bsg_fpu_sticky/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/bsg_fpu_sticky creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32 copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32/testbench.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32 copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32/synth_sv.include -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32 copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32/rtl_sv.include -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32 copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32/add_sub_32.c -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32 copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32 copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/.gitignore -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/tb.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/tb.do -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/subtest.vh -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/readme.txt -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/mobile_ddr_mcp.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/mobile_ddr.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/512Mb_mobile_ddr_parameters.vh -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/256Mb_mobile_ddr_parameters.vh -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/2048Mb_mobile_ddr_parameters.vh -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/128Mb_mobile_ddr_parameters.vh -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/1024Mb_mobile_ddr_parameters.vh -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/testbench.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/tasks.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/filelist.lst -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_serial_in_parallel_out_passthrough copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_serial_in_parallel_out_passthrough/testbench.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_serial_in_parallel_out_passthrough copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_serial_in_parallel_out_passthrough/sv.include -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_serial_in_parallel_out_passthrough copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_serial_in_parallel_out_passthrough/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_serial_in_parallel_out_passthrough copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_serial_in_parallel_out_passthrough/.gitignore -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_serial_in_parallel_out_passthrough creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough_arb copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough_arb/testbench.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough_arb copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough_arb/sv.include -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough_arb copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough_arb/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough_arb copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough_arb/.gitignore -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough_arb creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough/testbench.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough/sv.include -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough/.gitignore -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out/filelist -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out/bsg_parallel_in_serial_out_tester.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out/bsg_parallel_in_serial_out_test_node.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out/README -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_reorder copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_reorder/testbench.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_reorder copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_reorder/sv.include -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_reorder copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_reorder/remote_node.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_reorder copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_reorder/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_reorder creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_bypass copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_bypass/testbench.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_bypass copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_bypass/sv.include -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_bypass copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_bypass/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_bypass creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened/filelist -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened/bsg_fifo_1r1w_small_hardened_tester.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened/bsg_fifo_1r1w_small_hardened_test_node.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened/README -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_pseudo_large copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_pseudo_large/test_bsg_fifo_1r1w_pseudo_large.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_pseudo_large copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_pseudo_large/bsg_test.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_pseudo_large copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_pseudo_large/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_pseudo_large creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_large copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_large/test_bsg_fifo_1r1w_large.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_large copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_large/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_large creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_compare_and_swap copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_compare_and_swap/test_bsg.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_compare_and_swap copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_compare_and_swap/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_compare_and_swap creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_tunnel copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_tunnel/test_bsg.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_tunnel copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_tunnel/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_tunnel creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_narrow copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_narrow/test_bsg.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_narrow copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_narrow/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_narrow creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_clk_gen copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_clk_gen/test_bsg.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_clk_gen copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_clk_gen/bsg_nonsynth_clk_gen_tester.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_clk_gen copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_clk_gen/README.md -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_clk_gen copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_clk_gen/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_clk_gen creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/testbench.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_tagfl1.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_stride1.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_store_load2.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_store_load.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_store_buffer3.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_store_buffer2.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_store_buffer1.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_random2.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_random1.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_mask1.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_byte3.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_byte2.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_byte1.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_block1.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_base.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_atomic4.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_atomic3.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_atomic2.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_atomic1.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_alock1.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_aflinv1.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/sweep.sh -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/sv.include -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/.gitignore -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/testbench.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_zorder.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_tag_access.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_stride.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_square.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_random_tagfl.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_random_flush.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_random_aflinv2.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_random_aflinv.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_random_afl.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_random.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_pe_cover.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_miss_fifo_cov.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_long_interval.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_linear.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_ld_st.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_invalid_lock2.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_invalid_lock.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_clean_read.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_byte.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_burst.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_block_ld3.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_block_ld2.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_block_ld.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_block.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_base.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_alock.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_ainv.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/tag_checker.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/sv.include -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/exclusion.el -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/cov_top.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/cov_tl_stage.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/cov_miss_fifo.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/cov_mhu.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/cov.tcl -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/bsg_cache_non_blocking_trace_gen.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/block_ld_checker.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/basic_checker.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/ainv_checker.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/README.md -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/.gitignore -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/width_sweep.sh -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/testbench.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_stride2.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_stride1.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_random3.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_random2.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_random1.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_mask1.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_base.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_atomic4.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_atomic3.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_atomic2.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_atomic1.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/sv.include -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/basic_checker.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/README.md -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/.gitignore -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/trace_way8.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/trace_way4.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/trace_way2.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/testbench.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/sv.include -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/README.md -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/.gitignore -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram/testbench.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram/sv.include -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram/bsg_test_node_master.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram/README.md -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/testbench.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/test_lock_multiway.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/test_lock_multiset.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/test_lock2.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/test_lock1.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/test_base.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/sweep.sh -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/sv.include -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/lru_stats.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/README.md -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/.gitignore -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/wave.tcl -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/testbench.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/sv.include -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/dmc_trace_gen.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/bsg_trace_rom.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/bsg_test_master.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/.gitignore -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common/bsg_nonsynth_random_yumi_gen.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common/bsg_nonsynth_non_blocking_dma_model.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common/bsg_nonsynth_dma_model.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common/bsg_cache_trace_gen.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common/basic_checker_32.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common/.gitignore -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test/wave.tcl -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test/testbench.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test/sv.include -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test/axe_trace_gen.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test/README.md -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test/.gitignore -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/Makefile.sim -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/.gitignore -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/imports copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/imports/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/imports creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/ultrascale_plus creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/ultrascale_plus/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/ultrascale_plus/bsg_misc/bsg_mul_add_unsigned.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/ultrascale_plus/bsg_misc creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/ultrascale_plus/bsg_mem copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/ultrascale_plus/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/ultrascale_plus/bsg_mem creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40 creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_place_mul_32_32.tcl -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_mul_comp42_rep.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_mul_booth_4_block_rep.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_mul_and_csa_block_hard.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_comp42_gen.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_booth_4_block_gen_end_cornice.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_booth_4_block_gen_cornice.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_booth_4_block_gen.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_and_csa_gen.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/README -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_xor.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_xnor.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_tielo.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_tiehi.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_reduce_gen.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_reduce.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_nor3.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_nand.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mux_one_hot.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mux_gen.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mux_bitwise.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mux.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_inv.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_gate_stack_gen.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_dff_reset_en.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_dff_reset.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_dff_gen.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_dff_en.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_dff.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_clkbuf.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_buf.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_and.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_rf_gen.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_mem_2r1w_sync.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_mem_2r1w.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_mem_1rw_sync_mask_write_byte.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_mem_1rw_sync.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_mem_1r1w_sync_mask_write_bit.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_mem_1r1w_sync.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_mem_1r1w.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice/setup.sx -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice/setup.40.sp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice/setup.250.sp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice/setup.180.sp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice/osc.sp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice/io.sp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice/fo4.sp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/bsg_rp_clk_gen_fine_delay_tuner.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/bsg_rp_clk_gen_coarse_delay_tuner.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/bsg_rp_clk_gen_atomic_delay_tuner.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/bsg_dly_line.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/bsg_clk_gen_osc.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/README.verification -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250 creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_place_mul_32_32.tcl -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_mul_comp42_rep.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_mul_booth_4_block_rep.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_mul_and_csa_block_hard.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_comp42_gen.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_booth_4_block_gen_end_cornice.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_booth_4_block_gen_cornice.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_booth_4_block_gen.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_and_csa_gen.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/README -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_xor.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_xnor.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_tielo.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_tiehi.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_reduce_gen.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_reduce.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_nor3.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_nand.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mux_one_hot.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mux_gen.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mux.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_inv.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_gate_stack_gen.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_dff_reset_en.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_dff_reset.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_dff_gen.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_dff_en.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_dff.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_clkbuf.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_buf.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_and.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_rf_gen.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_mem_2r1w_sync.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_mem_2r1w.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_mem_1rw_sync_mask_write_byte.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_mem_1rw_sync.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_mem_1r1w_sync_mask_write_bit.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_mem_1r1w.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_dataflow copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_dataflow/bsg_fifo_shift_gen.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_dataflow copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_dataflow/bsg_fifo_shift_datapath.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_dataflow creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice/setup.sx -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice/setup.250.sp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice/setup.180.sp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice/osc.sp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice/io.sp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/bsg_rp_clk_gen_fine_delay_tuner.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/bsg_rp_clk_gen_coarse_delay_tuner.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/bsg_rp_clk_gen_atomic_delay_tuner.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/bsg_clk_gen_osc.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/README.verification -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16 creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_misc/bsg_mux.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_misc/bsg_level_shift_up_down_source.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_misc/bsg_level_shift_up_down_sink.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_misc creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem/bsg_mem_2r1w_sync.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem/bsg_mem_1rw_sync_mask_write_byte.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem/bsg_mem_1rw_sync.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem/bsg_mem_1r1w_sync_mask_write_bit.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_async copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_async/bsg_sync_sync.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_async copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_async/bsg_launch_sync_sync.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_async creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90 creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_misc/bsg_muxi2_gatestack.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_misc/bsg_mux2_gatestack.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_misc/bsg_dff_gatestack.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_misc/bsg_clkgate_optional.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_misc creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem/bsg_mem_1rw_sync_mask_write_byte.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem/bsg_mem_1rw_sync.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem/bsg_mem_1r1w_sync.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem/bsg_mem_1r1w.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40 creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40/bsg_mem copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40/bsg_mem/bsg_mem_2r1w_sync.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40/bsg_mem copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40/bsg_mem/bsg_mem_1rw_sync_mask_write_byte.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40/bsg_mem copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40/bsg_mem copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40/bsg_mem/bsg_mem_1rw_sync.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40/bsg_mem creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14 creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_tielo.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_tiehi.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_reduce_gen.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_mux_gen.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_mux.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_gate_stack_gen.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_dff_gen.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_rf_gen.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_3r1w_sync_macros.vh -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_3r1w_sync.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_2r1w_sync_macros.vh -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_2r1w_sync.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_1rw_sync_mask_write_byte_macros.vh -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_1rw_sync_mask_write_byte.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_1rw_sync_mask_write_bit_macros.vh -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_1rw_sync_macros.vh -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_1rw_sync.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_1r1w_sync_macros.vh -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_1r1w_sync.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link/tcl copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link/tcl/bsg_link_sdr.constraints.tcl -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link/tcl copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link/tcl/bsg_link_ddr.constraints.tcl -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link/tcl copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link/bsg_link_osdr_phy.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link/bsg_link_isdr_phy.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice/setup.sx -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice/setup.14.sp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice/osc.sp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice/io.sp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice/fo4.sp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/bsg_rp_clk_gen_fine_delay_tuner.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/bsg_rp_clk_gen_coarse_delay_tuner.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/bsg_rp_clk_gen_atomic_delay_tuner.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/bsg_clk_gen_osc.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/README.verification -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_async copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_async/bsg_sync_sync.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_async copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_async/bsg_launch_sync_sync.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_async copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/README.md -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14 creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/docs copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/docs/README.md -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/docs copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/docs/BaseJump_STL_DAC_2018_Camera_Ready.pdf -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/docs creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/distrib copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/distrib/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/distrib creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/test_bsg_data_gen.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/test_bsg_clock_params.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/test_assembler_defines.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/libdramsim3.mk -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_trace_replay.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_ramulator_hbm.cpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_val_watcher_1p.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_triwire.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_test_rom.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_reset_gen.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_random_gen.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_ramulator_hbm.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dramsim3_unmap.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dramsim3_map.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dramsim3.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dramsim3.svh -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_to_fifo.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_rom.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_rom.hpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_gpio.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_gpio.hpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_from_fifo.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_fifo.hpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_errno.hpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_cycle_counter.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_cycle_counter.hpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_clock_gen.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_clock_gen.hpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_clock_gen.cpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi.hpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_delay_line.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_clock_gen_plusarg.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_clock_gen.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_axi_mem.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_ascii_writer.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_dramsim3_pkg.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_dramsim3.vh -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_dramsim3.cpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/tests creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/tests/cfgtaggw_test copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/tests/cfgtaggw_test/config_defs.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/tests/cfgtaggw_test copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/tests/cfgtaggw_test/cfgtag.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/tests/cfgtaggw_test copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/tests/cfgtaggw_test/cfg_tag_tb.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/tests/cfgtaggw_test creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src/relay_node.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src/rNandMeta.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src/config_utils.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src/config_snooper.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src/config_node.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src/config_defs.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src/cfgtaggw.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/send_config_tag.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/generate_tb.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/config_spec.in -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/config_snooper_bind.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/config_setter.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/config_node_bind.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/config_file_setter.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/ucsd_ccores.png -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/relay-node.tex -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/our-cdc.tex -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/nand2.tex -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/nand2-in1.tex -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/nand2-in0.tex -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/metastability.tex -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/config-node.tex -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/config-net.tex -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/20130219.tex -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/20130219.pdf -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/20130219.bib -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/.gitignore -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/bsg_tag_trace_replay.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/bsg_tag_pkg.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/bsg_tag_master_decentralized.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/bsg_tag_master.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/bsg_tag_client_unsync.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/bsg_tag_client.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/bsg_tag.vh -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_nasti copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_nasti/bsg_nasti_pkg.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_nasti copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_nasti/bsg_fsb_to_nasti_slave_connector.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_nasti copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_nasti/bsg_fsb_to_nasti_master_connector.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_nasti creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_htif copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_htif/bsg_fsb_to_htif_connector.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_htif creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_hasti copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_hasti/bsg_vscale_pkg.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_hasti copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_hasti/bsg_vscale_hasti_converter.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_hasti creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router_pkg.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router_output_control.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router_input_control.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router_decoder_dor.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router_adapter_out.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router_adapter_in.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router_adapter.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router.vh -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_concentrator_out.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_concentrator_in.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_concentrator.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_router_crossbar_o_by_i.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_ready_and_link_async_to_wormhole.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_noc_repeater_node.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_noc_pkg.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_noc_links.vh -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_mesh_to_ring_stitch.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_mesh_to_ring_stitch.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_mesh_stitch.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_mesh_router_pkg.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_mesh_router_decoder_dor.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_mesh_router_buffered.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_mesh_router.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_barrier.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul/bsg_mul_comp42_rep.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul/bsg_mul_comp42.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul/bsg_mul_booth_4_block_rep.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul/bsg_mul_booth_4_block.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_xor.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_xnor.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_wait_cycles.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_wait_after_reset.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_unconcentrate_static.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_transpose.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_tielo.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_tiehi.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_thermometer_count.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_swap.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_strobe.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_scan.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_round_robin_arb.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_round_robin_arb.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_rotate_right.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_rotate_left.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_reduce_segmented.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_reduce.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_priority_encode_one_hot_out.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_priority_encode.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_popcount.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_pg_tree.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_nor3.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_nor2.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_nand.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_muxi2_gatestack.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mux_segmented.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mux_one_hot.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mux_butterfly.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mux_bitwise.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mux2_gatestack.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mux.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul_synth.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul_pipelined.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul_array_row.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul_array.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul_add_unsigned.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_lru_pseudo_tree_encode.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_lru_pseudo_tree_decode.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_lru_pseudo_tree_backup.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_locking_arb_fixed.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_lfsr.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_level_shift_up_down_source.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_level_shift_up_down_sink.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_less_than.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_inv.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_imul_iterative.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_idiv_iterative_controller.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_idiv_iterative.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_id_pool.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_hash_bank_reverse.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_hash_bank.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_gray_to_binary.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_expand_bitmask.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_encode_one_hot.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_edge_detect.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dlatch.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_reset_set_clear.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_reset_en_bypass.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_reset_en.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_reset.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_negedge_reset.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_gatestack.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_en_bypass.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_en.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_chain.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_async_reset.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_defines.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_decode_with_v.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_decode.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_cycle_counter.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_crossbar_o_by_i.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_crossbar_control_basic_o_by_i.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counting_leading_zeros.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_up_down_variable.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_up_down.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_set_en.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_set_down.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_overflow_set_en.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_overflow_en.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_dynamic_limit_en.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_dynamic_limit.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_clock_downsample.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_clear_up_one_hot.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_clear_up.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_concentrate_static.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_clkgate_optional.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_clkbuf.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_circular_ptr.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_buf_ctrl.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_buf.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_binary_plus_one_to_gray.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_array_reverse.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_array_concentrate_static.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_arb_round_robin.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_arb_fixed.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_and.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_adder_ripple_carry.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_adder_one_hot.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_adder_cin.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_abs.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/tests copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/tests/mesosynctb_gate_level.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/tests copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/tests/mesosynctb.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/tests creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts/dc_setup_filenames.tcl -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts/dc_setup.tcl -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts/dc.tcl -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts/common_setup.tcl -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts/bsg_mesosync_link.dc.read_design.tcl -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts/bsg_mesosync_link.constraints.tcl -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src/definitions.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src/config_defs.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src/bsg_mesosync_output.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src/bsg_mesosync_link.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src/bsg_mesosync_input.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src/bsg_mesosync_core.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src/bsg_logic_analyzer.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src/bsg_ddr_sampler.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/sim copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/sim/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/sim creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_assoc.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_nonsynth_mem_1rw_sync_assoc.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_nonsynth_mem_1r1w_sync_mask_write_byte_dma.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_nonsynth_mem_1r1w_sync_dma.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_multiport.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_dma.hpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_dma.cpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_banked_crossbar.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_3r1w_synth.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_3r1w_sync_synth.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_3r1w_sync.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_3r1w.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_2r1w_synth.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_2r1w_sync_synth.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_2r1w_sync.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_2r1w.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_synth.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_var.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_byte_synth.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_byte_banked.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_byte.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_bit_synth.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_bit_banked.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_banked.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1r1w_synth.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1r1w_sync_synth.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1r1w_sync_mask_write_var.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1r1w_sync_mask_write_bit_synth.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1r1w_sync_mask_write_bit.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1r1w_sync.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1r1w_one_hot.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1r1w.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_cam_1r1w_unmanaged.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_cam_1r1w_tag_array.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_cam_1r1w_sync_unmanaged.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_cam_1r1w_sync.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_cam_1r1w_replacement.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_cam_1r1w.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_ascii_to_rom.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/README -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/c copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/c/sqrt.c -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/c copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/c/README -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/c copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/c/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/c copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/test_bsg_hypotenuse.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/bsg_hypotenuse.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_source_sync_upstream_sync.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_source_sync_upstream.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_source_sync_downstream.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_sdr_upstream.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_sdr_downstream.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_sdr.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_osdr_phy_phase_align.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_osdr_phy.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_oddr_phy.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_isdr_phy.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_iddr_phy.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_ddr_upstream.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_ddr_downstream.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_legacy copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_legacy/bsg_murn_converter.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_legacy creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_nonsynth_fsb_node_trace_replay.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_fsb_pkg.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_fsb_node_trace_replay.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_fsb_node_level_shift_node_domain.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_fsb_node_level_shift_fsb_domain.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_fsb_node_async_buffer.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_fsb_murn_gateway.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_fsb.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_front_side_bus_hop_out.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_front_side_bus_hop_in_no_fc.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_front_side_bus_hop_in.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_sticky.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_preprocess.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_mul.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_i2f.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_f2i.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_defines.vh -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_cmp.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_clz.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_classify.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_add_sub.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/README.md -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc/bsg_dmc_pkg.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc/bsg_dmc_phy.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc/bsg_dmc_controller.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc/bsg_dmc_clk_rst_gen.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc/bsg_dmc.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc/README.md -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_two_fifo.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_two_buncher.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_sort_stable.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_sort_4.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_shift_reg.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_serial_in_parallel_out_passthrough.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_serial_in_parallel_out_full.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_serial_in_parallel_out_dynamic.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_serial_in_parallel_out.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_scatter_gather.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_scatter_gather.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_sbox.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_round_robin_n_to_1.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_round_robin_fifo_to_fifo.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_round_robin_2_to_2.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_round_robin_1_to_n.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_relay_fifo.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_ready_to_credit_flow_converter.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_permute_box.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_parallel_in_serial_out_passthrough.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_parallel_in_serial_out_dynamic.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_parallel_in_serial_out.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_one_fifo.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_make_2D_array.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_flow_counter.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_flow_convert.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_flatten_2D_array.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_tracker.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_shift_datapath.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_reorder.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_bypass.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1rw_large.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1r1w_small_unhardened.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1r1w_small_hardened.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1r1w_small_credit_on_input.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1r1w_small.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1r1w_pseudo_large.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1r1w_narrowed.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1r1w_large_banked.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1r1w_large.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_credit_to_token.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_compare_and_swap.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_channel_tunnel_wormhole.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_channel_tunnel_out.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_channel_tunnel_in.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_channel_tunnel.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_channel_narrow.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_8b10b_shift_decoder.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_8b10b_encode_comb.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_8b10b_decode_comb.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_1_to_n_tagged_fifo_shared.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_1_to_n_tagged_fifo.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_1_to_n_tagged.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_source_sync copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_source_sync/test_bsg_source_sync.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_source_sync copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_source_sync/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_source_sync creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_comm_link copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_comm_link/test_bsg_comm_link.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_comm_link creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_assembler copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_assembler/test_bsg_assembler.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_assembler copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/README -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip/bsg_source_sync_channel_control_master_master.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip/bsg_source_sync_channel_control_master.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip/bsg_comm_link_master_calib_skip_rom.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip/bsg_comm_link_master_calib_skip_rom.trace.in -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip/README -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/test_bsg_comm_link_checker.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_source_sync_output.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_source_sync_input.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_source_sync_channel_control_slave.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_source_sync_channel_control_master_master.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_source_sync_channel_control_master.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_comm_link.vh -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_comm_link.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_assembler_out.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_assembler_in.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/README.md -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen/bsg_nonsynth_clk_watcher.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen/bsg_edge_balanced_mux4.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen/bsg_dram_clk_gen.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen/bsg_dly_line.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen/bsg_clk_gen_osc.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen/bsg_clk_gen.vh -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen/bsg_clk_gen.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_rocket copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_rocket/bsg_rocket_core_fsb.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_rocket copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_rocket/bsg_nonsynth_chipset_rocket_fsb.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_rocket copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_rocket/bsg_chip_rocket.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_rocket copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_rocket/README -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_rocket copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_nonsynth_mixin_motherboard.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_nonsynth_cache_axe_tracer.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_test_dram_tx.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_test_dram_rx_reorder.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_test_dram_rx.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_test_dram.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_dram_ctrl_tx.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_dram_ctrl_rx.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_dram_ctrl.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_axi_tx.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_axi_rx.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_axi.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_sbuf_queue.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_sbuf.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_pkg.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_tl_stage.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_tag_mem.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_stat_mem.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_pkg.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_miss_fifo.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_mhu.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_dma.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_decode.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_data_mem.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_miss.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_dma.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_decode.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache.vh -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async/bsg_sync_sync.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async/bsg_launch_sync_sync.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async/bsg_async_ptr_gray.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async/bsg_async_fifo.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async/bsg_async_credit_counter.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/README_contributing -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/README.md -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/LICENSE -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/.gitmodules -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/.gitignore -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_ui64ToRecF64.cpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_ui64ToRecF32.cpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_ui64ToRecF16.cpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_ui64ToRecF128.cpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_ui32ToRecF64.cpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_ui32ToRecF32.cpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_ui32ToRecF16.cpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_ui32ToRecF128.cpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF64ToUi64.cpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF64ToUi32.cpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF64ToRecF32.cpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF64ToRecF16.cpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF64ToRecF128.cpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF64ToI64.cpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF64ToI32.cpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF64ToF64.cpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF32ToUi64.cpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF32ToUi32.cpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF32ToRecF64.cpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF32ToRecF16.cpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF32ToRecF128.cpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF32ToI64.cpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF32ToI32.cpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF32ToF32.cpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF16ToUi64.cpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF16ToUi32.cpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF16ToRecF64.cpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF16ToRecF32.cpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF16ToRecF128.cpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF16ToI64.cpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF16ToI32.cpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF16ToF16.cpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF128ToUi64.cpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF128ToUi32.cpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF128ToRecF64.cpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF128ToRecF32.cpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF128ToRecF16.cpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF128ToI64.cpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF128ToI32.cpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF128ToF128.cpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_mulAddRecF64.cpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_mulAddRecF32.cpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_mulAddRecF16.cpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_mulAddRecF128.cpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_i64ToRecF64.cpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_i64ToRecF32.cpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_i64ToRecF16.cpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_i64ToRecF128.cpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_i32ToRecF64.cpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_i32ToRecF32.cpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_i32ToRecF16.cpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_i32ToRecF128.cpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_f64ToRecF64.cpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_f32ToRecF32.cpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_f16ToRecF16.cpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_f128ToRecF128.cpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_divSqrtRecF64_small_sqrt.cpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_divSqrtRecF64_small_div.cpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_divSqrtRecF32_small_sqrt.cpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_divSqrtRecF32_small_div.cpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_divSqrtRecF16_small_sqrt.cpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_divSqrtRecF16_small_div.cpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_divSqrtRecF128_small_sqrt.cpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_divSqrtRecF128_small_div.cpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_compareRecF64.cpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_compareRecF32.cpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_compareRecF16.cpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_compareRecF128.cpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_abz_recF64.cpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_abz_recF32.cpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_abz_recF16.cpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_abz_recF128.cpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/testCommon.h -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/testCommon.c -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_recFNToRecFN.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_recFNToIN.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_recFNToFN.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_mulRecFN.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_mulAddRecFN_mul.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_mulAddRecFN_add.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_mulAddRecFN.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_iNToRecFN.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_divSqrtRecFN_small_sqrt.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_divSqrtRecFN_small_div.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_compareRecFN.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_addRecFN_sub.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_addRecFN_add.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/sameRecFN.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/recFNToRecFN_spec.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/recFNToIN_spec.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/recFNToFN_spec.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/mulRecFN_spec.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/mulAddRecFN_spec.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/iNToRecFN_spec.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/finish_fail-IcarusVerilog.vi -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/fNToRecFN_spec.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/divSqrtRecFN_small_spec.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/compareRecFN_spec.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/addRecFN_spec.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/RISCV copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/RISCV/HardFloat_specialize.vi -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/RISCV copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/RISCV/HardFloat_specialize.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/RISCV creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/ARM-VFPv2 copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/ARM-VFPv2/HardFloat_specialize.vi -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/ARM-VFPv2 copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/ARM-VFPv2/HardFloat_specialize.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/ARM-VFPv2 creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/8086-SSE copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/8086-SSE/HardFloat_specialize.vi -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/8086-SSE copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/8086-SSE/HardFloat_specialize.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/8086-SSE copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/recFNToRecFN.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/recFNToIN.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/recFNToFN.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/mulRecFN.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/mulAddRecFN.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/isSigNaNRecFN.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/iNToRecFN.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/fNToRecFN.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/divSqrtRecFN_small.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/compareRecFN.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/bsg_hardfloat_pkg.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/addRecFN.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/HardFloat_rawFN.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/HardFloat_primitives.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/HardFloat_localFuncs.vi -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/HardFloat_consts.vi -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/doc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/doc/fma.svg -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/doc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/doc/HardFloat-test-Verilog.html -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/doc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/doc/HardFloat-test-Verilator.html -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/doc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/doc/HardFloat-Verilog.html -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/doc copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/README.txt -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/README.md -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/README.html -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/COPYING.txt -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/tile_taxonomy.png -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/testbench_guide.md -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/style_guide.md -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/platform_guide.md -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/microarchitecture_guide.md -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/issue_info.png -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/issue_feature.png -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/issue_bug.png -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/interface_specification.md -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/eval_guide.md -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/debug_rf.png -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/debug_io.png -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/debug_freeze.png -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/debug_dma.png -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/debug_cfgbus.png -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/debug_cache.png -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/debug_boot.md -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/core_micro.png -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/cce_micro.png -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/bp_logo.png -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/bedrock_uarch_guide.md -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/bedrock_microcode_table.pdf -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/bedrock_guide.md -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/bedrock.png -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/backend_guide.md -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/weird_config.sh -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/tire_kick.sh -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/synth_vivado.sh -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/sv2v.sh -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/surelog.sh -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/single_core_testlist.sh -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/single_core_atomics.sh -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/multicore.sh -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/me_regress.sh -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/linux.sh -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/lint.sh -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/l2e_config.sh -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/icache_regress.sh -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/example_job.sh -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/dram.sh -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/dcache_regress.sh -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/checkpoint.sh -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/check_loops.sh -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/check_design.sh -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/bootrom.sh -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/bloodgraph.sh -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/wrapper.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/testbench.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/test_bp.cpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/hello_world.riscv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/hello_world.mem -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/hello_world.dump -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/flist.vcs -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/design.xdc -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.vivado -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.verilator -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.vcs -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.testlist -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.sv2v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.surelog -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.params -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.dc -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.cfgs -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/dromajo_cosim.cpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_watchdog.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_vm_tracer.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_perf.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_pc_profiler.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_nbf_loader.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_if_verif.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_host.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_cosim.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_core_profiler.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_cache_tracer.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_branch_profiler.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_monitor.cpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn/lint_settings.verilator -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn/flist.vcs -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn/coverage_hier.verilator -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn/coverage_hier.vcs -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_unicore_lite.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_unicore.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_tile_node.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_tile.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_sacc_vdp.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_sacc_tile_node.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_sacc_tile.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_sacc_complex.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_nd_socket.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_multicore.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_mem_complex.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_l2e_tile_node.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_l2e_tile.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_io_tile_node.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_io_tile.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_io_link_to_lce.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_io_complex.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_core_minimal.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_core_complex.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_core.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_cacc_vdp.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_cacc_tile_node.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_cacc_tile.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_cacc_complex.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/include copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/include/bp_top_pkg.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/include copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/include/bp_top_defines.svh -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/include creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/software creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/software/py copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/software/py/ptgen.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/software/py creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/wrapper.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/testbench.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/test_memory.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/test_gen.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/test_bp.cpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/flist.vcs -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/bsg_trace_rom.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/Makefile.verilator -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/Makefile.vcs -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/Makefile.testlist -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/Makefile.params -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/Makefile.dc -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/Makefile.cfgs -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/protocols copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/protocols/mesi.m -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/protocols copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/protocols/README.md -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/protocols creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/examples copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/examples/msi.m -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/examples copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/examples/moesi.m -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/examples copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/examples/mesi.m -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/examples copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/examples/README.md -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/examples copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bsg_trace_node_master.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_nonsynth_mem.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_nonsynth_dram.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_mem_nonsynth_tracer.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_me_nonsynth_pkg.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_me_nonsynth_mock_lce_tag_lookup.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_me_nonsynth_mock_lce.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_me_nonsynth_lce_tracer.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_me_nonsynth_lce_tr_tracer.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_me_nonsynth_cce_tracer.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_me_nonsynth_cce_dir_tracer.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_ddr.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_cce_mmio_cfg_loader.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_cce_dir_nonsynth_tracer.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/syn copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/syn/flist.vcs -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/syn copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/syn/coverage_hier.verilator -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/syn copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/syn/coverage_hier.vcs -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/syn copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/syn/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/syn creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_stream_to_lite.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_wormhole_packet_encode_mem_resp.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_wormhole_packet_encode_mem_cmd.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_wormhole_packet_encode_lce_resp.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_wormhole_packet_encode_lce_req.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_wormhole_packet_encode_lce_cmd.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_lce_id_to_cord.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_cord_to_id.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_cce_to_mem_link_master.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_cce_to_mem_link_client.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_cce_to_mem_link_bidir.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_cce_id_to_cord.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_addr_to_cce_id.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_lite_to_stream.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_lite_to_burst.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_burst_to_lite.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/network copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/network/bp_lite_to_axi_lite_master.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/network copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/network/axi_lite_to_bp_lite_client.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/network copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/network/axi_fifo.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/network creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/lce copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/lce/bp_lce_req.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/lce copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/lce/bp_lce_cmd.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/lce copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/lce/bp_lce.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/lce creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/dev copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/dev/bp_me_loopback.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/dev copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/dev/bp_me_clint_slice.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/dev copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/dev/bp_me_cfg.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/dev copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/dev/bp_me_bedrock_register.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/dev creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_uce.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_io_cce.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_wrapper.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_src_sel.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_spec_bits.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_reg.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_pma.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_pending_bits.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_msg.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_inst_stall.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_inst_ram.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_inst_predecode.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_inst_decode.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_gad.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_fsm_top.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_fsm.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_dir_tag_checker.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_dir_segment.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_dir_lru_extract.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_dir.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_branch.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_arbitrate.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_alu.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cache copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cache/bp_me_cce_to_cache.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cache creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include/bp_me_wormhole_defines.svh -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include/bp_me_pkg.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include/bp_me_defines.svh -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include/bp_me_cce_inst_pkgdef.svh -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include/bp_me_cce_inst_defines.svh -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include/bp_me_cce_defines.svh -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include/bp_me_cache_defines.svh -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include/bp_me_axi_pkgdef.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/scripts copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/scripts/run.sh -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/scripts copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/scripts/build.sh -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/scripts creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py/trace_gen.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py/npa_addr_gen.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py/checker.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py/c_array_gen.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py/axe_trace_filter.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/README.md -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/wrapper.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/trace_script.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/trace_gen.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/testbench.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/test_bp.cpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/flist.vcs -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/Makefile.verilator -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/Makefile.vcs -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/Makefile.testlist -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/Makefile.params -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/Makefile.frag -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/Makefile.dc -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/Makefile.cfgs -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn/test.lst -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn/flist.vcs -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn/coverage_hier.verilator -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn/coverage_hier.vcs -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v/bp_fe_top.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v/bp_fe_pc_gen.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v/bp_fe_instr_scan.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v/bp_fe_icache.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v/bp_fe_btb.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v/bp_fe_bht.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include/bp_fe_pkg.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include/bp_fe_icache_pkgdef.svh -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include/bp_fe_icache_defines.svh -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include/bp_fe_defines.svh -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include/bp_fe_decompress.svh -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/schm copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/schm/BlackParrot_FE_top.svg -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/schm copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/schm/BlackParrot_FE_pcgen.svg -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/schm copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/schm/BlackParrot_FE_icache.svg -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/schm copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/README.md -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v/bsg_mem_3r1w_sync.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v/bsg_mem_2r1w_sync.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v/bsg_mem_1rw_sync_mask_write_byte.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v/bsg_mem_1rw_sync_mask_write_bit.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v/bsg_mem_1rw_sync.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v/bsg_mem_1r1w_sync.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/tcl copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/tcl/vivado_synth.tcl -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/tcl copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/tcl/dc_elab.tcl -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/tcl copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/flist.vcs -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/Makefile.vivado -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/Makefile.verilator -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/Makefile.vcs -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/Makefile.sv2v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/Makefile.surelog -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/Makefile.dc -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/Makefile.common -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_wormhole_to_cache_dma_fanout.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_wormhole_stream_out.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_wormhole_stream_in.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_wormhole_stream_control.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_serial_in_parallel_out_passthrough_dynamic.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_rom_param.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_parallel_in_serial_out_passthrough_dynamic.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_fifo_1r1w_rolly.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_dff_reset_half.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_cache_dma_to_wormhole.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_bus_pack.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_async_noc_link.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bp_tlb.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bp_pma.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bp_mmu.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_rv64_pkgdef.svh -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_rv64_instr_defines.svh -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_rv64_csr_defines.svh -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_pkg.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_log_defines.svh -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_host_pkgdef.svh -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_defines.svh -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_core_pkgdef.svh -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_core_if.svh -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_clint_pkgdef.svh -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_cfg_bus_pkgdef.svh -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_cfg_bus_defines.svh -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_cache_pkgdef.svh -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_cache_engine_pkgdef.svh -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_cache_engine_if.svh -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_bedrock_pkgdef.svh -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_bedrock_if.svh -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_aviary_pkgdef.svh -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_aviary_defines.svh -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_addr_pkgdef.svh -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_addr_defines.svh -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/software creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/software/py copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/software/py/pc_histogram.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/software/py copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/software/py/nbf.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/software/py copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/software/py/blood_graph.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/software/py creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/wrapper.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/trace_script.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/trace_gen.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/testbench.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/test_bp.cpp -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/flist.vcs -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/Makefile.verilator -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/Makefile.vcs -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/Makefile.testlist -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/Makefile.params -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/Makefile.dc -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/Makefile.cfgs -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/syn copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/syn/flist.vcs -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/syn copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/syn/coverage_hier.verilator -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/syn copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/syn/coverage_hier.vcs -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/syn copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/syn/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/syn creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_dcache copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_dcache/bp_be_dcache_wbuf.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_dcache copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_dcache/bp_be_dcache_decoder.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_dcache copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_dcache/bp_be_dcache.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_dcache creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker/bp_be_scoreboard.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker/bp_be_scheduler.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker/bp_be_regfile.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker/bp_be_issue_queue.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker/bp_be_instr_decoder.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker/bp_be_director.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker/bp_be_detector.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker/bp_be_cmd_queue.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_rec_to_fp.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_ptw.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_pipe_sys.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_pipe_mem.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_pipe_long.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_pipe_int.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_pipe_fma.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_pipe_ctl.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_pipe_aux.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_fp_to_rec.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_csr.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_calculator_top.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_top.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include/bp_be_pkg.sv -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include/bp_be_defines.svh -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include/bp_be_dcache_pkgdef.svh -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include/bp_be_dcache_defines.svh -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include/bp_be_ctl_pkgdef.svh -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/README.md -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/.github creating /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/ISSUE_TEMPLATE copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/ISSUE_TEMPLATE/informational.md -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/ISSUE_TEMPLATE copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/ISSUE_TEMPLATE/feature_request.md -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/ISSUE_TEMPLATE copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/ISSUE_TEMPLATE/config.yml -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/ISSUE_TEMPLATE copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/ISSUE_TEMPLATE/bug_report.md -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/ISSUE_TEMPLATE copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/PULL_REQUEST_TEMPLATE.md -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/.github copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/README.md -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/Makefile.tools -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/Makefile.common -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/LICENSE -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/CONTRIBUTING.md -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/.gitlab-ci.yml -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/.gitignore -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot copying build/lib/pythondata_cpu_blackparrot/__init__.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/trace_gen.py to trace_gen.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/stream_write.py to stream_write.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/stream_read.py to stream_read.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/miss_latency.py to miss_latency.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/full_random.py to full_random.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/const_random.py to const_random.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/unit_load_conflict.py to unit_load_conflict.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/unit_load.py to unit_load.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/unit.py to unit.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/trace_gen_base.py to trace_gen_base.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/test_reorder.py to test_reorder.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/random_full.py to random_full.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/constrained_random.py to constrained_random.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm/latency.py to latency.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm/hbm_trace_gen.py to hbm_trace_gen.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3/latency.py to latency.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3/hbm_trace_gen.py to hbm_trace_gen.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/random_stim.py to random_stim.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma/tracegen.py to tracegen.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_tagfl1.py to test_tagfl1.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_stride1.py to test_stride1.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_store_load2.py to test_store_load2.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_store_load.py to test_store_load.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_store_buffer3.py to test_store_buffer3.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_store_buffer2.py to test_store_buffer2.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_store_buffer1.py to test_store_buffer1.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_random2.py to test_random2.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_random1.py to test_random1.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_mask1.py to test_mask1.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_byte3.py to test_byte3.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_byte2.py to test_byte2.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_byte1.py to test_byte1.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_block1.py to test_block1.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_base.py to test_base.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_atomic4.py to test_atomic4.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_atomic3.py to test_atomic3.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_atomic2.py to test_atomic2.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_atomic1.py to test_atomic1.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_alock1.py to test_alock1.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_aflinv1.py to test_aflinv1.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_zorder.py to test_zorder.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_tag_access.py to test_tag_access.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_stride.py to test_stride.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_square.py to test_square.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_random_tagfl.py to test_random_tagfl.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_random_flush.py to test_random_flush.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_random_aflinv2.py to test_random_aflinv2.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_random_aflinv.py to test_random_aflinv.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_random_afl.py to test_random_afl.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_random.py to test_random.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_pe_cover.py to test_pe_cover.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_miss_fifo_cov.py to test_miss_fifo_cov.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_long_interval.py to test_long_interval.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_linear.py to test_linear.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_ld_st.py to test_ld_st.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_invalid_lock2.py to test_invalid_lock2.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_invalid_lock.py to test_invalid_lock.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_clean_read.py to test_clean_read.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_byte.py to test_byte.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_burst.py to test_burst.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_block_ld3.py to test_block_ld3.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_block_ld2.py to test_block_ld2.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_block_ld.py to test_block_ld.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_block.py to test_block.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_base.py to test_base.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_alock.py to test_alock.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_ainv.py to test_ainv.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/bsg_cache_non_blocking_trace_gen.py to bsg_cache_non_blocking_trace_gen.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_stride2.py to test_stride2.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_stride1.py to test_stride1.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_random3.py to test_random3.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_random2.py to test_random2.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_random1.py to test_random1.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_mask1.py to test_mask1.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_base.py to test_base.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_atomic4.py to test_atomic4.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_atomic3.py to test_atomic3.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_atomic2.py to test_atomic2.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_atomic1.py to test_atomic1.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/trace_way8.py to trace_way8.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/trace_way4.py to trace_way4.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/trace_way2.py to trace_way2.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/test_lock_multiway.py to test_lock_multiway.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/test_lock_multiset.py to test_lock_multiset.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/test_lock2.py to test_lock2.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/test_lock1.py to test_lock1.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/test_base.py to test_base.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/dmc_trace_gen.py to dmc_trace_gen.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common/bsg_cache_trace_gen.py to bsg_cache_trace_gen.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test/axe_trace_gen.py to axe_trace_gen.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_comp42_gen.py to bsg_comp42_gen.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_booth_4_block_gen_end_cornice.py to bsg_booth_4_block_gen_end_cornice.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_booth_4_block_gen_cornice.py to bsg_booth_4_block_gen_cornice.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_booth_4_block_gen.py to bsg_booth_4_block_gen.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_and_csa_gen.py to bsg_and_csa_gen.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_reduce_gen.py to bsg_reduce_gen.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mux_gen.py to bsg_mux_gen.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_gate_stack_gen.py to bsg_gate_stack_gen.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_dff_gen.py to bsg_dff_gen.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_rf_gen.py to bsg_rf_gen.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_comp42_gen.py to bsg_comp42_gen.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_booth_4_block_gen_end_cornice.py to bsg_booth_4_block_gen_end_cornice.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_booth_4_block_gen_cornice.py to bsg_booth_4_block_gen_cornice.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_booth_4_block_gen.py to bsg_booth_4_block_gen.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_and_csa_gen.py to bsg_and_csa_gen.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_reduce_gen.py to bsg_reduce_gen.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mux_gen.py to bsg_mux_gen.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_gate_stack_gen.py to bsg_gate_stack_gen.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_dff_gen.py to bsg_dff_gen.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_rf_gen.py to bsg_rf_gen.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_dataflow/bsg_fifo_shift_gen.py to bsg_fifo_shift_gen.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_reduce_gen.py to bsg_reduce_gen.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_mux_gen.py to bsg_mux_gen.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_gate_stack_gen.py to bsg_gate_stack_gen.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_dff_gen.py to bsg_dff_gen.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_rf_gen.py to bsg_rf_gen.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/generate_tb.py to generate_tb.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_mesh_to_ring_stitch.py to bsg_mesh_to_ring_stitch.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_round_robin_arb.py to bsg_round_robin_arb.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_ascii_to_rom.py to bsg_ascii_to_rom.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_scatter_gather.py to bsg_scatter_gather.cpython-312.pyc /usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_scatter_gather.py:164: SyntaxWarning: invalid escape sequence '\ ' byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/software/py/ptgen.py to ptgen.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/test_memory.py to test_memory.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/test_gen.py to test_gen.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/bsg_trace_rom.py to bsg_trace_rom.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py/trace_gen.py to trace_gen.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py/npa_addr_gen.py to npa_addr_gen.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py/checker.py to checker.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py/c_array_gen.py to c_array_gen.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py/axe_trace_filter.py to axe_trace_filter.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/trace_script.py to trace_script.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/trace_gen.py to trace_gen.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/software/py/pc_histogram.py to pc_histogram.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/software/py/nbf.py to nbf.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/software/py/blood_graph.py to blood_graph.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/trace_script.py to trace_script.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/trace_gen.py to trace_gen.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/__init__.py to __init__.cpython-312.pyc writing byte-compilation script '/tmp/tmp03xco_lv.py' /usr/bin/python3 /tmp/tmp03xco_lv.py /usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_scatter_gather.py:164: SyntaxWarning: invalid escape sequence '\ ' removing /tmp/tmp03xco_lv.py running install_egg_info running egg_info writing pythondata_cpu_blackparrot.egg-info/PKG-INFO writing dependency_links to pythondata_cpu_blackparrot.egg-info/dependency_links.txt writing top-level names to pythondata_cpu_blackparrot.egg-info/top_level.txt reading manifest file 'pythondata_cpu_blackparrot.egg-info/SOURCES.txt' reading manifest template 'MANIFEST.in' warning: no previously-included files matching '*.py[cod]' found anywhere in distribution adding license file 'LICENSE' writing manifest file 'pythondata_cpu_blackparrot.egg-info/SOURCES.txt' Copying pythondata_cpu_blackparrot.egg-info to /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot-0.0.post1817-py3.12.egg-info running install_scripts + rm -rfv /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/bin/__pycache__ ++ find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py' + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/__init__.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/trace_gen.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/trace_script.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/software/py/blood_graph.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/software/py/nbf.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/software/py/pc_histogram.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/trace_gen.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/trace_script.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py/axe_trace_filter.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py/c_array_gen.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py/checker.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py/npa_addr_gen.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py/trace_gen.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/bsg_trace_rom.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/test_gen.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/test_memory.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/software/py/ptgen.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_scatter_gather.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_ascii_to_rom.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_round_robin_arb.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_mesh_to_ring_stitch.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/generate_tb.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_rf_gen.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_dff_gen.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_gate_stack_gen.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_mux_gen.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_reduce_gen.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_dataflow/bsg_fifo_shift_gen.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_rf_gen.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_dff_gen.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_gate_stack_gen.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mux_gen.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_reduce_gen.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_and_csa_gen.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_booth_4_block_gen.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_booth_4_block_gen_cornice.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_booth_4_block_gen_end_cornice.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_comp42_gen.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_rf_gen.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_dff_gen.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_gate_stack_gen.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mux_gen.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_reduce_gen.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_and_csa_gen.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_booth_4_block_gen.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_booth_4_block_gen_cornice.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_booth_4_block_gen_end_cornice.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_comp42_gen.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test/axe_trace_gen.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common/bsg_cache_trace_gen.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/dmc_trace_gen.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/test_base.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/test_lock1.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/test_lock2.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/test_lock_multiset.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/test_lock_multiway.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/trace_way2.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/trace_way4.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/trace_way8.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_atomic1.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_atomic2.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_atomic3.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_atomic4.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_base.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_mask1.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_random1.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_random2.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_random3.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_stride1.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_stride2.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/bsg_cache_non_blocking_trace_gen.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_ainv.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_alock.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_base.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_block.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_block_ld.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_block_ld2.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_block_ld3.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_burst.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_byte.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_clean_read.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_invalid_lock.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_invalid_lock2.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_ld_st.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_linear.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_long_interval.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_miss_fifo_cov.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_pe_cover.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_random.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_random_afl.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_random_aflinv.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_random_aflinv2.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_random_flush.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_random_tagfl.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_square.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_stride.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_tag_access.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_zorder.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_aflinv1.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_alock1.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_atomic1.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_atomic2.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_atomic3.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_atomic4.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_base.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_block1.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_byte1.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_byte2.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_byte3.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_mask1.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_random1.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_random2.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_store_buffer1.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_store_buffer2.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_store_buffer3.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_store_load.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_store_load2.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_stride1.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_tagfl1.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma/tracegen.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/random_stim.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3/hbm_trace_gen.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3/latency.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm/hbm_trace_gen.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm/latency.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/constrained_random.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/random_full.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/test_reorder.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/trace_gen_base.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/unit.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/unit_load.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/unit_load_conflict.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/const_random.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/full_random.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/miss_latency.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/stream_read.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/stream_write.py + for f in `find /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le -name '*.py'` + sed -i -e 's|#!/usr/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/trace_gen.py + sed -i 's|#!/nbu_async/luzh/install/bin/python|#!/usr/bin/python3|' /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le//usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/generate_tb.py + /usr/lib/rpm/check-buildroot + /usr/lib/rpm/redhat/brp-ldconfig + /usr/lib/rpm/brp-compress + /usr/lib/rpm/brp-strip /bin/true + /usr/lib/rpm/brp-strip-comment-note /bin/true /usr/bin/objdump + /usr/lib/rpm/redhat/brp-strip-lto /bin/true + /usr/lib/rpm/brp-strip-static-archive /bin/true + /usr/lib/rpm/check-rpaths + /usr/lib/rpm/redhat/brp-mangle-shebangs mangling shebang in /usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/scripts/build.sh from /bin/bash to #!/usr/bin/bash mangling shebang in /usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/scripts/run.sh from /bin/bash to #!/usr/bin/bash *** WARNING: ./usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/hello_world.mem is executable but has no shebang, removing executable bit mangling shebang in /usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/bloodgraph.sh from /bin/bash to #!/usr/bin/bash mangling shebang in /usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/bootrom.sh from /bin/bash to #!/usr/bin/bash mangling shebang in /usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/check_design.sh from /bin/bash to #!/usr/bin/bash mangling shebang in /usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/check_loops.sh from /bin/bash to #!/usr/bin/bash mangling shebang in /usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/checkpoint.sh from /bin/bash to #!/usr/bin/bash mangling shebang in /usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/dcache_regress.sh from /bin/bash to #!/usr/bin/bash mangling shebang in /usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/dram.sh from /bin/bash to #!/usr/bin/bash mangling shebang in /usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/example_job.sh from /bin/bash to #!/usr/bin/bash mangling shebang in /usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/icache_regress.sh from /bin/bash to #!/usr/bin/bash mangling shebang in /usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/l2e_config.sh from /bin/bash to #!/usr/bin/bash mangling shebang in /usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/lint.sh from /bin/bash to #!/usr/bin/bash mangling shebang in /usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/linux.sh from /bin/bash to #!/usr/bin/bash mangling shebang in /usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/me_regress.sh from /bin/bash to #!/usr/bin/bash mangling shebang in /usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/multicore.sh from /bin/bash to #!/usr/bin/bash mangling shebang in /usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/single_core_atomics.sh from /bin/bash to #!/usr/bin/bash mangling shebang in /usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/single_core_testlist.sh from /bin/bash to #!/usr/bin/bash mangling shebang in /usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/surelog.sh from /bin/bash to #!/usr/bin/bash mangling shebang in /usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/sv2v.sh from /bin/bash to #!/usr/bin/bash mangling shebang in /usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/synth_vivado.sh from /bin/bash to #!/usr/bin/bash mangling shebang in /usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/tire_kick.sh from /bin/bash to #!/usr/bin/bash mangling shebang in /usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/weird_config.sh from /bin/bash to #!/usr/bin/bash *** WARNING: ./usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/tests/mesosynctb.v is executable but has no shebang, removing executable bit *** WARNING: ./usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/tests/mesosynctb_gate_level.v is executable but has no shebang, removing executable bit mangling shebang in /usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/sweep.sh from /bin/bash to #!/usr/bin/bash mangling shebang in /usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/width_sweep.sh from /bin/bash to #!/usr/bin/bash mangling shebang in /usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/sweep.sh from /bin/bash to #!/usr/bin/bash *** WARNING: ./usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all/test.sh is executable but has no shebang, removing executable bit + /usr/lib/rpm/brp-remove-la-files + env /usr/lib/rpm/redhat/brp-python-bytecompile '' 1 0 -j5 Bytecompiling .py files below /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/lib/python3.12 using python3.12 /usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_scatter_gather.py:164: SyntaxWarning: invalid escape sequence '\ ' /usr/lib/python3.12/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_scatter_gather.py:164: SyntaxWarning: invalid escape sequence '\ ' + /usr/lib/rpm/redhat/brp-python-hardlink Processing files: litex-pythondata-cpu-blackparrot-python3-2022.08-20210926.3.gitba50883f.fc39.noarch Executing(%doc): /bin/sh -e /var/tmp/rpm-tmp.7eI1dK + umask 022 + cd /builddir/build/BUILD + cd litex-pythondata-cpu-blackparrot + DOCDIR=/builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/share/doc/litex-pythondata-cpu-blackparrot-python3 + export LC_ALL=C + LC_ALL=C + export DOCDIR + /usr/bin/mkdir -p /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/share/doc/litex-pythondata-cpu-blackparrot-python3 + cp -pr /builddir/build/BUILD/litex-pythondata-cpu-blackparrot/README.md /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/share/doc/litex-pythondata-cpu-blackparrot-python3 + RPM_EC=0 ++ jobs -p + exit 0 Executing(%license): /bin/sh -e /var/tmp/rpm-tmp.g8egXx + umask 022 + cd /builddir/build/BUILD + cd litex-pythondata-cpu-blackparrot + LICENSEDIR=/builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/share/licenses/litex-pythondata-cpu-blackparrot-python3 + export LC_ALL=C + LC_ALL=C + export LICENSEDIR + /usr/bin/mkdir -p /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/share/licenses/litex-pythondata-cpu-blackparrot-python3 + cp -pr /builddir/build/BUILD/litex-pythondata-cpu-blackparrot/LICENSE /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le/usr/share/licenses/litex-pythondata-cpu-blackparrot-python3 + RPM_EC=0 ++ jobs -p + exit 0 Provides: litex-pythondata-cpu-blackparrot-python3 = 2022.08-20210926.3.gitba50883f.fc39 python3.12dist(pythondata-cpu-blackparrot) = 0^post1817 python3dist(pythondata-cpu-blackparrot) = 0^post1817 pythondata-cpu-blackparrot Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PartialHardlinkSets) <= 4.0.4-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Requires: /usr/bin/bash /usr/bin/python3 python(abi) = 3.12 Checking for unpackaged file(s): /usr/lib/rpm/check-files /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le warning: Arch dependent binaries in noarch package Wrote: /builddir/build/RPMS/litex-pythondata-cpu-blackparrot-python3-2022.08-20210926.3.gitba50883f.fc39.noarch.rpm Executing(%clean): /bin/sh -e /var/tmp/rpm-tmp.TU32kF + umask 022 + cd /builddir/build/BUILD + cd litex-pythondata-cpu-blackparrot + /usr/bin/rm -rf /builddir/build/BUILDROOT/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.ppc64le + RPM_EC=0 ++ jobs -p + exit 0 Executing(rmbuild): /bin/sh -e /var/tmp/rpm-tmp.OQ3ow1 + umask 022 + cd /builddir/build/BUILD + rm -rf litex-pythondata-cpu-blackparrot litex-pythondata-cpu-blackparrot.gemspec + RPM_EC=0 ++ jobs -p + exit 0 RPM build warnings: %patchN is deprecated (1 usages found), use %patch N (or %patch -P N) Arch dependent binaries in noarch package Finish: rpmbuild litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.src.rpm Finish: build phase for litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.src.rpm INFO: chroot_scan: 3 files copied to /var/lib/copr-rpmbuild/results/chroot_scan INFO: /var/lib/mock/fedora-rawhide-ppc64le-1688731923.087669/root/var/log/dnf.rpm.log /var/lib/mock/fedora-rawhide-ppc64le-1688731923.087669/root/var/log/dnf.librepo.log /var/lib/mock/fedora-rawhide-ppc64le-1688731923.087669/root/var/log/dnf.log INFO: Done(/var/lib/copr-rpmbuild/results/litex-pythondata-cpu-blackparrot-2022.08-20210926.3.gitba50883f.fc39.src.rpm) Config(child) 0 minutes 46 seconds INFO: Results and/or logs in: /var/lib/copr-rpmbuild/results INFO: Cleaning up build root ('cleanup_on_success=True') Start: clean chroot INFO: unmounting tmpfs. Finish: clean chroot Finish: run Running RPMResults tool