Warning: Permanently added '54.205.192.44' (ED25519) to the list of known hosts. Running (timeout=172800): unbuffer mock --spec /var/lib/copr-rpmbuild/workspace/workdir-605imy05/cocotb/cocotb.spec --sources /var/lib/copr-rpmbuild/workspace/workdir-605imy05/cocotb --resultdir /var/lib/copr-rpmbuild/results --uniqueext 1717462987.593545 -r /var/lib/copr-rpmbuild/results/configs/child.cfg INFO: mock.py version 5.6 starting (python version = 3.12.1, NVR = mock-5.6-1.fc39), args: /usr/libexec/mock/mock --spec /var/lib/copr-rpmbuild/workspace/workdir-605imy05/cocotb/cocotb.spec --sources /var/lib/copr-rpmbuild/workspace/workdir-605imy05/cocotb --resultdir /var/lib/copr-rpmbuild/results --uniqueext 1717462987.593545 -r /var/lib/copr-rpmbuild/results/configs/child.cfg Start(bootstrap): init plugins INFO: tmpfs initialized INFO: selinux enabled INFO: chroot_scan: initialized INFO: compress_logs: initialized Finish(bootstrap): init plugins Start: init plugins INFO: tmpfs initialized INFO: selinux enabled INFO: chroot_scan: initialized INFO: compress_logs: initialized Finish: init plugins INFO: Signal handler active Start: run INFO: Start(/var/lib/copr-rpmbuild/workspace/workdir-605imy05/cocotb/cocotb.spec) Config(fedora-rawhide-x86_64) Start: clean chroot Finish: clean chroot Mock Version: 5.6 INFO: Mock Version: 5.6 Start(bootstrap): chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-rawhide-x86_64-bootstrap-1717462987.593545/root. INFO: calling preinit hooks INFO: enabled root cache INFO: enabled package manager cache Start(bootstrap): cleaning package manager metadata Finish(bootstrap): cleaning package manager metadata INFO: Guessed host environment type: unknown INFO: Using bootstrap image: registry.fedoraproject.org/fedora:rawhide INFO: Pulling image: registry.fedoraproject.org/fedora:rawhide INFO: Copy content of container registry.fedoraproject.org/fedora:rawhide to /var/lib/mock/fedora-rawhide-x86_64-bootstrap-1717462987.593545/root INFO: Checking that registry.fedoraproject.org/fedora:rawhide image matches host's architecture INFO: mounting registry.fedoraproject.org/fedora:rawhide with podman image mount INFO: image registry.fedoraproject.org/fedora:rawhide as /var/lib/containers/storage/overlay/16c51d49f55fffe4fde24ec7bd9028f14ab9eb141d87833fe39bc0e4539b2c87/merged INFO: umounting image registry.fedoraproject.org/fedora:rawhide (/var/lib/containers/storage/overlay/16c51d49f55fffe4fde24ec7bd9028f14ab9eb141d87833fe39bc0e4539b2c87/merged) with podman image umount INFO: Package manager dnf5 detected and used (fallback) INFO: Bootstrap image not marked ready Start(bootstrap): installing dnf5 tooling Updating and loading repositories: Additional repo copr_rezso_CUDA 100% | 1.4 MiB/s | 44.4 KiB | 00m00s fedora 100% | 42.3 MiB/s | 20.9 MiB | 00m00s Additional repo copr_rezso_ML 100% | 3.7 MiB/s | 126.0 KiB | 00m00s Copr repository 100% | 3.6 MiB/s | 134.4 KiB | 00m00s Additional repo http_developer_downloa 100% | 49.3 MiB/s | 757.6 KiB | 00m00s Additional repo http_developer_downloa 100% | 38.6 MiB/s | 513.4 KiB | 00m00s Additional repo http_developer_downloa 100% | 39.0 MiB/s | 479.2 KiB | 00m00s Repositories loaded. Package "dnf5-5.2.2.0-1.fc41.x86_64" is already installed. Package Arch Version Repository Size Installing: dnf5-plugins x86_64 5.2.2.0-1.fc41 fedora 1.0 MiB Installing dependencies: elfutils-default-yama-scope noarch 0.191-7.fc41 fedora 1.8 KiB elfutils-libelf x86_64 0.191-7.fc41 fedora 1.2 MiB elfutils-libs x86_64 0.191-7.fc41 fedora 646.1 KiB file-libs x86_64 5.45-5.fc41 fedora 9.9 MiB libgomp x86_64 14.1.1-4.fc41 fedora 519.5 KiB rpm-build-libs x86_64 4.19.91-6.fc41 fedora 206.6 KiB Transaction Summary: Installing: 7 packages Total size of inbound packages is 2 MiB. Need to download 2 MiB. After this operation 13 MiB will be used (install 13 MiB, remove 0 B). [1/7] elfutils-libelf-0:0.191-7.fc41.x8 100% | 15.7 MiB/s | 208.5 KiB | 00m00s [2/7] dnf5-plugins-0:5.2.2.0-1.fc41.x86 100% | 23.9 MiB/s | 366.4 KiB | 00m00s [3/7] elfutils-libs-0:0.191-7.fc41.x86_ 100% | 84.0 MiB/s | 258.1 KiB | 00m00s [4/7] rpm-build-libs-0:4.19.91-6.fc41.x 100% | 5.6 MiB/s | 98.0 KiB | 00m00s [5/7] elfutils-default-yama-scope-0:0.1 100% | 6.5 MiB/s | 13.3 KiB | 00m00s [6/7] libgomp-0:14.1.1-4.fc41.x86_64 100% | 68.3 MiB/s | 349.5 KiB | 00m00s [7/7] file-libs-0:5.45-5.fc41.x86_64 100% | 106.4 MiB/s | 763.0 KiB | 00m00s -------------------------------------------------------------------------------- [7/7] Total 100% | 16.9 MiB/s | 2.0 MiB | 00m00s Running transaction [1/9] Verify package files 100% | 1.0 KiB/s | 7.0 B | 00m00s [2/9] Prepare transaction 100% | 1.0 KiB/s | 7.0 B | 00m00s [3/9] Installing elfutils-libelf-0:0.19 100% | 389.8 MiB/s | 1.2 MiB | 00m00s [4/9] Installing elfutils-default-yama- 100% | 340.5 KiB/s | 2.0 KiB | 00m00s >>> Running post-install scriptlet: elfutils-default-yama-scope-0:0.191-7.fc41.n >>> Stop post-install scriptlet: elfutils-default-yama-scope-0:0.191-7.fc41.noar [5/9] Installing elfutils-libs-0:0.191- 100% | 210.9 MiB/s | 648.0 KiB | 00m00s [6/9] Installing libgomp-0:14.1.1-4.fc4 100% | 254.4 MiB/s | 520.9 KiB | 00m00s [7/9] Installing file-libs-0:5.45-5.fc4 100% | 662.0 MiB/s | 9.9 MiB | 00m00s [8/9] Installing rpm-build-libs-0:4.19. 100% | 202.6 MiB/s | 207.5 KiB | 00m00s warning: posix.fork(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.execute() instead warning: posix.wait(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.execute() instead warning: posix.exec(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.execute() instead [9/9] Installing dnf5-plugins-0:5.2.2.0 100% | 53.7 MiB/s | 1.0 MiB | 00m00s >>> Running trigger-install scriptlet: glibc-common-0:2.39.9000-18.fc41.x86_64 >>> Stop trigger-install scriptlet: glibc-common-0:2.39.9000-18.fc41.x86_64 Finish(bootstrap): installing dnf5 tooling Start(bootstrap): creating root cache Finish(bootstrap): creating root cache Finish(bootstrap): chroot init Start: chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-rawhide-x86_64-1717462987.593545/root. INFO: calling preinit hooks INFO: enabled root cache INFO: enabled package manager cache Start: cleaning package manager metadata Finish: cleaning package manager metadata INFO: enabled HW Info plugin INFO: Package manager dnf5 detected and used (direct choice) INFO: Buildroot is handled by package management downloaded with a bootstrap image: rpm-4.19.91-6.fc41.x86_64 rpm-sequoia-1.6.0-3.fc41.x86_64 dnf5-5.2.2.0-1.fc41.x86_64 dnf5-plugins-5.2.2.0-1.fc41.x86_64 Start: installing minimal buildroot with dnf5 Updating and loading repositories: fedora 100% | 15.7 KiB/s | 3.6 KiB | 00m00s Copr repository 100% | 178.9 KiB/s | 2.1 KiB | 00m00s Additional repo copr_rezso_ML 100% | 130.7 KiB/s | 1.8 KiB | 00m00s Additional repo copr_rezso_CUDA 100% | 82.9 KiB/s | 1.8 KiB | 00m00s Additional repo http_developer_downloa 100% | 1.1 MiB/s | 3.5 KiB | 00m00s Additional repo http_developer_downloa 100% | 1.1 MiB/s | 3.5 KiB | 00m00s Additional repo http_developer_downloa 100% | 870.6 KiB/s | 3.5 KiB | 00m00s Copr repository 100% | 4.9 MiB/s | 134.4 KiB | 00m00s Repositories loaded. Package Arch Version Repository Size Installing group/module packages: bash x86_64 5.2.26-3.fc40 fedora 8.1 MiB bzip2 x86_64 1.0.8-18.fc40 fedora 91.7 KiB coreutils x86_64 9.5-1.fc41 fedora 5.5 MiB cpio x86_64 2.15-1.fc40 fedora 1.1 MiB diffutils x86_64 3.10-5.fc40 fedora 1.6 MiB fedora-release-common noarch 41-0.13 fedora 19.2 KiB findutils x86_64 1:4.9.0-8.fc40 fedora 1.5 MiB gawk x86_64 5.3.0-3.fc40 fedora 1.7 MiB glibc-minimal-langpack x86_64 2.39.9000-18.fc41 fedora 0.0 B grep x86_64 3.11-8.fc41 fedora 1.0 MiB gzip x86_64 1.13-1.fc40 fedora 385.0 KiB info x86_64 7.1-2.fc40 fedora 357.8 KiB patch x86_64 2.7.6-24.fc40 fedora 262.8 KiB redhat-rpm-config noarch 292-1.fc41 fedora 183.5 KiB rpm-build x86_64 4.19.91-6.fc41 fedora 194.1 KiB sed x86_64 4.9-1.fc40 fedora 861.5 KiB shadow-utils x86_64 2:4.15.1-5.fc41 fedora 4.1 MiB tar x86_64 2:1.35-3.fc40 fedora 2.9 MiB unzip x86_64 6.0-63.fc40 fedora 382.8 KiB util-linux x86_64 2.40.1-1.fc41 fedora 3.7 MiB which x86_64 2.21-41.fc40 fedora 80.2 KiB xz x86_64 1:5.4.6-3.fc41 fedora 2.0 MiB Installing dependencies: add-determinism-nopython x86_64 0.2.0-9.fc41 fedora 2.5 MiB alternatives x86_64 1.27-1.fc41 fedora 66.3 KiB ansible-srpm-macros noarch 1-15.fc41 fedora 35.7 KiB audit-libs x86_64 4.0.1-2.fc41 fedora 327.3 KiB authselect x86_64 1.5.0-5.fc41 fedora 153.6 KiB authselect-libs x86_64 1.5.0-5.fc41 fedora 818.2 KiB basesystem noarch 11-20.fc40 fedora 0.0 B binutils x86_64 2.42.50-11.fc41 fedora 27.5 MiB binutils-gold x86_64 2.42.50-11.fc41 fedora 2.0 MiB build-reproducibility-srpm-macros noarch 0.2.0-9.fc41 fedora 769.0 B bzip2-libs x86_64 1.0.8-18.fc40 fedora 80.7 KiB ca-certificates noarch 2023.2.62_v7.0.401-6.fc40 fedora 2.3 MiB coreutils-common x86_64 9.5-1.fc41 fedora 11.2 MiB cracklib x86_64 2.9.11-5.fc40 fedora 238.9 KiB crypto-policies noarch 20240521-1.gitf71d135.fc41 fedora 120.0 KiB curl x86_64 8.8.0-1.fc41 fedora 743.7 KiB cyrus-sasl-lib x86_64 2.1.28-22.fc41 fedora 2.3 MiB debugedit x86_64 5.0-16.fc41 fedora 199.3 KiB dwz x86_64 0.15-6.fc40 fedora 290.9 KiB ed x86_64 1.20.2-1.fc41 fedora 146.8 KiB efi-srpm-macros noarch 5-11.fc40 fedora 40.1 KiB elfutils x86_64 0.191-7.fc41 fedora 2.5 MiB elfutils-debuginfod-client x86_64 0.191-7.fc41 fedora 64.9 KiB elfutils-default-yama-scope noarch 0.191-7.fc41 fedora 1.8 KiB elfutils-libelf x86_64 0.191-7.fc41 fedora 1.2 MiB elfutils-libs x86_64 0.191-7.fc41 fedora 646.1 KiB fedora-gpg-keys noarch 41-0.2 fedora 124.7 KiB fedora-release noarch 41-0.13 fedora 0.0 B fedora-release-identity-basic noarch 41-0.13 fedora 694.0 B fedora-repos noarch 41-0.2 fedora 4.9 KiB fedora-repos-rawhide noarch 41-0.2 fedora 2.2 KiB file x86_64 5.45-5.fc41 fedora 103.5 KiB file-libs x86_64 5.45-5.fc41 fedora 9.9 MiB filesystem x86_64 3.18-9.fc41 fedora 106.0 B fonts-srpm-macros noarch 1:2.0.5-14.fc40 fedora 55.3 KiB forge-srpm-macros noarch 0.3.1-1.fc41 fedora 39.0 KiB fpc-srpm-macros noarch 1.3-12.fc40 fedora 144.0 B gdb-minimal x86_64 14.2-9.fc41 fedora 12.7 MiB gdbm x86_64 1:1.23-6.fc40 fedora 460.9 KiB gdbm-libs x86_64 1:1.23-6.fc40 fedora 121.9 KiB ghc-srpm-macros noarch 1.9.1-1.fc41 fedora 747.0 B glibc x86_64 2.39.9000-18.fc41 fedora 6.7 MiB glibc-common x86_64 2.39.9000-18.fc41 fedora 1.0 MiB glibc-gconv-extra x86_64 2.39.9000-18.fc41 fedora 7.8 MiB gmp x86_64 1:6.3.0-1.fc41 fedora 803.4 KiB gnat-srpm-macros noarch 6-5.fc40 fedora 1.0 KiB go-srpm-macros noarch 3.6.0-1.fc41 fedora 60.8 KiB jansson x86_64 2.13.1-9.fc40 fedora 88.3 KiB kernel-srpm-macros noarch 1.0-23.fc41 fedora 1.9 KiB keyutils-libs x86_64 1.6.3-3.fc40 fedora 54.4 KiB krb5-libs x86_64 1.21.2-5.fc40 fedora 2.3 MiB libacl x86_64 2.3.2-1.fc40 fedora 40.0 KiB libarchive x86_64 3.7.4-1.fc41 fedora 914.6 KiB libattr x86_64 2.5.2-3.fc40 fedora 28.5 KiB libblkid x86_64 2.40.1-1.fc41 fedora 258.5 KiB libbrotli x86_64 1.1.0-3.fc40 fedora 829.5 KiB libcap x86_64 2.70-1.fc41 fedora 220.3 KiB libcap-ng x86_64 0.8.5-1.fc41 fedora 69.1 KiB libcom_err x86_64 1.47.0-5.fc40 fedora 67.2 KiB libcurl x86_64 8.8.0-1.fc41 fedora 805.7 KiB libeconf x86_64 0.6.2-2.fc41 fedora 58.0 KiB libevent x86_64 2.1.12-13.fc41 fedora 895.6 KiB libfdisk x86_64 2.40.1-1.fc41 fedora 362.9 KiB libffi x86_64 3.4.6-1.fc41 fedora 82.4 KiB libgcc x86_64 14.1.1-4.fc41 fedora 270.6 KiB libgomp x86_64 14.1.1-4.fc41 fedora 519.5 KiB libidn2 x86_64 2.3.7-1.fc40 fedora 329.1 KiB libmount x86_64 2.40.1-1.fc41 fedora 351.8 KiB libnghttp2 x86_64 1.62.0-1.fc41 fedora 166.1 KiB libnsl2 x86_64 2.0.1-1.fc40 fedora 57.9 KiB libpkgconf x86_64 2.1.1-1.fc41 fedora 74.2 KiB libpsl x86_64 0.21.5-3.fc40 fedora 80.5 KiB libpwquality x86_64 1.4.5-9.fc40 fedora 417.8 KiB libselinux x86_64 3.6-4.fc40 fedora 173.0 KiB libsemanage x86_64 3.6-3.fc40 fedora 293.5 KiB libsepol x86_64 3.6-3.fc40 fedora 802.0 KiB libsmartcols x86_64 2.40.1-1.fc41 fedora 180.4 KiB libssh x86_64 0.10.6-6.fc41 fedora 513.3 KiB libssh-config noarch 0.10.6-6.fc41 fedora 277.0 B libstdc++ x86_64 14.1.1-4.fc41 fedora 2.8 MiB libtasn1 x86_64 4.19.0-6.fc40 fedora 175.7 KiB libtirpc x86_64 1.3.4-1.rc3.fc41 fedora 202.8 KiB libtool-ltdl x86_64 2.4.7-10.fc40 fedora 66.2 KiB libunistring x86_64 1.1-7.fc41 fedora 1.7 MiB libutempter x86_64 1.2.1-13.fc40 fedora 57.7 KiB libuuid x86_64 2.40.1-1.fc41 fedora 37.4 KiB libverto x86_64 0.3.2-8.fc40 fedora 29.5 KiB libxcrypt x86_64 4.4.36-5.fc40 fedora 262.8 KiB libxml2 x86_64 2.12.7-1.fc41 fedora 1.7 MiB libzstd x86_64 1.5.6-1.fc41 fedora 787.9 KiB lua-libs x86_64 5.4.6-5.fc40 fedora 281.1 KiB lua-srpm-macros noarch 1-13.fc40 fedora 1.3 KiB lz4-libs x86_64 1.9.4-6.fc40 fedora 129.4 KiB mpfr x86_64 4.2.1-4.fc41 fedora 828.0 KiB ncurses-base noarch 6.4-12.20240127.fc40 fedora 326.2 KiB ncurses-libs x86_64 6.4-12.20240127.fc40 fedora 963.2 KiB ocaml-srpm-macros noarch 10-2.fc41 fedora 1.9 KiB openblas-srpm-macros noarch 2-17.fc41 fedora 112.0 B openldap x86_64 2.6.8-1.fc41 fedora 636.3 KiB openssl-libs x86_64 1:3.2.1-6.fc41 fedora 7.8 MiB p11-kit x86_64 0.25.3-4.fc40 fedora 2.2 MiB p11-kit-trust x86_64 0.25.3-4.fc40 fedora 391.4 KiB package-notes-srpm-macros noarch 0.5-11.fc40 fedora 1.6 KiB pam x86_64 1.6.1-3.fc41 fedora 1.8 MiB pam-libs x86_64 1.6.1-3.fc41 fedora 135.0 KiB pcre2 x86_64 10.43-2.fc41.1 fedora 653.5 KiB pcre2-syntax noarch 10.43-2.fc41.1 fedora 249.0 KiB perl-srpm-macros noarch 1-53.fc40 fedora 861.0 B pkgconf x86_64 2.1.1-1.fc41 fedora 82.9 KiB pkgconf-m4 noarch 2.1.1-1.fc41 fedora 13.9 KiB pkgconf-pkg-config x86_64 2.1.1-1.fc41 fedora 989.0 B popt x86_64 1.19-6.fc40 fedora 136.9 KiB publicsuffix-list-dafsa noarch 20240107-3.fc40 fedora 67.5 KiB pyproject-srpm-macros noarch 1.12.0-1.fc40 fedora 1.5 KiB python-srpm-macros noarch 3.12-9.fc41 fedora 50.5 KiB qt5-srpm-macros noarch 5.15.14-1.fc41 fedora 500.0 B qt6-srpm-macros noarch 6.7.1-1.fc41 fedora 456.0 B readline x86_64 8.2-8.fc40 fedora 489.2 KiB rpm x86_64 4.19.91-6.fc41 fedora 3.0 MiB rpm-build-libs x86_64 4.19.91-6.fc41 fedora 206.6 KiB rpm-libs x86_64 4.19.91-6.fc41 fedora 713.9 KiB rpm-sequoia x86_64 1.6.0-3.fc41 fedora 2.2 MiB rust-srpm-macros noarch 26.3-1.fc41 fedora 4.8 KiB setup noarch 2.15.0-3.fc41 fedora 720.7 KiB sqlite-libs x86_64 3.45.3-1.fc41 fedora 1.4 MiB systemd-libs x86_64 256~rc3-4.fc41 fedora 2.0 MiB util-linux-core x86_64 2.40.1-1.fc41 fedora 1.5 MiB xxhash-libs x86_64 0.8.2-2.fc40 fedora 88.5 KiB xz-libs x86_64 1:5.4.6-3.fc41 fedora 209.8 KiB zig-srpm-macros noarch 1-2.fc40 fedora 1.1 KiB zip x86_64 3.0-40.fc40 fedora 703.2 KiB zlib-ng-compat x86_64 2.1.6-4.fc41 fedora 134.0 KiB zstd x86_64 1.5.6-1.fc41 fedora 1.7 MiB Installing groups: Buildsystem building group Transaction Summary: Installing: 155 packages Total size of inbound packages is 54 MiB. Need to download 0 B. After this operation 182 MiB will be used (install 182 MiB, remove 0 B). [ 1/155] tar-2:1.35-3.fc40.x86_64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 2/155] bzip2-0:1.0.8-18.fc40.x86_64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 3/155] redhat-rpm-config-0:292-1.fc4 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 4/155] rpm-build-0:4.19.91-6.fc41.x8 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 5/155] unzip-0:6.0-63.fc40.x86_64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 6/155] cpio-0:2.15-1.fc40.x86_64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 7/155] which-0:2.21-41.fc40.x86_64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 8/155] bash-0:5.2.26-3.fc40.x86_64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 9/155] coreutils-0:9.5-1.fc41.x86_64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 10/155] grep-0:3.11-8.fc41.x86_64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 11/155] patch-0:2.7.6-24.fc40.x86_64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 12/155] sed-0:4.9-1.fc40.x86_64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 13/155] shadow-utils-2:4.15.1-5.fc41. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 14/155] diffutils-0:3.10-5.fc40.x86_6 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 15/155] fedora-release-common-0:41-0. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 16/155] findutils-1:4.9.0-8.fc40.x86_ 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 17/155] glibc-minimal-langpack-0:2.39 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 18/155] gzip-0:1.13-1.fc40.x86_64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 19/155] info-0:7.1-2.fc40.x86_64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 20/155] xz-1:5.4.6-3.fc41.x86_64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 21/155] util-linux-0:2.40.1-1.fc41.x8 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 22/155] gawk-0:5.3.0-3.fc40.x86_64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 23/155] glibc-0:2.39.9000-18.fc41.x86 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 24/155] libacl-0:2.3.2-1.fc40.x86_64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 25/155] libselinux-0:3.6-4.fc40.x86_6 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 26/155] bzip2-libs-0:1.0.8-18.fc40.x8 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 27/155] ansible-srpm-macros-0:1-15.fc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 28/155] build-reproducibility-srpm-ma 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 29/155] dwz-0:0.15-6.fc40.x86_64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 30/155] efi-srpm-macros-0:5-11.fc40.n 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 31/155] file-0:5.45-5.fc41.x86_64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 32/155] fonts-srpm-macros-1:2.0.5-14. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 33/155] forge-srpm-macros-0:0.3.1-1.f 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 34/155] fpc-srpm-macros-0:1.3-12.fc40 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 35/155] ghc-srpm-macros-0:1.9.1-1.fc4 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 36/155] gnat-srpm-macros-0:6-5.fc40.n 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 37/155] go-srpm-macros-0:3.6.0-1.fc41 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 38/155] kernel-srpm-macros-0:1.0-23.f 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 39/155] lua-srpm-macros-0:1-13.fc40.n 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 40/155] ocaml-srpm-macros-0:10-2.fc41 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 41/155] openblas-srpm-macros-0:2-17.f 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 42/155] package-notes-srpm-macros-0:0 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 43/155] perl-srpm-macros-0:1-53.fc40. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 44/155] pyproject-srpm-macros-0:1.12. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 45/155] python-srpm-macros-0:3.12-9.f 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 46/155] qt5-srpm-macros-0:5.15.14-1.f 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 47/155] qt6-srpm-macros-0:6.7.1-1.fc4 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 48/155] rpm-0:4.19.91-6.fc41.x86_64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 49/155] rust-srpm-macros-0:26.3-1.fc4 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 50/155] zig-srpm-macros-0:1-2.fc40.no 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 51/155] zip-0:3.0-40.fc40.x86_64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 52/155] debugedit-0:5.0-16.fc41.x86_6 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 53/155] elfutils-0:0.191-7.fc41.x86_6 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 54/155] elfutils-libelf-0:0.191-7.fc4 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 55/155] libarchive-0:3.7.4-1.fc41.x86 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 56/155] popt-0:1.19-6.fc40.x86_64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 57/155] readline-0:8.2-8.fc40.x86_64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 58/155] rpm-build-libs-0:4.19.91-6.fc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 59/155] rpm-libs-0:4.19.91-6.fc41.x86 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 60/155] zstd-0:1.5.6-1.fc41.x86_64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 61/155] filesystem-0:3.18-9.fc41.x86_ 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 62/155] ncurses-libs-0:6.4-12.2024012 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 63/155] coreutils-common-0:9.5-1.fc41 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 64/155] libattr-0:2.5.2-3.fc40.x86_64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 65/155] libcap-0:2.70-1.fc41.x86_64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 66/155] openssl-libs-1:3.2.1-6.fc41.x 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 67/155] pcre2-0:10.43-2.fc41.1.x86_64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 68/155] ed-0:1.20.2-1.fc41.x86_64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 69/155] audit-libs-0:4.0.1-2.fc41.x86 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 70/155] libeconf-0:0.6.2-2.fc41.x86_6 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 71/155] libsemanage-0:3.6-3.fc40.x86_ 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 72/155] libxcrypt-0:4.4.36-5.fc40.x86 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 73/155] pam-libs-0:1.6.1-3.fc41.x86_6 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 74/155] setup-0:2.15.0-3.fc41.noarch 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 75/155] fedora-repos-0:41-0.2.noarch 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 76/155] glibc-common-0:2.39.9000-18.f 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 77/155] xz-libs-1:5.4.6-3.fc41.x86_64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 78/155] libblkid-0:2.40.1-1.fc41.x86_ 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 79/155] libcap-ng-0:0.8.5-1.fc41.x86_ 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 80/155] libfdisk-0:2.40.1-1.fc41.x86_ 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 81/155] libmount-0:2.40.1-1.fc41.x86_ 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 82/155] libsmartcols-0:2.40.1-1.fc41. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 83/155] libutempter-0:1.2.1-13.fc40.x 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 84/155] libuuid-0:2.40.1-1.fc41.x86_6 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 85/155] systemd-libs-0:256~rc3-4.fc41 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 86/155] util-linux-core-0:2.40.1-1.fc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 87/155] zlib-ng-compat-0:2.1.6-4.fc41 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 88/155] mpfr-0:4.2.1-4.fc41.x86_64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 89/155] glibc-gconv-extra-0:2.39.9000 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 90/155] basesystem-0:11-20.fc40.noarc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 91/155] libgcc-0:14.1.1-4.fc41.x86_64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 92/155] libsepol-0:3.6-3.fc40.x86_64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 93/155] add-determinism-nopython-0:0. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 94/155] file-libs-0:5.45-5.fc41.x86_6 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 95/155] curl-0:8.8.0-1.fc41.x86_64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 96/155] elfutils-libs-0:0.191-7.fc41. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 97/155] elfutils-debuginfod-client-0: 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 98/155] libstdc++-0:14.1.1-4.fc41.x86 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 99/155] libzstd-0:1.5.6-1.fc41.x86_64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [100/155] libxml2-0:2.12.7-1.fc41.x86_6 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [101/155] lz4-libs-0:1.9.4-6.fc40.x86_6 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [102/155] libgomp-0:14.1.1-4.fc41.x86_6 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [103/155] lua-libs-0:5.4.6-5.fc40.x86_6 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [104/155] rpm-sequoia-0:1.6.0-3.fc41.x8 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [105/155] sqlite-libs-0:3.45.3-1.fc41.x 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [106/155] ncurses-base-0:6.4-12.2024012 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [107/155] ca-certificates-0:2023.2.62_v 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [108/155] crypto-policies-0:20240521-1. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [109/155] pcre2-syntax-0:10.43-2.fc41.1 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [110/155] fedora-gpg-keys-0:41-0.2.noar 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [111/155] fedora-repos-rawhide-0:41-0.2 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [112/155] elfutils-default-yama-scope-0 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [113/155] authselect-libs-0:1.5.0-5.fc4 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [114/155] pam-0:1.6.1-3.fc41.x86_64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [115/155] authselect-0:1.5.0-5.fc41.x86 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [116/155] gdbm-libs-1:1.23-6.fc40.x86_6 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [117/155] libnsl2-0:2.0.1-1.fc40.x86_64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [118/155] libpwquality-0:1.4.5-9.fc40.x 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [119/155] libtirpc-0:1.3.4-1.rc3.fc41.x 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [120/155] cracklib-0:2.9.11-5.fc40.x86_ 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [121/155] krb5-libs-0:1.21.2-5.fc40.x86 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [122/155] libcom_err-0:1.47.0-5.fc40.x8 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [123/155] keyutils-libs-0:1.6.3-3.fc40. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [124/155] libverto-0:0.3.2-8.fc40.x86_6 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [125/155] binutils-0:2.42.50-11.fc41.x8 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [126/155] alternatives-0:1.27-1.fc41.x8 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [127/155] binutils-gold-0:2.42.50-11.fc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [128/155] jansson-0:2.13.1-9.fc40.x86_6 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [129/155] pkgconf-pkg-config-0:2.1.1-1. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [130/155] pkgconf-0:2.1.1-1.fc41.x86_64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [131/155] pkgconf-m4-0:2.1.1-1.fc41.noa 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [132/155] libpkgconf-0:2.1.1-1.fc41.x86 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [133/155] gdbm-1:1.23-6.fc40.x86_64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [134/155] p11-kit-0:0.25.3-4.fc40.x86_6 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [135/155] libffi-0:3.4.6-1.fc41.x86_64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [136/155] libtasn1-0:4.19.0-6.fc40.x86_ 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [137/155] p11-kit-trust-0:0.25.3-4.fc40 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [138/155] fedora-release-0:41-0.13.noar 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [139/155] gdb-minimal-0:14.2-9.fc41.x86 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [140/155] xxhash-libs-0:0.8.2-2.fc40.x8 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [141/155] gmp-1:6.3.0-1.fc41.x86_64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [142/155] fedora-release-identity-basic 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [143/155] libcurl-0:8.8.0-1.fc41.x86_64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [144/155] libbrotli-0:1.1.0-3.fc40.x86_ 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [145/155] libidn2-0:2.3.7-1.fc40.x86_64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [146/155] libnghttp2-0:1.62.0-1.fc41.x8 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [147/155] libpsl-0:0.21.5-3.fc40.x86_64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [148/155] libssh-0:0.10.6-6.fc41.x86_64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [149/155] openldap-0:2.6.8-1.fc41.x86_6 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [150/155] libunistring-0:1.1-7.fc41.x86 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [151/155] publicsuffix-list-dafsa-0:202 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [152/155] libssh-config-0:0.10.6-6.fc41 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [153/155] cyrus-sasl-lib-0:2.1.28-22.fc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [154/155] libevent-0:2.1.12-13.fc41.x86 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [155/155] libtool-ltdl-0:2.4.7-10.fc40. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [155/155] Total 100% | 0.0 B/s | 0.0 B | 00m00s Running transaction Importing PGP key 0xE99D6AD1: Userid : "Fedora (41) " Fingerprint: 466CF2D8B60BC3057AA9453ED0622462E99D6AD1 From : file:///usr/share/distribution-gpg-keys/fedora/RPM-GPG-KEY-fedora-41-primary The key was successfully imported. Importing PGP key 0xE99D6AD1: Userid : "Fedora (41) " Fingerprint: 466CF2D8B60BC3057AA9453ED0622462E99D6AD1 From : file:///usr/share/distribution-gpg-keys/fedora/RPM-GPG-KEY-fedora-41-primary The key was successfully imported. Importing PGP key 0xA15B79CC: Userid : "Fedora (40) " Fingerprint: 115DF9AEF857853EE8445D0A0727707EA15B79CC From : file:///usr/share/distribution-gpg-keys/fedora/RPM-GPG-KEY-fedora-40-primary The key was successfully imported. [ 1/157] Verify package files 100% | 752.0 B/s | 155.0 B | 00m00s >>> Running pre-transaction scriptlet: filesystem-0:3.18-9.fc41.x86_64 >>> Stop pre-transaction scriptlet: filesystem-0:3.18-9.fc41.x86_64 [ 2/157] Prepare transaction 100% | 4.3 KiB/s | 155.0 B | 00m00s [ 3/157] Installing libgcc-0:14.1.1-4. 100% | 133.0 MiB/s | 272.3 KiB | 00m00s >>> Running post-install scriptlet: libgcc-0:14.1.1-4.fc41.x86_64 >>> Stop post-install scriptlet: libgcc-0:14.1.1-4.fc41.x86_64 [ 4/157] Installing crypto-policies-0: 100% | 35.9 MiB/s | 147.0 KiB | 00m00s >>> Running post-install scriptlet: crypto-policies-0:20240521-1.gitf71d135.fc41 >>> Stop post-install scriptlet: crypto-policies-0:20240521-1.gitf71d135.fc41.no [ 5/157] Installing libssh-config-0:0. 100% | 0.0 B/s | 816.0 B | 00m00s [ 6/157] Installing publicsuffix-list- 100% | 0.0 B/s | 68.3 KiB | 00m00s [ 7/157] Installing fedora-release-ide 100% | 0.0 B/s | 952.0 B | 00m00s [ 8/157] Installing fedora-gpg-keys-0: 100% | 55.2 MiB/s | 169.7 KiB | 00m00s [ 9/157] Installing fedora-repos-rawhi 100% | 0.0 B/s | 2.4 KiB | 00m00s [ 10/157] Installing fedora-repos-0:41- 100% | 0.0 B/s | 5.7 KiB | 00m00s [ 11/157] Installing fedora-release-com 100% | 22.9 MiB/s | 23.5 KiB | 00m00s [ 12/157] Installing fedora-release-0:4 100% | 0.0 B/s | 124.0 B | 00m00s [ 13/157] Installing setup-0:2.15.0-3.f 100% | 59.1 MiB/s | 726.1 KiB | 00m00s >>> Running post-install scriptlet: setup-0:2.15.0-3.fc41.noarch >>> Stop post-install scriptlet: setup-0:2.15.0-3.fc41.noarch [ 14/157] Installing filesystem-0:3.18- 100% | 3.6 MiB/s | 212.5 KiB | 00m00s [ 15/157] Installing basesystem-0:11-20 100% | 0.0 B/s | 124.0 B | 00m00s [ 16/157] Installing pkgconf-m4-0:2.1.1 100% | 0.0 B/s | 14.3 KiB | 00m00s [ 17/157] Installing pcre2-syntax-0:10. 100% | 245.6 MiB/s | 251.5 KiB | 00m00s [ 18/157] Installing ncurses-base-0:6.4 100% | 85.8 MiB/s | 351.6 KiB | 00m00s [ 19/157] Installing glibc-minimal-lang 100% | 0.0 B/s | 124.0 B | 00m00s [ 20/157] Installing ncurses-libs-0:6.4 100% | 236.7 MiB/s | 969.7 KiB | 00m00s >>> Running pre-install scriptlet: glibc-0:2.39.9000-18.fc41.x86_64 >>> Stop pre-install scriptlet: glibc-0:2.39.9000-18.fc41.x86_64 warning: posix.fork(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.execute() instead warning: posix.wait(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.execute() instead warning: posix.exec(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.execute() instead warning: posix.fork(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.execute() instead warning: posix.wait(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.execute() instead warning: posix.exec(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.execute() instead [ 21/157] Installing glibc-0:2.39.9000- 100% | 248.9 MiB/s | 6.7 MiB | 00m00s >>> Running post-install scriptlet: glibc-0:2.39.9000-18.fc41.x86_64 >>> Stop post-install scriptlet: glibc-0:2.39.9000-18.fc41.x86_64 [ 22/157] Installing bash-0:5.2.26-3.fc 100% | 408.1 MiB/s | 8.2 MiB | 00m00s >>> Running post-install scriptlet: bash-0:5.2.26-3.fc40.x86_64 >>> Stop post-install scriptlet: bash-0:5.2.26-3.fc40.x86_64 [ 23/157] Installing glibc-common-0:2.3 100% | 205.5 MiB/s | 1.0 MiB | 00m00s warning: posix.fork(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.execute() instead warning: posix.wait(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.execute() instead warning: posix.exec(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.execute() instead [ 24/157] Installing glibc-gconv-extra- 100% | 271.2 MiB/s | 7.9 MiB | 00m00s >>> Running post-install scriptlet: glibc-gconv-extra-0:2.39.9000-18.fc41.x86_64 >>> Stop post-install scriptlet: glibc-gconv-extra-0:2.39.9000-18.fc41.x86_64 [ 25/157] Installing zlib-ng-compat-0:2 100% | 131.6 MiB/s | 134.8 KiB | 00m00s [ 26/157] Installing bzip2-libs-0:1.0.8 100% | 0.0 B/s | 81.8 KiB | 00m00s [ 27/157] Installing xz-libs-1:5.4.6-3. 100% | 206.0 MiB/s | 210.9 KiB | 00m00s [ 28/157] Installing popt-0:1.19-6.fc40 100% | 70.1 MiB/s | 143.5 KiB | 00m00s [ 29/157] Installing readline-0:8.2-8.f 100% | 239.9 MiB/s | 491.4 KiB | 00m00s [ 30/157] Installing libuuid-0:2.40.1-1 100% | 0.0 B/s | 38.4 KiB | 00m00s [ 31/157] Installing libstdc++-0:14.1.1 100% | 394.6 MiB/s | 2.8 MiB | 00m00s [ 32/157] Installing libzstd-0:1.5.6-1. 100% | 385.3 MiB/s | 789.2 KiB | 00m00s [ 33/157] Installing elfutils-libelf-0: 100% | 389.8 MiB/s | 1.2 MiB | 00m00s [ 34/157] Installing libblkid-0:2.40.1- 100% | 253.6 MiB/s | 259.7 KiB | 00m00s [ 35/157] Installing libattr-0:2.5.2-3. 100% | 0.0 B/s | 29.5 KiB | 00m00s [ 36/157] Installing libacl-0:2.3.2-1.f 100% | 0.0 B/s | 40.8 KiB | 00m00s [ 37/157] Installing libxcrypt-0:4.4.36 100% | 259.3 MiB/s | 265.5 KiB | 00m00s [ 38/157] Installing gmp-1:6.3.0-1.fc41 100% | 393.4 MiB/s | 805.6 KiB | 00m00s [ 39/157] Installing libeconf-0:0.6.2-2 100% | 58.3 MiB/s | 59.7 KiB | 00m00s [ 40/157] Installing gdbm-libs-1:1.23-6 100% | 120.7 MiB/s | 123.6 KiB | 00m00s [ 41/157] Installing mpfr-0:4.2.1-4.fc4 100% | 270.1 MiB/s | 829.7 KiB | 00m00s [ 42/157] Installing gawk-0:5.3.0-3.fc4 100% | 288.0 MiB/s | 1.7 MiB | 00m00s [ 43/157] Installing dwz-0:0.15-6.fc40. 100% | 285.5 MiB/s | 292.3 KiB | 00m00s [ 44/157] Installing unzip-0:6.0-63.fc4 100% | 188.6 MiB/s | 386.3 KiB | 00m00s [ 45/157] Installing file-libs-0:5.45-5 100% | 709.3 MiB/s | 9.9 MiB | 00m00s [ 46/157] Installing file-0:5.45-5.fc41 100% | 102.6 MiB/s | 105.0 KiB | 00m00s [ 47/157] Installing pcre2-0:10.43-2.fc 100% | 319.8 MiB/s | 654.9 KiB | 00m00s [ 48/157] Installing grep-0:3.11-8.fc41 100% | 249.8 MiB/s | 1.0 MiB | 00m00s [ 49/157] Installing xz-1:5.4.6-3.fc41. 100% | 286.1 MiB/s | 2.0 MiB | 00m00s [ 50/157] Installing libcap-ng-0:0.8.5- 100% | 69.3 MiB/s | 71.0 KiB | 00m00s [ 51/157] Installing audit-libs-0:4.0.1 100% | 321.6 MiB/s | 329.3 KiB | 00m00s [ 52/157] Installing pam-libs-0:1.6.1-3 100% | 134.2 MiB/s | 137.4 KiB | 00m00s [ 53/157] Installing libcap-0:2.70-1.fc 100% | 110.0 MiB/s | 225.2 KiB | 00m00s [ 54/157] Installing systemd-libs-0:256 100% | 404.4 MiB/s | 2.0 MiB | 00m00s [ 55/157] Installing libsmartcols-0:2.4 100% | 177.3 MiB/s | 181.5 KiB | 00m00s [ 56/157] Installing libsepol-0:3.6-3.f 100% | 261.4 MiB/s | 803.0 KiB | 00m00s [ 57/157] Installing libselinux-0:3.6-4 100% | 170.2 MiB/s | 174.3 KiB | 00m00s [ 58/157] Installing sed-0:4.9-1.fc40.x 100% | 212.3 MiB/s | 869.7 KiB | 00m00s [ 59/157] Installing findutils-1:4.9.0- 100% | 293.2 MiB/s | 1.5 MiB | 00m00s [ 60/157] Installing libmount-0:2.40.1- 100% | 344.6 MiB/s | 352.9 KiB | 00m00s [ 61/157] Installing lz4-libs-0:1.9.4-6 100% | 127.4 MiB/s | 130.5 KiB | 00m00s [ 62/157] Installing lua-libs-0:5.4.6-5 100% | 275.7 MiB/s | 282.3 KiB | 00m00s [ 63/157] Installing libcom_err-0:1.47. 100% | 66.7 MiB/s | 68.3 KiB | 00m00s [ 64/157] Installing alternatives-0:1.2 100% | 66.4 MiB/s | 68.0 KiB | 00m00s [ 65/157] Installing jansson-0:2.13.1-9 100% | 87.6 MiB/s | 89.7 KiB | 00m00s [ 66/157] Installing libtasn1-0:4.19.0- 100% | 173.3 MiB/s | 177.5 KiB | 00m00s [ 67/157] Installing libunistring-0:1.1 100% | 432.7 MiB/s | 1.7 MiB | 00m00s [ 68/157] Installing libidn2-0:2.3.7-1. 100% | 163.6 MiB/s | 335.0 KiB | 00m00s [ 69/157] Installing libpsl-0:0.21.5-3. 100% | 79.7 MiB/s | 81.6 KiB | 00m00s [ 70/157] Installing zstd-0:1.5.6-1.fc4 100% | 419.0 MiB/s | 1.7 MiB | 00m00s [ 71/157] Installing util-linux-core-0: 100% | 247.5 MiB/s | 1.5 MiB | 00m00s [ 72/157] Installing tar-2:1.35-3.fc40. 100% | 368.8 MiB/s | 3.0 MiB | 00m00s [ 73/157] Installing libsemanage-0:3.6- 100% | 144.2 MiB/s | 295.3 KiB | 00m00s [ 74/157] Installing shadow-utils-2:4.1 100% | 181.3 MiB/s | 4.2 MiB | 00m00s >>> Running pre-install scriptlet: libutempter-0:1.2.1-13.fc40.x86_64 >>> Stop pre-install scriptlet: libutempter-0:1.2.1-13.fc40.x86_64 [ 75/157] Installing libutempter-0:1.2. 100% | 58.3 MiB/s | 59.7 KiB | 00m00s [ 76/157] Installing zip-0:3.0-40.fc40. 100% | 345.3 MiB/s | 707.1 KiB | 00m00s [ 77/157] Installing gdbm-1:1.23-6.fc40 100% | 227.4 MiB/s | 465.8 KiB | 00m00s [ 78/157] Installing cyrus-sasl-lib-0:2 100% | 381.8 MiB/s | 2.3 MiB | 00m00s [ 79/157] Installing libfdisk-0:2.40.1- 100% | 355.4 MiB/s | 363.9 KiB | 00m00s [ 80/157] Installing add-determinism-no 100% | 420.6 MiB/s | 2.5 MiB | 00m00s [ 81/157] Installing build-reproducibil 100% | 0.0 B/s | 1.0 KiB | 00m00s [ 82/157] Installing libxml2-0:2.12.7-1 100% | 340.1 MiB/s | 1.7 MiB | 00m00s [ 83/157] Installing bzip2-0:1.0.8-18.f 100% | 93.9 MiB/s | 96.2 KiB | 00m00s [ 84/157] Installing sqlite-libs-0:3.45 100% | 351.3 MiB/s | 1.4 MiB | 00m00s [ 85/157] Installing ed-0:1.20.2-1.fc41 100% | 145.7 MiB/s | 149.2 KiB | 00m00s [ 86/157] Installing patch-0:2.7.6-24.f 100% | 258.1 MiB/s | 264.3 KiB | 00m00s [ 87/157] Installing elfutils-default-y 100% | 510.7 KiB/s | 2.0 KiB | 00m00s >>> Running post-install scriptlet: elfutils-default-yama-scope-0:0.191-7.fc41.n >>> Stop post-install scriptlet: elfutils-default-yama-scope-0:0.191-7.fc41.noar [ 88/157] Installing elfutils-libs-0:0. 100% | 210.9 MiB/s | 648.0 KiB | 00m00s [ 89/157] Installing cpio-0:2.15-1.fc40 100% | 274.9 MiB/s | 1.1 MiB | 00m00s [ 90/157] Installing diffutils-0:3.10-5 100% | 317.2 MiB/s | 1.6 MiB | 00m00s [ 91/157] Installing libgomp-0:14.1.1-4 100% | 508.7 MiB/s | 520.9 KiB | 00m00s [ 92/157] Installing keyutils-libs-0:1. 100% | 0.0 B/s | 55.8 KiB | 00m00s [ 93/157] Installing libverto-0:0.3.2-8 100% | 0.0 B/s | 31.3 KiB | 00m00s [ 94/157] Installing libpkgconf-0:2.1.1 100% | 0.0 B/s | 75.3 KiB | 00m00s [ 95/157] Installing pkgconf-0:2.1.1-1. 100% | 83.4 MiB/s | 85.4 KiB | 00m00s [ 96/157] Installing pkgconf-pkg-config 100% | 0.0 B/s | 1.8 KiB | 00m00s [ 97/157] Installing libffi-0:3.4.6-1.f 100% | 81.8 MiB/s | 83.8 KiB | 00m00s [ 98/157] Installing p11-kit-0:0.25.3-4 100% | 274.3 MiB/s | 2.2 MiB | 00m00s [ 99/157] Installing p11-kit-trust-0:0. 100% | 64.0 MiB/s | 393.1 KiB | 00m00s >>> Running post-install scriptlet: p11-kit-trust-0:0.25.3-4.fc40.x86_64 >>> Stop post-install scriptlet: p11-kit-trust-0:0.25.3-4.fc40.x86_64 [100/157] Installing xxhash-libs-0:0.8. 100% | 87.8 MiB/s | 89.9 KiB | 00m00s [101/157] Installing libbrotli-0:1.1.0- 100% | 270.8 MiB/s | 831.8 KiB | 00m00s [102/157] Installing libnghttp2-0:1.62. 100% | 163.3 MiB/s | 167.2 KiB | 00m00s [103/157] Installing libtool-ltdl-0:2.4 100% | 65.7 MiB/s | 67.3 KiB | 00m00s [104/157] Installing coreutils-common-0 100% | 430.4 MiB/s | 11.2 MiB | 00m00s [105/157] Installing openssl-libs-1:3.2 100% | 433.1 MiB/s | 7.8 MiB | 00m00s [106/157] Installing coreutils-0:9.5-1. 100% | 328.8 MiB/s | 5.6 MiB | 00m00s >>> Running pre-install scriptlet: ca-certificates-0:2023.2.62_v7.0.401-6.fc40.n >>> Stop pre-install scriptlet: ca-certificates-0:2023.2.62_v7.0.401-6.fc40.noar [107/157] Installing ca-certificates-0: 100% | 4.2 MiB/s | 2.3 MiB | 00m01s >>> Running post-install scriptlet: ca-certificates-0:2023.2.62_v7.0.401-6.fc40. >>> Stop post-install scriptlet: ca-certificates-0:2023.2.62_v7.0.401-6.fc40.noa [108/157] Installing krb5-libs-0:1.21.2 100% | 286.8 MiB/s | 2.3 MiB | 00m00s [109/157] Installing libarchive-0:3.7.4 100% | 298.4 MiB/s | 916.6 KiB | 00m00s [110/157] Installing libtirpc-0:1.3.4-1 100% | 199.8 MiB/s | 204.6 KiB | 00m00s [111/157] Installing gzip-0:1.13-1.fc40 100% | 190.7 MiB/s | 390.6 KiB | 00m00s [112/157] Installing authselect-libs-0: 100% | 203.4 MiB/s | 833.2 KiB | 00m00s [113/157] Installing authselect-0:1.5.0 100% | 77.1 MiB/s | 157.9 KiB | 00m00s [114/157] Installing cracklib-0:2.9.11- 100% | 81.5 MiB/s | 250.3 KiB | 00m00s [115/157] Installing libpwquality-0:1.4 100% | 105.0 MiB/s | 430.1 KiB | 00m00s [116/157] Installing libnsl2-0:2.0.1-1. 100% | 57.7 MiB/s | 59.0 KiB | 00m00s [117/157] Installing pam-0:1.6.1-3.fc41 100% | 165.4 MiB/s | 1.8 MiB | 00m00s [118/157] Installing libssh-0:0.10.6-6. 100% | 251.7 MiB/s | 515.4 KiB | 00m00s [119/157] Installing rpm-sequoia-0:1.6. 100% | 368.4 MiB/s | 2.2 MiB | 00m00s [120/157] Installing rpm-libs-0:4.19.91 100% | 349.3 MiB/s | 715.4 KiB | 00m00s [121/157] Installing rpm-build-libs-0:4 100% | 202.6 MiB/s | 207.5 KiB | 00m00s [122/157] Installing libevent-0:2.1.12- 100% | 292.8 MiB/s | 899.4 KiB | 00m00s [123/157] Installing openldap-0:2.6.8-1 100% | 312.5 MiB/s | 640.0 KiB | 00m00s [124/157] Installing libcurl-0:8.8.0-1. 100% | 394.0 MiB/s | 806.8 KiB | 00m00s [125/157] Installing elfutils-debuginfo 100% | 65.3 MiB/s | 66.9 KiB | 00m00s [126/157] Installing binutils-gold-0:2. 100% | 203.1 MiB/s | 2.0 MiB | 00m00s >>> Running post-install scriptlet: binutils-gold-0:2.42.50-11.fc41.x86_64 >>> Stop post-install scriptlet: binutils-gold-0:2.42.50-11.fc41.x86_64 [127/157] Installing binutils-0:2.42.50 100% | 404.7 MiB/s | 27.5 MiB | 00m00s >>> Running post-install scriptlet: binutils-0:2.42.50-11.fc41.x86_64 >>> Stop post-install scriptlet: binutils-0:2.42.50-11.fc41.x86_64 [128/157] Installing elfutils-0:0.191-7 100% | 364.6 MiB/s | 2.6 MiB | 00m00s [129/157] Installing gdb-minimal-0:14.2 100% | 409.7 MiB/s | 12.7 MiB | 00m00s [130/157] Installing debugedit-0:5.0-16 100% | 197.3 MiB/s | 202.0 KiB | 00m00s [131/157] Installing curl-0:8.8.0-1.fc4 100% | 91.1 MiB/s | 746.2 KiB | 00m00s >>> Running pre-install scriptlet: rpm-0:4.19.91-6.fc41.x86_64 >>> Stop pre-install scriptlet: rpm-0:4.19.91-6.fc41.x86_64 [132/157] Installing rpm-0:4.19.91-6.fc 100% | 177.8 MiB/s | 2.5 MiB | 00m00s [133/157] Installing efi-srpm-macros-0: 100% | 0.0 B/s | 41.2 KiB | 00m00s [134/157] Installing lua-srpm-macros-0: 100% | 0.0 B/s | 1.9 KiB | 00m00s [135/157] Installing zig-srpm-macros-0: 100% | 0.0 B/s | 1.7 KiB | 00m00s [136/157] Installing rust-srpm-macros-0 100% | 0.0 B/s | 5.6 KiB | 00m00s [137/157] Installing qt6-srpm-macros-0: 100% | 0.0 B/s | 732.0 B | 00m00s [138/157] Installing qt5-srpm-macros-0: 100% | 0.0 B/s | 776.0 B | 00m00s [139/157] Installing perl-srpm-macros-0 100% | 0.0 B/s | 1.1 KiB | 00m00s [140/157] Installing package-notes-srpm 100% | 0.0 B/s | 2.0 KiB | 00m00s [141/157] Installing openblas-srpm-macr 100% | 0.0 B/s | 392.0 B | 00m00s [142/157] Installing ocaml-srpm-macros- 100% | 0.0 B/s | 2.2 KiB | 00m00s [143/157] Installing kernel-srpm-macros 100% | 0.0 B/s | 2.3 KiB | 00m00s [144/157] Installing gnat-srpm-macros-0 100% | 0.0 B/s | 1.3 KiB | 00m00s [145/157] Installing ghc-srpm-macros-0: 100% | 0.0 B/s | 1.0 KiB | 00m00s [146/157] Installing fpc-srpm-macros-0: 100% | 0.0 B/s | 420.0 B | 00m00s [147/157] Installing ansible-srpm-macro 100% | 0.0 B/s | 36.2 KiB | 00m00s [148/157] Installing fonts-srpm-macros- 100% | 0.0 B/s | 56.5 KiB | 00m00s [149/157] Installing forge-srpm-macros- 100% | 0.0 B/s | 40.3 KiB | 00m00s [150/157] Installing go-srpm-macros-0:3 100% | 0.0 B/s | 62.0 KiB | 00m00s [151/157] Installing python-srpm-macros 100% | 0.0 B/s | 51.7 KiB | 00m00s [152/157] Installing redhat-rpm-config- 100% | 92.8 MiB/s | 190.0 KiB | 00m00s [153/157] Installing rpm-build-0:4.19.9 100% | 98.9 MiB/s | 202.6 KiB | 00m00s [154/157] Installing pyproject-srpm-mac 100% | 2.0 MiB/s | 2.1 KiB | 00m00s [155/157] Installing util-linux-0:2.40. 100% | 197.1 MiB/s | 3.7 MiB | 00m00s >>> Running post-install scriptlet: util-linux-0:2.40.1-1.fc41.x86_64 >>> Stop post-install scriptlet: util-linux-0:2.40.1-1.fc41.x86_64 [156/157] Installing which-0:2.21-41.fc 100% | 80.5 MiB/s | 82.4 KiB | 00m00s warning: posix.fork(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.execute() instead warning: posix.wait(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.execute() instead warning: posix.exec(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.execute() instead [157/157] Installing info-0:7.1-2.fc40. 100% | 471.3 KiB/s | 358.2 KiB | 00m01s >>> Running post-transaction scriptlet: filesystem-0:3.18-9.fc41.x86_64 >>> Stop post-transaction scriptlet: filesystem-0:3.18-9.fc41.x86_64 >>> Running post-transaction scriptlet: ca-certificates-0:2023.2.62_v7.0.401-6.f >>> Stop post-transaction scriptlet: ca-certificates-0:2023.2.62_v7.0.401-6.fc40 >>> Running post-transaction scriptlet: authselect-libs-0:1.5.0-5.fc41.x86_64 >>> Stop post-transaction scriptlet: authselect-libs-0:1.5.0-5.fc41.x86_64 >>> Running post-transaction scriptlet: rpm-0:4.19.91-6.fc41.x86_64 >>> Stop post-transaction scriptlet: rpm-0:4.19.91-6.fc41.x86_64 >>> Running trigger-install scriptlet: glibc-common-0:2.39.9000-18.fc41.x86_64 >>> Stop trigger-install scriptlet: glibc-common-0:2.39.9000-18.fc41.x86_64 >>> Running trigger-install scriptlet: info-0:7.1-2.fc40.x86_64 >>> Stop trigger-install scriptlet: info-0:7.1-2.fc40.x86_64 Finish: installing minimal buildroot with dnf5 Start: creating root cache Finish: creating root cache Finish: chroot init INFO: Installed packages: INFO: add-determinism-nopython-0.2.0-9.fc41.x86_64 alternatives-1.27-1.fc41.x86_64 ansible-srpm-macros-1-15.fc41.noarch audit-libs-4.0.1-2.fc41.x86_64 authselect-1.5.0-5.fc41.x86_64 authselect-libs-1.5.0-5.fc41.x86_64 basesystem-11-20.fc40.noarch bash-5.2.26-3.fc40.x86_64 binutils-2.42.50-11.fc41.x86_64 binutils-gold-2.42.50-11.fc41.x86_64 build-reproducibility-srpm-macros-0.2.0-9.fc41.noarch bzip2-1.0.8-18.fc40.x86_64 bzip2-libs-1.0.8-18.fc40.x86_64 ca-certificates-2023.2.62_v7.0.401-6.fc40.noarch coreutils-9.5-1.fc41.x86_64 coreutils-common-9.5-1.fc41.x86_64 cpio-2.15-1.fc40.x86_64 cracklib-2.9.11-5.fc40.x86_64 crypto-policies-20240521-1.gitf71d135.fc41.noarch curl-8.8.0-1.fc41.x86_64 cyrus-sasl-lib-2.1.28-22.fc41.x86_64 debugedit-5.0-16.fc41.x86_64 diffutils-3.10-5.fc40.x86_64 dwz-0.15-6.fc40.x86_64 ed-1.20.2-1.fc41.x86_64 efi-srpm-macros-5-11.fc40.noarch elfutils-0.191-7.fc41.x86_64 elfutils-debuginfod-client-0.191-7.fc41.x86_64 elfutils-default-yama-scope-0.191-7.fc41.noarch elfutils-libelf-0.191-7.fc41.x86_64 elfutils-libs-0.191-7.fc41.x86_64 fedora-gpg-keys-41-0.2.noarch fedora-release-41-0.13.noarch fedora-release-common-41-0.13.noarch fedora-release-identity-basic-41-0.13.noarch fedora-repos-41-0.2.noarch fedora-repos-rawhide-41-0.2.noarch file-5.45-5.fc41.x86_64 file-libs-5.45-5.fc41.x86_64 filesystem-3.18-9.fc41.x86_64 findutils-4.9.0-8.fc40.x86_64 fonts-srpm-macros-2.0.5-14.fc40.noarch forge-srpm-macros-0.3.1-1.fc41.noarch fpc-srpm-macros-1.3-12.fc40.noarch gawk-5.3.0-3.fc40.x86_64 gdb-minimal-14.2-9.fc41.x86_64 gdbm-1.23-6.fc40.x86_64 gdbm-libs-1.23-6.fc40.x86_64 ghc-srpm-macros-1.9.1-1.fc41.noarch glibc-2.39.9000-18.fc41.x86_64 glibc-common-2.39.9000-18.fc41.x86_64 glibc-gconv-extra-2.39.9000-18.fc41.x86_64 glibc-minimal-langpack-2.39.9000-18.fc41.x86_64 gmp-6.3.0-1.fc41.x86_64 gnat-srpm-macros-6-5.fc40.noarch go-srpm-macros-3.6.0-1.fc41.noarch gpg-pubkey-a15b79cc-63d04c2c gpg-pubkey-e99d6ad1-64d2612c grep-3.11-8.fc41.x86_64 gzip-1.13-1.fc40.x86_64 info-7.1-2.fc40.x86_64 jansson-2.13.1-9.fc40.x86_64 kernel-srpm-macros-1.0-23.fc41.noarch keyutils-libs-1.6.3-3.fc40.x86_64 krb5-libs-1.21.2-5.fc40.x86_64 libacl-2.3.2-1.fc40.x86_64 libarchive-3.7.4-1.fc41.x86_64 libattr-2.5.2-3.fc40.x86_64 libblkid-2.40.1-1.fc41.x86_64 libbrotli-1.1.0-3.fc40.x86_64 libcap-2.70-1.fc41.x86_64 libcap-ng-0.8.5-1.fc41.x86_64 libcom_err-1.47.0-5.fc40.x86_64 libcurl-8.8.0-1.fc41.x86_64 libeconf-0.6.2-2.fc41.x86_64 libevent-2.1.12-13.fc41.x86_64 libfdisk-2.40.1-1.fc41.x86_64 libffi-3.4.6-1.fc41.x86_64 libgcc-14.1.1-4.fc41.x86_64 libgomp-14.1.1-4.fc41.x86_64 libidn2-2.3.7-1.fc40.x86_64 libmount-2.40.1-1.fc41.x86_64 libnghttp2-1.62.0-1.fc41.x86_64 libnsl2-2.0.1-1.fc40.x86_64 libpkgconf-2.1.1-1.fc41.x86_64 libpsl-0.21.5-3.fc40.x86_64 libpwquality-1.4.5-9.fc40.x86_64 libselinux-3.6-4.fc40.x86_64 libsemanage-3.6-3.fc40.x86_64 libsepol-3.6-3.fc40.x86_64 libsmartcols-2.40.1-1.fc41.x86_64 libssh-0.10.6-6.fc41.x86_64 libssh-config-0.10.6-6.fc41.noarch libstdc++-14.1.1-4.fc41.x86_64 libtasn1-4.19.0-6.fc40.x86_64 libtirpc-1.3.4-1.rc3.fc41.x86_64 libtool-ltdl-2.4.7-10.fc40.x86_64 libunistring-1.1-7.fc41.x86_64 libutempter-1.2.1-13.fc40.x86_64 libuuid-2.40.1-1.fc41.x86_64 libverto-0.3.2-8.fc40.x86_64 libxcrypt-4.4.36-5.fc40.x86_64 libxml2-2.12.7-1.fc41.x86_64 libzstd-1.5.6-1.fc41.x86_64 lua-libs-5.4.6-5.fc40.x86_64 lua-srpm-macros-1-13.fc40.noarch lz4-libs-1.9.4-6.fc40.x86_64 mpfr-4.2.1-4.fc41.x86_64 ncurses-base-6.4-12.20240127.fc40.noarch ncurses-libs-6.4-12.20240127.fc40.x86_64 ocaml-srpm-macros-10-2.fc41.noarch openblas-srpm-macros-2-17.fc41.noarch openldap-2.6.8-1.fc41.x86_64 openssl-libs-3.2.1-6.fc41.x86_64 p11-kit-0.25.3-4.fc40.x86_64 p11-kit-trust-0.25.3-4.fc40.x86_64 package-notes-srpm-macros-0.5-11.fc40.noarch pam-1.6.1-3.fc41.x86_64 pam-libs-1.6.1-3.fc41.x86_64 patch-2.7.6-24.fc40.x86_64 pcre2-10.43-2.fc41.1.x86_64 pcre2-syntax-10.43-2.fc41.1.noarch perl-srpm-macros-1-53.fc40.noarch pkgconf-2.1.1-1.fc41.x86_64 pkgconf-m4-2.1.1-1.fc41.noarch pkgconf-pkg-config-2.1.1-1.fc41.x86_64 popt-1.19-6.fc40.x86_64 publicsuffix-list-dafsa-20240107-3.fc40.noarch pyproject-srpm-macros-1.12.0-1.fc40.noarch python-srpm-macros-3.12-9.fc41.noarch qt5-srpm-macros-5.15.14-1.fc41.noarch qt6-srpm-macros-6.7.1-1.fc41.noarch readline-8.2-8.fc40.x86_64 redhat-rpm-config-292-1.fc41.noarch rpm-4.19.91-6.fc41.x86_64 rpm-build-4.19.91-6.fc41.x86_64 rpm-build-libs-4.19.91-6.fc41.x86_64 rpm-libs-4.19.91-6.fc41.x86_64 rpm-sequoia-1.6.0-3.fc41.x86_64 rust-srpm-macros-26.3-1.fc41.noarch sed-4.9-1.fc40.x86_64 setup-2.15.0-3.fc41.noarch shadow-utils-4.15.1-5.fc41.x86_64 sqlite-libs-3.45.3-1.fc41.x86_64 systemd-libs-256~rc3-4.fc41.x86_64 tar-1.35-3.fc40.x86_64 unzip-6.0-63.fc40.x86_64 util-linux-2.40.1-1.fc41.x86_64 util-linux-core-2.40.1-1.fc41.x86_64 which-2.21-41.fc40.x86_64 xxhash-libs-0.8.2-2.fc40.x86_64 xz-5.4.6-3.fc41.x86_64 xz-libs-5.4.6-3.fc41.x86_64 zig-srpm-macros-1-2.fc40.noarch zip-3.0-40.fc40.x86_64 zlib-ng-compat-2.1.6-4.fc41.x86_64 zstd-1.5.6-1.fc41.x86_64 Start: buildsrpm Start: rpmbuild -bs Building target platforms: x86_64 Building for target x86_64 setting SOURCE_DATE_EPOCH=1640217600 Wrote: /builddir/build/SRPMS/cocotb-1.8.1-20240530.0.git3bcec246.fc41.src.rpm Finish: rpmbuild -bs cp: preserving permissions for ‘/var/lib/copr-rpmbuild/results/chroot_scan/var/lib/mock/fedora-rawhide-x86_64-1717462987.593545/root/var/log’: No such file or directory INFO: chroot_scan: 1 files copied to /var/lib/copr-rpmbuild/results/chroot_scan INFO: /var/lib/mock/fedora-rawhide-x86_64-1717462987.593545/root/var/log/dnf5.log Finish: buildsrpm INFO: Done(/var/lib/copr-rpmbuild/workspace/workdir-605imy05/cocotb/cocotb.spec) Config(child) 0 minutes 15 seconds INFO: Results and/or logs in: /var/lib/copr-rpmbuild/results INFO: Cleaning up build root ('cleanup_on_success=True') Start: clean chroot INFO: unmounting tmpfs. Finish: clean chroot INFO: Start(/var/lib/copr-rpmbuild/results/cocotb-1.8.1-20240530.0.git3bcec246.fc41.src.rpm) Config(fedora-rawhide-x86_64) Start(bootstrap): chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-rawhide-x86_64-bootstrap-1717462987.593545/root. INFO: reusing tmpfs at /var/lib/mock/fedora-rawhide-x86_64-bootstrap-1717462987.593545/root. INFO: calling preinit hooks INFO: enabled root cache INFO: enabled package manager cache Start(bootstrap): cleaning package manager metadata Finish(bootstrap): cleaning package manager metadata Finish(bootstrap): chroot init Start: chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-rawhide-x86_64-1717462987.593545/root. INFO: calling preinit hooks INFO: enabled root cache Start: unpacking root cache Finish: unpacking root cache INFO: enabled package manager cache Start: cleaning package manager metadata Finish: cleaning package manager metadata INFO: enabled HW Info plugin INFO: Buildroot is handled by package management downloaded with a bootstrap image: rpm-4.19.91-6.fc41.x86_64 rpm-sequoia-1.6.0-3.fc41.x86_64 dnf5-5.2.2.0-1.fc41.x86_64 dnf5-plugins-5.2.2.0-1.fc41.x86_64 Finish: chroot init Start: build phase for cocotb-1.8.1-20240530.0.git3bcec246.fc41.src.rpm Start: build setup for cocotb-1.8.1-20240530.0.git3bcec246.fc41.src.rpm Building target platforms: x86_64 Building for target x86_64 setting SOURCE_DATE_EPOCH=1640217600 Wrote: /builddir/build/SRPMS/cocotb-1.8.1-20240530.0.git3bcec246.fc41.src.rpm Updating and loading repositories: Additional repo copr_rezso_ML 100% | 122.0 KiB/s | 1.8 KiB | 00m00s fedora 100% | 92.4 KiB/s | 3.6 KiB | 00m00s Copr repository 100% | 119.2 KiB/s | 2.1 KiB | 00m00s Additional repo copr_rezso_CUDA 100% | 140.3 KiB/s | 1.8 KiB | 00m00s Additional repo http_developer_downloa 100% | 871.3 KiB/s | 3.5 KiB | 00m00s Additional repo http_developer_downloa 100% | 1.1 MiB/s | 3.5 KiB | 00m00s Additional repo http_developer_downloa 100% | 870.6 KiB/s | 3.5 KiB | 00m00s Repositories loaded. Package Arch Version Repository Size Installing: gcc-c++ x86_64 14.1.1-4.fc41 fedora 38.1 MiB git x86_64 2.45.1-1.fc41 fedora 85.2 KiB make x86_64 1:4.4.1-6.fc40 fedora 1.8 MiB python3-devel x86_64 3.12.3-2.fc41 fedora 1.2 MiB python3-setuptools noarch 69.2.0-3.fc41 fedora 7.2 MiB Installing dependencies: add-determinism x86_64 0.2.0-9.fc41 fedora 2.6 MiB annobin-docs noarch 12.54-2.fc41 fedora 96.2 KiB annobin-plugin-gcc x86_64 12.54-2.fc41 fedora 974.4 KiB cpp x86_64 14.1.1-4.fc41 fedora 35.0 MiB expat x86_64 2.6.2-1.fc41 fedora 280.8 KiB gc x86_64 8.2.2-6.fc40 fedora 258.7 KiB gcc x86_64 14.1.1-4.fc41 fedora 104.0 MiB gcc-plugin-annobin x86_64 14.1.1-4.fc41 fedora 57.1 KiB git-core x86_64 2.45.1-1.fc41 fedora 21.9 MiB git-core-doc noarch 2.45.1-1.fc41 fedora 16.9 MiB glibc-devel x86_64 2.39.9000-18.fc41 fedora 37.7 KiB glibc-headers-x86 noarch 2.39.9000-18.fc41 fedora 2.2 MiB groff-base x86_64 1.23.0-6.fc40 fedora 3.8 MiB guile30 x86_64 3.0.9-1.fc41 fedora 52.7 MiB kernel-headers x86_64 6.10.0-0.rc1.17.fc41 fedora 6.3 MiB less x86_64 643-4.fc40 fedora 368.6 KiB libb2 x86_64 0.98.1-11.fc40 fedora 42.2 KiB libcbor x86_64 0.11.0-1.fc40 fedora 73.9 KiB libedit x86_64 3.1-51.20240517cvs.fc41 fedora 243.9 KiB libfido2 x86_64 1.14.0-4.fc40 fedora 237.8 KiB libmpc x86_64 1.3.1-5.fc40 fedora 164.7 KiB libstdc++-devel x86_64 14.1.1-4.fc41 fedora 15.4 MiB libxcrypt-devel x86_64 4.4.36-5.fc40 fedora 30.3 KiB mpdecimal x86_64 2.5.1-9.fc40 fedora 200.9 KiB ncurses x86_64 6.4-12.20240127.fc40 fedora 621.0 KiB openssh x86_64 9.6p1-1.fc41.9 fedora 1.8 MiB openssh-clients x86_64 9.6p1-1.fc41.9 fedora 2.6 MiB perl-AutoLoader noarch 5.74-507.fc41 fedora 20.5 KiB perl-B x86_64 1.88-507.fc41 fedora 492.4 KiB perl-Carp noarch 1.54-502.fc40 fedora 46.5 KiB perl-Class-Struct noarch 0.68-507.fc41 fedora 25.4 KiB perl-Data-Dumper x86_64 2.188-503.fc40 fedora 111.7 KiB perl-Digest noarch 1.20-502.fc40 fedora 35.2 KiB perl-Digest-MD5 x86_64 2.59-3.fc40 fedora 59.7 KiB perl-DynaLoader x86_64 1.54-507.fc41 fedora 32.1 KiB perl-Encode x86_64 4:3.21-505.fc41 fedora 4.7 MiB perl-Errno x86_64 1.37-507.fc41 fedora 8.4 KiB perl-Error noarch 1:0.17029-15.fc40 fedora 77.2 KiB perl-Exporter noarch 5.78-3.fc40 fedora 54.2 KiB perl-Fcntl x86_64 1.15-507.fc41 fedora 24.6 KiB perl-File-Basename noarch 2.86-507.fc41 fedora 14.0 KiB perl-File-Find noarch 1.43-507.fc41 fedora 41.9 KiB perl-File-Path noarch 2.18-503.fc40 fedora 63.5 KiB perl-File-Temp noarch 1:0.231.100-503.fc40 fedora 162.3 KiB perl-File-stat noarch 1.13-507.fc41 fedora 12.7 KiB perl-FileHandle noarch 2.05-507.fc41 fedora 9.3 KiB perl-Getopt-Long noarch 1:2.57-4.fc41 fedora 144.1 KiB perl-Getopt-Std noarch 1.13-507.fc41 fedora 11.1 KiB perl-Git noarch 2.45.1-1.fc41 fedora 64.0 KiB perl-HTTP-Tiny noarch 0.088-5.fc40 fedora 152.1 KiB perl-IO x86_64 1.52-507.fc41 fedora 151.0 KiB perl-IO-Socket-IP noarch 0.42-2.fc40 fedora 98.6 KiB perl-IO-Socket-SSL noarch 2.085-1.fc40 fedora 685.0 KiB perl-IPC-Open3 noarch 1.22-507.fc41 fedora 22.5 KiB perl-MIME-Base64 x86_64 3.16-503.fc40 fedora 46.1 KiB perl-Mozilla-CA noarch 20240313-1.fc41 fedora 9.5 KiB perl-Net-SSLeay x86_64 1.94-3.fc40 fedora 1.3 MiB perl-POSIX x86_64 2.13-507.fc41 fedora 229.0 KiB perl-PathTools x86_64 3.89-502.fc40 fedora 179.6 KiB perl-Pod-Escapes noarch 1:1.07-503.fc40 fedora 24.9 KiB perl-Pod-Perldoc noarch 3.28.01-503.fc40 fedora 163.1 KiB perl-Pod-Simple noarch 1:3.45-6.fc40 fedora 559.8 KiB perl-Pod-Usage noarch 4:2.03-504.fc41 fedora 84.7 KiB perl-Scalar-List-Utils x86_64 5:1.63-503.fc40 fedora 145.5 KiB perl-SelectSaver noarch 1.02-507.fc41 fedora 2.2 KiB perl-Socket x86_64 4:2.038-1.fc41 fedora 124.0 KiB perl-Storable x86_64 1:3.32-502.fc40 fedora 232.3 KiB perl-Symbol noarch 1.09-507.fc41 fedora 6.8 KiB perl-Term-ANSIColor noarch 5.01-504.fc40 fedora 97.5 KiB perl-Term-Cap noarch 1.18-503.fc40 fedora 29.3 KiB perl-TermReadKey x86_64 2.38-21.fc40 fedora 64.0 KiB perl-Text-ParseWords noarch 3.31-502.fc40 fedora 13.5 KiB perl-Text-Tabs+Wrap noarch 2024.001-1.fc41 fedora 22.5 KiB perl-Time-Local noarch 2:1.350-5.fc40 fedora 68.9 KiB perl-URI noarch 5.28-1.fc41 fedora 240.2 KiB perl-base noarch 2.27-507.fc41 fedora 12.5 KiB perl-constant noarch 1.33-503.fc40 fedora 26.2 KiB perl-if noarch 0.61.000-507.fc41 fedora 5.8 KiB perl-interpreter x86_64 4:5.38.2-507.fc41 fedora 119.8 KiB perl-lib x86_64 0.65-507.fc41 fedora 8.5 KiB perl-libnet noarch 3.15-503.fc40 fedora 289.0 KiB perl-libs x86_64 4:5.38.2-507.fc41 fedora 9.8 MiB perl-locale noarch 1.10-507.fc41 fedora 6.2 KiB perl-mro x86_64 1.28-507.fc41 fedora 41.6 KiB perl-overload noarch 1.37-507.fc41 fedora 71.5 KiB perl-overloading noarch 0.02-507.fc41 fedora 4.8 KiB perl-parent noarch 1:0.241-502.fc40 fedora 9.7 KiB perl-podlators noarch 1:5.01-502.fc40 fedora 308.1 KiB perl-vars noarch 1.05-507.fc41 fedora 3.9 KiB pyproject-rpm-macros noarch 1.12.0-1.fc40 fedora 98.8 KiB python-pip-wheel noarch 24.0-2.fc41 fedora 1.5 MiB python-rpm-macros noarch 3.12-9.fc41 fedora 22.1 KiB python3 x86_64 3.12.3-2.fc41 fedora 31.5 KiB python3-libs x86_64 3.12.3-2.fc41 fedora 40.9 MiB python3-packaging noarch 24.0-1.fc41 fedora 424.8 KiB python3-rpm-generators noarch 14-10.fc40 fedora 81.7 KiB python3-rpm-macros noarch 3.12-9.fc41 fedora 6.4 KiB tzdata noarch 2024a-8.fc41 fedora 1.7 MiB Transaction Summary: Installing: 103 packages Total size of inbound packages is 110 MiB. Need to download 78 MiB. After this operation 383 MiB will be used (install 383 MiB, remove 0 B). [ 1/103] git-0:2.45.1-1.fc41.x86_64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 2/103] python3-setuptools-0:69.2.0-3 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 3/103] python3-devel-0:3.12.3-2.fc41 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 4/103] git-core-0:2.45.1-1.fc41.x86_ 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 5/103] git-core-doc-0:2.45.1-1.fc41. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 6/103] perl-File-Basename-0:2.86-507 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 7/103] perl-File-Find-0:1.43-507.fc4 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 8/103] perl-Getopt-Long-1:2.57-4.fc4 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 9/103] perl-Git-0:2.45.1-1.fc41.noar 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 10/103] perl-IPC-Open3-0:1.22-507.fc4 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 11/103] perl-PathTools-0:3.89-502.fc4 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 12/103] perl-TermReadKey-0:2.38-21.fc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 13/103] perl-interpreter-4:5.38.2-507 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 14/103] perl-lib-0:0.65-507.fc41.x86_ 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 15/103] python3-libs-0:3.12.3-2.fc41. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 16/103] expat-0:2.6.2-1.fc41.x86_64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 17/103] less-0:643-4.fc40.x86_64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 18/103] openssh-clients-0:9.6p1-1.fc4 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 19/103] perl-Carp-0:1.54-502.fc40.noa 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 20/103] perl-Exporter-0:5.78-3.fc40.n 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 21/103] perl-Pod-Usage-4:2.03-504.fc4 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 22/103] perl-Text-ParseWords-0:3.31-5 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 23/103] perl-base-0:2.27-507.fc41.noa 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 24/103] perl-constant-0:1.33-503.fc40 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 25/103] perl-overload-0:1.37-507.fc41 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 26/103] perl-Error-1:0.17029-15.fc40. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 27/103] perl-Fcntl-0:1.15-507.fc41.x8 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 28/103] perl-IO-0:1.52-507.fc41.x86_6 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 29/103] perl-POSIX-0:2.13-507.fc41.x8 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 30/103] perl-Symbol-0:1.09-507.fc41.n 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 31/103] perl-Errno-0:1.37-507.fc41.x8 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 32/103] perl-Scalar-List-Utils-5:1.63 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 33/103] perl-libs-4:5.38.2-507.fc41.x 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 34/103] perl-DynaLoader-0:1.54-507.fc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 35/103] perl-vars-0:1.05-507.fc41.noa 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 36/103] libb2-0:0.98.1-11.fc40.x86_64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 37/103] mpdecimal-0:2.5.1-9.fc40.x86_ 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 38/103] python-pip-wheel-0:24.0-2.fc4 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 39/103] tzdata-0:2024a-8.fc41.noarch 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 40/103] libedit-0:3.1-51.20240517cvs. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 41/103] libfido2-0:1.14.0-4.fc40.x86_ 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 42/103] openssh-0:9.6p1-1.fc41.9.x86_ 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 43/103] perl-Pod-Perldoc-0:3.28.01-50 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 44/103] perl-podlators-1:5.01-502.fc4 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 45/103] perl-mro-0:1.28-507.fc41.x86_ 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 46/103] perl-overloading-0:0.02-507.f 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 47/103] perl-File-stat-0:1.13-507.fc4 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 48/103] perl-SelectSaver-0:1.02-507.f 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 49/103] perl-Socket-4:2.038-1.fc41.x8 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 50/103] perl-locale-0:1.10-507.fc41.n 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 51/103] libcbor-0:0.11.0-1.fc40.x86_6 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 52/103] groff-base-0:1.23.0-6.fc40.x8 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 53/103] perl-File-Temp-1:0.231.100-50 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 54/103] perl-HTTP-Tiny-0:0.088-5.fc40 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 55/103] perl-Pod-Simple-1:3.45-6.fc40 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 56/103] perl-parent-1:0.241-502.fc40. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 57/103] perl-Term-ANSIColor-0:5.01-50 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 58/103] perl-Term-Cap-0:1.18-503.fc40 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 59/103] perl-Class-Struct-0:0.68-507. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 60/103] perl-File-Path-0:2.18-503.fc4 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 61/103] perl-IO-Socket-SSL-0:2.085-1. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 62/103] perl-MIME-Base64-0:3.16-503.f 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 63/103] perl-Mozilla-CA-0:20240313-1. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 64/103] perl-Net-SSLeay-0:1.94-3.fc40 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 65/103] perl-Time-Local-2:1.350-5.fc4 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 66/103] perl-Pod-Escapes-1:1.07-503.f 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 67/103] perl-Text-Tabs+Wrap-0:2024.00 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 68/103] perl-if-0:0.61.000-507.fc41.n 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 69/103] ncurses-0:6.4-12.20240127.fc4 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 70/103] perl-IO-Socket-IP-0:0.42-2.fc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 71/103] perl-URI-0:5.28-1.fc41.noarch 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 72/103] perl-AutoLoader-0:5.74-507.fc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 73/103] perl-Data-Dumper-0:2.188-503. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 74/103] perl-libnet-0:3.15-503.fc40.n 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 75/103] perl-B-0:1.88-507.fc41.x86_64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 76/103] perl-Digest-MD5-0:2.59-3.fc40 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 77/103] perl-FileHandle-0:2.05-507.fc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 78/103] perl-Digest-0:1.20-502.fc40.n 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 79/103] add-determinism-0:0.2.0-9.fc4 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 80/103] pyproject-rpm-macros-0:1.12.0 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 81/103] python-rpm-macros-0:3.12-9.fc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 82/103] python3-rpm-generators-0:14-1 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 83/103] python3-rpm-macros-0:3.12-9.f 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 84/103] python3-packaging-0:24.0-1.fc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 85/103] python3-0:3.12.3-2.fc41.x86_6 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 86/103] perl-Encode-4:3.21-505.fc41.x 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 87/103] perl-Getopt-Std-0:1.13-507.fc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 88/103] perl-Storable-1:3.32-502.fc40 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 89/103] make-1:4.4.1-6.fc40.x86_64 100% | 26.1 MiB/s | 587.6 KiB | 00m00s [ 90/103] guile30-0:3.0.9-1.fc41.x86_64 100% | 188.8 MiB/s | 8.3 MiB | 00m00s [ 91/103] libmpc-0:1.3.1-5.fc40.x86_64 100% | 13.9 MiB/s | 71.1 KiB | 00m00s [ 92/103] gc-0:8.2.2-6.fc40.x86_64 100% | 17.9 MiB/s | 110.2 KiB | 00m00s [ 93/103] gcc-c++-0:14.1.1-4.fc41.x86_6 100% | 202.3 MiB/s | 14.2 MiB | 00m00s [ 94/103] annobin-plugin-gcc-0:12.54-2. 100% | 28.5 MiB/s | 964.7 KiB | 00m00s [ 95/103] gcc-plugin-annobin-0:14.1.1-4 100% | 2.9 MiB/s | 50.7 KiB | 00m00s [ 96/103] annobin-docs-0:12.54-2.fc41.n 100% | 8.0 MiB/s | 89.8 KiB | 00m00s [ 97/103] cpp-0:14.1.1-4.fc41.x86_64 100% | 137.3 MiB/s | 11.9 MiB | 00m00s [ 98/103] libstdc++-devel-0:14.1.1-4.fc 100% | 124.8 MiB/s | 2.7 MiB | 00m00s [ 99/103] glibc-devel-0:2.39.9000-18.fc 100% | 8.2 MiB/s | 126.4 KiB | 00m00s [100/103] glibc-headers-x86-0:2.39.9000 100% | 37.7 MiB/s | 617.6 KiB | 00m00s [101/103] libxcrypt-devel-0:4.4.36-5.fc 100% | 2.2 MiB/s | 28.6 KiB | 00m00s [102/103] gcc-0:14.1.1-4.fc41.x86_64 100% | 185.4 MiB/s | 37.1 MiB | 00m00s [103/103] kernel-headers-0:6.10.0-0.rc1 100% | 30.9 MiB/s | 1.6 MiB | 00m00s -------------------------------------------------------------------------------- [103/103] Total 100% | 172.0 MiB/s | 78.4 MiB | 00m00s Running transaction [ 1/105] Verify package files 100% | 300.0 B/s | 103.0 B | 00m00s [ 2/105] Prepare transaction 100% | 1.9 KiB/s | 103.0 B | 00m00s [ 3/105] Installing python-rpm-macros- 100% | 0.0 B/s | 22.8 KiB | 00m00s [ 4/105] Installing libmpc-0:1.3.1-5.f 100% | 162.3 MiB/s | 166.2 KiB | 00m00s [ 5/105] Installing python3-rpm-macros 100% | 0.0 B/s | 6.7 KiB | 00m00s [ 6/105] Installing expat-0:2.6.2-1.fc 100% | 276.3 MiB/s | 282.9 KiB | 00m00s [ 7/105] Installing pyproject-rpm-macr 100% | 98.4 MiB/s | 100.8 KiB | 00m00s [ 8/105] Installing cpp-0:14.1.1-4.fc4 100% | 392.8 MiB/s | 35.0 MiB | 00m00s [ 9/105] Installing kernel-headers-0:6 100% | 238.8 MiB/s | 6.4 MiB | 00m00s [ 10/105] Installing glibc-headers-x86- 100% | 207.5 MiB/s | 2.3 MiB | 00m00s [ 11/105] Installing libxcrypt-devel-0: 100% | 31.8 MiB/s | 32.6 KiB | 00m00s [ 12/105] Installing glibc-devel-0:2.39 100% | 13.5 MiB/s | 41.4 KiB | 00m00s [ 13/105] Installing libstdc++-devel-0: 100% | 420.2 MiB/s | 15.5 MiB | 00m00s [ 14/105] Installing annobin-docs-0:12. 100% | 95.0 MiB/s | 97.3 KiB | 00m00s [ 15/105] Installing ncurses-0:6.4-12.2 100% | 76.6 MiB/s | 627.6 KiB | 00m00s >>> Running pre-install scriptlet: groff-base-0:1.23.0-6.fc40.x86_64 >>> Stop pre-install scriptlet: groff-base-0:1.23.0-6.fc40.x86_64 [ 16/105] Installing groff-base-0:1.23. 100% | 214.3 MiB/s | 3.9 MiB | 00m00s >>> Running post-install scriptlet: groff-base-0:1.23.0-6.fc40.x86_64 >>> Stop post-install scriptlet: groff-base-0:1.23.0-6.fc40.x86_64 [ 17/105] Installing perl-Digest-0:1.20 100% | 36.1 MiB/s | 37.0 KiB | 00m00s [ 18/105] Installing perl-B-0:1.88-507. 100% | 242.1 MiB/s | 495.7 KiB | 00m00s [ 19/105] Installing perl-FileHandle-0: 100% | 0.0 B/s | 9.8 KiB | 00m00s [ 20/105] Installing perl-Digest-MD5-0: 100% | 60.2 MiB/s | 61.6 KiB | 00m00s [ 21/105] Installing perl-Data-Dumper-0 100% | 110.9 MiB/s | 113.6 KiB | 00m00s [ 22/105] Installing perl-libnet-0:3.15 100% | 143.7 MiB/s | 294.3 KiB | 00m00s [ 23/105] Installing perl-IO-Socket-IP- 100% | 98.1 MiB/s | 100.4 KiB | 00m00s [ 24/105] Installing perl-AutoLoader-0: 100% | 20.5 MiB/s | 20.9 KiB | 00m00s [ 25/105] Installing perl-URI-0:5.28-1. 100% | 122.9 MiB/s | 251.8 KiB | 00m00s [ 26/105] Installing perl-locale-0:1.10 100% | 0.0 B/s | 6.6 KiB | 00m00s [ 27/105] Installing perl-File-Path-0:2 100% | 0.0 B/s | 64.5 KiB | 00m00s [ 28/105] Installing perl-Mozilla-CA-0: 100% | 0.0 B/s | 10.5 KiB | 00m00s [ 29/105] Installing perl-Time-Local-2: 100% | 68.9 MiB/s | 70.5 KiB | 00m00s [ 30/105] Installing perl-Pod-Escapes-1 100% | 0.0 B/s | 25.9 KiB | 00m00s [ 31/105] Installing perl-Text-Tabs+Wra 100% | 0.0 B/s | 23.8 KiB | 00m00s [ 32/105] Installing perl-if-0:0.61.000 100% | 0.0 B/s | 6.2 KiB | 00m00s [ 33/105] Installing perl-Net-SSLeay-0: 100% | 272.5 MiB/s | 1.4 MiB | 00m00s [ 34/105] Installing perl-IO-Socket-SSL 100% | 336.4 MiB/s | 689.0 KiB | 00m00s [ 35/105] Installing perl-POSIX-0:2.13- 100% | 224.9 MiB/s | 230.3 KiB | 00m00s [ 36/105] Installing perl-Term-ANSIColo 100% | 96.8 MiB/s | 99.1 KiB | 00m00s [ 37/105] Installing perl-Term-Cap-0:1. 100% | 0.0 B/s | 30.5 KiB | 00m00s [ 38/105] Installing perl-IPC-Open3-0:1 100% | 0.0 B/s | 23.3 KiB | 00m00s [ 39/105] Installing perl-Class-Struct- 100% | 0.0 B/s | 25.9 KiB | 00m00s [ 40/105] Installing perl-File-Temp-1:0 100% | 160.2 MiB/s | 164.0 KiB | 00m00s [ 41/105] Installing perl-Pod-Simple-1: 100% | 185.4 MiB/s | 569.4 KiB | 00m00s [ 42/105] Installing perl-HTTP-Tiny-0:0 100% | 150.6 MiB/s | 154.2 KiB | 00m00s [ 43/105] Installing perl-Symbol-0:1.09 100% | 0.0 B/s | 7.2 KiB | 00m00s [ 44/105] Installing perl-SelectSaver-0 100% | 0.0 B/s | 2.6 KiB | 00m00s [ 45/105] Installing perl-Socket-4:2.03 100% | 123.1 MiB/s | 126.0 KiB | 00m00s [ 46/105] Installing perl-File-stat-0:1 100% | 0.0 B/s | 13.2 KiB | 00m00s [ 47/105] Installing perl-podlators-1:5 100% | 304.7 MiB/s | 312.1 KiB | 00m00s [ 48/105] Installing perl-Pod-Perldoc-0 100% | 164.7 MiB/s | 168.6 KiB | 00m00s [ 49/105] Installing perl-Text-ParseWor 100% | 0.0 B/s | 14.5 KiB | 00m00s [ 50/105] Installing perl-base-0:2.27-5 100% | 0.0 B/s | 12.9 KiB | 00m00s [ 51/105] Installing perl-Fcntl-0:1.15- 100% | 0.0 B/s | 25.8 KiB | 00m00s [ 52/105] Installing perl-mro-0:1.28-50 100% | 0.0 B/s | 42.6 KiB | 00m00s [ 53/105] Installing perl-overloading-0 100% | 0.0 B/s | 5.5 KiB | 00m00s [ 54/105] Installing perl-IO-0:1.52-507 100% | 151.5 MiB/s | 155.2 KiB | 00m00s [ 55/105] Installing perl-Pod-Usage-4:2 100% | 84.2 MiB/s | 86.3 KiB | 00m00s [ 56/105] Installing perl-constant-0:1. 100% | 0.0 B/s | 27.4 KiB | 00m00s [ 57/105] Installing perl-Errno-0:1.37- 100% | 0.0 B/s | 8.8 KiB | 00m00s [ 58/105] Installing perl-Scalar-List-U 100% | 145.2 MiB/s | 148.7 KiB | 00m00s [ 59/105] Installing perl-vars-0:1.05-5 100% | 0.0 B/s | 4.3 KiB | 00m00s [ 60/105] Installing perl-overload-0:1. 100% | 0.0 B/s | 71.9 KiB | 00m00s [ 61/105] Installing perl-parent-1:0.24 100% | 0.0 B/s | 10.4 KiB | 00m00s [ 62/105] Installing perl-MIME-Base64-0 100% | 47.2 MiB/s | 48.3 KiB | 00m00s [ 63/105] Installing perl-Getopt-Std-0: 100% | 0.0 B/s | 11.6 KiB | 00m00s [ 64/105] Installing perl-Storable-1:3. 100% | 228.5 MiB/s | 233.9 KiB | 00m00s [ 65/105] Installing perl-Getopt-Long-1 100% | 143.4 MiB/s | 146.9 KiB | 00m00s [ 66/105] Installing perl-File-Basename 100% | 0.0 B/s | 14.6 KiB | 00m00s [ 67/105] Installing perl-Carp-0:1.54-5 100% | 0.0 B/s | 47.7 KiB | 00m00s [ 68/105] Installing perl-Exporter-0:5. 100% | 54.2 MiB/s | 55.5 KiB | 00m00s [ 69/105] Installing perl-PathTools-0:3 100% | 179.8 MiB/s | 184.2 KiB | 00m00s [ 70/105] Installing perl-DynaLoader-0: 100% | 0.0 B/s | 32.5 KiB | 00m00s [ 71/105] Installing perl-Encode-4:3.21 100% | 362.9 MiB/s | 4.7 MiB | 00m00s [ 72/105] Installing perl-libs-4:5.38.2 100% | 310.7 MiB/s | 9.9 MiB | 00m00s [ 73/105] Installing perl-interpreter-4 100% | 118.6 MiB/s | 121.4 KiB | 00m00s [ 74/105] Installing perl-File-Find-0:1 100% | 0.0 B/s | 42.4 KiB | 00m00s [ 75/105] Installing perl-TermReadKey-0 100% | 64.7 MiB/s | 66.3 KiB | 00m00s [ 76/105] Installing perl-lib-0:0.65-50 100% | 0.0 B/s | 8.9 KiB | 00m00s [ 77/105] Installing perl-Error-1:0.170 100% | 78.5 MiB/s | 80.4 KiB | 00m00s [ 78/105] Installing libcbor-0:0.11.0-1 100% | 73.5 MiB/s | 75.3 KiB | 00m00s [ 79/105] Installing libfido2-0:1.14.0- 100% | 233.7 MiB/s | 239.3 KiB | 00m00s [ 80/105] Installing openssh-0:9.6p1-1. 100% | 455.1 MiB/s | 1.8 MiB | 00m00s [ 81/105] Installing libedit-0:3.1-51.2 100% | 239.8 MiB/s | 245.6 KiB | 00m00s [ 82/105] Installing openssh-clients-0: 100% | 200.6 MiB/s | 2.6 MiB | 00m00s >>> Running post-install scriptlet: openssh-clients-0:9.6p1-1.fc41.9.x86_64 >>> Stop post-install scriptlet: openssh-clients-0:9.6p1-1.fc41.9.x86_64 [ 83/105] Installing tzdata-0:2024a-8.f 100% | 71.9 MiB/s | 1.9 MiB | 00m00s [ 84/105] Installing python-pip-wheel-0 100% | 764.0 MiB/s | 1.5 MiB | 00m00s [ 85/105] Installing mpdecimal-0:2.5.1- 100% | 197.3 MiB/s | 202.0 KiB | 00m00s [ 86/105] Installing libb2-0:0.98.1-11. 100% | 8.5 MiB/s | 43.3 KiB | 00m00s [ 87/105] Installing python3-libs-0:3.1 100% | 369.1 MiB/s | 41.3 MiB | 00m00s [ 88/105] Installing python3-0:3.12.3-2 100% | 32.5 MiB/s | 33.2 KiB | 00m00s [ 89/105] Installing python3-packaging- 100% | 212.7 MiB/s | 435.6 KiB | 00m00s [ 90/105] Installing python3-rpm-genera 100% | 0.0 B/s | 82.9 KiB | 00m00s [ 91/105] Installing gc-0:8.2.2-6.fc40. 100% | 85.0 MiB/s | 261.2 KiB | 00m00s [ 92/105] Installing guile30-0:3.0.9-1. 100% | 488.9 MiB/s | 52.8 MiB | 00m00s [ 93/105] Installing make-1:4.4.1-6.fc4 100% | 257.2 MiB/s | 1.8 MiB | 00m00s [ 94/105] Installing gcc-0:14.1.1-4.fc4 100% | 452.4 MiB/s | 104.1 MiB | 00m00s >>> Running trigger-install scriptlet: redhat-rpm-config-0:292-1.fc41.noarch >>> Stop trigger-install scriptlet: redhat-rpm-config-0:292-1.fc41.noarch [ 95/105] Installing less-0:643-4.fc40. 100% | 121.1 MiB/s | 372.0 KiB | 00m00s [ 96/105] Installing git-core-0:2.45.1- 100% | 457.4 MiB/s | 22.0 MiB | 00m00s [ 97/105] Installing git-core-doc-0:2.4 100% | 415.8 MiB/s | 17.0 MiB | 00m00s [ 98/105] Installing perl-Git-0:2.45.1- 100% | 0.0 B/s | 65.0 KiB | 00m00s [ 99/105] Installing git-0:2.45.1-1.fc4 100% | 85.4 MiB/s | 87.4 KiB | 00m00s [100/105] Installing gcc-c++-0:14.1.1-4 100% | 423.5 MiB/s | 38.1 MiB | 00m00s [101/105] Installing annobin-plugin-gcc 100% | 79.4 MiB/s | 976.1 KiB | 00m00s >>> Running trigger-install scriptlet: redhat-rpm-config-0:292-1.fc41.noarch >>> Stop trigger-install scriptlet: redhat-rpm-config-0:292-1.fc41.noarch [102/105] Installing gcc-plugin-annobin 100% | 4.8 MiB/s | 58.6 KiB | 00m00s >>> Running trigger-install scriptlet: redhat-rpm-config-0:292-1.fc41.noarch >>> Stop trigger-install scriptlet: redhat-rpm-config-0:292-1.fc41.noarch [103/105] Installing python3-devel-0:3. 100% | 159.5 MiB/s | 1.3 MiB | 00m00s [104/105] Installing python3-setuptools 100% | 281.4 MiB/s | 7.3 MiB | 00m00s warning: posix.fork(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.execute() instead warning: posix.wait(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.execute() instead warning: posix.exec(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.execute() instead [105/105] Installing add-determinism-0: 100% | 18.9 MiB/s | 2.6 MiB | 00m00s >>> Running trigger-install scriptlet: glibc-common-0:2.39.9000-18.fc41.x86_64 >>> Stop trigger-install scriptlet: glibc-common-0:2.39.9000-18.fc41.x86_64 >>> Running trigger-install scriptlet: info-0:7.1-2.fc40.x86_64 >>> Stop trigger-install scriptlet: info-0:7.1-2.fc40.x86_64 Finish: build setup for cocotb-1.8.1-20240530.0.git3bcec246.fc41.src.rpm Start: rpmbuild cocotb-1.8.1-20240530.0.git3bcec246.fc41.src.rpm Building target platforms: x86_64 Building for target x86_64 setting SOURCE_DATE_EPOCH=1640217600 Executing(%mkbuilddir): /bin/sh -e /var/tmp/rpm-tmp.OrMcin + umask 022 + cd /builddir/build/BUILD/cocotb-1.8.1-build + test -d /builddir/build/BUILD/cocotb-1.8.1-build + /usr/bin/chmod -Rf a+rX,u+w,g-w,o-w /builddir/build/BUILD/cocotb-1.8.1-build + /usr/bin/rm -rf /builddir/build/BUILD/cocotb-1.8.1-build + /usr/bin/mkdir -p /builddir/build/BUILD/cocotb-1.8.1-build + /usr/bin/mkdir -p /builddir/build/BUILD/cocotb-1.8.1-build/SPECPARTS + RPM_EC=0 ++ jobs -p + exit 0 Executing(%prep): /bin/sh -e /var/tmp/rpm-tmp.afVf5i + umask 022 + cd /builddir/build/BUILD/cocotb-1.8.1-build + cd /builddir/build/BUILD/cocotb-1.8.1-build + rm -rf cocotb + /usr/bin/mkdir -p cocotb + cd cocotb + /usr/bin/chmod -Rf a+rX,u+w,g-w,o-w . + git clone --depth 1 -n -b master https://github.com/cocotb/cocotb.git . Cloning into '.'... + git fetch --depth 1 origin 3bcec2469df1b9338cfef9d7fe717724be0dad78 From https://github.com/cocotb/cocotb * branch 3bcec2469df1b9338cfef9d7fe717724be0dad78 -> FETCH_HEAD + git reset --hard 3bcec2469df1b9338cfef9d7fe717724be0dad78 HEAD is now at 3bcec24 Fix Sphinx reported errors + git --no-pager log --format=fuller commit 3bcec2469df1b9338cfef9d7fe717724be0dad78 Author: teobiton AuthorDate: Wed May 29 20:05:24 2024 +0200 Commit: Kaleb Barrett CommitDate: Thu May 30 10:20:07 2024 -0600 Fix Sphinx reported errors + RPM_EC=0 ++ jobs -p + exit 0 Executing(%build): /bin/sh -e /var/tmp/rpm-tmp.evaKNl + umask 022 + cd /builddir/build/BUILD/cocotb-1.8.1-build + CFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer ' + export CFLAGS + CXXFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer ' + export CXXFLAGS + FFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -I/usr/lib64/gfortran/modules ' + export FFLAGS + FCFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -I/usr/lib64/gfortran/modules ' + export FCFLAGS + VALAFLAGS=-g + export VALAFLAGS + RUSTFLAGS='-Copt-level=3 -Cdebuginfo=2 -Ccodegen-units=1 -Cstrip=none -Cforce-frame-pointers=yes -Clink-arg=-specs=/usr/lib/rpm/redhat/redhat-package-notes --cap-lints=warn' + export RUSTFLAGS + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes ' + export LDFLAGS + LT_SYS_LIBRARY_PATH=/usr/lib64: + export LT_SYS_LIBRARY_PATH + CC=gcc + export CC + CXX=g++ + export CXX + cd cocotb + sed -i /-rpath/d cocotb_build_libs.py + sed -i 's|"-static-libstdc++"||g' cocotb_build_libs.py + CFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer ' + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes ' + /usr/bin/python3 setup.py build '--executable=/usr/bin/python3 -sP' running build running build_py creating build creating build/lib.linux-x86_64-cpython-312 creating build/lib.linux-x86_64-cpython-312/pygpi copying src/pygpi/entry.py -> build/lib.linux-x86_64-cpython-312/pygpi copying src/pygpi/__init__.py -> build/lib.linux-x86_64-cpython-312/pygpi creating build/lib.linux-x86_64-cpython-312/cocotb_tools copying src/cocotb_tools/runner.py -> build/lib.linux-x86_64-cpython-312/cocotb_tools copying src/cocotb_tools/config.py -> build/lib.linux-x86_64-cpython-312/cocotb_tools copying src/cocotb_tools/combine_results.py -> build/lib.linux-x86_64-cpython-312/cocotb_tools copying src/cocotb_tools/__init__.py -> build/lib.linux-x86_64-cpython-312/cocotb_tools creating build/lib.linux-x86_64-cpython-312/cocotb copying src/cocotb/_version.py -> build/lib.linux-x86_64-cpython-312/cocotb copying src/cocotb/utils.py -> build/lib.linux-x86_64-cpython-312/cocotb copying src/cocotb/triggers.py -> build/lib.linux-x86_64-cpython-312/cocotb copying src/cocotb/task.py -> build/lib.linux-x86_64-cpython-312/cocotb copying src/cocotb/runner.py -> build/lib.linux-x86_64-cpython-312/cocotb copying src/cocotb/result.py -> build/lib.linux-x86_64-cpython-312/cocotb copying src/cocotb/regression.py -> build/lib.linux-x86_64-cpython-312/cocotb copying src/cocotb/queue.py -> build/lib.linux-x86_64-cpython-312/cocotb copying src/cocotb/logging.py -> build/lib.linux-x86_64-cpython-312/cocotb copying src/cocotb/ipython_support.py -> build/lib.linux-x86_64-cpython-312/cocotb copying src/cocotb/handle.py -> build/lib.linux-x86_64-cpython-312/cocotb copying src/cocotb/decorators.py -> build/lib.linux-x86_64-cpython-312/cocotb copying src/cocotb/config.py -> build/lib.linux-x86_64-cpython-312/cocotb copying src/cocotb/clock.py -> build/lib.linux-x86_64-cpython-312/cocotb copying src/cocotb/_xunit_reporter.py -> build/lib.linux-x86_64-cpython-312/cocotb copying src/cocotb/_sim_versions.py -> build/lib.linux-x86_64-cpython-312/cocotb copying src/cocotb/_scheduler.py -> build/lib.linux-x86_64-cpython-312/cocotb copying src/cocotb/_py_compat.py -> build/lib.linux-x86_64-cpython-312/cocotb copying src/cocotb/_outcomes.py -> build/lib.linux-x86_64-cpython-312/cocotb copying src/cocotb/_deprecation.py -> build/lib.linux-x86_64-cpython-312/cocotb copying src/cocotb/__init__.py -> build/lib.linux-x86_64-cpython-312/cocotb copying src/cocotb/_ANSI.py -> build/lib.linux-x86_64-cpython-312/cocotb creating build/lib.linux-x86_64-cpython-312/cocotb/types copying src/cocotb/types/range.py -> build/lib.linux-x86_64-cpython-312/cocotb/types copying src/cocotb/types/logic_array.py -> build/lib.linux-x86_64-cpython-312/cocotb/types copying src/cocotb/types/logic.py -> build/lib.linux-x86_64-cpython-312/cocotb/types copying src/cocotb/types/array.py -> build/lib.linux-x86_64-cpython-312/cocotb/types copying src/cocotb/types/__init__.py -> build/lib.linux-x86_64-cpython-312/cocotb/types creating build/lib.linux-x86_64-cpython-312/cocotb/_vendor copying src/cocotb/_vendor/distutils_version.py -> build/lib.linux-x86_64-cpython-312/cocotb/_vendor copying src/cocotb/_vendor/__init__.py -> build/lib.linux-x86_64-cpython-312/cocotb/_vendor copying src/pygpi/py.typed -> build/lib.linux-x86_64-cpython-312/pygpi creating build/lib.linux-x86_64-cpython-312/cocotb_tools/makefiles copying src/cocotb_tools/makefiles/Makefile.sim -> build/lib.linux-x86_64-cpython-312/cocotb_tools/makefiles copying src/cocotb_tools/makefiles/Makefile.inc -> build/lib.linux-x86_64-cpython-312/cocotb_tools/makefiles copying src/cocotb_tools/makefiles/Makefile.deprecations -> build/lib.linux-x86_64-cpython-312/cocotb_tools/makefiles creating build/lib.linux-x86_64-cpython-312/cocotb_tools/makefiles/simulators copying src/cocotb_tools/makefiles/simulators/Makefile.xcelium -> build/lib.linux-x86_64-cpython-312/cocotb_tools/makefiles/simulators copying src/cocotb_tools/makefiles/simulators/Makefile.verilator -> build/lib.linux-x86_64-cpython-312/cocotb_tools/makefiles/simulators copying src/cocotb_tools/makefiles/simulators/Makefile.vcs -> build/lib.linux-x86_64-cpython-312/cocotb_tools/makefiles/simulators copying src/cocotb_tools/makefiles/simulators/Makefile.riviera -> build/lib.linux-x86_64-cpython-312/cocotb_tools/makefiles/simulators copying src/cocotb_tools/makefiles/simulators/Makefile.questa -> build/lib.linux-x86_64-cpython-312/cocotb_tools/makefiles/simulators copying src/cocotb_tools/makefiles/simulators/Makefile.nvc -> build/lib.linux-x86_64-cpython-312/cocotb_tools/makefiles/simulators copying src/cocotb_tools/makefiles/simulators/Makefile.modelsim -> build/lib.linux-x86_64-cpython-312/cocotb_tools/makefiles/simulators copying src/cocotb_tools/makefiles/simulators/Makefile.ius -> build/lib.linux-x86_64-cpython-312/cocotb_tools/makefiles/simulators copying src/cocotb_tools/makefiles/simulators/Makefile.icarus -> build/lib.linux-x86_64-cpython-312/cocotb_tools/makefiles/simulators copying src/cocotb_tools/makefiles/simulators/Makefile.ghdl -> build/lib.linux-x86_64-cpython-312/cocotb_tools/makefiles/simulators copying src/cocotb_tools/makefiles/simulators/Makefile.cvc -> build/lib.linux-x86_64-cpython-312/cocotb_tools/makefiles/simulators copying src/cocotb_tools/makefiles/simulators/Makefile.activehdl -> build/lib.linux-x86_64-cpython-312/cocotb_tools/makefiles/simulators copying src/cocotb/simulator.pyi -> build/lib.linux-x86_64-cpython-312/cocotb copying src/cocotb/py.typed -> build/lib.linux-x86_64-cpython-312/cocotb creating build/lib.linux-x86_64-cpython-312/cocotb/share creating build/lib.linux-x86_64-cpython-312/cocotb/share/include copying src/cocotb/share/include/vpi_user_ext.h -> build/lib.linux-x86_64-cpython-312/cocotb/share/include copying src/cocotb/share/include/vhpi_user_ext.h -> build/lib.linux-x86_64-cpython-312/cocotb/share/include copying src/cocotb/share/include/py_gpi_logging.h -> build/lib.linux-x86_64-cpython-312/cocotb/share/include copying src/cocotb/share/include/gpi_logging.h -> build/lib.linux-x86_64-cpython-312/cocotb/share/include copying src/cocotb/share/include/gpi.h -> build/lib.linux-x86_64-cpython-312/cocotb/share/include copying src/cocotb/share/include/exports.h -> build/lib.linux-x86_64-cpython-312/cocotb/share/include copying src/cocotb/share/include/embed.h -> build/lib.linux-x86_64-cpython-312/cocotb/share/include copying src/cocotb/share/include/cocotb_utils.h -> build/lib.linux-x86_64-cpython-312/cocotb/share/include creating build/lib.linux-x86_64-cpython-312/cocotb/share/def copying src/cocotb/share/def/modelsim.def -> build/lib.linux-x86_64-cpython-312/cocotb/share/def copying src/cocotb/share/def/icarus.def -> build/lib.linux-x86_64-cpython-312/cocotb/share/def copying src/cocotb/share/def/ghdl.def -> build/lib.linux-x86_64-cpython-312/cocotb/share/def copying src/cocotb/share/def/aldec.def -> build/lib.linux-x86_64-cpython-312/cocotb/share/def copying src/cocotb/share/def/README.md -> build/lib.linux-x86_64-cpython-312/cocotb/share/def copying src/cocotb/share/def/.gitignore -> build/lib.linux-x86_64-cpython-312/cocotb/share/def creating build/lib.linux-x86_64-cpython-312/cocotb/share/lib creating build/lib.linux-x86_64-cpython-312/cocotb/share/lib/verilator copying src/cocotb/share/lib/verilator/verilator.cpp -> build/lib.linux-x86_64-cpython-312/cocotb/share/lib/verilator running build_ext building 'cocotb/libs/libgpilog' extension creating build/temp.linux-x86_64-cpython-312 creating build/temp.linux-x86_64-cpython-312/cocotb creating build/temp.linux-x86_64-cpython-312/cocotb/libs creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libgpilog creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libgpilog/src creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libgpilog/src/cocotb creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libgpilog/src/cocotb/share creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libgpilog/src/cocotb/share/lib creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libgpilog/src/cocotb/share/lib/gpi_log gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DGPILOG_EXPORTS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/gpi_log/gpi_logging.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libgpilog/src/cocotb/share/lib/gpi_log/gpi_logging.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto creating build/lib.linux-x86_64-cpython-312/cocotb/libs g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-x86_64-cpython-312/cocotb/libs/libgpilog/src/cocotb/share/lib/gpi_log/gpi_logging.o -Lbuild/lib.linux-x86_64-cpython-312/cocotb/libs -L/usr/lib64 -o build/lib.linux-x86_64-cpython-312/cocotb/libs/libgpilog.so -flto building 'cocotb/libs/libpygpilog' extension creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libpygpilog creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libpygpilog/src creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libpygpilog/src/cocotb creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libpygpilog/src/cocotb/share creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libpygpilog/src/cocotb/share/lib creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libpygpilog/src/cocotb/share/lib/py_gpi_log gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DPYGPILOG_EXPORTS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/py_gpi_log/py_gpi_logging.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libpygpilog/src/cocotb/share/lib/py_gpi_log/py_gpi_logging.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-x86_64-cpython-312/cocotb/libs/libpygpilog/src/cocotb/share/lib/py_gpi_log/py_gpi_logging.o -Lbuild/lib.linux-x86_64-cpython-312/cocotb/libs -L/usr/lib64 -lgpilog -o build/lib.linux-x86_64-cpython-312/cocotb/libs/libpygpilog.so -flto building 'cocotb/libs/libcocotbutils' extension creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbutils creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbutils/src creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbutils/src/cocotb creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbutils/src/cocotb/share creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbutils/src/cocotb/share/lib creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbutils/src/cocotb/share/lib/utils gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBUTILS_EXPORTS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/utils/cocotb_utils.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbutils/src/cocotb/share/lib/utils/cocotb_utils.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbutils/src/cocotb/share/lib/utils/cocotb_utils.o -Lbuild/lib.linux-x86_64-cpython-312/cocotb/libs -L/usr/lib64 -lgpilog -ldl -o build/lib.linux-x86_64-cpython-312/cocotb/libs/libcocotbutils.so -flto building 'cocotb/libs/libembed' extension creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libembed creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libembed/src creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libembed/src/cocotb creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libembed/src/cocotb/share creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libembed/src/cocotb/share/lib creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libembed/src/cocotb/share/lib/embed gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTB_EMBED_EXPORTS= -DPYTHON_LIB=libpython3.12.so -D__STDC_FORMAT_MACROS= -DEMBED_IMPL_LIB=libcocotb.so -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/embed/embed.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libembed/src/cocotb/share/lib/embed/embed.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-x86_64-cpython-312/cocotb/libs/libembed/src/cocotb/share/lib/embed/embed.o -Lbuild/lib.linux-x86_64-cpython-312/cocotb/libs -L/usr/lib64 -lgpilog -lcocotbutils -o build/lib.linux-x86_64-cpython-312/cocotb/libs/libembed.so -flto building 'cocotb/libs/libgpi' extension creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libgpi creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libgpi/src creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libgpi/src/cocotb creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libgpi/src/cocotb/share creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libgpi/src/cocotb/share/lib creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libgpi/src/cocotb/share/lib/gpi gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DGPI_EXPORTS= -DLIB_EXT=so -DSINGLETON_HANDLES= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/gpi/GpiCbHdl.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libgpi/src/cocotb/share/lib/gpi/GpiCbHdl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DGPI_EXPORTS= -DLIB_EXT=so -DSINGLETON_HANDLES= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/gpi/GpiCommon.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libgpi/src/cocotb/share/lib/gpi/GpiCommon.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-x86_64-cpython-312/cocotb/libs/libgpi/src/cocotb/share/lib/gpi/GpiCbHdl.o build/temp.linux-x86_64-cpython-312/cocotb/libs/libgpi/src/cocotb/share/lib/gpi/GpiCommon.o -Lbuild/lib.linux-x86_64-cpython-312/cocotb/libs -L/usr/lib64 -lcocotbutils -lgpilog -lembed -o build/lib.linux-x86_64-cpython-312/cocotb/libs/libgpi.so -flto building 'cocotb/libs/libcocotb' extension creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotb creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotb/src creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotb/src/cocotb creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotb/src/cocotb/share creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotb/src/cocotb/share/lib creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotb/src/cocotb/share/lib/embed gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/embed/gpi_embed.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotb/src/cocotb/share/lib/embed/gpi_embed.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto src/cocotb/share/lib/embed/gpi_embed.cpp: In function ‘void set_program_name_in_venv()’: src/cocotb/share/lib/embed/gpi_embed.cpp:110:22: warning: ‘void Py_SetProgramName(const wchar_t*)’ is deprecated [-Wdeprecated-declarations] 110 | Py_SetProgramName(venv_path_w); | ~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~ In file included from /usr/include/python3.12/Python.h:94, from src/cocotb/share/lib/embed/gpi_embed.cpp:32: /usr/include/python3.12/pylifecycle.h:37:38: note: declared here 37 | Py_DEPRECATED(3.11) PyAPI_FUNC(void) Py_SetProgramName(const wchar_t *); | ^~~~~~~~~~~~~~~~~ src/cocotb/share/lib/embed/gpi_embed.cpp: In function ‘void _embed_init_python()’: src/cocotb/share/lib/embed/gpi_embed.cpp:147:20: warning: ‘void PySys_SetArgvEx(int, wchar_t**, int)’ is deprecated [-Wdeprecated-declarations] 147 | PySys_SetArgvEx(1, argv, 0); | ~~~~~~~~~~~~~~~^~~~~~~~~~~~ In file included from /usr/include/python3.12/Python.h:96: /usr/include/python3.12/sysmodule.h:14:38: note: declared here 14 | Py_DEPRECATED(3.11) PyAPI_FUNC(void) PySys_SetArgvEx(int, wchar_t **, int); | ^~~~~~~~~~~~~~~ g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotb/src/cocotb/share/lib/embed/gpi_embed.o -Lbuild/lib.linux-x86_64-cpython-312/cocotb/libs -L/usr/lib64 -lgpilog -lcocotbutils -lpygpilog -o build/lib.linux-x86_64-cpython-312/cocotb/libs/libcocotb.so -flto building 'cocotb/simulator' extension creating build/temp.linux-x86_64-cpython-312/cocotb/simulator creating build/temp.linux-x86_64-cpython-312/cocotb/simulator/src creating build/temp.linux-x86_64-cpython-312/cocotb/simulator/src/cocotb creating build/temp.linux-x86_64-cpython-312/cocotb/simulator/src/cocotb/share creating build/temp.linux-x86_64-cpython-312/cocotb/simulator/src/cocotb/share/lib creating build/temp.linux-x86_64-cpython-312/cocotb/simulator/src/cocotb/share/lib/simulator gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/simulator/simulatormodule.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/simulator/src/cocotb/share/lib/simulator/simulatormodule.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-x86_64-cpython-312/cocotb/simulator/src/cocotb/share/lib/simulator/simulatormodule.o -Lbuild/lib.linux-x86_64-cpython-312/cocotb/libs -L/usr/lib64 -lcocotbutils -lgpilog -lgpi -lpygpilog -o build/lib.linux-x86_64-cpython-312/cocotb/simulator.cpython-312-x86_64-linux-gnu.so -flto building 'cocotb/libs/libcocotbvpi_icarus' extension creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_icarus creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_icarus/src creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_icarus/src/cocotb creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_icarus/src/cocotb/share creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_icarus/src/cocotb/share/lib creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_icarus/src/cocotb/share/lib/vpi gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DICARUS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiCbHdl.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_icarus/src/cocotb/share/lib/vpi/VpiCbHdl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DICARUS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiImpl.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_icarus/src/cocotb/share/lib/vpi/VpiImpl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DICARUS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiIterator.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_icarus/src/cocotb/share/lib/vpi/VpiIterator.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DICARUS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiObj.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_icarus/src/cocotb/share/lib/vpi/VpiObj.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DICARUS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiSignal.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_icarus/src/cocotb/share/lib/vpi/VpiSignal.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_icarus/src/cocotb/share/lib/vpi/VpiCbHdl.o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_icarus/src/cocotb/share/lib/vpi/VpiImpl.o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_icarus/src/cocotb/share/lib/vpi/VpiIterator.o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_icarus/src/cocotb/share/lib/vpi/VpiObj.o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_icarus/src/cocotb/share/lib/vpi/VpiSignal.o -Lbuild/lib.linux-x86_64-cpython-312/cocotb/libs -L/usr/lib64 -lgpi -lgpilog -o build/lib.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_icarus.vpl -flto building 'cocotb/libs/libcocotbvpi_modelsim' extension creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_modelsim creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_modelsim/src creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_modelsim/src/cocotb creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_modelsim/src/cocotb/share creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_modelsim/src/cocotb/share/lib creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_modelsim/src/cocotb/share/lib/vpi gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DMODELSIM= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiCbHdl.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_modelsim/src/cocotb/share/lib/vpi/VpiCbHdl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DMODELSIM= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiImpl.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_modelsim/src/cocotb/share/lib/vpi/VpiImpl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DMODELSIM= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiIterator.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_modelsim/src/cocotb/share/lib/vpi/VpiIterator.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DMODELSIM= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiObj.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_modelsim/src/cocotb/share/lib/vpi/VpiObj.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DMODELSIM= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiSignal.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_modelsim/src/cocotb/share/lib/vpi/VpiSignal.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_modelsim/src/cocotb/share/lib/vpi/VpiCbHdl.o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_modelsim/src/cocotb/share/lib/vpi/VpiImpl.o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_modelsim/src/cocotb/share/lib/vpi/VpiIterator.o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_modelsim/src/cocotb/share/lib/vpi/VpiObj.o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_modelsim/src/cocotb/share/lib/vpi/VpiSignal.o -Lbuild/lib.linux-x86_64-cpython-312/cocotb/libs -L/usr/lib64 -lgpi -lgpilog -o build/lib.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_modelsim.so -flto building 'cocotb/libs/libcocotbvhpi_modelsim' extension creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_modelsim creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_modelsim/src creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_modelsim/src/cocotb creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_modelsim/src/cocotb/share creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_modelsim/src/cocotb/share/lib creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_modelsim/src/cocotb/share/lib/vhpi gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVHPI_EXPORTS= -DVHPI_CHECKING=1 -DMODELSIM= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vhpi/VhpiCbHdl.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_modelsim/src/cocotb/share/lib/vhpi/VhpiCbHdl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVHPI_EXPORTS= -DVHPI_CHECKING=1 -DMODELSIM= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vhpi/VhpiImpl.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_modelsim/src/cocotb/share/lib/vhpi/VhpiImpl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_modelsim/src/cocotb/share/lib/vhpi/VhpiCbHdl.o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_modelsim/src/cocotb/share/lib/vhpi/VhpiImpl.o -Lbuild/lib.linux-x86_64-cpython-312/cocotb/libs -L/usr/lib64 -lgpi -lgpilog -o build/lib.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_modelsim.so -flto building 'cocotb/libs/libcocotbfli_modelsim' extension creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbfli_modelsim creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbfli_modelsim/src creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbfli_modelsim/src/cocotb creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbfli_modelsim/src/cocotb/share creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbfli_modelsim/src/cocotb/share/lib creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbfli_modelsim/src/cocotb/share/lib/fli gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBFLI_EXPORTS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/fli/FliCbHdl.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbfli_modelsim/src/cocotb/share/lib/fli/FliCbHdl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBFLI_EXPORTS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/fli/FliImpl.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbfli_modelsim/src/cocotb/share/lib/fli/FliImpl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBFLI_EXPORTS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/fli/FliObjHdl.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbfli_modelsim/src/cocotb/share/lib/fli/FliObjHdl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbfli_modelsim/src/cocotb/share/lib/fli/FliCbHdl.o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbfli_modelsim/src/cocotb/share/lib/fli/FliImpl.o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbfli_modelsim/src/cocotb/share/lib/fli/FliObjHdl.o -Lbuild/lib.linux-x86_64-cpython-312/cocotb/libs -L/usr/lib64 -lgpi -lgpilog -o build/lib.linux-x86_64-cpython-312/cocotb/libs/libcocotbfli_modelsim.so -flto building 'cocotb/libs/libcocotbvpi_ghdl' extension creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_ghdl creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_ghdl/src creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_ghdl/src/cocotb creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_ghdl/src/cocotb/share creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_ghdl/src/cocotb/share/lib creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_ghdl/src/cocotb/share/lib/vpi gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DGHDL= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiCbHdl.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_ghdl/src/cocotb/share/lib/vpi/VpiCbHdl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DGHDL= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiImpl.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_ghdl/src/cocotb/share/lib/vpi/VpiImpl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DGHDL= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiIterator.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_ghdl/src/cocotb/share/lib/vpi/VpiIterator.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DGHDL= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiObj.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_ghdl/src/cocotb/share/lib/vpi/VpiObj.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DGHDL= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiSignal.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_ghdl/src/cocotb/share/lib/vpi/VpiSignal.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_ghdl/src/cocotb/share/lib/vpi/VpiCbHdl.o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_ghdl/src/cocotb/share/lib/vpi/VpiImpl.o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_ghdl/src/cocotb/share/lib/vpi/VpiIterator.o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_ghdl/src/cocotb/share/lib/vpi/VpiObj.o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_ghdl/src/cocotb/share/lib/vpi/VpiSignal.o -Lbuild/lib.linux-x86_64-cpython-312/cocotb/libs -L/usr/lib64 -lgpi -lgpilog -o build/lib.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_ghdl.so -flto building 'cocotb/libs/libcocotbvpi_ius' extension creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_ius creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_ius/src creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_ius/src/cocotb creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_ius/src/cocotb/share creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_ius/src/cocotb/share/lib creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_ius/src/cocotb/share/lib/vpi gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DIUS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiCbHdl.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_ius/src/cocotb/share/lib/vpi/VpiCbHdl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DIUS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiImpl.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_ius/src/cocotb/share/lib/vpi/VpiImpl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DIUS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiIterator.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_ius/src/cocotb/share/lib/vpi/VpiIterator.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DIUS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiObj.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_ius/src/cocotb/share/lib/vpi/VpiObj.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DIUS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiSignal.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_ius/src/cocotb/share/lib/vpi/VpiSignal.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_ius/src/cocotb/share/lib/vpi/VpiCbHdl.o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_ius/src/cocotb/share/lib/vpi/VpiImpl.o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_ius/src/cocotb/share/lib/vpi/VpiIterator.o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_ius/src/cocotb/share/lib/vpi/VpiObj.o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_ius/src/cocotb/share/lib/vpi/VpiSignal.o -Lbuild/lib.linux-x86_64-cpython-312/cocotb/libs -L/usr/lib64 -lgpi -lgpilog -o build/lib.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_ius.so -flto building 'cocotb/libs/libcocotbvhpi_ius' extension creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_ius creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_ius/src creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_ius/src/cocotb creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_ius/src/cocotb/share creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_ius/src/cocotb/share/lib creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_ius/src/cocotb/share/lib/vhpi gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVHPI_EXPORTS= -DVHPI_CHECKING=1 -DIUS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vhpi/VhpiCbHdl.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_ius/src/cocotb/share/lib/vhpi/VhpiCbHdl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVHPI_EXPORTS= -DVHPI_CHECKING=1 -DIUS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vhpi/VhpiImpl.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_ius/src/cocotb/share/lib/vhpi/VhpiImpl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_ius/src/cocotb/share/lib/vhpi/VhpiCbHdl.o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_ius/src/cocotb/share/lib/vhpi/VhpiImpl.o -Lbuild/lib.linux-x86_64-cpython-312/cocotb/libs -L/usr/lib64 -lgpi -lgpilog -o build/lib.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_ius.so -flto building 'cocotb/libs/libcocotbvpi_vcs' extension creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_vcs creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_vcs/src creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_vcs/src/cocotb creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_vcs/src/cocotb/share creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_vcs/src/cocotb/share/lib creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_vcs/src/cocotb/share/lib/vpi gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DVCS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiCbHdl.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_vcs/src/cocotb/share/lib/vpi/VpiCbHdl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DVCS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiImpl.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_vcs/src/cocotb/share/lib/vpi/VpiImpl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DVCS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiIterator.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_vcs/src/cocotb/share/lib/vpi/VpiIterator.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DVCS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiObj.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_vcs/src/cocotb/share/lib/vpi/VpiObj.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DVCS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiSignal.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_vcs/src/cocotb/share/lib/vpi/VpiSignal.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_vcs/src/cocotb/share/lib/vpi/VpiCbHdl.o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_vcs/src/cocotb/share/lib/vpi/VpiImpl.o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_vcs/src/cocotb/share/lib/vpi/VpiIterator.o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_vcs/src/cocotb/share/lib/vpi/VpiObj.o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_vcs/src/cocotb/share/lib/vpi/VpiSignal.o -Lbuild/lib.linux-x86_64-cpython-312/cocotb/libs -L/usr/lib64 -lgpi -lgpilog -o build/lib.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_vcs.so -flto building 'cocotb/libs/libcocotbvpi_aldec' extension creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_aldec creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_aldec/src creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_aldec/src/cocotb creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_aldec/src/cocotb/share creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_aldec/src/cocotb/share/lib creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_aldec/src/cocotb/share/lib/vpi gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DALDEC= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiCbHdl.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_aldec/src/cocotb/share/lib/vpi/VpiCbHdl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DALDEC= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiImpl.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_aldec/src/cocotb/share/lib/vpi/VpiImpl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DALDEC= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiIterator.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_aldec/src/cocotb/share/lib/vpi/VpiIterator.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DALDEC= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiObj.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_aldec/src/cocotb/share/lib/vpi/VpiObj.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DALDEC= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiSignal.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_aldec/src/cocotb/share/lib/vpi/VpiSignal.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_aldec/src/cocotb/share/lib/vpi/VpiCbHdl.o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_aldec/src/cocotb/share/lib/vpi/VpiImpl.o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_aldec/src/cocotb/share/lib/vpi/VpiIterator.o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_aldec/src/cocotb/share/lib/vpi/VpiObj.o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_aldec/src/cocotb/share/lib/vpi/VpiSignal.o -Lbuild/lib.linux-x86_64-cpython-312/cocotb/libs -L/usr/lib64 -lgpi -lgpilog -o build/lib.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_aldec.so -flto building 'cocotb/libs/libcocotbvhpi_aldec' extension creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_aldec creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_aldec/src creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_aldec/src/cocotb creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_aldec/src/cocotb/share creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_aldec/src/cocotb/share/lib creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_aldec/src/cocotb/share/lib/vhpi gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVHPI_EXPORTS= -DVHPI_CHECKING=1 -DALDEC= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vhpi/VhpiCbHdl.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_aldec/src/cocotb/share/lib/vhpi/VhpiCbHdl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVHPI_EXPORTS= -DVHPI_CHECKING=1 -DALDEC= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vhpi/VhpiImpl.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_aldec/src/cocotb/share/lib/vhpi/VhpiImpl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_aldec/src/cocotb/share/lib/vhpi/VhpiCbHdl.o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_aldec/src/cocotb/share/lib/vhpi/VhpiImpl.o -Lbuild/lib.linux-x86_64-cpython-312/cocotb/libs -L/usr/lib64 -lgpi -lgpilog -o build/lib.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_aldec.so -flto building 'cocotb/libs/libcocotbvpi_verilator' extension creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_verilator creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_verilator/src creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_verilator/src/cocotb creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_verilator/src/cocotb/share creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_verilator/src/cocotb/share/lib creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_verilator/src/cocotb/share/lib/vpi gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DVERILATOR= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiCbHdl.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_verilator/src/cocotb/share/lib/vpi/VpiCbHdl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DVERILATOR= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiImpl.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_verilator/src/cocotb/share/lib/vpi/VpiImpl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DVERILATOR= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiIterator.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_verilator/src/cocotb/share/lib/vpi/VpiIterator.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DVERILATOR= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiObj.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_verilator/src/cocotb/share/lib/vpi/VpiObj.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DVERILATOR= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiSignal.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_verilator/src/cocotb/share/lib/vpi/VpiSignal.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_verilator/src/cocotb/share/lib/vpi/VpiCbHdl.o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_verilator/src/cocotb/share/lib/vpi/VpiImpl.o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_verilator/src/cocotb/share/lib/vpi/VpiIterator.o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_verilator/src/cocotb/share/lib/vpi/VpiObj.o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_verilator/src/cocotb/share/lib/vpi/VpiSignal.o -Lbuild/lib.linux-x86_64-cpython-312/cocotb/libs -L/usr/lib64 -lgpi -lgpilog -o build/lib.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_verilator.so -flto building 'cocotb/libs/libcocotbvhpi_nvc' extension creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_nvc creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_nvc/src creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_nvc/src/cocotb creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_nvc/src/cocotb/share creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_nvc/src/cocotb/share/lib creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_nvc/src/cocotb/share/lib/vhpi gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVHPI_EXPORTS= -DVHPI_CHECKING=1 -DNVC= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vhpi/VhpiCbHdl.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_nvc/src/cocotb/share/lib/vhpi/VhpiCbHdl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVHPI_EXPORTS= -DVHPI_CHECKING=1 -DNVC= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vhpi/VhpiImpl.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_nvc/src/cocotb/share/lib/vhpi/VhpiImpl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_nvc/src/cocotb/share/lib/vhpi/VhpiCbHdl.o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_nvc/src/cocotb/share/lib/vhpi/VhpiImpl.o -Lbuild/lib.linux-x86_64-cpython-312/cocotb/libs -L/usr/lib64 -lgpi -lgpilog -o build/lib.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_nvc.so -flto + RPM_EC=0 ++ jobs -p + exit 0 Executing(%install): /bin/sh -e /var/tmp/rpm-tmp.T7eTex + umask 022 + cd /builddir/build/BUILD/cocotb-1.8.1-build + '[' /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT '!=' / ']' + rm -rf /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT ++ dirname /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT + mkdir -p /builddir/build/BUILD/cocotb-1.8.1-build + mkdir /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT + CFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer ' + export CFLAGS + CXXFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer ' + export CXXFLAGS + FFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -I/usr/lib64/gfortran/modules ' + export FFLAGS + FCFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -I/usr/lib64/gfortran/modules ' + export FCFLAGS + VALAFLAGS=-g + export VALAFLAGS + RUSTFLAGS='-Copt-level=3 -Cdebuginfo=2 -Ccodegen-units=1 -Cstrip=none -Cforce-frame-pointers=yes -Clink-arg=-specs=/usr/lib/rpm/redhat/redhat-package-notes --cap-lints=warn' + export RUSTFLAGS + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes ' + export LDFLAGS + LT_SYS_LIBRARY_PATH=/usr/lib64: + export LT_SYS_LIBRARY_PATH + CC=gcc + export CC + CXX=g++ + export CXX + cd cocotb + CFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer ' + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes ' + /usr/bin/python3 setup.py install -O1 --skip-build --root /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT --prefix /usr running install /usr/lib/python3.12/site-packages/setuptools/_distutils/cmd.py:66: SetuptoolsDeprecationWarning: setup.py install is deprecated. !! ******************************************************************************** Please avoid running ``setup.py`` directly. Instead, use pypa/build, pypa/installer or other standards-based tools. Follow the current Python packaging guidelines when building Python RPM packages. See https://blog.ganssle.io/articles/2021/10/setup-py-deprecated.html and https://docs.fedoraproject.org/en-US/packaging-guidelines/Python/ for details. ******************************************************************************** !! self.initialize_options() running install_lib creating /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr creating /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64 creating /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12 creating /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages creating /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb copying build/lib.linux-x86_64-cpython-312/cocotb/simulator.cpython-312-x86_64-linux-gnu.so -> /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb creating /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/libs copying build/lib.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_nvc.so -> /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/libs copying build/lib.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_verilator.so -> /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/libs copying build/lib.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_aldec.so -> /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/libs copying build/lib.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_aldec.so -> /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/libs copying build/lib.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_vcs.so -> /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/libs copying build/lib.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_ius.so -> /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/libs copying build/lib.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_ius.so -> /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/libs copying build/lib.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_ghdl.so -> /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/libs copying build/lib.linux-x86_64-cpython-312/cocotb/libs/libcocotbfli_modelsim.so -> /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/libs copying build/lib.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_modelsim.so -> /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/libs copying build/lib.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_modelsim.so -> /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/libs copying build/lib.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_icarus.vpl -> /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/libs copying build/lib.linux-x86_64-cpython-312/cocotb/libs/libcocotb.so -> /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/libs copying build/lib.linux-x86_64-cpython-312/cocotb/libs/libgpi.so -> /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/libs copying build/lib.linux-x86_64-cpython-312/cocotb/libs/libembed.so -> /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/libs copying build/lib.linux-x86_64-cpython-312/cocotb/libs/libcocotbutils.so -> /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/libs copying build/lib.linux-x86_64-cpython-312/cocotb/libs/libpygpilog.so -> /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/libs copying build/lib.linux-x86_64-cpython-312/cocotb/libs/libgpilog.so -> /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/libs creating /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/share creating /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/share/lib creating /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/share/lib/verilator copying build/lib.linux-x86_64-cpython-312/cocotb/share/lib/verilator/verilator.cpp -> /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/share/lib/verilator creating /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/share/def copying build/lib.linux-x86_64-cpython-312/cocotb/share/def/.gitignore -> /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/share/def copying build/lib.linux-x86_64-cpython-312/cocotb/share/def/README.md -> /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/share/def copying build/lib.linux-x86_64-cpython-312/cocotb/share/def/aldec.def -> /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/share/def copying build/lib.linux-x86_64-cpython-312/cocotb/share/def/ghdl.def -> /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/share/def copying build/lib.linux-x86_64-cpython-312/cocotb/share/def/icarus.def -> /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/share/def copying build/lib.linux-x86_64-cpython-312/cocotb/share/def/modelsim.def -> /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/share/def creating /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/share/include copying build/lib.linux-x86_64-cpython-312/cocotb/share/include/cocotb_utils.h -> /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/share/include copying build/lib.linux-x86_64-cpython-312/cocotb/share/include/embed.h -> /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/share/include copying build/lib.linux-x86_64-cpython-312/cocotb/share/include/exports.h -> /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/share/include copying build/lib.linux-x86_64-cpython-312/cocotb/share/include/gpi.h -> /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/share/include copying build/lib.linux-x86_64-cpython-312/cocotb/share/include/gpi_logging.h -> /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/share/include copying build/lib.linux-x86_64-cpython-312/cocotb/share/include/py_gpi_logging.h -> /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/share/include copying build/lib.linux-x86_64-cpython-312/cocotb/share/include/vhpi_user_ext.h -> /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/share/include copying build/lib.linux-x86_64-cpython-312/cocotb/share/include/vpi_user_ext.h -> /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/share/include copying build/lib.linux-x86_64-cpython-312/cocotb/py.typed -> /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb copying build/lib.linux-x86_64-cpython-312/cocotb/simulator.pyi -> /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb creating /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/_vendor copying build/lib.linux-x86_64-cpython-312/cocotb/_vendor/__init__.py -> /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/_vendor copying build/lib.linux-x86_64-cpython-312/cocotb/_vendor/distutils_version.py -> /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/_vendor creating /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/types copying build/lib.linux-x86_64-cpython-312/cocotb/types/__init__.py -> /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/types copying build/lib.linux-x86_64-cpython-312/cocotb/types/array.py -> /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/types copying build/lib.linux-x86_64-cpython-312/cocotb/types/logic.py -> /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/types copying build/lib.linux-x86_64-cpython-312/cocotb/types/logic_array.py -> /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/types copying build/lib.linux-x86_64-cpython-312/cocotb/types/range.py -> /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/types copying build/lib.linux-x86_64-cpython-312/cocotb/_ANSI.py -> /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb copying build/lib.linux-x86_64-cpython-312/cocotb/__init__.py -> /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb copying build/lib.linux-x86_64-cpython-312/cocotb/_deprecation.py -> /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb copying build/lib.linux-x86_64-cpython-312/cocotb/_outcomes.py -> /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb copying build/lib.linux-x86_64-cpython-312/cocotb/_py_compat.py -> /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb copying build/lib.linux-x86_64-cpython-312/cocotb/_scheduler.py -> /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb copying build/lib.linux-x86_64-cpython-312/cocotb/_sim_versions.py -> /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb copying build/lib.linux-x86_64-cpython-312/cocotb/_xunit_reporter.py -> /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb copying build/lib.linux-x86_64-cpython-312/cocotb/clock.py -> /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb copying build/lib.linux-x86_64-cpython-312/cocotb/config.py -> /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb copying build/lib.linux-x86_64-cpython-312/cocotb/decorators.py -> /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb copying build/lib.linux-x86_64-cpython-312/cocotb/handle.py -> /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb copying build/lib.linux-x86_64-cpython-312/cocotb/ipython_support.py -> /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb copying build/lib.linux-x86_64-cpython-312/cocotb/logging.py -> /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb copying build/lib.linux-x86_64-cpython-312/cocotb/queue.py -> /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb copying build/lib.linux-x86_64-cpython-312/cocotb/regression.py -> /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb copying build/lib.linux-x86_64-cpython-312/cocotb/result.py -> /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb copying build/lib.linux-x86_64-cpython-312/cocotb/runner.py -> /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb copying build/lib.linux-x86_64-cpython-312/cocotb/task.py -> /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb copying build/lib.linux-x86_64-cpython-312/cocotb/triggers.py -> /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb copying build/lib.linux-x86_64-cpython-312/cocotb/utils.py -> /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb copying build/lib.linux-x86_64-cpython-312/cocotb/_version.py -> /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb creating /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb_tools creating /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb_tools/makefiles creating /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb_tools/makefiles/simulators copying build/lib.linux-x86_64-cpython-312/cocotb_tools/makefiles/simulators/Makefile.activehdl -> /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb_tools/makefiles/simulators copying build/lib.linux-x86_64-cpython-312/cocotb_tools/makefiles/simulators/Makefile.cvc -> /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb_tools/makefiles/simulators copying build/lib.linux-x86_64-cpython-312/cocotb_tools/makefiles/simulators/Makefile.ghdl -> /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb_tools/makefiles/simulators copying build/lib.linux-x86_64-cpython-312/cocotb_tools/makefiles/simulators/Makefile.icarus -> /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb_tools/makefiles/simulators copying build/lib.linux-x86_64-cpython-312/cocotb_tools/makefiles/simulators/Makefile.ius -> /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb_tools/makefiles/simulators copying build/lib.linux-x86_64-cpython-312/cocotb_tools/makefiles/simulators/Makefile.modelsim -> /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb_tools/makefiles/simulators copying build/lib.linux-x86_64-cpython-312/cocotb_tools/makefiles/simulators/Makefile.nvc -> /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb_tools/makefiles/simulators copying build/lib.linux-x86_64-cpython-312/cocotb_tools/makefiles/simulators/Makefile.questa -> /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb_tools/makefiles/simulators copying build/lib.linux-x86_64-cpython-312/cocotb_tools/makefiles/simulators/Makefile.riviera -> /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb_tools/makefiles/simulators copying build/lib.linux-x86_64-cpython-312/cocotb_tools/makefiles/simulators/Makefile.vcs -> /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb_tools/makefiles/simulators copying build/lib.linux-x86_64-cpython-312/cocotb_tools/makefiles/simulators/Makefile.verilator -> /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb_tools/makefiles/simulators copying build/lib.linux-x86_64-cpython-312/cocotb_tools/makefiles/simulators/Makefile.xcelium -> /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb_tools/makefiles/simulators copying build/lib.linux-x86_64-cpython-312/cocotb_tools/makefiles/Makefile.deprecations -> /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb_tools/makefiles copying build/lib.linux-x86_64-cpython-312/cocotb_tools/makefiles/Makefile.inc -> /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb_tools/makefiles copying build/lib.linux-x86_64-cpython-312/cocotb_tools/makefiles/Makefile.sim -> /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb_tools/makefiles copying build/lib.linux-x86_64-cpython-312/cocotb_tools/__init__.py -> /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb_tools copying build/lib.linux-x86_64-cpython-312/cocotb_tools/combine_results.py -> /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb_tools copying build/lib.linux-x86_64-cpython-312/cocotb_tools/config.py -> /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb_tools copying build/lib.linux-x86_64-cpython-312/cocotb_tools/runner.py -> /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb_tools creating /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/pygpi copying build/lib.linux-x86_64-cpython-312/pygpi/py.typed -> /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/pygpi copying build/lib.linux-x86_64-cpython-312/pygpi/__init__.py -> /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/pygpi copying build/lib.linux-x86_64-cpython-312/pygpi/entry.py -> /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/pygpi byte-compiling /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/_vendor/__init__.py to __init__.cpython-312.pyc byte-compiling /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/_vendor/distutils_version.py to distutils_version.cpython-312.pyc byte-compiling /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/types/__init__.py to __init__.cpython-312.pyc byte-compiling /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/types/array.py to array.cpython-312.pyc byte-compiling /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/types/logic.py to logic.cpython-312.pyc byte-compiling /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/types/logic_array.py to logic_array.cpython-312.pyc byte-compiling /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/types/range.py to range.cpython-312.pyc byte-compiling /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/_ANSI.py to _ANSI.cpython-312.pyc byte-compiling /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/__init__.py to __init__.cpython-312.pyc byte-compiling /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/_deprecation.py to _deprecation.cpython-312.pyc byte-compiling /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/_outcomes.py to _outcomes.cpython-312.pyc byte-compiling /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/_py_compat.py to _py_compat.cpython-312.pyc byte-compiling /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/_scheduler.py to _scheduler.cpython-312.pyc byte-compiling /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/_sim_versions.py to _sim_versions.cpython-312.pyc byte-compiling /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/_xunit_reporter.py to _xunit_reporter.cpython-312.pyc byte-compiling /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/clock.py to clock.cpython-312.pyc byte-compiling /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/config.py to config.cpython-312.pyc byte-compiling /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/decorators.py to decorators.cpython-312.pyc /usr/lib64/python3.12/site-packages/cocotb/decorators.py:89: SyntaxWarning: invalid escape sequence '\ ' byte-compiling /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/handle.py to handle.cpython-312.pyc /usr/lib64/python3.12/site-packages/cocotb/handle.py:569: SyntaxWarning: invalid escape sequence '\ ' /usr/lib64/python3.12/site-packages/cocotb/handle.py:582: SyntaxWarning: invalid escape sequence '\ ' byte-compiling /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/ipython_support.py to ipython_support.cpython-312.pyc byte-compiling /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/logging.py to logging.cpython-312.pyc byte-compiling /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/queue.py to queue.cpython-312.pyc byte-compiling /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/regression.py to regression.cpython-312.pyc byte-compiling /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/result.py to result.cpython-312.pyc byte-compiling /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/runner.py to runner.cpython-312.pyc byte-compiling /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/task.py to task.cpython-312.pyc byte-compiling /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/triggers.py to triggers.cpython-312.pyc byte-compiling /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/utils.py to utils.cpython-312.pyc byte-compiling /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/_version.py to _version.cpython-312.pyc byte-compiling /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb_tools/__init__.py to __init__.cpython-312.pyc byte-compiling /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb_tools/combine_results.py to combine_results.cpython-312.pyc byte-compiling /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb_tools/config.py to config.cpython-312.pyc byte-compiling /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb_tools/runner.py to runner.cpython-312.pyc byte-compiling /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/pygpi/__init__.py to __init__.cpython-312.pyc byte-compiling /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/pygpi/entry.py to entry.cpython-312.pyc writing byte-compilation script '/tmp/tmpsrmhf522.py' /usr/bin/python3 /tmp/tmpsrmhf522.py /usr/lib64/python3.12/site-packages/cocotb/decorators.py:89: SyntaxWarning: invalid escape sequence '\ ' /usr/lib64/python3.12/site-packages/cocotb/handle.py:569: SyntaxWarning: invalid escape sequence '\ ' /usr/lib64/python3.12/site-packages/cocotb/handle.py:582: SyntaxWarning: invalid escape sequence '\ ' removing /tmp/tmpsrmhf522.py running install_egg_info running egg_info creating src/cocotb.egg-info writing src/cocotb.egg-info/PKG-INFO writing dependency_links to src/cocotb.egg-info/dependency_links.txt writing entry points to src/cocotb.egg-info/entry_points.txt writing requirements to src/cocotb.egg-info/requires.txt writing top-level names to src/cocotb.egg-info/top_level.txt writing manifest file 'src/cocotb.egg-info/SOURCES.txt' reading manifest file 'src/cocotb.egg-info/SOURCES.txt' reading manifest template 'MANIFEST.in' adding license file 'LICENSE' writing manifest file 'src/cocotb.egg-info/SOURCES.txt' Copying src/cocotb.egg-info to /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb-2.0.0.dev0+3bcec24-py3.12.egg-info running install_scripts Installing cocotb-config script to /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/bin + rm -rfv /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/bin/__pycache__ + /usr/bin/find-debuginfo -j4 --strict-build-id -m -i --build-id-seed 1.8.1-20240530.0.git3bcec246.fc41 --unique-debug-suffix -1.8.1-20240530.0.git3bcec246.fc41.x86_64 --unique-debug-src-base cocotb-1.8.1-20240530.0.git3bcec246.fc41.x86_64 --run-dwz --dwz-low-mem-die-limit 10000000 --dwz-max-die-limit 110000000 -S debugsourcefiles.list /builddir/build/BUILD/cocotb-1.8.1-build/cocotb find-debuginfo: starting Extracting debug info from 19 files DWARF-compressing 19 files sepdebugcrcfix: Updated 19 CRC32s, 0 CRC32s did match. Creating .debug symlinks for symlinks to ELF files Copying sources found by 'debugedit -l' to /usr/src/debug/cocotb-1.8.1-20240530.0.git3bcec246.fc41.x86_64 1564 blocks find-debuginfo: done + /usr/lib/rpm/check-buildroot + /usr/lib/rpm/redhat/brp-ldconfig + /usr/lib/rpm/brp-compress + /usr/lib/rpm/redhat/brp-strip-lto /usr/bin/strip + /usr/lib/rpm/brp-strip-static-archive /usr/bin/strip + /usr/lib/rpm/check-rpaths + /usr/lib/rpm/redhat/brp-mangle-shebangs + /usr/lib/rpm/brp-remove-la-files + env /usr/lib/rpm/redhat/brp-python-bytecompile '' 1 0 -j4 Bytecompiling .py files below /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib/debug/usr/lib64/python3.12 using python3.12 Bytecompiling .py files below /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12 using python3.12 /usr/lib64/python3.12/site-packages/cocotb/decorators.py:89: SyntaxWarning: invalid escape sequence '\ ' /usr/lib64/python3.12/site-packages/cocotb/handle.py:569: SyntaxWarning: invalid escape sequence '\ ' /usr/lib64/python3.12/site-packages/cocotb/handle.py:582: SyntaxWarning: invalid escape sequence '\ ' /usr/lib64/python3.12/site-packages/cocotb/decorators.py:89: SyntaxWarning: invalid escape sequence '\ ' /usr/lib64/python3.12/site-packages/cocotb/handle.py:569: SyntaxWarning: invalid escape sequence '\ ' /usr/lib64/python3.12/site-packages/cocotb/handle.py:582: SyntaxWarning: invalid escape sequence '\ ' + /usr/lib/rpm/redhat/brp-python-hardlink + /usr/bin/add-determinism --brp -j4 /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT Cannot initialize handler pyc: ModuleNotFoundError: No module named 'marshalparser' [src/multiprocess.rs:66:9] &cmd = Command { program: "/usr/bin/add-determinism", args: [ "/usr/bin/add-determinism", "--socket", "3", "--brp", "--handler", "ar,jar,javadoc", ], env: CommandEnv { clear: false, vars: { "SOURCE_DATE_EPOCH": Some( "1640217600", ), }, }, create_pidfd: false, } Bye! Bye! Bye! Bye! Reading /builddir/build/BUILD/cocotb-1.8.1-build/SPECPARTS/rpm-debuginfo.specpart Processing files: cocotb-python3-1.8.1-20240530.0.git3bcec246.fc41.x86_64 Executing(%doc): /bin/sh -e /var/tmp/rpm-tmp.7dHJSw + umask 022 + cd /builddir/build/BUILD/cocotb-1.8.1-build + cd cocotb + DOCDIR=/builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/share/doc/cocotb-python3 + export LC_ALL=C.UTF-8 + LC_ALL=C.UTF-8 + export DOCDIR + /usr/bin/mkdir -p /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/share/doc/cocotb-python3 + cp -pr /builddir/build/BUILD/cocotb-1.8.1-build/cocotb/README.md /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/share/doc/cocotb-python3 + RPM_EC=0 ++ jobs -p + exit 0 Executing(%license): /bin/sh -e /var/tmp/rpm-tmp.PfdJt9 + umask 022 + cd /builddir/build/BUILD/cocotb-1.8.1-build + cd cocotb + LICENSEDIR=/builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/share/licenses/cocotb-python3 + export LC_ALL=C.UTF-8 + LC_ALL=C.UTF-8 + export LICENSEDIR + /usr/bin/mkdir -p /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/share/licenses/cocotb-python3 + cp -pr /builddir/build/BUILD/cocotb-1.8.1-build/cocotb/LICENSE /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/share/licenses/cocotb-python3 + RPM_EC=0 ++ jobs -p + exit 0 warning: Duplicate build-ids /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_icarus.vpl and /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_ghdl.so warning: Duplicate build-ids /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_icarus.vpl and /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_vcs.so warning: Duplicate build-ids /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_icarus.vpl and /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_aldec.so warning: Duplicate build-ids /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_icarus.vpl and /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_verilator.so Provides: cocotb cocotb-python3 = 1.8.1-20240530.0.git3bcec246.fc41 cocotb-python3(x86-64) = 1.8.1-20240530.0.git3bcec246.fc41 libcocotb.so()(64bit) libcocotbfli_modelsim.so()(64bit) libcocotbutils.so()(64bit) libcocotbvhpi_aldec.so()(64bit) libcocotbvhpi_ius.so()(64bit) libcocotbvhpi_modelsim.so()(64bit) libcocotbvhpi_nvc.so()(64bit) libcocotbvpi_aldec.so()(64bit) libcocotbvpi_ghdl.so()(64bit) libcocotbvpi_ius.so()(64bit) libcocotbvpi_modelsim.so()(64bit) libcocotbvpi_vcs.so()(64bit) libcocotbvpi_verilator.so()(64bit) libembed.so()(64bit) libgpi.so()(64bit) libgpilog.so()(64bit) libpygpilog.so()(64bit) python3.12dist(cocotb) = 2~~dev0 python3dist(cocotb) = 2~~dev0 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PartialHardlinkSets) <= 4.0.4-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Requires: /usr/bin/python3 libc.so.6()(64bit) libc.so.6(GLIBC_2.14)(64bit) libc.so.6(GLIBC_2.2.5)(64bit) libc.so.6(GLIBC_2.3.4)(64bit) libc.so.6(GLIBC_2.34)(64bit) libc.so.6(GLIBC_2.38)(64bit) libc.so.6(GLIBC_2.4)(64bit) libc.so.6(GLIBC_ABI_DT_RELR)(64bit) libcocotbutils.so()(64bit) libembed.so()(64bit) libgcc_s.so.1()(64bit) libgcc_s.so.1(GCC_3.0)(64bit) libgcc_s.so.1(GCC_3.3.1)(64bit) libgpi.so()(64bit) libgpilog.so()(64bit) libpygpilog.so()(64bit) libstdc++.so.6()(64bit) libstdc++.so.6(CXXABI_1.3)(64bit) libstdc++.so.6(CXXABI_1.3.8)(64bit) libstdc++.so.6(GLIBCXX_3.4)(64bit) libstdc++.so.6(GLIBCXX_3.4.20)(64bit) libstdc++.so.6(GLIBCXX_3.4.29)(64bit) libstdc++.so.6(GLIBCXX_3.4.30)(64bit) python(abi) = 3.12 python3.12dist(find-libpython) rtld(GNU_HASH) Processing files: cocotb-debugsource-1.8.1-20240530.0.git3bcec246.fc41.x86_64 Provides: cocotb-debugsource = 1.8.1-20240530.0.git3bcec246.fc41 cocotb-debugsource(x86-64) = 1.8.1-20240530.0.git3bcec246.fc41 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Processing files: cocotb-python3-debuginfo-1.8.1-20240530.0.git3bcec246.fc41.x86_64 warning: Duplicate build-ids /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib/debug/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_aldec.so-1.8.1-20240530.0.git3bcec246.fc41.x86_64.debug and /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib/debug/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_ghdl.so-1.8.1-20240530.0.git3bcec246.fc41.x86_64.debug warning: Duplicate build-ids /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib/debug/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_aldec.so-1.8.1-20240530.0.git3bcec246.fc41.x86_64.debug and /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib/debug/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_icarus.vpl-1.8.1-20240530.0.git3bcec246.fc41.x86_64.debug warning: Duplicate build-ids /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib/debug/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_aldec.so-1.8.1-20240530.0.git3bcec246.fc41.x86_64.debug and /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib/debug/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_vcs.so-1.8.1-20240530.0.git3bcec246.fc41.x86_64.debug warning: Duplicate build-ids /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib/debug/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_aldec.so-1.8.1-20240530.0.git3bcec246.fc41.x86_64.debug and /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib/debug/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_verilator.so-1.8.1-20240530.0.git3bcec246.fc41.x86_64.debug Provides: cocotb-python3-debuginfo = 1.8.1-20240530.0.git3bcec246.fc41 cocotb-python3-debuginfo(x86-64) = 1.8.1-20240530.0.git3bcec246.fc41 debuginfo(build-id) = 0a22e7e3ed958b1f8a3d66595cbc0f09da4f4f36 debuginfo(build-id) = 180204b5c29fba07e33686dc4d9b7712876f4540 debuginfo(build-id) = 1e2c0faaf8acd0b9c18c598ce8c6af16fa98f2b1 debuginfo(build-id) = 22495ee18c225efbc5a30afdc196e9a40ca3bfcb debuginfo(build-id) = 3c54eb71c51465027b8e79b42d125aed72b98401 debuginfo(build-id) = 3cb5580e090ce21f9d9abd17be4a6e9101696939 debuginfo(build-id) = 4691ec158646e1fd0d10604593c1873447a49575 debuginfo(build-id) = 4df3af8b9071e7b8e6bb4c186bf025277006e3c1 debuginfo(build-id) = 9607413d670cdbd4f2109495207d2857dfe6cb04 debuginfo(build-id) = a91f84ae4e4b9a1b6b3395bf48e613c373e7efba debuginfo(build-id) = bb84443447c4a3d16075bf1c611f5519736b5650 debuginfo(build-id) = d592de125cf1e80914c02dea13ceb781244f6994 debuginfo(build-id) = d7fe4bd3f72083f0013b1a91df291928f9c4ad49 debuginfo(build-id) = da5dade13bae045c8a32f8f9b4531fff0fd510a9 debuginfo(build-id) = dc285e7269f7a804a6b865b1f07d5aa576fa6b9a debuginfo(build-id) = nilnil libcocotb.so-1.8.1-20240530.0.git3bcec246.fc41.x86_64.debug()(64bit) libcocotbfli_modelsim.so-1.8.1-20240530.0.git3bcec246.fc41.x86_64.debug()(64bit) libcocotbutils.so-1.8.1-20240530.0.git3bcec246.fc41.x86_64.debug()(64bit) libcocotbvhpi_aldec.so-1.8.1-20240530.0.git3bcec246.fc41.x86_64.debug()(64bit) libcocotbvhpi_ius.so-1.8.1-20240530.0.git3bcec246.fc41.x86_64.debug()(64bit) libcocotbvhpi_modelsim.so-1.8.1-20240530.0.git3bcec246.fc41.x86_64.debug()(64bit) libcocotbvhpi_nvc.so-1.8.1-20240530.0.git3bcec246.fc41.x86_64.debug()(64bit) libcocotbvpi_aldec.so-1.8.1-20240530.0.git3bcec246.fc41.x86_64.debug()(64bit) libcocotbvpi_ghdl.so-1.8.1-20240530.0.git3bcec246.fc41.x86_64.debug()(64bit) libcocotbvpi_ius.so-1.8.1-20240530.0.git3bcec246.fc41.x86_64.debug()(64bit) libcocotbvpi_modelsim.so-1.8.1-20240530.0.git3bcec246.fc41.x86_64.debug()(64bit) libcocotbvpi_vcs.so-1.8.1-20240530.0.git3bcec246.fc41.x86_64.debug()(64bit) libcocotbvpi_verilator.so-1.8.1-20240530.0.git3bcec246.fc41.x86_64.debug()(64bit) libembed.so-1.8.1-20240530.0.git3bcec246.fc41.x86_64.debug()(64bit) libgpi.so-1.8.1-20240530.0.git3bcec246.fc41.x86_64.debug()(64bit) libgpilog.so-1.8.1-20240530.0.git3bcec246.fc41.x86_64.debug()(64bit) libpygpilog.so-1.8.1-20240530.0.git3bcec246.fc41.x86_64.debug()(64bit) Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Recommends: cocotb-debugsource(x86-64) = 1.8.1-20240530.0.git3bcec246.fc41 Checking for unpackaged file(s): /usr/lib/rpm/check-files /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT Wrote: /builddir/build/RPMS/cocotb-debugsource-1.8.1-20240530.0.git3bcec246.fc41.x86_64.rpm Wrote: /builddir/build/RPMS/cocotb-python3-1.8.1-20240530.0.git3bcec246.fc41.x86_64.rpm Wrote: /builddir/build/RPMS/cocotb-python3-debuginfo-1.8.1-20240530.0.git3bcec246.fc41.x86_64.rpm Executing(rmbuild): /bin/sh -e /var/tmp/rpm-tmp.YexsPL + umask 022 + cd /builddir/build/BUILD/cocotb-1.8.1-build + test -d /builddir/build/BUILD/cocotb-1.8.1-build + /usr/bin/chmod -Rf a+rX,u+w,g-w,o-w /builddir/build/BUILD/cocotb-1.8.1-build + rm -rf /builddir/build/BUILD/cocotb-1.8.1-build + RPM_EC=0 ++ jobs -p + exit 0 RPM build warnings: Duplicate build-ids /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_icarus.vpl and /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_ghdl.so Duplicate build-ids /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_icarus.vpl and /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_vcs.so Duplicate build-ids /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_icarus.vpl and /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_aldec.so Duplicate build-ids /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_icarus.vpl and /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_verilator.so Duplicate build-ids /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib/debug/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_aldec.so-1.8.1-20240530.0.git3bcec246.fc41.x86_64.debug and /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib/debug/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_ghdl.so-1.8.1-20240530.0.git3bcec246.fc41.x86_64.debug Duplicate build-ids /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib/debug/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_aldec.so-1.8.1-20240530.0.git3bcec246.fc41.x86_64.debug and /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib/debug/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_icarus.vpl-1.8.1-20240530.0.git3bcec246.fc41.x86_64.debug Duplicate build-ids /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib/debug/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_aldec.so-1.8.1-20240530.0.git3bcec246.fc41.x86_64.debug and /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib/debug/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_vcs.so-1.8.1-20240530.0.git3bcec246.fc41.x86_64.debug Duplicate build-ids /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib/debug/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_aldec.so-1.8.1-20240530.0.git3bcec246.fc41.x86_64.debug and /builddir/build/BUILD/cocotb-1.8.1-build/BUILDROOT/usr/lib/debug/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_verilator.so-1.8.1-20240530.0.git3bcec246.fc41.x86_64.debug Finish: rpmbuild cocotb-1.8.1-20240530.0.git3bcec246.fc41.src.rpm Finish: build phase for cocotb-1.8.1-20240530.0.git3bcec246.fc41.src.rpm INFO: chroot_scan: 1 files copied to /var/lib/copr-rpmbuild/results/chroot_scan INFO: /var/lib/mock/fedora-rawhide-x86_64-1717462987.593545/root/var/log/dnf5.log INFO: Done(/var/lib/copr-rpmbuild/results/cocotb-1.8.1-20240530.0.git3bcec246.fc41.src.rpm) Config(child) 0 minutes 58 seconds INFO: Results and/or logs in: /var/lib/copr-rpmbuild/results INFO: Cleaning up build root ('cleanup_on_success=True') Start: clean chroot INFO: unmounting tmpfs. Finish: clean chroot Finish: run Running RPMResults tool Package info: { "packages": [ { "name": "cocotb-python3-debuginfo", "epoch": null, "version": "1.8.1", "release": "20240530.0.git3bcec246.fc41", "arch": "x86_64" }, { "name": "cocotb-python3", "epoch": null, "version": "1.8.1", "release": "20240530.0.git3bcec246.fc41", "arch": "x86_64" }, { "name": "cocotb-debugsource", "epoch": null, "version": "1.8.1", "release": "20240530.0.git3bcec246.fc41", "arch": "x86_64" }, { "name": "cocotb", "epoch": null, "version": "1.8.1", "release": "20240530.0.git3bcec246.fc41", "arch": "src" } ] } RPMResults finished