Warning: Permanently added '44.211.248.215' (ED25519) to the list of known hosts. You can reproduce this build on your computer by running: sudo dnf install copr-rpmbuild /usr/bin/copr-rpmbuild --verbose --drop-resultdir --task-url https://copr.fedorainfracloud.org/backend/get-build-task/6739896-fedora-37-aarch64 --chroot fedora-37-aarch64 Version: 0.70 PID: 6031 Logging PID: 6032 Task: {'appstream': False, 'background': False, 'build_id': 6739896, 'buildroot_pkgs': [], 'chroot': 'fedora-37-aarch64', 'enable_net': True, 'fedora_review': False, 'git_hash': '365c5161ec77dcc17a7ce23fc2c8f6968fd2e0f4', 'git_repo': 'https://copr-dist-git.fedorainfracloud.org/git/rezso/VLSI/openroad', 'isolation': 'default', 'memory_reqs': 2048, 'package_name': 'openroad', 'package_version': '2.0-20231209.0.git921553ef', 'project_dirname': 'VLSI', 'project_name': 'VLSI', 'project_owner': 'rezso', 'repo_priority': None, 'repos': [{'baseurl': 'https://download.copr.fedorainfracloud.org/results/rezso/VLSI/fedora-37-aarch64/', 'id': 'copr_base', 'name': 'Copr repository', 'priority': None}, {'baseurl': 'https://download.copr.fedorainfracloud.org/results/rezso/HDL/fedora-37-aarch64/', 'id': 'copr_rezso_HDL', 'name': 'Additional repo copr_rezso_HDL'}, {'baseurl': 'https://download.copr.fedorainfracloud.org/results/rezso/ML/fedora-37-aarch64/', 'id': 'copr_rezso_ML', 'name': 'Additional repo copr_rezso_ML'}, {'baseurl': 'https://download.copr.fedorainfracloud.org/results/rezso/CUDA/fedora-37-aarch64/', 'id': 'copr_rezso_CUDA', 'name': 'Additional repo copr_rezso_CUDA'}, {'baseurl': 'http://developer.download.nvidia.com/compute/cuda/repos/rhel8/x86_64', 'id': 'http_developer_download_nvidia_com_compute_cuda_repos_rhel8_x86_64', 'name': 'Additional repo http_developer_download_nvidia_com_compute_cuda_repos_rhel8_x86_64'}, {'baseurl': 'http://developer.download.nvidia.com/compute/cuda/repos/rhel8/sbsa', 'id': 'http_developer_download_nvidia_com_compute_cuda_repos_rhel8_sbsa', 'name': 'Additional repo http_developer_download_nvidia_com_compute_cuda_repos_rhel8_sbsa'}, {'baseurl': 'http://developer.download.nvidia.com/compute/cuda/repos/rhel8/ppc64le', 'id': 'http_developer_download_nvidia_com_compute_cuda_repos_rhel8_ppc64le', 'name': 'Additional repo http_developer_download_nvidia_com_compute_cuda_repos_rhel8_ppc64le'}], 'sandbox': 'rezso/VLSI--rezso', 'source_json': {}, 'source_type': None, 'submitter': 'rezso', 'tags': [], 'task_id': '6739896-fedora-37-aarch64', 'timeout': 172800, 'uses_devel_repo': False, 'with_opts': [], 'without_opts': []} Running: git clone https://copr-dist-git.fedorainfracloud.org/git/rezso/VLSI/openroad /var/lib/copr-rpmbuild/workspace/workdir-e34evyii/openroad --depth 500 --no-single-branch --recursive cmd: ['git', 'clone', 'https://copr-dist-git.fedorainfracloud.org/git/rezso/VLSI/openroad', '/var/lib/copr-rpmbuild/workspace/workdir-e34evyii/openroad', '--depth', '500', '--no-single-branch', '--recursive'] cwd: . rc: 0 stdout: stderr: Cloning into '/var/lib/copr-rpmbuild/workspace/workdir-e34evyii/openroad'... Running: git checkout 365c5161ec77dcc17a7ce23fc2c8f6968fd2e0f4 -- cmd: ['git', 'checkout', '365c5161ec77dcc17a7ce23fc2c8f6968fd2e0f4', '--'] cwd: /var/lib/copr-rpmbuild/workspace/workdir-e34evyii/openroad rc: 0 stdout: stderr: Note: switching to '365c5161ec77dcc17a7ce23fc2c8f6968fd2e0f4'. You are in 'detached HEAD' state. You can look around, make experimental changes and commit them, and you can discard any commits you make in this state without impacting any branches by switching back to a branch. If you want to create a new branch to retain commits you create, you may do so (now or later) by using -c with the switch command. Example: git switch -c Or undo this operation with: git switch - Turn off this advice by setting config variable advice.detachedHead to false HEAD is now at 365c516 automatic import of openroad Running: copr-distgit-client sources cmd: ['copr-distgit-client', 'sources'] cwd: /var/lib/copr-rpmbuild/workspace/workdir-e34evyii/openroad rc: 0 stdout: stderr: INFO: Reading stdout from command: git rev-parse --abbrev-ref HEAD INFO: Reading stdout from command: git rev-parse HEAD INFO: Reading sources specification file: sources /usr/bin/tail: /var/lib/copr-rpmbuild/main.log: file truncated Running (timeout=172800): unbuffer mock --spec /var/lib/copr-rpmbuild/workspace/workdir-e34evyii/openroad/openroad.spec --sources /var/lib/copr-rpmbuild/workspace/workdir-e34evyii/openroad --resultdir /var/lib/copr-rpmbuild/results --uniqueext 1702257738.989723 -r /var/lib/copr-rpmbuild/results/configs/child.cfg INFO: mock.py version 5.2 starting (python version = 3.11.3, NVR = mock-5.2-1.fc38), args: /usr/libexec/mock/mock --spec /var/lib/copr-rpmbuild/workspace/workdir-e34evyii/openroad/openroad.spec --sources /var/lib/copr-rpmbuild/workspace/workdir-e34evyii/openroad --resultdir /var/lib/copr-rpmbuild/results --uniqueext 1702257738.989723 -r /var/lib/copr-rpmbuild/results/configs/child.cfg Start(bootstrap): init plugins INFO: tmpfs initialized INFO: selinux enabled INFO: chroot_scan: initialized INFO: compress_logs: initialized Finish(bootstrap): init plugins Start: init plugins INFO: tmpfs initialized INFO: selinux enabled INFO: chroot_scan: initialized INFO: compress_logs: initialized Finish: init plugins INFO: Signal handler active Start: run INFO: Start(/var/lib/copr-rpmbuild/workspace/workdir-e34evyii/openroad/openroad.spec) Config(fedora-37-aarch64) Start: clean chroot Finish: clean chroot Mock Version: 5.2 INFO: Mock Version: 5.2 Start(bootstrap): chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-37-aarch64-bootstrap-1702257738.989723/root. INFO: calling preinit hooks INFO: enabled root cache INFO: enabled package manager cache Start(bootstrap): cleaning package manager metadata Finish(bootstrap): cleaning package manager metadata INFO: Guessed host environment type: unknown INFO: Using bootstrap image: registry.fedoraproject.org/fedora:37 INFO: Pulling image: registry.fedoraproject.org/fedora:37 INFO: Copy content of container registry.fedoraproject.org/fedora:37 to /var/lib/mock/fedora-37-aarch64-bootstrap-1702257738.989723/root INFO: Checking that registry.fedoraproject.org/fedora:37 image matches host's architecture INFO: mounting registry.fedoraproject.org/fedora:37 with podman image mount INFO: image registry.fedoraproject.org/fedora:37 as /var/lib/containers/storage/overlay/6745ec2ad34894f1304692cd5241484eb7e2eb54d4684507aa18d4543b3bcdc1/merged INFO: umounting image registry.fedoraproject.org/fedora:37 (/var/lib/containers/storage/overlay/6745ec2ad34894f1304692cd5241484eb7e2eb54d4684507aa18d4543b3bcdc1/merged) with podman image umount INFO: Package manager dnf detected and used (fallback) INFO: Bootstrap image not marked ready Start(bootstrap): installing dnf tooling No matches found for the following disable plugin patterns: local, spacewalk, versionlock Copr repository 3.5 MB/s | 867 kB 00:00 Additional repo copr_rezso_HDL 11 MB/s | 1.2 MB 00:00 Additional repo copr_rezso_ML 9.5 MB/s | 926 kB 00:00 Additional repo copr_rezso_CUDA 627 kB/s | 56 kB 00:00 Additional repo http_developer_download_nvidia_ 138 MB/s | 2.9 MB 00:00 Additional repo http_developer_download_nvidia_ 135 MB/s | 1.7 MB 00:00 Additional repo http_developer_download_nvidia_ 130 MB/s | 1.7 MB 00:00 fedora 17 MB/s | 78 MB 00:04 updates 38 MB/s | 39 MB 00:01 Package python3-dnf-4.18.0-2.fc37.noarch is already installed. Dependencies resolved. ================================================================================ Package Arch Version Repository Size ================================================================================ Installing: python3-dnf-plugins-core noarch 4.4.3-1.fc37 updates 322 k Installing dependencies: dbus-libs aarch64 1:1.14.10-1.fc37 updates 154 k python3-dateutil noarch 1:2.8.2-4.fc37 fedora 361 k python3-dbus aarch64 1.3.2-1.fc37 updates 158 k python3-distro noarch 1.7.0-3.fc37 fedora 48 k python3-six noarch 1.16.0-8.fc37 fedora 42 k python3-systemd aarch64 235-1.fc37 fedora 108 k Transaction Summary ================================================================================ Install 7 Packages Total download size: 1.2 M Installed size: 4.0 M Downloading Packages: (1/7): python3-distro-1.7.0-3.fc37.noarch.rpm 317 kB/s | 48 kB 00:00 (2/7): python3-six-1.16.0-8.fc37.noarch.rpm 273 kB/s | 42 kB 00:00 (3/7): dbus-libs-1.14.10-1.fc37.aarch64.rpm 1.7 MB/s | 154 kB 00:00 (4/7): python3-dbus-1.3.2-1.fc37.aarch64.rpm 5.9 MB/s | 158 kB 00:00 (5/7): python3-systemd-235-1.fc37.aarch64.rpm 887 kB/s | 108 kB 00:00 (6/7): python3-dnf-plugins-core-4.4.3-1.fc37.no 21 MB/s | 322 kB 00:00 (7/7): python3-dateutil-2.8.2-4.fc37.noarch.rpm 1.2 MB/s | 361 kB 00:00 -------------------------------------------------------------------------------- Total 2.4 MB/s | 1.2 MB 00:00 Running transaction check Transaction check succeeded. Running transaction test Transaction test succeeded. Running transaction Preparing : 1/1 Installing : dbus-libs-1:1.14.10-1.fc37.aarch64 1/7 Installing : python3-dbus-1.3.2-1.fc37.aarch64 2/7 Installing : python3-systemd-235-1.fc37.aarch64 3/7 Installing : python3-six-1.16.0-8.fc37.noarch 4/7 Installing : python3-dateutil-1:2.8.2-4.fc37.noarch 5/7 Installing : python3-distro-1.7.0-3.fc37.noarch 6/7 Installing : python3-dnf-plugins-core-4.4.3-1.fc37.noarch 7/7 Running scriptlet: python3-dnf-plugins-core-4.4.3-1.fc37.noarch 7/7 Verifying : python3-dateutil-1:2.8.2-4.fc37.noarch 1/7 Verifying : python3-distro-1.7.0-3.fc37.noarch 2/7 Verifying : python3-six-1.16.0-8.fc37.noarch 3/7 Verifying : python3-systemd-235-1.fc37.aarch64 4/7 Verifying : dbus-libs-1:1.14.10-1.fc37.aarch64 5/7 Verifying : python3-dbus-1.3.2-1.fc37.aarch64 6/7 Verifying : python3-dnf-plugins-core-4.4.3-1.fc37.noarch 7/7 Installed: dbus-libs-1:1.14.10-1.fc37.aarch64 python3-dateutil-1:2.8.2-4.fc37.noarch python3-dbus-1.3.2-1.fc37.aarch64 python3-distro-1.7.0-3.fc37.noarch python3-dnf-plugins-core-4.4.3-1.fc37.noarch python3-six-1.16.0-8.fc37.noarch python3-systemd-235-1.fc37.aarch64 Complete! Finish(bootstrap): installing dnf tooling Start(bootstrap): creating root cache Finish(bootstrap): creating root cache Finish(bootstrap): chroot init Start: chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-37-aarch64-1702257738.989723/root. INFO: calling preinit hooks INFO: enabled root cache INFO: enabled package manager cache Start: cleaning package manager metadata Finish: cleaning package manager metadata INFO: enabled HW Info plugin INFO: Package manager dnf detected and used (direct choice) Start: installing minimal buildroot with dnf No matches found for the following disable plugin patterns: local, spacewalk, versionlock Copr repository 7.8 MB/s | 867 kB 00:00 Additional repo copr_rezso_HDL 13 MB/s | 1.2 MB 00:00 Additional repo copr_rezso_ML 9.8 MB/s | 926 kB 00:00 Additional repo copr_rezso_CUDA 624 kB/s | 56 kB 00:00 Additional repo http_developer_download_nvidia_ 155 MB/s | 2.9 MB 00:00 Additional repo http_developer_download_nvidia_ 47 MB/s | 1.7 MB 00:00 Additional repo http_developer_download_nvidia_ 103 MB/s | 1.7 MB 00:00 fedora 15 MB/s | 78 MB 00:05 updates 20 MB/s | 39 MB 00:01 Dependencies resolved. ================================================================================ Package Arch Version Repo Size ================================================================================ Installing group/module packages: bash aarch64 5.2.21-1.fc37 updates 1.8 M bzip2 aarch64 1.0.8-12.fc37 fedora 52 k coreutils aarch64 9.1-8.fc37 updates 1.0 M cpio aarch64 2.13-13.fc37 fedora 274 k diffutils aarch64 3.8-3.fc37 fedora 376 k fedora-release-common noarch 37-18 updates 19 k findutils aarch64 1:4.9.0-2.fc37 fedora 493 k gawk aarch64 5.1.1-4.fc37 fedora 1.0 M glibc-minimal-langpack aarch64 2.36-18.fc37 updates 71 k grep aarch64 3.7-4.fc37 fedora 270 k gzip aarch64 1.12-2.fc37 fedora 164 k info aarch64 6.8-4.fc37 fedora 220 k patch aarch64 2.7.6-17.fc37 fedora 121 k redhat-rpm-config noarch 230-1.fc37 updates 78 k rpm-build aarch64 4.18.2-1.fc37 updates 75 k sed aarch64 4.8-11.fc37 fedora 304 k shadow-utils aarch64 2:4.12.3-6.fc37 updates 1.3 M tar aarch64 2:1.34-6.fc37 updates 875 k unzip aarch64 6.0-58.fc37 fedora 182 k util-linux aarch64 2.38.1-1.fc37 fedora 2.3 M which aarch64 2.21-39.fc37 updates 42 k xz aarch64 5.4.1-1.fc37 updates 420 k Installing dependencies: alternatives aarch64 1.24-1.fc37 updates 38 k ansible-srpm-macros noarch 1-10.fc37 updates 20 k audit-libs aarch64 3.1.2-5.fc37 updates 118 k authselect aarch64 1.4.2-1.fc37 updates 144 k authselect-libs aarch64 1.4.2-1.fc37 updates 248 k basesystem noarch 11-14.fc37 fedora 7.0 k binutils aarch64 2.38-27.fc37 updates 5.8 M binutils-gold aarch64 2.38-27.fc37 updates 919 k bzip2-libs aarch64 1.0.8-12.fc37 fedora 43 k ca-certificates noarch 2023.2.60_v7.0.306-1.0.fc37 updates 837 k coreutils-common aarch64 9.1-8.fc37 updates 2.0 M cracklib aarch64 2.9.7-30.fc37 fedora 93 k crypto-policies noarch 20220815-1.gite4ed860.fc37 fedora 86 k curl aarch64 7.85.0-12.fc37 updates 311 k cyrus-sasl-lib aarch64 2.1.28-8.fc37 fedora 778 k debugedit aarch64 5.0-7.fc37 updates 77 k dwz aarch64 0.14-7.fc37 fedora 126 k ed aarch64 1.18-2.fc37 fedora 78 k efi-srpm-macros noarch 5-6.fc37 fedora 22 k elfutils aarch64 0.190-2.fc37 updates 548 k elfutils-debuginfod-client aarch64 0.190-2.fc37 updates 36 k elfutils-default-yama-scope noarch 0.190-2.fc37 updates 12 k elfutils-libelf aarch64 0.190-2.fc37 updates 193 k elfutils-libs aarch64 0.190-2.fc37 updates 256 k fedora-gpg-keys noarch 37-2 updates 126 k fedora-release noarch 37-18 updates 8.7 k fedora-release-identity-basic noarch 37-18 updates 9.4 k fedora-repos noarch 37-2 updates 9.4 k file aarch64 5.42-4.fc37 fedora 49 k file-libs aarch64 5.42-4.fc37 fedora 674 k filesystem aarch64 3.18-2.fc37 fedora 1.1 M fonts-srpm-macros noarch 1:2.0.5-9.fc37 fedora 26 k fpc-srpm-macros noarch 1.3-6.fc37 fedora 7.7 k gdb-minimal aarch64 13.2-3.fc37 updates 3.7 M gdbm-libs aarch64 1:1.23-2.fc37 fedora 57 k ghc-srpm-macros noarch 1.6.1-1.fc37 updates 8.0 k glibc aarch64 2.36-18.fc37 updates 1.8 M glibc-common aarch64 2.36-18.fc37 updates 348 k glibc-gconv-extra aarch64 2.36-18.fc37 updates 1.7 M gmp aarch64 1:6.2.1-3.fc37 fedora 265 k gnat-srpm-macros noarch 5-1.fc37 updates 8.3 k go-srpm-macros noarch 3.3.1-1.fc37 updates 28 k kernel-srpm-macros noarch 1.0-15.fc37 fedora 9.4 k keyutils-libs aarch64 1.6.1-5.fc37 fedora 31 k krb5-libs aarch64 1.19.2-13.fc37 updates 731 k libacl aarch64 2.3.1-4.fc37 fedora 23 k libarchive aarch64 3.6.1-3.fc37 updates 392 k libattr aarch64 2.5.1-5.fc37 fedora 18 k libblkid aarch64 2.38.1-1.fc37 fedora 106 k libbrotli aarch64 1.0.9-9.fc37 fedora 318 k libcap aarch64 2.48-5.fc37 fedora 67 k libcap-ng aarch64 0.8.3-3.fc37 fedora 33 k libcom_err aarch64 1.46.5-3.fc37 fedora 25 k libcurl aarch64 7.85.0-12.fc37 updates 297 k libdb aarch64 5.3.28-53.fc37 fedora 737 k libeconf aarch64 0.5.2-1.fc37 updates 30 k libevent aarch64 2.1.12-7.fc37 fedora 254 k libfdisk aarch64 2.38.1-1.fc37 fedora 155 k libffi aarch64 3.4.4-1.fc37 updates 35 k libgcc aarch64 12.3.1-1.fc37 updates 99 k libgomp aarch64 12.3.1-1.fc37 updates 298 k libidn2 aarch64 2.3.4-1.fc37 updates 159 k libmount aarch64 2.38.1-1.fc37 fedora 133 k libnghttp2 aarch64 1.51.0-2.fc37 updates 73 k libnsl2 aarch64 2.0.0-4.fc37 fedora 30 k libpkgconf aarch64 1.8.0-3.fc37 fedora 36 k libpsl aarch64 0.21.1-6.fc37 fedora 63 k libpwquality aarch64 1.4.5-3.fc37 updates 119 k libselinux aarch64 3.5-1.fc37 updates 86 k libsemanage aarch64 3.5-2.fc37 updates 116 k libsepol aarch64 3.5-1.fc37 updates 311 k libsigsegv aarch64 2.14-3.fc37 fedora 27 k libsmartcols aarch64 2.38.1-1.fc37 fedora 63 k libssh aarch64 0.10.5-1.fc37 updates 211 k libssh-config noarch 0.10.5-1.fc37 updates 8.6 k libstdc++ aarch64 12.3.1-1.fc37 updates 767 k libtasn1 aarch64 4.19.0-1.fc37 updates 73 k libtirpc aarch64 1.3.3-1.rc1.fc37 updates 93 k libunistring aarch64 1.0-2.fc37 fedora 543 k libutempter aarch64 1.2.1-7.fc37 fedora 26 k libuuid aarch64 2.38.1-1.fc37 fedora 28 k libverto aarch64 0.3.2-4.fc37 fedora 21 k libxcrypt aarch64 4.4.36-1.fc37 updates 123 k libxml2 aarch64 2.10.4-1.fc37 updates 686 k libzstd aarch64 1.5.5-1.fc37 updates 279 k lua-libs aarch64 5.4.4-9.fc37 updates 129 k lua-srpm-macros noarch 1-7.fc37 fedora 8.8 k lz4-libs aarch64 1.9.4-1.fc37 updates 67 k mpfr aarch64 4.1.0-10.fc37 fedora 240 k ncurses-base noarch 6.4-3.20230114.fc37 updates 86 k ncurses-libs aarch64 6.4-3.20230114.fc37 updates 319 k nim-srpm-macros noarch 3-7.fc37 fedora 8.4 k ocaml-srpm-macros noarch 7-2.fc37 fedora 13 k openblas-srpm-macros noarch 2-12.fc37 fedora 7.5 k openldap aarch64 2.6.6-1.fc37 updates 249 k openssl-libs aarch64 1:3.0.9-1.fc37 updates 2.0 M p11-kit aarch64 0.25.3-1.fc37 updates 502 k p11-kit-trust aarch64 0.25.3-1.fc37 updates 140 k package-notes-srpm-macros noarch 0.5-7.fc37 updates 11 k pam aarch64 1.5.2-14.fc37 fedora 567 k pam-libs aarch64 1.5.2-14.fc37 fedora 58 k pcre aarch64 8.45-1.fc37.2 fedora 184 k pcre2 aarch64 10.40-1.fc37.1 fedora 219 k pcre2-syntax noarch 10.40-1.fc37.1 fedora 142 k perl-srpm-macros noarch 1-46.fc37 fedora 8.3 k pkgconf aarch64 1.8.0-3.fc37 fedora 41 k pkgconf-m4 noarch 1.8.0-3.fc37 fedora 14 k pkgconf-pkg-config aarch64 1.8.0-3.fc37 fedora 10 k popt aarch64 1.19-1.fc37 fedora 66 k publicsuffix-list-dafsa noarch 20230812-1.fc37 updates 57 k pyproject-srpm-macros noarch 1.10.0-1.fc37 updates 14 k python-srpm-macros noarch 3.11-6.fc37 updates 24 k qt5-srpm-macros noarch 5.15.10-1.fc37 updates 8.2 k qt6-srpm-macros noarch 6.5.1-2.fc37 updates 8.8 k readline aarch64 8.2-2.fc37 updates 210 k rpm aarch64 4.18.2-1.fc37 updates 563 k rpm-build-libs aarch64 4.18.2-1.fc37 updates 87 k rpm-libs aarch64 4.18.2-1.fc37 updates 309 k rpmautospec-rpm-macros noarch 0.3.8-1.fc37 updates 8.9 k rust-srpm-macros noarch 25.2-2.fc37 updates 12 k setup noarch 2.14.1-2.fc37 fedora 149 k sqlite-libs aarch64 3.40.0-1.fc37 updates 661 k systemd-libs aarch64 251.19-1.fc37 updates 584 k tzdata noarch 2023c-1.fc37 updates 718 k util-linux-core aarch64 2.38.1-1.fc37 fedora 471 k xxhash-libs aarch64 0.8.2-1.fc37 updates 34 k xz-libs aarch64 5.4.1-1.fc37 updates 106 k zip aarch64 3.0-33.fc37 fedora 256 k zlib aarch64 1.2.12-5.fc37 fedora 93 k zstd aarch64 1.5.5-1.fc37 updates 444 k Installing Groups: Buildsystem building group Transaction Summary ================================================================================ Install 152 Packages Total download size: 51 M Installed size: 206 M Downloading Packages: (1/152): basesystem-11-14.fc37.noarch.rpm 46 kB/s | 7.0 kB 00:00 (2/152): bzip2-libs-1.0.8-12.fc37.aarch64.rpm 249 kB/s | 43 kB 00:00 (3/152): bzip2-1.0.8-12.fc37.aarch64.rpm 274 kB/s | 52 kB 00:00 (4/152): crypto-policies-20220815-1.gite4ed860. 2.3 MB/s | 86 kB 00:00 (5/152): cracklib-2.9.7-30.fc37.aarch64.rpm 1.4 MB/s | 93 kB 00:00 (6/152): cpio-2.13-13.fc37.aarch64.rpm 2.5 MB/s | 274 kB 00:00 (7/152): dwz-0.14-7.fc37.aarch64.rpm 3.5 MB/s | 126 kB 00:00 (8/152): cyrus-sasl-lib-2.1.28-8.fc37.aarch64.r 8.1 MB/s | 778 kB 00:00 (9/152): efi-srpm-macros-5-6.fc37.noarch.rpm 1.4 MB/s | 22 kB 00:00 (10/152): ed-1.18-2.fc37.aarch64.rpm 1.3 MB/s | 78 kB 00:00 (11/152): diffutils-3.8-3.fc37.aarch64.rpm 3.0 MB/s | 376 kB 00:00 (12/152): file-5.42-4.fc37.aarch64.rpm 1.7 MB/s | 49 kB 00:00 (13/152): file-libs-5.42-4.fc37.aarch64.rpm 9.6 MB/s | 674 kB 00:00 (14/152): filesystem-3.18-2.fc37.aarch64.rpm 16 MB/s | 1.1 MB 00:00 (15/152): fonts-srpm-macros-2.0.5-9.fc37.noarch 1.6 MB/s | 26 kB 00:00 (16/152): fpc-srpm-macros-1.3-6.fc37.noarch.rpm 502 kB/s | 7.7 kB 00:00 (17/152): gdbm-libs-1.23-2.fc37.aarch64.rpm 1.6 MB/s | 57 kB 00:00 (18/152): gawk-5.1.1-4.fc37.aarch64.rpm 21 MB/s | 1.0 MB 00:00 (19/152): findutils-4.9.0-2.fc37.aarch64.rpm 3.4 MB/s | 493 kB 00:00 (20/152): gmp-6.2.1-3.fc37.aarch64.rpm 5.9 MB/s | 265 kB 00:00 (21/152): grep-3.7-4.fc37.aarch64.rpm 7.4 MB/s | 270 kB 00:00 (22/152): kernel-srpm-macros-1.0-15.fc37.noarch 603 kB/s | 9.4 kB 00:00 (23/152): gzip-1.12-2.fc37.aarch64.rpm 3.5 MB/s | 164 kB 00:00 (24/152): info-6.8-4.fc37.aarch64.rpm 4.1 MB/s | 220 kB 00:00 (25/152): keyutils-libs-1.6.1-5.fc37.aarch64.rp 861 kB/s | 31 kB 00:00 (26/152): libacl-2.3.1-4.fc37.aarch64.rpm 741 kB/s | 23 kB 00:00 (27/152): libblkid-2.38.1-1.fc37.aarch64.rpm 3.5 MB/s | 106 kB 00:00 (28/152): libattr-2.5.1-5.fc37.aarch64.rpm 504 kB/s | 18 kB 00:00 (29/152): libbrotli-1.0.9-9.fc37.aarch64.rpm 7.4 MB/s | 318 kB 00:00 (30/152): libcap-2.48-5.fc37.aarch64.rpm 2.0 MB/s | 67 kB 00:00 (31/152): libcap-ng-0.8.3-3.fc37.aarch64.rpm 901 kB/s | 33 kB 00:00 (32/152): libcom_err-1.46.5-3.fc37.aarch64.rpm 797 kB/s | 25 kB 00:00 (33/152): libdb-5.3.28-53.fc37.aarch64.rpm 20 MB/s | 737 kB 00:00 (34/152): libfdisk-2.38.1-1.fc37.aarch64.rpm 3.9 MB/s | 155 kB 00:00 (35/152): libmount-2.38.1-1.fc37.aarch64.rpm 4.1 MB/s | 133 kB 00:00 (36/152): libpkgconf-1.8.0-3.fc37.aarch64.rpm 1.6 MB/s | 36 kB 00:00 (37/152): libnsl2-2.0.0-4.fc37.aarch64.rpm 853 kB/s | 30 kB 00:00 (38/152): libpsl-0.21.1-6.fc37.aarch64.rpm 2.0 MB/s | 63 kB 00:00 (39/152): libevent-2.1.12-7.fc37.aarch64.rpm 2.2 MB/s | 254 kB 00:00 (40/152): libsigsegv-2.14-3.fc37.aarch64.rpm 1.0 MB/s | 27 kB 00:00 (41/152): libsmartcols-2.38.1-1.fc37.aarch64.rp 2.3 MB/s | 63 kB 00:00 (42/152): libutempter-1.2.1-7.fc37.aarch64.rpm 791 kB/s | 26 kB 00:00 (43/152): libunistring-1.0-2.fc37.aarch64.rpm 14 MB/s | 543 kB 00:00 (44/152): lua-srpm-macros-1-7.fc37.noarch.rpm 564 kB/s | 8.8 kB 00:00 (45/152): libuuid-2.38.1-1.fc37.aarch64.rpm 804 kB/s | 28 kB 00:00 (46/152): libverto-0.3.2-4.fc37.aarch64.rpm 660 kB/s | 21 kB 00:00 (47/152): nim-srpm-macros-3-7.fc37.noarch.rpm 545 kB/s | 8.4 kB 00:00 (48/152): ocaml-srpm-macros-7-2.fc37.noarch.rpm 849 kB/s | 13 kB 00:00 (49/152): mpfr-4.1.0-10.fc37.aarch64.rpm 6.9 MB/s | 240 kB 00:00 (50/152): openblas-srpm-macros-2-12.fc37.noarch 485 kB/s | 7.5 kB 00:00 (51/152): pam-libs-1.5.2-14.fc37.aarch64.rpm 2.0 MB/s | 58 kB 00:00 (52/152): patch-2.7.6-17.fc37.aarch64.rpm 4.3 MB/s | 121 kB 00:00 (53/152): pam-1.5.2-14.fc37.aarch64.rpm 12 MB/s | 567 kB 00:00 (54/152): pcre2-syntax-10.40-1.fc37.1.noarch.rp 8.0 MB/s | 142 kB 00:00 (55/152): pcre-8.45-1.fc37.2.aarch64.rpm 5.2 MB/s | 184 kB 00:00 (56/152): pcre2-10.40-1.fc37.1.aarch64.rpm 6.1 MB/s | 219 kB 00:00 (57/152): perl-srpm-macros-1-46.fc37.noarch.rpm 532 kB/s | 8.3 kB 00:00 (58/152): pkgconf-m4-1.8.0-3.fc37.noarch.rpm 931 kB/s | 14 kB 00:00 (59/152): pkgconf-1.8.0-3.fc37.aarch64.rpm 1.3 MB/s | 41 kB 00:00 (60/152): popt-1.19-1.fc37.aarch64.rpm 2.6 MB/s | 66 kB 00:00 (61/152): pkgconf-pkg-config-1.8.0-3.fc37.aarch 235 kB/s | 10 kB 00:00 (62/152): setup-2.14.1-2.fc37.noarch.rpm 9.0 MB/s | 149 kB 00:00 (63/152): sed-4.8-11.fc37.aarch64.rpm 9.1 MB/s | 304 kB 00:00 (64/152): unzip-6.0-58.fc37.aarch64.rpm 5.6 MB/s | 182 kB 00:00 (65/152): util-linux-core-2.38.1-1.fc37.aarch64 12 MB/s | 471 kB 00:00 (66/152): util-linux-2.38.1-1.fc37.aarch64.rpm 35 MB/s | 2.3 MB 00:00 (67/152): zip-3.0-33.fc37.aarch64.rpm 5.7 MB/s | 256 kB 00:00 (68/152): zlib-1.2.12-5.fc37.aarch64.rpm 3.1 MB/s | 93 kB 00:00 (69/152): ansible-srpm-macros-1-10.fc37.noarch. 98 kB/s | 20 kB 00:00 (70/152): alternatives-1.24-1.fc37.aarch64.rpm 159 kB/s | 38 kB 00:00 (71/152): audit-libs-3.1.2-5.fc37.aarch64.rpm 338 kB/s | 118 kB 00:00 (72/152): authselect-1.4.2-1.fc37.aarch64.rpm 480 kB/s | 144 kB 00:00 (73/152): authselect-libs-1.4.2-1.fc37.aarch64. 861 kB/s | 248 kB 00:00 (74/152): binutils-gold-2.38-27.fc37.aarch64.rp 4.1 MB/s | 919 kB 00:00 (75/152): ca-certificates-2023.2.60_v7.0.306-1. 11 MB/s | 837 kB 00:00 (76/152): bash-5.2.21-1.fc37.aarch64.rpm 3.6 MB/s | 1.8 MB 00:00 (77/152): coreutils-9.1-8.fc37.aarch64.rpm 7.8 MB/s | 1.0 MB 00:00 (78/152): coreutils-common-9.1-8.fc37.aarch64.r 14 MB/s | 2.0 MB 00:00 (79/152): curl-7.85.0-12.fc37.aarch64.rpm 4.2 MB/s | 311 kB 00:00 (80/152): binutils-2.38-27.fc37.aarch64.rpm 11 MB/s | 5.8 MB 00:00 (81/152): debugedit-5.0-7.fc37.aarch64.rpm 1.1 MB/s | 77 kB 00:00 (82/152): elfutils-0.190-2.fc37.aarch64.rpm 7.2 MB/s | 548 kB 00:00 (83/152): elfutils-debuginfod-client-0.190-2.fc 522 kB/s | 36 kB 00:00 (84/152): elfutils-default-yama-scope-0.190-2.f 176 kB/s | 12 kB 00:00 (85/152): elfutils-libelf-0.190-2.fc37.aarch64. 2.6 MB/s | 193 kB 00:00 (86/152): fedora-gpg-keys-37-2.noarch.rpm 1.8 MB/s | 126 kB 00:00 (87/152): elfutils-libs-0.190-2.fc37.aarch64.rp 2.7 MB/s | 256 kB 00:00 (88/152): fedora-release-37-18.noarch.rpm 120 kB/s | 8.7 kB 00:00 (89/152): fedora-release-common-37-18.noarch.rp 274 kB/s | 19 kB 00:00 (90/152): fedora-release-identity-basic-37-18.n 135 kB/s | 9.4 kB 00:00 (91/152): fedora-repos-37-2.noarch.rpm 130 kB/s | 9.4 kB 00:00 (92/152): ghc-srpm-macros-1.6.1-1.fc37.noarch.r 114 kB/s | 8.0 kB 00:00 (93/152): glibc-common-2.36-18.fc37.aarch64.rpm 3.6 MB/s | 348 kB 00:00 (94/152): gdb-minimal-13.2-3.fc37.aarch64.rpm 16 MB/s | 3.7 MB 00:00 (95/152): glibc-2.36-18.fc37.aarch64.rpm 9.9 MB/s | 1.8 MB 00:00 (96/152): gnat-srpm-macros-5-1.fc37.noarch.rpm 119 kB/s | 8.3 kB 00:00 (97/152): glibc-minimal-langpack-2.36-18.fc37.a 860 kB/s | 71 kB 00:00 (98/152): glibc-gconv-extra-2.36-18.fc37.aarch6 11 MB/s | 1.7 MB 00:00 (99/152): go-srpm-macros-3.3.1-1.fc37.noarch.rp 394 kB/s | 28 kB 00:00 (100/152): libarchive-3.6.1-3.fc37.aarch64.rpm 4.0 MB/s | 392 kB 00:00 (101/152): krb5-libs-1.19.2-13.fc37.aarch64.rpm 5.9 MB/s | 731 kB 00:00 (102/152): libcurl-7.85.0-12.fc37.aarch64.rpm 3.3 MB/s | 297 kB 00:00 (103/152): libeconf-0.5.2-1.fc37.aarch64.rpm 364 kB/s | 30 kB 00:00 (104/152): libffi-3.4.4-1.fc37.aarch64.rpm 441 kB/s | 35 kB 00:00 (105/152): libgcc-12.3.1-1.fc37.aarch64.rpm 1.3 MB/s | 99 kB 00:00 (106/152): libgomp-12.3.1-1.fc37.aarch64.rpm 3.6 MB/s | 298 kB 00:00 (107/152): libidn2-2.3.4-1.fc37.aarch64.rpm 1.8 MB/s | 159 kB 00:00 (108/152): libnghttp2-1.51.0-2.fc37.aarch64.rpm 953 kB/s | 73 kB 00:00 (109/152): libpwquality-1.4.5-3.fc37.aarch64.rp 1.5 MB/s | 119 kB 00:00 (110/152): libsemanage-3.5-2.fc37.aarch64.rpm 1.6 MB/s | 116 kB 00:00 (111/152): libselinux-3.5-1.fc37.aarch64.rpm 1.0 MB/s | 86 kB 00:00 (112/152): libsepol-3.5-1.fc37.aarch64.rpm 3.7 MB/s | 311 kB 00:00 (113/152): libssh-0.10.5-1.fc37.aarch64.rpm 2.9 MB/s | 211 kB 00:00 (114/152): libssh-config-0.10.5-1.fc37.noarch.r 119 kB/s | 8.6 kB 00:00 (115/152): libtasn1-4.19.0-1.fc37.aarch64.rpm 930 kB/s | 73 kB 00:00 (116/152): libtirpc-1.3.3-1.rc1.fc37.aarch64.rp 1.1 MB/s | 93 kB 00:00 (117/152): libstdc++-12.3.1-1.fc37.aarch64.rpm 7.4 MB/s | 767 kB 00:00 (118/152): libxcrypt-4.4.36-1.fc37.aarch64.rpm 1.3 MB/s | 123 kB 00:00 (119/152): libzstd-1.5.5-1.fc37.aarch64.rpm 3.8 MB/s | 279 kB 00:00 (120/152): libxml2-2.10.4-1.fc37.aarch64.rpm 6.8 MB/s | 686 kB 00:00 (121/152): lua-libs-5.4.4-9.fc37.aarch64.rpm 1.8 MB/s | 129 kB 00:00 (122/152): lz4-libs-1.9.4-1.fc37.aarch64.rpm 957 kB/s | 67 kB 00:00 (123/152): ncurses-base-6.4-3.20230114.fc37.noa 1.2 MB/s | 86 kB 00:00 (124/152): openldap-2.6.6-1.fc37.aarch64.rpm 2.9 MB/s | 249 kB 00:00 (125/152): ncurses-libs-6.4-3.20230114.fc37.aar 3.0 MB/s | 319 kB 00:00 (126/152): p11-kit-0.25.3-1.fc37.aarch64.rpm 6.8 MB/s | 502 kB 00:00 (127/152): p11-kit-trust-0.25.3-1.fc37.aarch64. 1.6 MB/s | 140 kB 00:00 (128/152): openssl-libs-3.0.9-1.fc37.aarch64.rp 11 MB/s | 2.0 MB 00:00 (129/152): package-notes-srpm-macros-0.5-7.fc37 155 kB/s | 11 kB 00:00 (130/152): publicsuffix-list-dafsa-20230812-1.f 819 kB/s | 57 kB 00:00 (131/152): pyproject-srpm-macros-1.10.0-1.fc37. 196 kB/s | 14 kB 00:00 (132/152): python-srpm-macros-3.11-6.fc37.noarc 349 kB/s | 24 kB 00:00 (133/152): qt5-srpm-macros-5.15.10-1.fc37.noarc 117 kB/s | 8.2 kB 00:00 (134/152): qt6-srpm-macros-6.5.1-2.fc37.noarch. 121 kB/s | 8.8 kB 00:00 (135/152): readline-8.2-2.fc37.aarch64.rpm 2.0 MB/s | 210 kB 00:00 (136/152): redhat-rpm-config-230-1.fc37.noarch. 1.1 MB/s | 78 kB 00:00 (137/152): rpm-4.18.2-1.fc37.aarch64.rpm 7.4 MB/s | 563 kB 00:00 (138/152): rpm-build-libs-4.18.2-1.fc37.aarch64 1.2 MB/s | 87 kB 00:00 (139/152): rpm-build-4.18.2-1.fc37.aarch64.rpm 876 kB/s | 75 kB 00:00 (140/152): rpm-libs-4.18.2-1.fc37.aarch64.rpm 3.2 MB/s | 309 kB 00:00 (141/152): rpmautospec-rpm-macros-0.3.8-1.fc37. 128 kB/s | 8.9 kB 00:00 (142/152): rust-srpm-macros-25.2-2.fc37.noarch. 175 kB/s | 12 kB 00:00 (143/152): shadow-utils-4.12.3-6.fc37.aarch64.r 9.6 MB/s | 1.3 MB 00:00 (144/152): sqlite-libs-3.40.0-1.fc37.aarch64.rp 5.6 MB/s | 661 kB 00:00 (145/152): systemd-libs-251.19-1.fc37.aarch64.r 5.1 MB/s | 584 kB 00:00 (146/152): tar-1.34-6.fc37.aarch64.rpm 11 MB/s | 875 kB 00:00 (147/152): tzdata-2023c-1.fc37.noarch.rpm 9.7 MB/s | 718 kB 00:00 (148/152): which-2.21-39.fc37.aarch64.rpm 595 kB/s | 42 kB 00:00 (149/152): xz-5.4.1-1.fc37.aarch64.rpm 5.1 MB/s | 420 kB 00:00 (150/152): xz-libs-5.4.1-1.fc37.aarch64.rpm 1.2 MB/s | 106 kB 00:00 (151/152): xxhash-libs-0.8.2-1.fc37.aarch64.rpm 352 kB/s | 34 kB 00:00 (152/152): zstd-1.5.5-1.fc37.aarch64.rpm 6.0 MB/s | 444 kB 00:00 -------------------------------------------------------------------------------- Total 11 MB/s | 51 MB 00:04 fedora 1.6 MB/s | 1.6 kB 00:00 Importing GPG key 0x5323552A: Userid : "Fedora (37) " Fingerprint: ACB5 EE4E 831C 74BB 7C16 8D27 F55A D3FB 5323 552A From : /usr/share/distribution-gpg-keys/fedora/RPM-GPG-KEY-fedora-37-primary Key imported successfully Running transaction check Transaction check succeeded. Running transaction test Transaction test succeeded. Running transaction Running scriptlet: filesystem-3.18-2.fc37.aarch64 1/1 Preparing : 1/1 Installing : libgcc-12.3.1-1.fc37.aarch64 1/152 Running scriptlet: libgcc-12.3.1-1.fc37.aarch64 1/152 Installing : crypto-policies-20220815-1.gite4ed860.fc37.noarc 2/152 Running scriptlet: crypto-policies-20220815-1.gite4ed860.fc37.noarc 2/152 Installing : fedora-release-identity-basic-37-18.noarch 3/152 Installing : tzdata-2023c-1.fc37.noarch 4/152 Installing : rust-srpm-macros-25.2-2.fc37.noarch 5/152 Installing : qt6-srpm-macros-6.5.1-2.fc37.noarch 6/152 Installing : qt5-srpm-macros-5.15.10-1.fc37.noarch 7/152 Installing : publicsuffix-list-dafsa-20230812-1.fc37.noarch 8/152 Installing : package-notes-srpm-macros-0.5-7.fc37.noarch 9/152 Installing : ncurses-base-6.4-3.20230114.fc37.noarch 10/152 Installing : libssh-config-0.10.5-1.fc37.noarch 11/152 Installing : gnat-srpm-macros-5-1.fc37.noarch 12/152 Installing : ghc-srpm-macros-1.6.1-1.fc37.noarch 13/152 Installing : fedora-gpg-keys-37-2.noarch 14/152 Installing : fedora-release-37-18.noarch 15/152 Installing : fedora-repos-37-2.noarch 16/152 Installing : fedora-release-common-37-18.noarch 17/152 Installing : setup-2.14.1-2.fc37.noarch 18/152 Running scriptlet: setup-2.14.1-2.fc37.noarch 18/152 Installing : filesystem-3.18-2.fc37.aarch64 19/152 Installing : basesystem-11-14.fc37.noarch 20/152 Installing : glibc-gconv-extra-2.36-18.fc37.aarch64 21/152 Running scriptlet: glibc-gconv-extra-2.36-18.fc37.aarch64 21/152 Installing : glibc-minimal-langpack-2.36-18.fc37.aarch64 22/152 Installing : glibc-common-2.36-18.fc37.aarch64 23/152 Running scriptlet: glibc-2.36-18.fc37.aarch64 24/152 Installing : glibc-2.36-18.fc37.aarch64 24/152 Running scriptlet: glibc-2.36-18.fc37.aarch64 24/152 Installing : ncurses-libs-6.4-3.20230114.fc37.aarch64 25/152 Installing : bash-5.2.21-1.fc37.aarch64 26/152 Running scriptlet: bash-5.2.21-1.fc37.aarch64 26/152 Installing : zlib-1.2.12-5.fc37.aarch64 27/152 Installing : xz-libs-5.4.1-1.fc37.aarch64 28/152 Installing : bzip2-libs-1.0.8-12.fc37.aarch64 29/152 Installing : libzstd-1.5.5-1.fc37.aarch64 30/152 Installing : elfutils-libelf-0.190-2.fc37.aarch64 31/152 Installing : libuuid-2.38.1-1.fc37.aarch64 32/152 Installing : popt-1.19-1.fc37.aarch64 33/152 Installing : libstdc++-12.3.1-1.fc37.aarch64 34/152 Installing : libblkid-2.38.1-1.fc37.aarch64 35/152 Installing : readline-8.2-2.fc37.aarch64 36/152 Installing : gmp-1:6.2.1-3.fc37.aarch64 37/152 Installing : libattr-2.5.1-5.fc37.aarch64 38/152 Installing : libacl-2.3.1-4.fc37.aarch64 39/152 Installing : libcap-2.48-5.fc37.aarch64 40/152 Installing : libxcrypt-4.4.36-1.fc37.aarch64 41/152 Installing : libeconf-0.5.2-1.fc37.aarch64 42/152 Installing : lz4-libs-1.9.4-1.fc37.aarch64 43/152 Installing : systemd-libs-251.19-1.fc37.aarch64 44/152 Installing : mpfr-4.1.0-10.fc37.aarch64 45/152 Installing : dwz-0.14-7.fc37.aarch64 46/152 Installing : unzip-6.0-58.fc37.aarch64 47/152 Installing : file-libs-5.42-4.fc37.aarch64 48/152 Installing : file-5.42-4.fc37.aarch64 49/152 Installing : sqlite-libs-3.40.0-1.fc37.aarch64 50/152 Installing : libcap-ng-0.8.3-3.fc37.aarch64 51/152 Installing : audit-libs-3.1.2-5.fc37.aarch64 52/152 Installing : pam-libs-1.5.2-14.fc37.aarch64 53/152 Installing : libcom_err-1.46.5-3.fc37.aarch64 54/152 Installing : libsmartcols-2.38.1-1.fc37.aarch64 55/152 Installing : libunistring-1.0-2.fc37.aarch64 56/152 Installing : libidn2-2.3.4-1.fc37.aarch64 57/152 Installing : alternatives-1.24-1.fc37.aarch64 58/152 Installing : libsepol-3.5-1.fc37.aarch64 59/152 Installing : libtasn1-4.19.0-1.fc37.aarch64 60/152 Installing : lua-libs-5.4.4-9.fc37.aarch64 61/152 Installing : libpsl-0.21.1-6.fc37.aarch64 62/152 Installing : zip-3.0-33.fc37.aarch64 63/152 Installing : zstd-1.5.5-1.fc37.aarch64 64/152 Installing : libfdisk-2.38.1-1.fc37.aarch64 65/152 Installing : bzip2-1.0.8-12.fc37.aarch64 66/152 Installing : libxml2-2.10.4-1.fc37.aarch64 67/152 Installing : ed-1.18-2.fc37.aarch64 68/152 Installing : elfutils-default-yama-scope-0.190-2.fc37.noarch 69/152 Running scriptlet: elfutils-default-yama-scope-0.190-2.fc37.noarch 69/152 Installing : cpio-2.13-13.fc37.aarch64 70/152 Installing : diffutils-3.8-3.fc37.aarch64 71/152 Installing : gdbm-libs-1:1.23-2.fc37.aarch64 72/152 Installing : cyrus-sasl-lib-2.1.28-8.fc37.aarch64 73/152 Installing : keyutils-libs-1.6.1-5.fc37.aarch64 74/152 Installing : libbrotli-1.0.9-9.fc37.aarch64 75/152 Installing : libdb-5.3.28-53.fc37.aarch64 76/152 Installing : libpkgconf-1.8.0-3.fc37.aarch64 77/152 Installing : pkgconf-1.8.0-3.fc37.aarch64 78/152 Installing : libsigsegv-2.14-3.fc37.aarch64 79/152 Installing : gawk-5.1.1-4.fc37.aarch64 80/152 Installing : libverto-0.3.2-4.fc37.aarch64 81/152 Installing : pcre-8.45-1.fc37.2.aarch64 82/152 Installing : grep-3.7-4.fc37.aarch64 83/152 Installing : xz-5.4.1-1.fc37.aarch64 84/152 Installing : libffi-3.4.4-1.fc37.aarch64 85/152 Installing : p11-kit-0.25.3-1.fc37.aarch64 86/152 Installing : p11-kit-trust-0.25.3-1.fc37.aarch64 87/152 Running scriptlet: p11-kit-trust-0.25.3-1.fc37.aarch64 87/152 Installing : libgomp-12.3.1-1.fc37.aarch64 88/152 Installing : libnghttp2-1.51.0-2.fc37.aarch64 89/152 Installing : xxhash-libs-0.8.2-1.fc37.aarch64 90/152 Installing : coreutils-common-9.1-8.fc37.aarch64 91/152 Installing : ansible-srpm-macros-1-10.fc37.noarch 92/152 Installing : pkgconf-m4-1.8.0-3.fc37.noarch 93/152 Installing : pkgconf-pkg-config-1.8.0-3.fc37.aarch64 94/152 Installing : perl-srpm-macros-1-46.fc37.noarch 95/152 Installing : pcre2-syntax-10.40-1.fc37.1.noarch 96/152 Installing : pcre2-10.40-1.fc37.1.aarch64 97/152 Installing : libselinux-3.5-1.fc37.aarch64 98/152 Installing : sed-4.8-11.fc37.aarch64 99/152 Installing : findutils-1:4.9.0-2.fc37.aarch64 100/152 Installing : libmount-2.38.1-1.fc37.aarch64 101/152 Installing : util-linux-core-2.38.1-1.fc37.aarch64 102/152 Installing : openssl-libs-1:3.0.9-1.fc37.aarch64 103/152 Installing : coreutils-9.1-8.fc37.aarch64 104/152 Running scriptlet: ca-certificates-2023.2.60_v7.0.306-1.0.fc37.noar 105/152 Installing : ca-certificates-2023.2.60_v7.0.306-1.0.fc37.noar 105/152 Running scriptlet: ca-certificates-2023.2.60_v7.0.306-1.0.fc37.noar 105/152 Installing : krb5-libs-1.19.2-13.fc37.aarch64 106/152 Installing : libtirpc-1.3.3-1.rc1.fc37.aarch64 107/152 Installing : gzip-1.12-2.fc37.aarch64 108/152 Running scriptlet: authselect-libs-1.4.2-1.fc37.aarch64 109/152 Installing : authselect-libs-1.4.2-1.fc37.aarch64 109/152 Installing : authselect-1.4.2-1.fc37.aarch64 110/152 Installing : cracklib-2.9.7-30.fc37.aarch64 111/152 Installing : libpwquality-1.4.5-3.fc37.aarch64 112/152 Installing : libnsl2-2.0.0-4.fc37.aarch64 113/152 Installing : pam-1.5.2-14.fc37.aarch64 114/152 Installing : libssh-0.10.5-1.fc37.aarch64 115/152 Installing : libevent-2.1.12-7.fc37.aarch64 116/152 Installing : openldap-2.6.6-1.fc37.aarch64 117/152 Installing : libcurl-7.85.0-12.fc37.aarch64 118/152 Installing : elfutils-debuginfod-client-0.190-2.fc37.aarch64 119/152 Installing : elfutils-libs-0.190-2.fc37.aarch64 120/152 Installing : binutils-gold-2.38-27.fc37.aarch64 121/152 Installing : binutils-2.38-27.fc37.aarch64 122/152 Running scriptlet: binutils-2.38-27.fc37.aarch64 122/152 Installing : elfutils-0.190-2.fc37.aarch64 123/152 Installing : gdb-minimal-13.2-3.fc37.aarch64 124/152 Installing : debugedit-5.0-7.fc37.aarch64 125/152 Installing : curl-7.85.0-12.fc37.aarch64 126/152 Installing : libarchive-3.6.1-3.fc37.aarch64 127/152 Running scriptlet: rpm-4.18.2-1.fc37.aarch64 128/152 Installing : rpm-4.18.2-1.fc37.aarch64 128/152 Installing : rpm-libs-4.18.2-1.fc37.aarch64 129/152 Installing : rpm-build-libs-4.18.2-1.fc37.aarch64 130/152 Installing : efi-srpm-macros-5-6.fc37.noarch 131/152 Installing : lua-srpm-macros-1-7.fc37.noarch 132/152 Installing : rpmautospec-rpm-macros-0.3.8-1.fc37.noarch 133/152 Installing : patch-2.7.6-17.fc37.aarch64 134/152 Installing : libsemanage-3.5-2.fc37.aarch64 135/152 Installing : shadow-utils-2:4.12.3-6.fc37.aarch64 136/152 Running scriptlet: libutempter-1.2.1-7.fc37.aarch64 137/152 Installing : libutempter-1.2.1-7.fc37.aarch64 137/152 Installing : tar-2:1.34-6.fc37.aarch64 138/152 Installing : openblas-srpm-macros-2-12.fc37.noarch 139/152 Installing : ocaml-srpm-macros-7-2.fc37.noarch 140/152 Installing : nim-srpm-macros-3-7.fc37.noarch 141/152 Installing : kernel-srpm-macros-1.0-15.fc37.noarch 142/152 Installing : fpc-srpm-macros-1.3-6.fc37.noarch 143/152 Installing : fonts-srpm-macros-1:2.0.5-9.fc37.noarch 144/152 Installing : go-srpm-macros-3.3.1-1.fc37.noarch 145/152 Installing : python-srpm-macros-3.11-6.fc37.noarch 146/152 Installing : redhat-rpm-config-230-1.fc37.noarch 147/152 Installing : rpm-build-4.18.2-1.fc37.aarch64 148/152 Installing : pyproject-srpm-macros-1.10.0-1.fc37.noarch 149/152 Installing : util-linux-2.38.1-1.fc37.aarch64 150/152 Installing : which-2.21-39.fc37.aarch64 151/152 Installing : info-6.8-4.fc37.aarch64 152/152 Running scriptlet: filesystem-3.18-2.fc37.aarch64 152/152 Running scriptlet: ca-certificates-2023.2.60_v7.0.306-1.0.fc37.noar 152/152 Running scriptlet: authselect-libs-1.4.2-1.fc37.aarch64 152/152 Running scriptlet: rpm-4.18.2-1.fc37.aarch64 152/152 Running scriptlet: info-6.8-4.fc37.aarch64 152/152 Verifying : basesystem-11-14.fc37.noarch 1/152 Verifying : bzip2-1.0.8-12.fc37.aarch64 2/152 Verifying : bzip2-libs-1.0.8-12.fc37.aarch64 3/152 Verifying : cpio-2.13-13.fc37.aarch64 4/152 Verifying : cracklib-2.9.7-30.fc37.aarch64 5/152 Verifying : crypto-policies-20220815-1.gite4ed860.fc37.noarc 6/152 Verifying : cyrus-sasl-lib-2.1.28-8.fc37.aarch64 7/152 Verifying : diffutils-3.8-3.fc37.aarch64 8/152 Verifying : dwz-0.14-7.fc37.aarch64 9/152 Verifying : ed-1.18-2.fc37.aarch64 10/152 Verifying : efi-srpm-macros-5-6.fc37.noarch 11/152 Verifying : file-5.42-4.fc37.aarch64 12/152 Verifying : file-libs-5.42-4.fc37.aarch64 13/152 Verifying : filesystem-3.18-2.fc37.aarch64 14/152 Verifying : findutils-1:4.9.0-2.fc37.aarch64 15/152 Verifying : fonts-srpm-macros-1:2.0.5-9.fc37.noarch 16/152 Verifying : fpc-srpm-macros-1.3-6.fc37.noarch 17/152 Verifying : gawk-5.1.1-4.fc37.aarch64 18/152 Verifying : gdbm-libs-1:1.23-2.fc37.aarch64 19/152 Verifying : gmp-1:6.2.1-3.fc37.aarch64 20/152 Verifying : grep-3.7-4.fc37.aarch64 21/152 Verifying : gzip-1.12-2.fc37.aarch64 22/152 Verifying : info-6.8-4.fc37.aarch64 23/152 Verifying : kernel-srpm-macros-1.0-15.fc37.noarch 24/152 Verifying : keyutils-libs-1.6.1-5.fc37.aarch64 25/152 Verifying : libacl-2.3.1-4.fc37.aarch64 26/152 Verifying : libattr-2.5.1-5.fc37.aarch64 27/152 Verifying : libblkid-2.38.1-1.fc37.aarch64 28/152 Verifying : libbrotli-1.0.9-9.fc37.aarch64 29/152 Verifying : libcap-2.48-5.fc37.aarch64 30/152 Verifying : libcap-ng-0.8.3-3.fc37.aarch64 31/152 Verifying : libcom_err-1.46.5-3.fc37.aarch64 32/152 Verifying : libdb-5.3.28-53.fc37.aarch64 33/152 Verifying : libevent-2.1.12-7.fc37.aarch64 34/152 Verifying : libfdisk-2.38.1-1.fc37.aarch64 35/152 Verifying : libmount-2.38.1-1.fc37.aarch64 36/152 Verifying : libnsl2-2.0.0-4.fc37.aarch64 37/152 Verifying : libpkgconf-1.8.0-3.fc37.aarch64 38/152 Verifying : libpsl-0.21.1-6.fc37.aarch64 39/152 Verifying : libsigsegv-2.14-3.fc37.aarch64 40/152 Verifying : libsmartcols-2.38.1-1.fc37.aarch64 41/152 Verifying : libunistring-1.0-2.fc37.aarch64 42/152 Verifying : libutempter-1.2.1-7.fc37.aarch64 43/152 Verifying : libuuid-2.38.1-1.fc37.aarch64 44/152 Verifying : libverto-0.3.2-4.fc37.aarch64 45/152 Verifying : lua-srpm-macros-1-7.fc37.noarch 46/152 Verifying : mpfr-4.1.0-10.fc37.aarch64 47/152 Verifying : nim-srpm-macros-3-7.fc37.noarch 48/152 Verifying : ocaml-srpm-macros-7-2.fc37.noarch 49/152 Verifying : openblas-srpm-macros-2-12.fc37.noarch 50/152 Verifying : pam-1.5.2-14.fc37.aarch64 51/152 Verifying : pam-libs-1.5.2-14.fc37.aarch64 52/152 Verifying : patch-2.7.6-17.fc37.aarch64 53/152 Verifying : pcre-8.45-1.fc37.2.aarch64 54/152 Verifying : pcre2-10.40-1.fc37.1.aarch64 55/152 Verifying : pcre2-syntax-10.40-1.fc37.1.noarch 56/152 Verifying : perl-srpm-macros-1-46.fc37.noarch 57/152 Verifying : pkgconf-1.8.0-3.fc37.aarch64 58/152 Verifying : pkgconf-m4-1.8.0-3.fc37.noarch 59/152 Verifying : pkgconf-pkg-config-1.8.0-3.fc37.aarch64 60/152 Verifying : popt-1.19-1.fc37.aarch64 61/152 Verifying : sed-4.8-11.fc37.aarch64 62/152 Verifying : setup-2.14.1-2.fc37.noarch 63/152 Verifying : unzip-6.0-58.fc37.aarch64 64/152 Verifying : util-linux-2.38.1-1.fc37.aarch64 65/152 Verifying : util-linux-core-2.38.1-1.fc37.aarch64 66/152 Verifying : zip-3.0-33.fc37.aarch64 67/152 Verifying : zlib-1.2.12-5.fc37.aarch64 68/152 Verifying : alternatives-1.24-1.fc37.aarch64 69/152 Verifying : ansible-srpm-macros-1-10.fc37.noarch 70/152 Verifying : audit-libs-3.1.2-5.fc37.aarch64 71/152 Verifying : authselect-1.4.2-1.fc37.aarch64 72/152 Verifying : authselect-libs-1.4.2-1.fc37.aarch64 73/152 Verifying : bash-5.2.21-1.fc37.aarch64 74/152 Verifying : binutils-2.38-27.fc37.aarch64 75/152 Verifying : binutils-gold-2.38-27.fc37.aarch64 76/152 Verifying : ca-certificates-2023.2.60_v7.0.306-1.0.fc37.noar 77/152 Verifying : coreutils-9.1-8.fc37.aarch64 78/152 Verifying : coreutils-common-9.1-8.fc37.aarch64 79/152 Verifying : curl-7.85.0-12.fc37.aarch64 80/152 Verifying : debugedit-5.0-7.fc37.aarch64 81/152 Verifying : elfutils-0.190-2.fc37.aarch64 82/152 Verifying : elfutils-debuginfod-client-0.190-2.fc37.aarch64 83/152 Verifying : elfutils-default-yama-scope-0.190-2.fc37.noarch 84/152 Verifying : elfutils-libelf-0.190-2.fc37.aarch64 85/152 Verifying : elfutils-libs-0.190-2.fc37.aarch64 86/152 Verifying : fedora-gpg-keys-37-2.noarch 87/152 Verifying : fedora-release-37-18.noarch 88/152 Verifying : fedora-release-common-37-18.noarch 89/152 Verifying : fedora-release-identity-basic-37-18.noarch 90/152 Verifying : fedora-repos-37-2.noarch 91/152 Verifying : gdb-minimal-13.2-3.fc37.aarch64 92/152 Verifying : ghc-srpm-macros-1.6.1-1.fc37.noarch 93/152 Verifying : glibc-2.36-18.fc37.aarch64 94/152 Verifying : glibc-common-2.36-18.fc37.aarch64 95/152 Verifying : glibc-gconv-extra-2.36-18.fc37.aarch64 96/152 Verifying : glibc-minimal-langpack-2.36-18.fc37.aarch64 97/152 Verifying : gnat-srpm-macros-5-1.fc37.noarch 98/152 Verifying : go-srpm-macros-3.3.1-1.fc37.noarch 99/152 Verifying : krb5-libs-1.19.2-13.fc37.aarch64 100/152 Verifying : libarchive-3.6.1-3.fc37.aarch64 101/152 Verifying : libcurl-7.85.0-12.fc37.aarch64 102/152 Verifying : libeconf-0.5.2-1.fc37.aarch64 103/152 Verifying : libffi-3.4.4-1.fc37.aarch64 104/152 Verifying : libgcc-12.3.1-1.fc37.aarch64 105/152 Verifying : libgomp-12.3.1-1.fc37.aarch64 106/152 Verifying : libidn2-2.3.4-1.fc37.aarch64 107/152 Verifying : libnghttp2-1.51.0-2.fc37.aarch64 108/152 Verifying : libpwquality-1.4.5-3.fc37.aarch64 109/152 Verifying : libselinux-3.5-1.fc37.aarch64 110/152 Verifying : libsemanage-3.5-2.fc37.aarch64 111/152 Verifying : libsepol-3.5-1.fc37.aarch64 112/152 Verifying : libssh-0.10.5-1.fc37.aarch64 113/152 Verifying : libssh-config-0.10.5-1.fc37.noarch 114/152 Verifying : libstdc++-12.3.1-1.fc37.aarch64 115/152 Verifying : libtasn1-4.19.0-1.fc37.aarch64 116/152 Verifying : libtirpc-1.3.3-1.rc1.fc37.aarch64 117/152 Verifying : libxcrypt-4.4.36-1.fc37.aarch64 118/152 Verifying : libxml2-2.10.4-1.fc37.aarch64 119/152 Verifying : libzstd-1.5.5-1.fc37.aarch64 120/152 Verifying : lua-libs-5.4.4-9.fc37.aarch64 121/152 Verifying : lz4-libs-1.9.4-1.fc37.aarch64 122/152 Verifying : ncurses-base-6.4-3.20230114.fc37.noarch 123/152 Verifying : ncurses-libs-6.4-3.20230114.fc37.aarch64 124/152 Verifying : openldap-2.6.6-1.fc37.aarch64 125/152 Verifying : openssl-libs-1:3.0.9-1.fc37.aarch64 126/152 Verifying : p11-kit-0.25.3-1.fc37.aarch64 127/152 Verifying : p11-kit-trust-0.25.3-1.fc37.aarch64 128/152 Verifying : package-notes-srpm-macros-0.5-7.fc37.noarch 129/152 Verifying : publicsuffix-list-dafsa-20230812-1.fc37.noarch 130/152 Verifying : pyproject-srpm-macros-1.10.0-1.fc37.noarch 131/152 Verifying : python-srpm-macros-3.11-6.fc37.noarch 132/152 Verifying : qt5-srpm-macros-5.15.10-1.fc37.noarch 133/152 Verifying : qt6-srpm-macros-6.5.1-2.fc37.noarch 134/152 Verifying : readline-8.2-2.fc37.aarch64 135/152 Verifying : redhat-rpm-config-230-1.fc37.noarch 136/152 Verifying : rpm-4.18.2-1.fc37.aarch64 137/152 Verifying : rpm-build-4.18.2-1.fc37.aarch64 138/152 Verifying : rpm-build-libs-4.18.2-1.fc37.aarch64 139/152 Verifying : rpm-libs-4.18.2-1.fc37.aarch64 140/152 Verifying : rpmautospec-rpm-macros-0.3.8-1.fc37.noarch 141/152 Verifying : rust-srpm-macros-25.2-2.fc37.noarch 142/152 Verifying : shadow-utils-2:4.12.3-6.fc37.aarch64 143/152 Verifying : sqlite-libs-3.40.0-1.fc37.aarch64 144/152 Verifying : systemd-libs-251.19-1.fc37.aarch64 145/152 Verifying : tar-2:1.34-6.fc37.aarch64 146/152 Verifying : tzdata-2023c-1.fc37.noarch 147/152 Verifying : which-2.21-39.fc37.aarch64 148/152 Verifying : xxhash-libs-0.8.2-1.fc37.aarch64 149/152 Verifying : xz-5.4.1-1.fc37.aarch64 150/152 Verifying : xz-libs-5.4.1-1.fc37.aarch64 151/152 Verifying : zstd-1.5.5-1.fc37.aarch64 152/152 Installed: alternatives-1.24-1.fc37.aarch64 ansible-srpm-macros-1-10.fc37.noarch audit-libs-3.1.2-5.fc37.aarch64 authselect-1.4.2-1.fc37.aarch64 authselect-libs-1.4.2-1.fc37.aarch64 basesystem-11-14.fc37.noarch bash-5.2.21-1.fc37.aarch64 binutils-2.38-27.fc37.aarch64 binutils-gold-2.38-27.fc37.aarch64 bzip2-1.0.8-12.fc37.aarch64 bzip2-libs-1.0.8-12.fc37.aarch64 ca-certificates-2023.2.60_v7.0.306-1.0.fc37.noarch coreutils-9.1-8.fc37.aarch64 coreutils-common-9.1-8.fc37.aarch64 cpio-2.13-13.fc37.aarch64 cracklib-2.9.7-30.fc37.aarch64 crypto-policies-20220815-1.gite4ed860.fc37.noarch curl-7.85.0-12.fc37.aarch64 cyrus-sasl-lib-2.1.28-8.fc37.aarch64 debugedit-5.0-7.fc37.aarch64 diffutils-3.8-3.fc37.aarch64 dwz-0.14-7.fc37.aarch64 ed-1.18-2.fc37.aarch64 efi-srpm-macros-5-6.fc37.noarch elfutils-0.190-2.fc37.aarch64 elfutils-debuginfod-client-0.190-2.fc37.aarch64 elfutils-default-yama-scope-0.190-2.fc37.noarch elfutils-libelf-0.190-2.fc37.aarch64 elfutils-libs-0.190-2.fc37.aarch64 fedora-gpg-keys-37-2.noarch fedora-release-37-18.noarch fedora-release-common-37-18.noarch fedora-release-identity-basic-37-18.noarch fedora-repos-37-2.noarch file-5.42-4.fc37.aarch64 file-libs-5.42-4.fc37.aarch64 filesystem-3.18-2.fc37.aarch64 findutils-1:4.9.0-2.fc37.aarch64 fonts-srpm-macros-1:2.0.5-9.fc37.noarch fpc-srpm-macros-1.3-6.fc37.noarch gawk-5.1.1-4.fc37.aarch64 gdb-minimal-13.2-3.fc37.aarch64 gdbm-libs-1:1.23-2.fc37.aarch64 ghc-srpm-macros-1.6.1-1.fc37.noarch glibc-2.36-18.fc37.aarch64 glibc-common-2.36-18.fc37.aarch64 glibc-gconv-extra-2.36-18.fc37.aarch64 glibc-minimal-langpack-2.36-18.fc37.aarch64 gmp-1:6.2.1-3.fc37.aarch64 gnat-srpm-macros-5-1.fc37.noarch go-srpm-macros-3.3.1-1.fc37.noarch grep-3.7-4.fc37.aarch64 gzip-1.12-2.fc37.aarch64 info-6.8-4.fc37.aarch64 kernel-srpm-macros-1.0-15.fc37.noarch keyutils-libs-1.6.1-5.fc37.aarch64 krb5-libs-1.19.2-13.fc37.aarch64 libacl-2.3.1-4.fc37.aarch64 libarchive-3.6.1-3.fc37.aarch64 libattr-2.5.1-5.fc37.aarch64 libblkid-2.38.1-1.fc37.aarch64 libbrotli-1.0.9-9.fc37.aarch64 libcap-2.48-5.fc37.aarch64 libcap-ng-0.8.3-3.fc37.aarch64 libcom_err-1.46.5-3.fc37.aarch64 libcurl-7.85.0-12.fc37.aarch64 libdb-5.3.28-53.fc37.aarch64 libeconf-0.5.2-1.fc37.aarch64 libevent-2.1.12-7.fc37.aarch64 libfdisk-2.38.1-1.fc37.aarch64 libffi-3.4.4-1.fc37.aarch64 libgcc-12.3.1-1.fc37.aarch64 libgomp-12.3.1-1.fc37.aarch64 libidn2-2.3.4-1.fc37.aarch64 libmount-2.38.1-1.fc37.aarch64 libnghttp2-1.51.0-2.fc37.aarch64 libnsl2-2.0.0-4.fc37.aarch64 libpkgconf-1.8.0-3.fc37.aarch64 libpsl-0.21.1-6.fc37.aarch64 libpwquality-1.4.5-3.fc37.aarch64 libselinux-3.5-1.fc37.aarch64 libsemanage-3.5-2.fc37.aarch64 libsepol-3.5-1.fc37.aarch64 libsigsegv-2.14-3.fc37.aarch64 libsmartcols-2.38.1-1.fc37.aarch64 libssh-0.10.5-1.fc37.aarch64 libssh-config-0.10.5-1.fc37.noarch libstdc++-12.3.1-1.fc37.aarch64 libtasn1-4.19.0-1.fc37.aarch64 libtirpc-1.3.3-1.rc1.fc37.aarch64 libunistring-1.0-2.fc37.aarch64 libutempter-1.2.1-7.fc37.aarch64 libuuid-2.38.1-1.fc37.aarch64 libverto-0.3.2-4.fc37.aarch64 libxcrypt-4.4.36-1.fc37.aarch64 libxml2-2.10.4-1.fc37.aarch64 libzstd-1.5.5-1.fc37.aarch64 lua-libs-5.4.4-9.fc37.aarch64 lua-srpm-macros-1-7.fc37.noarch lz4-libs-1.9.4-1.fc37.aarch64 mpfr-4.1.0-10.fc37.aarch64 ncurses-base-6.4-3.20230114.fc37.noarch ncurses-libs-6.4-3.20230114.fc37.aarch64 nim-srpm-macros-3-7.fc37.noarch ocaml-srpm-macros-7-2.fc37.noarch openblas-srpm-macros-2-12.fc37.noarch openldap-2.6.6-1.fc37.aarch64 openssl-libs-1:3.0.9-1.fc37.aarch64 p11-kit-0.25.3-1.fc37.aarch64 p11-kit-trust-0.25.3-1.fc37.aarch64 package-notes-srpm-macros-0.5-7.fc37.noarch pam-1.5.2-14.fc37.aarch64 pam-libs-1.5.2-14.fc37.aarch64 patch-2.7.6-17.fc37.aarch64 pcre-8.45-1.fc37.2.aarch64 pcre2-10.40-1.fc37.1.aarch64 pcre2-syntax-10.40-1.fc37.1.noarch perl-srpm-macros-1-46.fc37.noarch pkgconf-1.8.0-3.fc37.aarch64 pkgconf-m4-1.8.0-3.fc37.noarch pkgconf-pkg-config-1.8.0-3.fc37.aarch64 popt-1.19-1.fc37.aarch64 publicsuffix-list-dafsa-20230812-1.fc37.noarch pyproject-srpm-macros-1.10.0-1.fc37.noarch python-srpm-macros-3.11-6.fc37.noarch qt5-srpm-macros-5.15.10-1.fc37.noarch qt6-srpm-macros-6.5.1-2.fc37.noarch readline-8.2-2.fc37.aarch64 redhat-rpm-config-230-1.fc37.noarch rpm-4.18.2-1.fc37.aarch64 rpm-build-4.18.2-1.fc37.aarch64 rpm-build-libs-4.18.2-1.fc37.aarch64 rpm-libs-4.18.2-1.fc37.aarch64 rpmautospec-rpm-macros-0.3.8-1.fc37.noarch rust-srpm-macros-25.2-2.fc37.noarch sed-4.8-11.fc37.aarch64 setup-2.14.1-2.fc37.noarch shadow-utils-2:4.12.3-6.fc37.aarch64 sqlite-libs-3.40.0-1.fc37.aarch64 systemd-libs-251.19-1.fc37.aarch64 tar-2:1.34-6.fc37.aarch64 tzdata-2023c-1.fc37.noarch unzip-6.0-58.fc37.aarch64 util-linux-2.38.1-1.fc37.aarch64 util-linux-core-2.38.1-1.fc37.aarch64 which-2.21-39.fc37.aarch64 xxhash-libs-0.8.2-1.fc37.aarch64 xz-5.4.1-1.fc37.aarch64 xz-libs-5.4.1-1.fc37.aarch64 zip-3.0-33.fc37.aarch64 zlib-1.2.12-5.fc37.aarch64 zstd-1.5.5-1.fc37.aarch64 Complete! Finish: installing minimal buildroot with dnf Start: creating root cache Finish: creating root cache Finish: chroot init INFO: Installed packages: INFO: alternatives-1.24-1.fc37.aarch64 ansible-srpm-macros-1-10.fc37.noarch audit-libs-3.1.2-5.fc37.aarch64 authselect-1.4.2-1.fc37.aarch64 authselect-libs-1.4.2-1.fc37.aarch64 basesystem-11-14.fc37.noarch bash-5.2.21-1.fc37.aarch64 binutils-2.38-27.fc37.aarch64 binutils-gold-2.38-27.fc37.aarch64 bzip2-1.0.8-12.fc37.aarch64 bzip2-libs-1.0.8-12.fc37.aarch64 ca-certificates-2023.2.60_v7.0.306-1.0.fc37.noarch coreutils-9.1-8.fc37.aarch64 coreutils-common-9.1-8.fc37.aarch64 cpio-2.13-13.fc37.aarch64 cracklib-2.9.7-30.fc37.aarch64 crypto-policies-20220815-1.gite4ed860.fc37.noarch curl-7.85.0-12.fc37.aarch64 cyrus-sasl-lib-2.1.28-8.fc37.aarch64 debugedit-5.0-7.fc37.aarch64 diffutils-3.8-3.fc37.aarch64 dwz-0.14-7.fc37.aarch64 ed-1.18-2.fc37.aarch64 efi-srpm-macros-5-6.fc37.noarch elfutils-0.190-2.fc37.aarch64 elfutils-debuginfod-client-0.190-2.fc37.aarch64 elfutils-default-yama-scope-0.190-2.fc37.noarch elfutils-libelf-0.190-2.fc37.aarch64 elfutils-libs-0.190-2.fc37.aarch64 fedora-gpg-keys-37-2.noarch fedora-release-37-18.noarch fedora-release-common-37-18.noarch fedora-release-identity-basic-37-18.noarch fedora-repos-37-2.noarch file-5.42-4.fc37.aarch64 file-libs-5.42-4.fc37.aarch64 filesystem-3.18-2.fc37.aarch64 findutils-4.9.0-2.fc37.aarch64 fonts-srpm-macros-2.0.5-9.fc37.noarch fpc-srpm-macros-1.3-6.fc37.noarch gawk-5.1.1-4.fc37.aarch64 gdb-minimal-13.2-3.fc37.aarch64 gdbm-libs-1.23-2.fc37.aarch64 ghc-srpm-macros-1.6.1-1.fc37.noarch glibc-2.36-18.fc37.aarch64 glibc-common-2.36-18.fc37.aarch64 glibc-gconv-extra-2.36-18.fc37.aarch64 glibc-minimal-langpack-2.36-18.fc37.aarch64 gmp-6.2.1-3.fc37.aarch64 gnat-srpm-macros-5-1.fc37.noarch go-srpm-macros-3.3.1-1.fc37.noarch gpg-pubkey-5323552a-6112bcdc grep-3.7-4.fc37.aarch64 gzip-1.12-2.fc37.aarch64 info-6.8-4.fc37.aarch64 kernel-srpm-macros-1.0-15.fc37.noarch keyutils-libs-1.6.1-5.fc37.aarch64 krb5-libs-1.19.2-13.fc37.aarch64 libacl-2.3.1-4.fc37.aarch64 libarchive-3.6.1-3.fc37.aarch64 libattr-2.5.1-5.fc37.aarch64 libblkid-2.38.1-1.fc37.aarch64 libbrotli-1.0.9-9.fc37.aarch64 libcap-2.48-5.fc37.aarch64 libcap-ng-0.8.3-3.fc37.aarch64 libcom_err-1.46.5-3.fc37.aarch64 libcurl-7.85.0-12.fc37.aarch64 libdb-5.3.28-53.fc37.aarch64 libeconf-0.5.2-1.fc37.aarch64 libevent-2.1.12-7.fc37.aarch64 libfdisk-2.38.1-1.fc37.aarch64 libffi-3.4.4-1.fc37.aarch64 libgcc-12.3.1-1.fc37.aarch64 libgomp-12.3.1-1.fc37.aarch64 libidn2-2.3.4-1.fc37.aarch64 libmount-2.38.1-1.fc37.aarch64 libnghttp2-1.51.0-2.fc37.aarch64 libnsl2-2.0.0-4.fc37.aarch64 libpkgconf-1.8.0-3.fc37.aarch64 libpsl-0.21.1-6.fc37.aarch64 libpwquality-1.4.5-3.fc37.aarch64 libselinux-3.5-1.fc37.aarch64 libsemanage-3.5-2.fc37.aarch64 libsepol-3.5-1.fc37.aarch64 libsigsegv-2.14-3.fc37.aarch64 libsmartcols-2.38.1-1.fc37.aarch64 libssh-0.10.5-1.fc37.aarch64 libssh-config-0.10.5-1.fc37.noarch libstdc++-12.3.1-1.fc37.aarch64 libtasn1-4.19.0-1.fc37.aarch64 libtirpc-1.3.3-1.rc1.fc37.aarch64 libunistring-1.0-2.fc37.aarch64 libutempter-1.2.1-7.fc37.aarch64 libuuid-2.38.1-1.fc37.aarch64 libverto-0.3.2-4.fc37.aarch64 libxcrypt-4.4.36-1.fc37.aarch64 libxml2-2.10.4-1.fc37.aarch64 libzstd-1.5.5-1.fc37.aarch64 lua-libs-5.4.4-9.fc37.aarch64 lua-srpm-macros-1-7.fc37.noarch lz4-libs-1.9.4-1.fc37.aarch64 mpfr-4.1.0-10.fc37.aarch64 ncurses-base-6.4-3.20230114.fc37.noarch ncurses-libs-6.4-3.20230114.fc37.aarch64 nim-srpm-macros-3-7.fc37.noarch ocaml-srpm-macros-7-2.fc37.noarch openblas-srpm-macros-2-12.fc37.noarch openldap-2.6.6-1.fc37.aarch64 openssl-libs-3.0.9-1.fc37.aarch64 p11-kit-0.25.3-1.fc37.aarch64 p11-kit-trust-0.25.3-1.fc37.aarch64 package-notes-srpm-macros-0.5-7.fc37.noarch pam-1.5.2-14.fc37.aarch64 pam-libs-1.5.2-14.fc37.aarch64 patch-2.7.6-17.fc37.aarch64 pcre-8.45-1.fc37.2.aarch64 pcre2-10.40-1.fc37.1.aarch64 pcre2-syntax-10.40-1.fc37.1.noarch perl-srpm-macros-1-46.fc37.noarch pkgconf-1.8.0-3.fc37.aarch64 pkgconf-m4-1.8.0-3.fc37.noarch pkgconf-pkg-config-1.8.0-3.fc37.aarch64 popt-1.19-1.fc37.aarch64 publicsuffix-list-dafsa-20230812-1.fc37.noarch pyproject-srpm-macros-1.10.0-1.fc37.noarch python-srpm-macros-3.11-6.fc37.noarch qt5-srpm-macros-5.15.10-1.fc37.noarch qt6-srpm-macros-6.5.1-2.fc37.noarch readline-8.2-2.fc37.aarch64 redhat-rpm-config-230-1.fc37.noarch rpm-4.18.2-1.fc37.aarch64 rpm-build-4.18.2-1.fc37.aarch64 rpm-build-libs-4.18.2-1.fc37.aarch64 rpm-libs-4.18.2-1.fc37.aarch64 rpmautospec-rpm-macros-0.3.8-1.fc37.noarch rust-srpm-macros-25.2-2.fc37.noarch sed-4.8-11.fc37.aarch64 setup-2.14.1-2.fc37.noarch shadow-utils-4.12.3-6.fc37.aarch64 sqlite-libs-3.40.0-1.fc37.aarch64 systemd-libs-251.19-1.fc37.aarch64 tar-1.34-6.fc37.aarch64 tzdata-2023c-1.fc37.noarch unzip-6.0-58.fc37.aarch64 util-linux-2.38.1-1.fc37.aarch64 util-linux-core-2.38.1-1.fc37.aarch64 which-2.21-39.fc37.aarch64 xxhash-libs-0.8.2-1.fc37.aarch64 xz-5.4.1-1.fc37.aarch64 xz-libs-5.4.1-1.fc37.aarch64 zip-3.0-33.fc37.aarch64 zlib-1.2.12-5.fc37.aarch64 zstd-1.5.5-1.fc37.aarch64 Start: buildsrpm Start: rpmbuild -bs Building target platforms: aarch64 Building for target aarch64 setting SOURCE_DATE_EPOCH=1595635200 Wrote: /builddir/build/SRPMS/openroad-2.0-20231209.0.git921553ef.fc37.src.rpm Finish: rpmbuild -bs INFO: chroot_scan: 3 files copied to /var/lib/copr-rpmbuild/results/chroot_scan INFO: /var/lib/mock/fedora-37-aarch64-1702257738.989723/root/var/log/dnf.rpm.log /var/lib/mock/fedora-37-aarch64-1702257738.989723/root/var/log/dnf.librepo.log /var/lib/mock/fedora-37-aarch64-1702257738.989723/root/var/log/dnf.log Finish: buildsrpm INFO: Done(/var/lib/copr-rpmbuild/workspace/workdir-e34evyii/openroad/openroad.spec) Config(child) 1 minutes 33 seconds INFO: Results and/or logs in: /var/lib/copr-rpmbuild/results INFO: Cleaning up build root ('cleanup_on_success=True') Start: clean chroot INFO: unmounting tmpfs. Finish: clean chroot INFO: Start(/var/lib/copr-rpmbuild/results/openroad-2.0-20231209.0.git921553ef.fc37.src.rpm) Config(fedora-37-aarch64) Start(bootstrap): chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-37-aarch64-bootstrap-1702257738.989723/root. INFO: reusing tmpfs at /var/lib/mock/fedora-37-aarch64-bootstrap-1702257738.989723/root. INFO: calling preinit hooks INFO: enabled root cache INFO: enabled package manager cache Start(bootstrap): cleaning package manager metadata Finish(bootstrap): cleaning package manager metadata Finish(bootstrap): chroot init Start: chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-37-aarch64-1702257738.989723/root. INFO: calling preinit hooks INFO: enabled root cache Start: unpacking root cache Finish: unpacking root cache INFO: enabled package manager cache Start: cleaning package manager metadata Finish: cleaning package manager metadata INFO: enabled HW Info plugin Finish: chroot init INFO: Buildroot is handled by package management downloaded with a bootstrap image: rpm-4.18.2-1.fc37.aarch64 python3-dnf-4.18.0-2.fc37.noarch python3-dnf-plugins-core-4.4.3-1.fc37.noarch yum-4.18.0-2.fc37.noarch Start: build phase for openroad-2.0-20231209.0.git921553ef.fc37.src.rpm Start: build setup for openroad-2.0-20231209.0.git921553ef.fc37.src.rpm Building target platforms: aarch64 Building for target aarch64 setting SOURCE_DATE_EPOCH=1595635200 Wrote: /builddir/build/SRPMS/openroad-2.0-20231209.0.git921553ef.fc37.src.rpm No matches found for the following disable plugin patterns: local, spacewalk, versionlock Copr repository 41 kB/s | 1.8 kB 00:00 Additional repo copr_rezso_HDL 35 kB/s | 2.1 kB 00:00 Additional repo copr_rezso_HDL 9.3 MB/s | 1.2 MB 00:00 Additional repo copr_rezso_ML 57 kB/s | 1.8 kB 00:00 Additional repo copr_rezso_CUDA 46 kB/s | 1.8 kB 00:00 Additional repo http_developer_download_nvidia_ 1.1 MB/s | 3.5 kB 00:00 Additional repo http_developer_download_nvidia_ 988 kB/s | 3.5 kB 00:00 Additional repo http_developer_download_nvidia_ 695 kB/s | 3.5 kB 00:00 fedora 174 kB/s | 14 kB 00:00 updates 163 kB/s | 13 kB 00:00 Dependencies resolved. ======================================================================================================== Package Arch Version Repository Size ======================================================================================================== Installing: CImg-devel aarch64 1:3.1.6-1.fc37 updates 1.9 M abc-devel aarch64 1.02-20231209.0.git16a3c5fc.fc37 copr_rezso_HDL 445 k abseil-cpp-compat-20230125-devel aarch64 20230125.3-1.fc37 copr_rezso_ML 721 k bison aarch64 3.8.2-3.fc37 fedora 994 k boost-devel aarch64 1.78.0-9.fc37 fedora 13 M boost-serialization aarch64 1.78.0-9.fc37 fedora 125 k boost-system aarch64 1.78.0-9.fc37 fedora 16 k boost-thread aarch64 1.78.0-9.fc37 fedora 57 k cmake aarch64 3.27.7-1.fc37 updates 7.2 M coin-or-lemon-devel aarch64 1.3.1-25.fc37 fedora 304 k doxygen aarch64 2:1.9.5-2.fc37 fedora 4.5 M eigen3-devel noarch 3.4.0-8.fc37 fedora 1.2 M flex aarch64 2.6.4-11.fc37 fedora 305 k fmt-devel aarch64 9.1.0-1.fc37 fedora 123 k gcc-c++ aarch64 12.3.1-1.fc37 updates 11 M git aarch64 2.42.0-2.fc37 updates 53 k gmock-devel aarch64 1.12.1-2.fc37 fedora 221 k gtest-devel aarch64 1.12.1-2.fc37 fedora 252 k libX11-devel aarch64 1.8.4-1.fc37 updates 1.0 M libXext-devel aarch64 1.3.4-9.fc37 fedora 86 k libjpeg-turbo-devel aarch64 2.1.3-2.fc37 fedora 98 k make aarch64 1:4.3-11.fc37 fedora 537 k opensta-devel aarch64 2.2.0-20231207.0.gite9189bbd.fc37 copr_base 4.5 M or-tools-devel aarch64 9.6-20230310.0.git5425dedc.fc37 copr_base 1.0 M python3-devel aarch64 3.11.6-1.fc37 updates 269 k qt5-qtbase-devel aarch64 5.15.10-9.fc37 updates 3.4 M readline-devel aarch64 8.2-2.fc37 updates 207 k spdlog-devel aarch64 1.10.0-3.1.fc37 copr_base 184 k swig aarch64 4.0.2-18.fc37 fedora 1.5 M tcl aarch64 1:8.6.12-3.fc37 fedora 1.1 M tcl-devel aarch64 1:8.6.12-3.fc37 fedora 169 k tcl-tclreadline-devel aarch64 2.1.0-20.fc37 fedora 8.2 k tclx-devel aarch64 8.4.0-40.fc37 fedora 13 k zlib-devel aarch64 1.2.12-5.fc37 fedora 44 k Installing dependencies: Lmod aarch64 8.7.32-1.fc37 updates 262 k MUMPS aarch64 5.5.0-2.fc37 fedora 1.8 M MUMPS-common noarch 5.5.0-2.fc37 fedora 830 k abc-libs aarch64 1.02-20231209.0.git16a3c5fc.fc37 copr_rezso_HDL 4.5 M abseil-cpp-compat-20230125 aarch64 20230125.3-1.fc37 copr_rezso_ML 634 k adobe-mappings-cmap noarch 20230622-1.fc37 updates 2.1 M adobe-mappings-cmap-deprecated noarch 20230622-1.fc37 updates 114 k adobe-mappings-pdf noarch 20190401-2.fc37 fedora 697 k alsa-lib aarch64 1.2.9-1.fc37 updates 504 k annobin-docs noarch 12.28-1.fc37 updates 91 k annobin-plugin-gcc aarch64 12.28-1.fc37 updates 956 k avahi-libs aarch64 0.8-18.fc37 updates 67 k bliss-libs aarch64 0.77-3.fc37 fedora 77 k boost aarch64 1.78.0-9.fc37 fedora 14 k boost-atomic aarch64 1.78.0-9.fc37 fedora 20 k boost-chrono aarch64 1.78.0-9.fc37 fedora 27 k boost-container aarch64 1.78.0-9.fc37 fedora 40 k boost-context aarch64 1.78.0-9.fc37 fedora 17 k boost-contract aarch64 1.78.0-9.fc37 fedora 44 k boost-coroutine aarch64 1.78.0-9.fc37 fedora 23 k boost-date-time aarch64 1.78.0-9.fc37 fedora 16 k boost-fiber aarch64 1.78.0-9.fc37 fedora 41 k boost-filesystem aarch64 1.78.0-9.fc37 fedora 62 k boost-graph aarch64 1.78.0-9.fc37 fedora 141 k boost-iostreams aarch64 1.78.0-9.fc37 fedora 40 k boost-json aarch64 1.78.0-9.fc37 fedora 106 k boost-locale aarch64 1.78.0-9.fc37 fedora 202 k boost-log aarch64 1.78.0-9.fc37 fedora 446 k boost-math aarch64 1.78.0-9.fc37 fedora 244 k boost-nowide aarch64 1.78.0-9.fc37 fedora 21 k boost-numpy3 aarch64 1.78.0-9.fc37 fedora 28 k boost-program-options aarch64 1.78.0-9.fc37 fedora 102 k boost-python3 aarch64 1.78.0-9.fc37 fedora 89 k boost-random aarch64 1.78.0-9.fc37 fedora 26 k boost-regex aarch64 1.78.0-9.fc37 fedora 107 k boost-stacktrace aarch64 1.78.0-9.fc37 fedora 30 k boost-test aarch64 1.78.0-9.fc37 fedora 221 k boost-timer aarch64 1.78.0-9.fc37 fedora 26 k boost-type_erasure aarch64 1.78.0-9.fc37 fedora 33 k boost-wave aarch64 1.78.0-9.fc37 fedora 202 k byte-buddy noarch 1.12.10-2.fc37 fedora 2.9 M byte-buddy-agent noarch 1.12.10-2.fc37 fedora 65 k cairo aarch64 1.17.6-2.fc37 fedora 659 k cairo-gobject aarch64 1.17.6-2.fc37 fedora 18 k clang-libs aarch64 15.0.7-2.fc37 updates 19 M clang-resource-filesystem aarch64 15.0.7-2.fc37 updates 12 k cliquer-libs aarch64 1.22-4.fc37 fedora 38 k cmake-data noarch 3.27.7-1.fc37 updates 2.2 M cmake-filesystem aarch64 3.27.7-1.fc37 updates 19 k cmake-rpm-macros noarch 3.27.7-1.fc37 updates 18 k coin-or-Cbc aarch64 2.10.5-10.fc37 fedora 756 k coin-or-Cgl aarch64 0.60.3-7.fc37 fedora 377 k coin-or-Clp aarch64 1.17.6-10.fc37 fedora 831 k coin-or-CoinUtils aarch64 2.11.4-7.fc37 fedora 435 k coin-or-Ipopt aarch64 3.14.9-1.fc37 fedora 657 k coin-or-Ipopt-common noarch 3.14.9-1.fc37 fedora 5.6 M coin-or-Osi aarch64 0.108.6-6.fc37 fedora 287 k coin-or-lemon aarch64 1.3.1-25.fc37 fedora 77 k copy-jdk-configs noarch 4.1-1.fc37 fedora 28 k cpp aarch64 12.3.1-1.fc37 updates 9.2 M crypto-policies-scripts noarch 20220815-1.gite4ed860.fc37 fedora 110 k cudd aarch64 3.0.0-2 copr_base 641 k cups-libs aarch64 1:2.4.7-2.fc37 updates 266 k dbus-libs aarch64 1:1.14.10-1.fc37 updates 154 k double-conversion aarch64 3.1.5-7.fc37 fedora 46 k emacs-filesystem noarch 1:28.3-0.rc1.fc37 updates 7.8 k expat aarch64 2.5.0-1.fc37 updates 106 k flexiblas aarch64 3.3.1-5.fc37 updates 33 k flexiblas-netlib aarch64 3.3.1-5.fc37 updates 2.5 M flexiblas-openblas-openmp aarch64 3.3.1-5.fc37 updates 16 k fmt aarch64 9.1.0-1.fc37 fedora 113 k fontconfig aarch64 2.14.1-2.fc37 updates 299 k fonts-filesystem noarch 1:2.0.5-9.fc37 fedora 7.9 k freetype aarch64 2.12.1-3.fc37 fedora 399 k fribidi aarch64 1.0.12-2.fc37 fedora 88 k gc aarch64 8.0.6-4.fc37 fedora 102 k gcc aarch64 12.3.1-1.fc37 updates 29 M gcc-plugin-annobin aarch64 12.3.1-1.fc37 updates 59 k gd aarch64 2.3.3-9.fc37 updates 133 k gdk-pixbuf2 aarch64 2.42.10-1.fc37 fedora 483 k gecode aarch64 6.2.0-10.fc37 fedora 2.9 M git-core aarch64 2.42.0-2.fc37 updates 4.5 M git-core-doc noarch 2.42.0-2.fc37 updates 2.8 M gklib aarch64 5.1.1-20230326.0.git8bd6bad7.fc37 copr_rezso_ML 91 k glib2 aarch64 2.74.7-2.fc37 updates 2.7 M glibc-devel aarch64 2.36-18.fc37 updates 572 k glpk aarch64 5.0-5.fc37 fedora 354 k glx-utils aarch64 8.4.0-14.20210504git0f9e7d9.fc37 fedora 40 k gmock aarch64 1.12.1-2.fc37 fedora 56 k gnutls aarch64 3.8.1-1.fc37 updates 1.1 M google-droid-sans-fonts noarch 20200215-13.fc37 fedora 2.7 M google-noto-fonts-common noarch 20201206^1.git0c78c8329-8.fc37 updates 18 k google-noto-sans-vf-fonts noarch 20201206^1.git0c78c8329-8.fc37 updates 728 k graphite2 aarch64 1.3.14-10.fc37 fedora 92 k graphviz aarch64 5.0.0-4.fc37 fedora 4.9 M groff-base aarch64 1.22.4-10.fc37 fedora 1.0 M gsl aarch64 2.6-7.fc37 fedora 1.0 M gtest aarch64 1.12.1-2.fc37 fedora 154 k gts aarch64 0.7.6-42.20121130.fc37 fedora 233 k guile22 aarch64 2.2.7-6.fc37 fedora 6.5 M harfbuzz aarch64 5.2.0-1.fc37 fedora 772 k highway aarch64 1.0.7-1.fc37 updates 95 k jacop noarch 4.8-9.fc37 fedora 1.7 M java-17-openjdk-headless aarch64 1:17.0.8.0.7-1.fc37 updates 45 M java-diff-utils noarch 4.12-1.fc37 fedora 78 k javapackages-filesystem noarch 6.1.0-4.fc37 fedora 13 k javapackages-tools noarch 6.1.0-4.fc37 fedora 37 k jbig2dec-libs aarch64 0.19-7.fc37 fedora 72 k jbigkit-libs aarch64 2.1-24.fc37 fedora 53 k jline-builtins noarch 3.21.0-6.fc37 fedora 261 k jline-reader noarch 3.21.0-6.fc37 fedora 171 k jline-style noarch 3.21.0-6.fc37 fedora 35 k jline-terminal noarch 3.21.0-6.fc37 fedora 281 k jline-terminal-jna noarch 3.21.0-6.fc37 fedora 66 k jna aarch64 5.12.1-2.fc37 fedora 286 k jsoncpp aarch64 1.9.5-3.fc37 fedora 92 k kernel-headers aarch64 6.5.4-100.fc37 updates 1.5 M langpacks-core-font-en noarch 3.0-26.fc37 fedora 10 k lasi aarch64 1.1.3-9.fc37 fedora 52 k lcms2 aarch64 2.14-1.fc37 updates 174 k less aarch64 633-1.fc37 updates 175 k libICE aarch64 1.0.10-9.fc37 fedora 69 k libSM aarch64 1.2.3-11.fc37 fedora 41 k libX11 aarch64 1.8.4-1.fc37 updates 637 k libX11-common noarch 1.8.4-1.fc37 updates 177 k libX11-xcb aarch64 1.8.4-1.fc37 updates 11 k libXau aarch64 1.0.10-1.fc37 fedora 31 k libXau-devel aarch64 1.0.10-1.fc37 fedora 13 k libXext aarch64 1.3.4-9.fc37 fedora 38 k libXfixes aarch64 6.0.0-4.fc37 fedora 19 k libXft aarch64 2.3.4-3.fc37 fedora 62 k libXpm aarch64 3.5.15-2.fc37 updates 60 k libXrender aarch64 0.9.10-17.fc37 fedora 26 k libXxf86vm aarch64 1.1.4-19.fc37 fedora 18 k libaom aarch64 3.7.0-1.fc37 updates 1.4 M libasan aarch64 12.3.1-1.fc37 updates 433 k libatomic aarch64 12.3.1-1.fc37 updates 48 k libavif aarch64 0.11.1-4.fc37 updates 79 k libb2 aarch64 0.98.1-7.fc37 fedora 24 k libcbor aarch64 0.7.0-7.fc37 fedora 55 k libdatrie aarch64 0.2.13-4.fc37 fedora 32 k libdav1d aarch64 1.2.1-1.fc37 updates 348 k libdrm aarch64 2.4.117-1.fc37 updates 135 k libedit aarch64 3.1-43.20221009cvs.fc37 updates 105 k libevdev aarch64 1.13.0-1.fc37 fedora 42 k libfido2 aarch64 1.11.0-3.fc37 fedora 96 k libfontenc aarch64 1.1.4-4.fc37 fedora 31 k libgfortran aarch64 12.3.1-1.fc37 updates 440 k libglvnd aarch64 1:1.5.0-1.fc37 fedora 122 k libglvnd-core-devel aarch64 1:1.5.0-1.fc37 fedora 17 k libglvnd-devel aarch64 1:1.5.0-1.fc37 fedora 161 k libglvnd-egl aarch64 1:1.5.0-1.fc37 fedora 36 k libglvnd-gles aarch64 1:1.5.0-1.fc37 fedora 32 k libglvnd-glx aarch64 1:1.5.0-1.fc37 fedora 136 k libglvnd-opengl aarch64 1:1.5.0-1.fc37 fedora 43 k libgs aarch64 9.56.1-9.fc37 updates 3.5 M libgudev aarch64 237-3.fc37 fedora 34 k libicu aarch64 71.1-2.fc37 fedora 10 M libicu-devel aarch64 71.1-2.fc37 fedora 917 k libijs aarch64 0.35-16.fc37 fedora 29 k libimagequant aarch64 2.17.0-3.fc37 fedora 63 k libinput aarch64 1.22.1-1.fc37 updates 202 k libjpeg-turbo aarch64 2.1.3-2.fc37 fedora 195 k libjxl aarch64 1:0.7.0-5.fc37 updates 677 k libmpc aarch64 1.2.1-5.fc37 fedora 62 k libnauty aarch64 2.8.6-5.fc37 updates 511 k libpaper aarch64 1.1.28-5.fc37 fedora 46 k libpng aarch64 2:1.6.37-13.fc37 fedora 114 k libproxy aarch64 0.4.18-3.fc37 fedora 69 k librsvg2 aarch64 2.54.6-1.fc37 updates 3.4 M libstdc++-devel aarch64 12.3.1-1.fc37 updates 2.5 M libthai aarch64 0.1.29-3.fc37 fedora 212 k libtiff aarch64 4.4.0-4.fc37 fedora 191 k libtool-ltdl aarch64 2.4.7-2.fc37 fedora 37 k libubsan aarch64 12.3.1-1.fc37 updates 198 k libuv aarch64 1:1.46.0-1.fc37 updates 155 k libwacom aarch64 2.6.0-1.fc37 updates 42 k libwacom-data noarch 2.6.0-1.fc37 updates 184 k libwayland-client aarch64 1.21.0-1.fc37 fedora 33 k libwayland-server aarch64 1.21.0-1.fc37 fedora 41 k libwebp aarch64 1.3.2-2.fc37 updates 244 k libxcb aarch64 1.13.1-10.fc37 fedora 236 k libxcb-devel aarch64 1.13.1-10.fc37 fedora 1.4 M libxcrypt-devel aarch64 4.4.36-1.fc37 updates 29 k libxkbcommon aarch64 1.4.1-2.fc37 fedora 139 k libxkbcommon-x11 aarch64 1.4.1-2.fc37 fedora 21 k libxshmfence aarch64 1.3-11.fc37 fedora 12 k lksctp-tools aarch64 1.0.19-2.fc37 fedora 91 k llvm-libs aarch64 15.0.7-2.fc37 updates 24 M lua aarch64 5.4.4-9.fc37 updates 187 k lua-filesystem aarch64 1.8.0-7.fc37 fedora 34 k lua-json noarch 1.3.4-2.fc37 fedora 30 k lua-lpeg aarch64 1.0.2-9.fc37 fedora 67 k lua-posix aarch64 35.1-4.fc37 fedora 141 k lua-term aarch64 0.07-16.fc37 fedora 15 k m4 aarch64 1.4.19-4.fc37 fedora 299 k mesa-libEGL aarch64 23.0.3-1.fc37 updates 129 k mesa-libEGL-devel aarch64 23.0.3-1.fc37 updates 21 k mesa-libGL aarch64 23.0.3-1.fc37 updates 181 k mesa-libgbm aarch64 23.0.3-1.fc37 updates 45 k mesa-libglapi aarch64 23.0.3-1.fc37 updates 67 k metis aarch64 5.2.1-20230403.0.gite0f1b88b.fc37 copr_rezso_ML 173 k mkfontscale aarch64 1.2.2-2.fc37 fedora 31 k mockito noarch 3.12.4-5.fc37 fedora 583 k mp aarch64 3.1.0-39.20200303git7fd4828.fc37 fedora 894 k mpdecimal aarch64 2.5.1-4.fc37 fedora 102 k mtdev aarch64 1.1.6-4.fc37 fedora 20 k ncurses aarch64 6.4-3.20230114.fc37 updates 410 k ncurses-c++-libs aarch64 6.4-3.20230114.fc37 updates 36 k ncurses-devel aarch64 6.4-3.20230114.fc37 updates 549 k netpbm aarch64 11.02.00-1.fc37 updates 182 k nettle aarch64 3.8-2.fc37 fedora 421 k nspr aarch64 4.35.0-11.fc37 updates 133 k nss aarch64 3.94.0-2.fc37 updates 689 k nss-softokn aarch64 3.94.0-2.fc37 updates 391 k nss-softokn-freebl aarch64 3.94.0-2.fc37 updates 328 k nss-sysinit aarch64 3.94.0-2.fc37 updates 18 k nss-util aarch64 3.94.0-2.fc37 updates 85 k objectweb-asm noarch 9.3-2.fc37 fedora 370 k objenesis noarch 3.1-10.fc37 fedora 107 k openblas aarch64 0.3.21-3.fc37 fedora 35 k openblas-openmp aarch64 0.3.21-3.fc37 fedora 3.7 M openjpeg2 aarch64 2.5.0-5.fc37 updates 173 k openssh aarch64 8.8p1-11.fc37 updates 439 k openssh-clients aarch64 8.8p1-11.fc37 updates 669 k opensta aarch64 2.2.0-20231207.0.gite9189bbd.fc37 copr_base 5.4 M opentest4j noarch 1.2.0-11.fc37 fedora 24 k or-tools aarch64 9.6-20230310.0.git5425dedc.fc37 copr_base 7.9 M pango aarch64 1.50.14-1.fc37 updates 337 k pcre2-utf16 aarch64 10.40-1.fc37.1 fedora 199 k perl-Carp noarch 1.52-489.fc37 fedora 29 k perl-Class-Struct noarch 0.66-494.fc37 updates 23 k perl-DynaLoader aarch64 1.52-494.fc37 updates 27 k perl-Encode aarch64 4:3.19-492.fc37 fedora 1.7 M perl-English noarch 1.11-494.fc37 updates 15 k perl-Errno aarch64 1.36-494.fc37 updates 16 k perl-Error noarch 1:0.17029-10.fc37 fedora 41 k perl-Exporter noarch 5.77-489.fc37 fedora 31 k perl-Fcntl aarch64 1.15-494.fc37 updates 22 k perl-File-Basename noarch 2.85-494.fc37 updates 18 k perl-File-Find noarch 1.40-494.fc37 updates 26 k perl-File-Path noarch 2.18-489.fc37 fedora 35 k perl-File-Temp noarch 1:0.231.100-489.fc37 fedora 59 k perl-File-stat noarch 1.12-494.fc37 updates 18 k perl-Getopt-Long noarch 1:2.54-1.fc37 updates 60 k perl-Getopt-Std noarch 1.13-494.fc37 updates 17 k perl-Git noarch 2.42.0-2.fc37 updates 41 k perl-HTTP-Tiny noarch 0.086-1.fc37 updates 55 k perl-IO aarch64 1.50-494.fc37 updates 93 k perl-IPC-Open3 noarch 1.22-494.fc37 updates 24 k perl-MIME-Base64 aarch64 3.16-489.fc37 fedora 30 k perl-POSIX aarch64 2.03-494.fc37 updates 99 k perl-PathTools aarch64 3.84-489.fc37 fedora 90 k perl-Pod-Escapes noarch 1:1.07-489.fc37 fedora 20 k perl-Pod-Perldoc noarch 3.28.01-490.fc37 fedora 90 k perl-Pod-Simple noarch 1:3.43-490.fc37 fedora 225 k perl-Pod-Usage noarch 4:2.03-3.fc37 fedora 40 k perl-Scalar-List-Utils aarch64 5:1.63-489.fc37 fedora 71 k perl-SelectSaver noarch 1.02-494.fc37 updates 13 k perl-Socket aarch64 4:2.036-1.fc37 fedora 55 k perl-Storable aarch64 1:3.26-489.fc37 fedora 95 k perl-Symbol noarch 1.09-494.fc37 updates 15 k perl-Term-ANSIColor noarch 5.01-490.fc37 fedora 48 k perl-Term-Cap noarch 1.17-489.fc37 fedora 22 k perl-TermReadKey aarch64 2.38-14.fc37 fedora 36 k perl-Text-ParseWords noarch 3.31-489.fc37 fedora 16 k perl-Text-Tabs+Wrap noarch 2023.0511-1.fc37 updates 23 k perl-Time-Local noarch 2:1.300-489.fc37 fedora 33 k perl-constant noarch 1.33-490.fc37 fedora 23 k perl-if noarch 0.61.000-494.fc37 updates 15 k perl-interpreter aarch64 4:5.36.1-494.fc37 updates 73 k perl-lib aarch64 0.65-494.fc37 updates 16 k perl-libs aarch64 4:5.36.1-494.fc37 updates 2.2 M perl-locale noarch 1.10-494.fc37 updates 15 k perl-mro aarch64 1.26-494.fc37 updates 29 k perl-overload noarch 1.35-494.fc37 updates 47 k perl-overloading noarch 0.02-494.fc37 updates 14 k perl-parent noarch 1:0.238-489.fc37 fedora 14 k perl-podlators noarch 1:4.14-489.fc37 fedora 116 k perl-subs noarch 1.04-494.fc37 updates 13 k perl-vars noarch 1.05-494.fc37 updates 14 k pixman aarch64 0.40.0-6.fc37 fedora 169 k poppler aarch64 22.08.0-5.fc37 updates 1.1 M poppler-data noarch 0.4.11-3.fc37 fedora 2.0 M poppler-glib aarch64 22.08.0-5.fc37 updates 166 k procps-ng aarch64 3.3.17-6.fc37.3 updates 342 k protobuf aarch64 3.19.6-1.fc37 updates 893 k protobuf-compiler aarch64 3.19.6-1.fc37 updates 680 k protobuf-devel aarch64 3.19.6-1.fc37 updates 391 k pyproject-rpm-macros noarch 1.10.0-1.fc37 updates 41 k python-pip-wheel noarch 22.2.2-3.fc37 updates 1.4 M python-rpm-macros noarch 3.11-6.fc37 updates 19 k python-setuptools-wheel noarch 62.6.0-3.fc37 updates 711 k python3 aarch64 3.11.6-1.fc37 updates 28 k python3-libs aarch64 3.11.6-1.fc37 updates 9.5 M python3-numpy aarch64 1:1.24.3-1.fc37 updates 7.2 M python3-packaging noarch 21.3-6.fc37 fedora 98 k python3-pyparsing noarch 3.0.9-2.fc37 fedora 262 k python3-rpm-generators noarch 13-3.fc37 updates 29 k python3-rpm-macros noarch 3.11-6.fc37 updates 14 k qt-settings noarch 37.2-1.fc37 updates 8.7 k qt5-qtbase aarch64 5.15.10-9.fc37 updates 3.5 M qt5-qtbase-common noarch 5.15.10-9.fc37 updates 12 k qt5-qtbase-gui aarch64 5.15.10-9.fc37 updates 6.3 M qt5-rpm-macros noarch 5.15.10-1.fc37 updates 9.9 k rav1e-libs aarch64 0.6.6-3.fc37 updates 774 k re2 aarch64 1:20220601-1.fc37 updates 182 k rhash aarch64 1.4.2-3.fc37 fedora 185 k scala noarch 2.13.10-1.fc37 updates 10 M scala-library noarch 2.13.10-1.fc37 updates 5.0 M scala-reflect noarch 2.13.10-1.fc37 updates 3.2 M scip aarch64 802-20221121.0.git1929dc28.fc37 copr_base 4.9 M scotch aarch64 6.1.2-3.fc37 fedora 397 k shared-mime-info aarch64 2.2-2.fc37 fedora 381 k spdlog aarch64 1.10.0-3.1.fc37 copr_base 148 k suitesparse aarch64 5.10.1-3.fc37 fedora 980 k tbb aarch64 2020.3-13.fc37 updates 139 k tcl-tclreadline aarch64 2.1.0-20.fc37 fedora 60 k tclx aarch64 8.4.0-40.fc37 fedora 156 k tk aarch64 1:8.6.12-3.fc37 fedora 1.6 M tzdata-java noarch 2023c-1.fc37 updates 208 k unixODBC aarch64 2.3.11-1.fc37 fedora 469 k urw-base35-bookman-fonts noarch 20200910-15.fc37 fedora 848 k urw-base35-c059-fonts noarch 20200910-15.fc37 fedora 875 k urw-base35-d050000l-fonts noarch 20200910-15.fc37 fedora 76 k urw-base35-fonts noarch 20200910-15.fc37 fedora 11 k urw-base35-fonts-common noarch 20200910-15.fc37 fedora 21 k urw-base35-gothic-fonts noarch 20200910-15.fc37 fedora 643 k urw-base35-nimbus-mono-ps-fonts noarch 20200910-15.fc37 fedora 796 k urw-base35-nimbus-roman-fonts noarch 20200910-15.fc37 fedora 857 k urw-base35-nimbus-sans-fonts noarch 20200910-15.fc37 fedora 1.3 M urw-base35-p052-fonts noarch 20200910-15.fc37 fedora 974 k urw-base35-standard-symbols-ps-fonts noarch 20200910-15.fc37 fedora 42 k urw-base35-z003-fonts noarch 20200910-15.fc37 fedora 276 k vim-filesystem noarch 2:9.0.2120-1.fc37 updates 18 k vulkan-headers noarch 1.3.216.0-2.fc37 fedora 931 k vulkan-loader aarch64 1.3.216.0-3.fc37 fedora 140 k vulkan-loader-devel aarch64 1.3.216.0-3.fc37 fedora 9.4 k xapian-core-libs aarch64 1.4.22-1.fc37 updates 684 k xcb-util aarch64 0.4.0-20.fc37 fedora 18 k xcb-util-image aarch64 0.4.0-20.fc37 fedora 19 k xcb-util-keysyms aarch64 0.4.0-18.fc37 fedora 14 k xcb-util-renderutil aarch64 0.3.9-21.fc37 fedora 17 k xcb-util-wm aarch64 0.4.1-23.fc37 fedora 30 k xkeyboard-config noarch 2.36-3.fc37 updates 957 k xml-common noarch 0.6.3-59.fc37 fedora 31 k xorg-x11-fonts-ISO8859-1-100dpi noarch 7.5-34.fc37 fedora 1.1 M xorg-x11-proto-devel noarch 2022.2-2.fc37 fedora 299 k Transaction Summary ======================================================================================================== Install 382 Packages Total download size: 393 M Installed size: 1.7 G Downloading Packages: (1/382): opensta-devel-2.2.0-20231207.0.gite918 28 MB/s | 4.5 MB 00:00 (2/382): cudd-3.0.0-2.aarch64.rpm 3.6 MB/s | 641 kB 00:00 (3/382): opensta-2.2.0-20231207.0.gite9189bbd.f 27 MB/s | 5.4 MB 00:00 (4/382): or-tools-devel-9.6-20230310.0.git5425d 17 MB/s | 1.0 MB 00:00 (5/382): spdlog-1.10.0-3.1.fc37.aarch64.rpm 3.1 MB/s | 148 kB 00:00 (6/382): scip-802-20221121.0.git1929dc28.fc37.a 42 MB/s | 4.9 MB 00:00 (7/382): spdlog-devel-1.10.0-3.1.fc37.aarch64.r 4.0 MB/s | 184 kB 00:00 (8/382): or-tools-9.6-20230310.0.git5425dedc.fc 38 MB/s | 7.9 MB 00:00 (9/382): abc-devel-1.02-20231209.0.git16a3c5fc. 8.6 MB/s | 445 kB 00:00 (10/382): abseil-cpp-compat-20230125-20230125.3 8.9 MB/s | 634 kB 00:00 (11/382): gklib-5.1.1-20230326.0.git8bd6bad7.fc 6.6 MB/s | 91 kB 00:00 (12/382): abseil-cpp-compat-20230125-devel-2023 8.1 MB/s | 721 kB 00:00 (13/382): abc-libs-1.02-20231209.0.git16a3c5fc. 34 MB/s | 4.5 MB 00:00 (14/382): metis-5.2.1-20230403.0.gite0f1b88b.fc 2.8 MB/s | 173 kB 00:00 (15/382): MUMPS-common-5.5.0-2.fc37.noarch.rpm 4.4 MB/s | 830 kB 00:00 (16/382): MUMPS-5.5.0-2.fc37.aarch64.rpm 7.9 MB/s | 1.8 MB 00:00 (17/382): adobe-mappings-pdf-20190401-2.fc37.no 3.5 MB/s | 697 kB 00:00 (18/382): boost-1.78.0-9.fc37.aarch64.rpm 360 kB/s | 14 kB 00:00 (19/382): boost-atomic-1.78.0-9.fc37.aarch64.rp 388 kB/s | 20 kB 00:00 (20/382): bison-3.8.2-3.fc37.aarch64.rpm 5.1 MB/s | 994 kB 00:00 (21/382): boost-chrono-1.78.0-9.fc37.aarch64.rp 499 kB/s | 27 kB 00:00 (22/382): bliss-libs-0.77-3.fc37.aarch64.rpm 462 kB/s | 77 kB 00:00 (23/382): boost-container-1.78.0-9.fc37.aarch64 572 kB/s | 40 kB 00:00 (24/382): boost-context-1.78.0-9.fc37.aarch64.r 301 kB/s | 17 kB 00:00 (25/382): boost-contract-1.78.0-9.fc37.aarch64. 556 kB/s | 44 kB 00:00 (26/382): boost-coroutine-1.78.0-9.fc37.aarch64 526 kB/s | 23 kB 00:00 (27/382): boost-date-time-1.78.0-9.fc37.aarch64 339 kB/s | 16 kB 00:00 (28/382): boost-fiber-1.78.0-9.fc37.aarch64.rpm 725 kB/s | 41 kB 00:00 (29/382): boost-filesystem-1.78.0-9.fc37.aarch6 633 kB/s | 62 kB 00:00 (30/382): boost-iostreams-1.78.0-9.fc37.aarch64 690 kB/s | 40 kB 00:00 (31/382): boost-graph-1.78.0-9.fc37.aarch64.rpm 1.3 MB/s | 141 kB 00:00 (32/382): boost-json-1.78.0-9.fc37.aarch64.rpm 1.4 MB/s | 106 kB 00:00 (33/382): boost-locale-1.78.0-9.fc37.aarch64.rp 1.6 MB/s | 202 kB 00:00 (34/382): boost-math-1.78.0-9.fc37.aarch64.rpm 1.6 MB/s | 244 kB 00:00 (35/382): boost-nowide-1.78.0-9.fc37.aarch64.rp 472 kB/s | 21 kB 00:00 (36/382): boost-log-1.78.0-9.fc37.aarch64.rpm 1.7 MB/s | 446 kB 00:00 (37/382): boost-numpy3-1.78.0-9.fc37.aarch64.rp 332 kB/s | 28 kB 00:00 (38/382): boost-program-options-1.78.0-9.fc37.a 1.3 MB/s | 102 kB 00:00 (39/382): boost-random-1.78.0-9.fc37.aarch64.rp 573 kB/s | 26 kB 00:00 (40/382): boost-python3-1.78.0-9.fc37.aarch64.r 1.2 MB/s | 89 kB 00:00 (41/382): boost-regex-1.78.0-9.fc37.aarch64.rpm 1.1 MB/s | 107 kB 00:00 (42/382): boost-serialization-1.78.0-9.fc37.aar 1.4 MB/s | 125 kB 00:00 (43/382): boost-stacktrace-1.78.0-9.fc37.aarch6 645 kB/s | 30 kB 00:00 (44/382): boost-system-1.78.0-9.fc37.aarch64.rp 351 kB/s | 16 kB 00:00 (45/382): boost-devel-1.78.0-9.fc37.aarch64.rpm 17 MB/s | 13 MB 00:00 (46/382): boost-timer-1.78.0-9.fc37.aarch64.rpm 588 kB/s | 26 kB 00:00 (47/382): boost-thread-1.78.0-9.fc37.aarch64.rp 941 kB/s | 57 kB 00:00 (48/382): boost-test-1.78.0-9.fc37.aarch64.rpm 1.8 MB/s | 221 kB 00:00 (49/382): boost-type_erasure-1.78.0-9.fc37.aarc 724 kB/s | 33 kB 00:00 (50/382): boost-wave-1.78.0-9.fc37.aarch64.rpm 2.0 MB/s | 202 kB 00:00 (51/382): byte-buddy-agent-1.12.10-2.fc37.noarc 998 kB/s | 65 kB 00:00 (52/382): cairo-gobject-1.17.6-2.fc37.aarch64.r 341 kB/s | 18 kB 00:00 (53/382): cliquer-libs-1.22-4.fc37.aarch64.rpm 503 kB/s | 38 kB 00:00 (54/382): cairo-1.17.6-2.fc37.aarch64.rpm 2.5 MB/s | 659 kB 00:00 (55/382): byte-buddy-1.12.10-2.fc37.noarch.rpm 8.8 MB/s | 2.9 MB 00:00 (56/382): coin-or-Cgl-0.60.3-7.fc37.aarch64.rpm 2.5 MB/s | 377 kB 00:00 (57/382): coin-or-Cbc-2.10.5-10.fc37.aarch64.rp 2.2 MB/s | 756 kB 00:00 (58/382): coin-or-Clp-1.17.6-10.fc37.aarch64.rp 2.8 MB/s | 831 kB 00:00 (59/382): coin-or-CoinUtils-2.11.4-7.fc37.aarch 1.7 MB/s | 435 kB 00:00 (60/382): coin-or-Ipopt-3.14.9-1.fc37.aarch64.r 3.4 MB/s | 657 kB 00:00 (61/382): coin-or-lemon-1.3.1-25.fc37.aarch64.r 628 kB/s | 77 kB 00:00 (62/382): coin-or-lemon-devel-1.3.1-25.fc37.aar 1.9 MB/s | 304 kB 00:00 (63/382): coin-or-Osi-0.108.6-6.fc37.aarch64.rp 869 kB/s | 287 kB 00:00 (64/382): copy-jdk-configs-4.1-1.fc37.noarch.rp 449 kB/s | 28 kB 00:00 (65/382): crypto-policies-scripts-20220815-1.gi 1.3 MB/s | 110 kB 00:00 (66/382): coin-or-Ipopt-common-3.14.9-1.fc37.no 11 MB/s | 5.6 MB 00:00 (67/382): double-conversion-3.1.5-7.fc37.aarch6 490 kB/s | 46 kB 00:00 (68/382): eigen3-devel-3.4.0-8.fc37.noarch.rpm 5.1 MB/s | 1.2 MB 00:00 (69/382): fmt-9.1.0-1.fc37.aarch64.rpm 1.1 MB/s | 113 kB 00:00 (70/382): doxygen-1.9.5-2.fc37.aarch64.rpm 11 MB/s | 4.5 MB 00:00 (71/382): flex-2.6.4-11.fc37.aarch64.rpm 836 kB/s | 305 kB 00:00 (72/382): fonts-filesystem-2.0.5-9.fc37.noarch. 177 kB/s | 7.9 kB 00:00 (73/382): fmt-devel-9.1.0-1.fc37.aarch64.rpm 1.2 MB/s | 123 kB 00:00 (74/382): fribidi-1.0.12-2.fc37.aarch64.rpm 1.0 MB/s | 88 kB 00:00 (75/382): gc-8.0.6-4.fc37.aarch64.rpm 1.1 MB/s | 102 kB 00:00 (76/382): freetype-2.12.1-3.fc37.aarch64.rpm 2.6 MB/s | 399 kB 00:00 (77/382): glpk-5.0-5.fc37.aarch64.rpm 2.7 MB/s | 354 kB 00:00 (78/382): glx-utils-8.4.0-14.20210504git0f9e7d9 645 kB/s | 40 kB 00:00 (79/382): gdk-pixbuf2-2.42.10-1.fc37.aarch64.rp 2.1 MB/s | 483 kB 00:00 (80/382): gmock-1.12.1-2.fc37.aarch64.rpm 666 kB/s | 56 kB 00:00 (81/382): gmock-devel-1.12.1-2.fc37.aarch64.rpm 1.8 MB/s | 221 kB 00:00 (82/382): graphite2-1.3.14-10.fc37.aarch64.rpm 1.1 MB/s | 92 kB 00:00 (83/382): google-droid-sans-fonts-20200215-13.f 11 MB/s | 2.7 MB 00:00 (84/382): gecode-6.2.0-10.fc37.aarch64.rpm 4.8 MB/s | 2.9 MB 00:00 (85/382): groff-base-1.22.4-10.fc37.aarch64.rpm 5.2 MB/s | 1.0 MB 00:00 (86/382): gtest-1.12.1-2.fc37.aarch64.rpm 1.5 MB/s | 154 kB 00:00 (87/382): gsl-2.6-7.fc37.aarch64.rpm 4.2 MB/s | 1.0 MB 00:00 (88/382): graphviz-5.0.0-4.fc37.aarch64.rpm 9.8 MB/s | 4.9 MB 00:00 (89/382): gts-0.7.6-42.20121130.fc37.aarch64.rp 2.2 MB/s | 233 kB 00:00 (90/382): gtest-devel-1.12.1-2.fc37.aarch64.rpm 1.9 MB/s | 252 kB 00:00 (91/382): harfbuzz-5.2.0-1.fc37.aarch64.rpm 3.5 MB/s | 772 kB 00:00 (92/382): java-diff-utils-4.12-1.fc37.noarch.rp 724 kB/s | 78 kB 00:00 (93/382): javapackages-filesystem-6.1.0-4.fc37. 425 kB/s | 13 kB 00:00 (94/382): javapackages-tools-6.1.0-4.fc37.noarc 657 kB/s | 37 kB 00:00 (95/382): jacop-4.8-9.fc37.noarch.rpm 4.1 MB/s | 1.7 MB 00:00 (96/382): jbig2dec-libs-0.19-7.fc37.aarch64.rpm 821 kB/s | 72 kB 00:00 (97/382): jbigkit-libs-2.1-24.fc37.aarch64.rpm 665 kB/s | 53 kB 00:00 (98/382): jline-reader-3.21.0-6.fc37.noarch.rpm 1.7 MB/s | 171 kB 00:00 (99/382): jline-builtins-3.21.0-6.fc37.noarch.r 1.9 MB/s | 261 kB 00:00 (100/382): jline-style-3.21.0-6.fc37.noarch.rpm 452 kB/s | 35 kB 00:00 (101/382): jline-terminal-jna-3.21.0-6.fc37.noa 1.1 MB/s | 66 kB 00:00 (102/382): jline-terminal-3.21.0-6.fc37.noarch. 1.7 MB/s | 281 kB 00:00 (103/382): guile22-2.2.7-6.fc37.aarch64.rpm 7.4 MB/s | 6.5 MB 00:00 (104/382): jsoncpp-1.9.5-3.fc37.aarch64.rpm 1.1 MB/s | 92 kB 00:00 (105/382): langpacks-core-font-en-3.0-26.fc37.n 329 kB/s | 10 kB 00:00 (106/382): jna-5.12.1-2.fc37.aarch64.rpm 1.9 MB/s | 286 kB 00:00 (107/382): lasi-1.1.3-9.fc37.aarch64.rpm 780 kB/s | 52 kB 00:00 (108/382): libSM-1.2.3-11.fc37.aarch64.rpm 539 kB/s | 41 kB 00:00 (109/382): libICE-1.0.10-9.fc37.aarch64.rpm 770 kB/s | 69 kB 00:00 (110/382): libXau-devel-1.0.10-1.fc37.aarch64.r 438 kB/s | 13 kB 00:00 (111/382): libXau-1.0.10-1.fc37.aarch64.rpm 516 kB/s | 31 kB 00:00 (112/382): libXfixes-6.0.0-4.fc37.aarch64.rpm 426 kB/s | 19 kB 00:00 (113/382): libXext-1.3.4-9.fc37.aarch64.rpm 425 kB/s | 38 kB 00:00 (114/382): libXext-devel-1.3.4-9.fc37.aarch64.r 968 kB/s | 86 kB 00:00 (115/382): libXft-2.3.4-3.fc37.aarch64.rpm 912 kB/s | 62 kB 00:00 (116/382): libXrender-0.9.10-17.fc37.aarch64.rp 422 kB/s | 26 kB 00:00 (117/382): libXxf86vm-1.1.4-19.fc37.aarch64.rpm 392 kB/s | 18 kB 00:00 (118/382): libb2-0.98.1-7.fc37.aarch64.rpm 397 kB/s | 24 kB 00:00 (119/382): libdatrie-0.2.13-4.fc37.aarch64.rpm 543 kB/s | 32 kB 00:00 (120/382): libcbor-0.7.0-7.fc37.aarch64.rpm 748 kB/s | 55 kB 00:00 (121/382): libevdev-1.13.0-1.fc37.aarch64.rpm 584 kB/s | 42 kB 00:00 (122/382): libfido2-1.11.0-3.fc37.aarch64.rpm 809 kB/s | 96 kB 00:00 (123/382): libfontenc-1.1.4-4.fc37.aarch64.rpm 251 kB/s | 31 kB 00:00 (124/382): libglvnd-1.5.0-1.fc37.aarch64.rpm 1.3 MB/s | 122 kB 00:00 (125/382): libglvnd-core-devel-1.5.0-1.fc37.aar 315 kB/s | 17 kB 00:00 (126/382): libglvnd-gles-1.5.0-1.fc37.aarch64.r 698 kB/s | 32 kB 00:00 (127/382): libglvnd-egl-1.5.0-1.fc37.aarch64.rp 611 kB/s | 36 kB 00:00 (128/382): libglvnd-devel-1.5.0-1.fc37.aarch64. 1.7 MB/s | 161 kB 00:00 (129/382): libglvnd-opengl-1.5.0-1.fc37.aarch64 752 kB/s | 43 kB 00:00 (130/382): libglvnd-glx-1.5.0-1.fc37.aarch64.rp 1.6 MB/s | 136 kB 00:00 (131/382): libgudev-237-3.fc37.aarch64.rpm 440 kB/s | 34 kB 00:00 (132/382): libijs-0.35-16.fc37.aarch64.rpm 511 kB/s | 29 kB 00:00 (133/382): libimagequant-2.17.0-3.fc37.aarch64. 850 kB/s | 63 kB 00:00 (134/382): libicu-devel-71.1-2.fc37.aarch64.rpm 3.6 MB/s | 917 kB 00:00 (135/382): libjpeg-turbo-2.1.3-2.fc37.aarch64.r 1.5 MB/s | 195 kB 00:00 (136/382): libmpc-1.2.1-5.fc37.aarch64.rpm 815 kB/s | 62 kB 00:00 (137/382): libjpeg-turbo-devel-2.1.3-2.fc37.aar 993 kB/s | 98 kB 00:00 (138/382): libpaper-1.1.28-5.fc37.aarch64.rpm 630 kB/s | 46 kB 00:00 (139/382): libpng-1.6.37-13.fc37.aarch64.rpm 1.2 MB/s | 114 kB 00:00 (140/382): libproxy-0.4.18-3.fc37.aarch64.rpm 807 kB/s | 69 kB 00:00 (141/382): libthai-0.1.29-3.fc37.aarch64.rpm 1.7 MB/s | 212 kB 00:00 (142/382): libtiff-4.4.0-4.fc37.aarch64.rpm 1.5 MB/s | 191 kB 00:00 (143/382): libtool-ltdl-2.4.7-2.fc37.aarch64.rp 511 kB/s | 37 kB 00:00 (144/382): libwayland-client-1.21.0-1.fc37.aarc 689 kB/s | 33 kB 00:00 (145/382): libwayland-server-1.21.0-1.fc37.aarc 552 kB/s | 41 kB 00:00 (146/382): libicu-71.1-2.fc37.aarch64.rpm 13 MB/s | 10 MB 00:00 (147/382): libxcb-1.13.1-10.fc37.aarch64.rpm 1.6 MB/s | 236 kB 00:00 (148/382): libxkbcommon-1.4.1-2.fc37.aarch64.rp 1.1 MB/s | 139 kB 00:00 (149/382): libxshmfence-1.3-11.fc37.aarch64.rpm 302 kB/s | 12 kB 00:00 (150/382): libxkbcommon-x11-1.4.1-2.fc37.aarch6 239 kB/s | 21 kB 00:00 (151/382): libxcb-devel-1.13.1-10.fc37.aarch64. 6.0 MB/s | 1.4 MB 00:00 (152/382): lua-filesystem-1.8.0-7.fc37.aarch64. 520 kB/s | 34 kB 00:00 (153/382): lua-json-1.3.4-2.fc37.noarch.rpm 647 kB/s | 30 kB 00:00 (154/382): lksctp-tools-1.0.19-2.fc37.aarch64.r 623 kB/s | 91 kB 00:00 (155/382): lua-lpeg-1.0.2-9.fc37.aarch64.rpm 815 kB/s | 67 kB 00:00 (156/382): lua-term-0.07-16.fc37.aarch64.rpm 346 kB/s | 15 kB 00:00 (157/382): lua-posix-35.1-4.fc37.aarch64.rpm 1.3 MB/s | 141 kB 00:00 (158/382): mkfontscale-1.2.2-2.fc37.aarch64.rpm 541 kB/s | 31 kB 00:00 (159/382): m4-1.4.19-4.fc37.aarch64.rpm 2.2 MB/s | 299 kB 00:00 (160/382): make-4.3-11.fc37.aarch64.rpm 2.6 MB/s | 537 kB 00:00 (161/382): mockito-3.12.4-5.fc37.noarch.rpm 2.7 MB/s | 583 kB 00:00 (162/382): mpdecimal-2.5.1-4.fc37.aarch64.rpm 929 kB/s | 102 kB 00:00 (163/382): mtdev-1.1.6-4.fc37.aarch64.rpm 377 kB/s | 20 kB 00:00 (164/382): mp-3.1.0-39.20200303git7fd4828.fc37. 2.4 MB/s | 894 kB 00:00 (165/382): objectweb-asm-9.3-2.fc37.noarch.rpm 2.4 MB/s | 370 kB 00:00 (166/382): nettle-3.8-2.fc37.aarch64.rpm 2.3 MB/s | 421 kB 00:00 (167/382): openblas-0.3.21-3.fc37.aarch64.rpm 408 kB/s | 35 kB 00:00 (168/382): objenesis-3.1-10.fc37.noarch.rpm 789 kB/s | 107 kB 00:00 (169/382): opentest4j-1.2.0-11.fc37.noarch.rpm 358 kB/s | 24 kB 00:00 (170/382): perl-Carp-1.52-489.fc37.noarch.rpm 649 kB/s | 29 kB 00:00 (171/382): pcre2-utf16-10.40-1.fc37.1.aarch64.r 1.3 MB/s | 199 kB 00:00 (172/382): perl-Error-0.17029-10.fc37.noarch.rp 700 kB/s | 41 kB 00:00 (173/382): perl-Exporter-5.77-489.fc37.noarch.r 695 kB/s | 31 kB 00:00 (174/382): openblas-openmp-0.3.21-3.fc37.aarch6 9.7 MB/s | 3.7 MB 00:00 (175/382): perl-File-Path-2.18-489.fc37.noarch. 612 kB/s | 35 kB 00:00 (176/382): perl-File-Temp-0.231.100-489.fc37.no 942 kB/s | 59 kB 00:00 (177/382): perl-MIME-Base64-3.16-489.fc37.aarch 490 kB/s | 30 kB 00:00 (178/382): perl-Pod-Escapes-1.07-489.fc37.noarc 464 kB/s | 20 kB 00:00 (179/382): perl-Encode-3.19-492.fc37.aarch64.rp 4.7 MB/s | 1.7 MB 00:00 (180/382): perl-PathTools-3.84-489.fc37.aarch64 807 kB/s | 90 kB 00:00 (181/382): perl-Pod-Perldoc-3.28.01-490.fc37.no 1.2 MB/s | 90 kB 00:00 (182/382): perl-Pod-Usage-2.03-3.fc37.noarch.rp 650 kB/s | 40 kB 00:00 (183/382): perl-Pod-Simple-3.43-490.fc37.noarch 1.8 MB/s | 225 kB 00:00 (184/382): perl-Socket-2.036-1.fc37.aarch64.rpm 822 kB/s | 55 kB 00:00 (185/382): perl-Scalar-List-Utils-1.63-489.fc37 736 kB/s | 71 kB 00:00 (186/382): perl-Term-Cap-1.17-489.fc37.noarch.r 462 kB/s | 22 kB 00:00 (187/382): perl-Term-ANSIColor-5.01-490.fc37.no 796 kB/s | 48 kB 00:00 (188/382): perl-Storable-3.26-489.fc37.aarch64. 1.0 MB/s | 95 kB 00:00 (189/382): perl-Text-ParseWords-3.31-489.fc37.n 351 kB/s | 16 kB 00:00 (190/382): perl-Time-Local-1.300-489.fc37.noarc 772 kB/s | 33 kB 00:00 (191/382): perl-TermReadKey-2.38-14.fc37.aarch6 512 kB/s | 36 kB 00:00 (192/382): perl-parent-0.238-489.fc37.noarch.rp 465 kB/s | 14 kB 00:00 (193/382): perl-constant-1.33-490.fc37.noarch.r 511 kB/s | 23 kB 00:00 (194/382): perl-podlators-4.14-489.fc37.noarch. 1.3 MB/s | 116 kB 00:00 (195/382): pixman-0.40.0-6.fc37.aarch64.rpm 1.4 MB/s | 169 kB 00:00 (196/382): python3-packaging-21.3-6.fc37.noarch 1.3 MB/s | 98 kB 00:00 (197/382): python3-pyparsing-3.0.9-2.fc37.noarc 2.5 MB/s | 262 kB 00:00 (198/382): poppler-data-0.4.11-3.fc37.noarch.rp 9.2 MB/s | 2.0 MB 00:00 (199/382): rhash-1.4.2-3.fc37.aarch64.rpm 1.4 MB/s | 185 kB 00:00 (200/382): scotch-6.1.2-3.fc37.aarch64.rpm 2.2 MB/s | 397 kB 00:00 (201/382): shared-mime-info-2.2-2.fc37.aarch64. 2.1 MB/s | 381 kB 00:00 (202/382): suitesparse-5.10.1-3.fc37.aarch64.rp 2.8 MB/s | 980 kB 00:00 (203/382): tcl-8.6.12-3.fc37.aarch64.rpm 3.6 MB/s | 1.1 MB 00:00 (204/382): tcl-devel-8.6.12-3.fc37.aarch64.rpm 1.6 MB/s | 169 kB 00:00 (205/382): swig-4.0.2-18.fc37.aarch64.rpm 4.6 MB/s | 1.5 MB 00:00 (206/382): tcl-tclreadline-devel-2.1.0-20.fc37. 279 kB/s | 8.2 kB 00:00 (207/382): tcl-tclreadline-2.1.0-20.fc37.aarch6 987 kB/s | 60 kB 00:00 (208/382): tclx-devel-8.4.0-40.fc37.aarch64.rpm 427 kB/s | 13 kB 00:00 (209/382): tclx-8.4.0-40.fc37.aarch64.rpm 1.4 MB/s | 156 kB 00:00 (210/382): unixODBC-2.3.11-1.fc37.aarch64.rpm 2.3 MB/s | 469 kB 00:00 (211/382): urw-base35-bookman-fonts-20200910-15 5.0 MB/s | 848 kB 00:00 (212/382): tk-8.6.12-3.fc37.aarch64.rpm 5.5 MB/s | 1.6 MB 00:00 (213/382): urw-base35-d050000l-fonts-20200910-1 1.1 MB/s | 76 kB 00:00 (214/382): urw-base35-fonts-20200910-15.fc37.no 351 kB/s | 11 kB 00:00 (215/382): urw-base35-fonts-common-20200910-15. 470 kB/s | 21 kB 00:00 (216/382): urw-base35-c059-fonts-20200910-15.fc 3.6 MB/s | 875 kB 00:00 (217/382): urw-base35-gothic-fonts-20200910-15. 2.5 MB/s | 643 kB 00:00 (218/382): urw-base35-nimbus-mono-ps-fonts-2020 3.1 MB/s | 796 kB 00:00 (219/382): urw-base35-nimbus-roman-fonts-202009 5.6 MB/s | 857 kB 00:00 (220/382): urw-base35-standard-symbols-ps-fonts 697 kB/s | 42 kB 00:00 (221/382): urw-base35-p052-fonts-20200910-15.fc 5.5 MB/s | 974 kB 00:00 (222/382): urw-base35-nimbus-sans-fonts-2020091 6.4 MB/s | 1.3 MB 00:00 (223/382): urw-base35-z003-fonts-20200910-15.fc 2.3 MB/s | 276 kB 00:00 (224/382): vulkan-loader-devel-1.3.216.0-3.fc37 251 kB/s | 9.4 kB 00:00 (225/382): xcb-util-0.4.0-20.fc37.aarch64.rpm 317 kB/s | 18 kB 00:00 (226/382): vulkan-loader-1.3.216.0-3.fc37.aarch 1.3 MB/s | 140 kB 00:00 (227/382): xcb-util-keysyms-0.4.0-18.fc37.aarch 293 kB/s | 14 kB 00:00 (228/382): xcb-util-image-0.4.0-20.fc37.aarch64 324 kB/s | 19 kB 00:00 (229/382): xcb-util-wm-0.4.1-23.fc37.aarch64.rp 634 kB/s | 30 kB 00:00 (230/382): xcb-util-renderutil-0.3.9-21.fc37.aa 232 kB/s | 17 kB 00:00 (231/382): vulkan-headers-1.3.216.0-2.fc37.noar 3.7 MB/s | 931 kB 00:00 (232/382): xml-common-0.6.3-59.fc37.noarch.rpm 692 kB/s | 31 kB 00:00 (233/382): zlib-devel-1.2.12-5.fc37.aarch64.rpm 600 kB/s | 44 kB 00:00 (234/382): xorg-x11-proto-devel-2022.2-2.fc37.n 1.8 MB/s | 299 kB 00:00 (235/382): Lmod-8.7.32-1.fc37.aarch64.rpm 3.3 MB/s | 262 kB 00:00 (236/382): CImg-devel-3.1.6-1.fc37.aarch64.rpm 15 MB/s | 1.9 MB 00:00 (237/382): xorg-x11-fonts-ISO8859-1-100dpi-7.5- 3.8 MB/s | 1.1 MB 00:00 (238/382): adobe-mappings-cmap-deprecated-20230 8.1 MB/s | 114 kB 00:00 (239/382): annobin-docs-12.28-1.fc37.noarch.rpm 8.4 MB/s | 91 kB 00:00 (240/382): adobe-mappings-cmap-20230622-1.fc37. 35 MB/s | 2.1 MB 00:00 (241/382): annobin-plugin-gcc-12.28-1.fc37.aarc 46 MB/s | 956 kB 00:00 (242/382): avahi-libs-0.8-18.fc37.aarch64.rpm 5.8 MB/s | 67 kB 00:00 (243/382): clang-resource-filesystem-15.0.7-2.f 1.0 MB/s | 12 kB 00:00 (244/382): alsa-lib-1.2.9-1.fc37.aarch64.rpm 4.2 MB/s | 504 kB 00:00 (245/382): cmake-3.27.7-1.fc37.aarch64.rpm 62 MB/s | 7.2 MB 00:00 (246/382): cmake-data-3.27.7-1.fc37.noarch.rpm 38 MB/s | 2.2 MB 00:00 (247/382): clang-libs-15.0.7-2.fc37.aarch64.rpm 121 MB/s | 19 MB 00:00 (248/382): cmake-filesystem-3.27.7-1.fc37.aarch 804 kB/s | 19 kB 00:00 (249/382): cmake-rpm-macros-3.27.7-1.fc37.noarc 907 kB/s | 18 kB 00:00 (250/382): dbus-libs-1.14.10-1.fc37.aarch64.rpm 13 MB/s | 154 kB 00:00 (251/382): cups-libs-2.4.7-2.fc37.aarch64.rpm 15 MB/s | 266 kB 00:00 (252/382): emacs-filesystem-28.3-0.rc1.fc37.noa 671 kB/s | 7.8 kB 00:00 (253/382): expat-2.5.0-1.fc37.aarch64.rpm 6.1 MB/s | 106 kB 00:00 (254/382): flexiblas-3.3.1-5.fc37.aarch64.rpm 2.2 MB/s | 33 kB 00:00 (255/382): flexiblas-openblas-openmp-3.3.1-5.fc 1.2 MB/s | 16 kB 00:00 (256/382): fontconfig-2.14.1-2.fc37.aarch64.rpm 18 MB/s | 299 kB 00:00 (257/382): flexiblas-netlib-3.3.1-5.fc37.aarch6 34 MB/s | 2.5 MB 00:00 (258/382): cpp-12.3.1-1.fc37.aarch64.rpm 51 MB/s | 9.2 MB 00:00 (259/382): gcc-plugin-annobin-12.3.1-1.fc37.aar 4.4 MB/s | 59 kB 00:00 (260/382): gd-2.3.3-9.fc37.aarch64.rpm 7.8 MB/s | 133 kB 00:00 (261/382): git-2.42.0-2.fc37.aarch64.rpm 5.3 MB/s | 53 kB 00:00 (262/382): gcc-c++-12.3.1-1.fc37.aarch64.rpm 86 MB/s | 11 MB 00:00 (263/382): git-core-2.42.0-2.fc37.aarch64.rpm 94 MB/s | 4.5 MB 00:00 (264/382): git-core-doc-2.42.0-2.fc37.noarch.rp 90 MB/s | 2.8 MB 00:00 (265/382): glibc-devel-2.36-18.fc37.aarch64.rpm 28 MB/s | 572 kB 00:00 (266/382): gnutls-3.8.1-1.fc37.aarch64.rpm 33 MB/s | 1.1 MB 00:00 (267/382): glib2-2.74.7-2.fc37.aarch64.rpm 42 MB/s | 2.7 MB 00:00 (268/382): google-noto-fonts-common-20201206^1. 1.5 MB/s | 18 kB 00:00 (269/382): google-noto-sans-vf-fonts-20201206^1 45 MB/s | 728 kB 00:00 (270/382): highway-1.0.7-1.fc37.aarch64.rpm 6.6 MB/s | 95 kB 00:00 (271/382): kernel-headers-6.5.4-100.fc37.aarch6 59 MB/s | 1.5 MB 00:00 (272/382): lcms2-2.14-1.fc37.aarch64.rpm 12 MB/s | 174 kB 00:00 (273/382): less-633-1.fc37.aarch64.rpm 17 MB/s | 175 kB 00:00 (274/382): libX11-1.8.4-1.fc37.aarch64.rpm 51 MB/s | 637 kB 00:00 (275/382): libX11-common-1.8.4-1.fc37.noarch.rp 16 MB/s | 177 kB 00:00 (276/382): libX11-devel-1.8.4-1.fc37.aarch64.rp 77 MB/s | 1.0 MB 00:00 (277/382): libX11-xcb-1.8.4-1.fc37.aarch64.rpm 1.2 MB/s | 11 kB 00:00 (278/382): libXpm-3.5.15-2.fc37.aarch64.rpm 5.0 MB/s | 60 kB 00:00 (279/382): libaom-3.7.0-1.fc37.aarch64.rpm 37 MB/s | 1.4 MB 00:00 (280/382): gcc-12.3.1-1.fc37.aarch64.rpm 61 MB/s | 29 MB 00:00 (281/382): libasan-12.3.1-1.fc37.aarch64.rpm 11 MB/s | 433 kB 00:00 (282/382): libatomic-12.3.1-1.fc37.aarch64.rpm 3.7 MB/s | 48 kB 00:00 (283/382): libavif-0.11.1-4.fc37.aarch64.rpm 6.2 MB/s | 79 kB 00:00 (284/382): libdrm-2.4.117-1.fc37.aarch64.rpm 8.0 MB/s | 135 kB 00:00 (285/382): libdav1d-1.2.1-1.fc37.aarch64.rpm 18 MB/s | 348 kB 00:00 (286/382): libedit-3.1-43.20221009cvs.fc37.aarc 10 MB/s | 105 kB 00:00 (287/382): libgfortran-12.3.1-1.fc37.aarch64.rp 24 MB/s | 440 kB 00:00 (288/382): libinput-1.22.1-1.fc37.aarch64.rpm 15 MB/s | 202 kB 00:00 (289/382): libjxl-0.7.0-5.fc37.aarch64.rpm 38 MB/s | 677 kB 00:00 (290/382): libnauty-2.8.6-5.fc37.aarch64.rpm 29 MB/s | 511 kB 00:00 (291/382): libgs-9.56.1-9.fc37.aarch64.rpm 56 MB/s | 3.5 MB 00:00 (292/382): libstdc++-devel-12.3.1-1.fc37.aarch6 75 MB/s | 2.5 MB 00:00 (293/382): java-17-openjdk-headless-17.0.8.0.7- 119 MB/s | 45 MB 00:00 (294/382): libubsan-12.3.1-1.fc37.aarch64.rpm 3.9 MB/s | 198 kB 00:00 (295/382): librsvg2-2.54.6-1.fc37.aarch64.rpm 36 MB/s | 3.4 MB 00:00 (296/382): libwacom-2.6.0-1.fc37.aarch64.rpm 3.8 MB/s | 42 kB 00:00 (297/382): libuv-1.46.0-1.fc37.aarch64.rpm 12 MB/s | 155 kB 00:00 (298/382): libwacom-data-2.6.0-1.fc37.noarch.rp 15 MB/s | 184 kB 00:00 (299/382): libxcrypt-devel-4.4.36-1.fc37.aarch6 2.7 MB/s | 29 kB 00:00 (300/382): libwebp-1.3.2-2.fc37.aarch64.rpm 17 MB/s | 244 kB 00:00 (301/382): mesa-libEGL-23.0.3-1.fc37.aarch64.rp 13 MB/s | 129 kB 00:00 (302/382): lua-5.4.4-9.fc37.aarch64.rpm 13 MB/s | 187 kB 00:00 (303/382): mesa-libEGL-devel-23.0.3-1.fc37.aarc 1.9 MB/s | 21 kB 00:00 (304/382): mesa-libGL-23.0.3-1.fc37.aarch64.rpm 16 MB/s | 181 kB 00:00 (305/382): mesa-libgbm-23.0.3-1.fc37.aarch64.rp 4.2 MB/s | 45 kB 00:00 (306/382): mesa-libglapi-23.0.3-1.fc37.aarch64. 5.7 MB/s | 67 kB 00:00 (307/382): ncurses-6.4-3.20230114.fc37.aarch64. 36 MB/s | 410 kB 00:00 (308/382): ncurses-c++-libs-6.4-3.20230114.fc37 3.3 MB/s | 36 kB 00:00 (309/382): netpbm-11.02.00-1.fc37.aarch64.rpm 12 MB/s | 182 kB 00:00 (310/382): ncurses-devel-6.4-3.20230114.fc37.aa 30 MB/s | 549 kB 00:00 (311/382): nspr-4.35.0-11.fc37.aarch64.rpm 9.9 MB/s | 133 kB 00:00 (312/382): nss-3.94.0-2.fc37.aarch64.rpm 39 MB/s | 689 kB 00:00 (313/382): nss-softokn-3.94.0-2.fc37.aarch64.rp 25 MB/s | 391 kB 00:00 (314/382): nss-softokn-freebl-3.94.0-2.fc37.aar 22 MB/s | 328 kB 00:00 (315/382): nss-sysinit-3.94.0-2.fc37.aarch64.rp 1.5 MB/s | 18 kB 00:00 (316/382): nss-util-3.94.0-2.fc37.aarch64.rpm 6.7 MB/s | 85 kB 00:00 (317/382): openjpeg2-2.5.0-5.fc37.aarch64.rpm 13 MB/s | 173 kB 00:00 (318/382): openssh-8.8p1-11.fc37.aarch64.rpm 39 MB/s | 439 kB 00:00 (319/382): llvm-libs-15.0.7-2.fc37.aarch64.rpm 155 MB/s | 24 MB 00:00 (320/382): pango-1.50.14-1.fc37.aarch64.rpm 11 MB/s | 337 kB 00:00 (321/382): openssh-clients-8.8p1-11.fc37.aarch6 19 MB/s | 669 kB 00:00 (322/382): perl-Class-Struct-0.66-494.fc37.noar 2.4 MB/s | 23 kB 00:00 (323/382): perl-DynaLoader-1.52-494.fc37.aarch6 2.8 MB/s | 27 kB 00:00 (324/382): perl-English-1.11-494.fc37.noarch.rp 1.4 MB/s | 15 kB 00:00 (325/382): perl-Errno-1.36-494.fc37.aarch64.rpm 1.6 MB/s | 16 kB 00:00 (326/382): perl-Fcntl-1.15-494.fc37.aarch64.rpm 2.2 MB/s | 22 kB 00:00 (327/382): perl-File-Basename-2.85-494.fc37.noa 1.9 MB/s | 18 kB 00:00 (328/382): perl-File-Find-1.40-494.fc37.noarch. 2.7 MB/s | 26 kB 00:00 (329/382): perl-File-stat-1.12-494.fc37.noarch. 1.9 MB/s | 18 kB 00:00 (330/382): perl-Getopt-Long-2.54-1.fc37.noarch. 6.1 MB/s | 60 kB 00:00 (331/382): perl-Getopt-Std-1.13-494.fc37.noarch 1.7 MB/s | 17 kB 00:00 (332/382): perl-Git-2.42.0-2.fc37.noarch.rpm 4.2 MB/s | 41 kB 00:00 (333/382): perl-HTTP-Tiny-0.086-1.fc37.noarch.r 5.6 MB/s | 55 kB 00:00 (334/382): perl-IO-1.50-494.fc37.aarch64.rpm 9.3 MB/s | 93 kB 00:00 (335/382): perl-IPC-Open3-1.22-494.fc37.noarch. 2.4 MB/s | 24 kB 00:00 (336/382): perl-POSIX-2.03-494.fc37.aarch64.rpm 9.9 MB/s | 99 kB 00:00 (337/382): perl-SelectSaver-1.02-494.fc37.noarc 1.3 MB/s | 13 kB 00:00 (338/382): perl-Symbol-1.09-494.fc37.noarch.rpm 1.6 MB/s | 15 kB 00:00 (339/382): perl-Text-Tabs+Wrap-2023.0511-1.fc37 2.1 MB/s | 23 kB 00:00 (340/382): perl-if-0.61.000-494.fc37.noarch.rpm 1.5 MB/s | 15 kB 00:00 (341/382): perl-interpreter-5.36.1-494.fc37.aar 7.4 MB/s | 73 kB 00:00 (342/382): perl-lib-0.65-494.fc37.aarch64.rpm 1.6 MB/s | 16 kB 00:00 (343/382): perl-locale-1.10-494.fc37.noarch.rpm 1.5 MB/s | 15 kB 00:00 (344/382): perl-mro-1.26-494.fc37.aarch64.rpm 3.0 MB/s | 29 kB 00:00 (345/382): perl-libs-5.36.1-494.fc37.aarch64.rp 100 MB/s | 2.2 MB 00:00 (346/382): perl-overload-1.35-494.fc37.noarch.r 4.3 MB/s | 47 kB 00:00 (347/382): perl-overloading-0.02-494.fc37.noarc 1.4 MB/s | 14 kB 00:00 (348/382): perl-subs-1.04-494.fc37.noarch.rpm 1.3 MB/s | 13 kB 00:00 (349/382): perl-vars-1.05-494.fc37.noarch.rpm 1.4 MB/s | 14 kB 00:00 (350/382): poppler-22.08.0-5.fc37.aarch64.rpm 57 MB/s | 1.1 MB 00:00 (351/382): poppler-glib-22.08.0-5.fc37.aarch64. 12 MB/s | 166 kB 00:00 (352/382): procps-ng-3.3.17-6.fc37.3.aarch64.rp 25 MB/s | 342 kB 00:00 (353/382): protobuf-devel-3.19.6-1.fc37.aarch64 24 MB/s | 391 kB 00:00 (354/382): protobuf-compiler-3.19.6-1.fc37.aarc 35 MB/s | 680 kB 00:00 (355/382): protobuf-3.19.6-1.fc37.aarch64.rpm 39 MB/s | 893 kB 00:00 (356/382): pyproject-rpm-macros-1.10.0-1.fc37.n 3.9 MB/s | 41 kB 00:00 (357/382): python-rpm-macros-3.11-6.fc37.noarch 1.8 MB/s | 19 kB 00:00 (358/382): python-pip-wheel-22.2.2-3.fc37.noarc 62 MB/s | 1.4 MB 00:00 (359/382): python3-3.11.6-1.fc37.aarch64.rpm 2.4 MB/s | 28 kB 00:00 (360/382): python-setuptools-wheel-62.6.0-3.fc3 39 MB/s | 711 kB 00:00 (361/382): python3-devel-3.11.6-1.fc37.aarch64. 20 MB/s | 269 kB 00:00 (362/382): python3-rpm-generators-13-3.fc37.noa 2.6 MB/s | 29 kB 00:00 (363/382): python3-rpm-macros-3.11-6.fc37.noarc 1.4 MB/s | 14 kB 00:00 (364/382): qt-settings-37.2-1.fc37.noarch.rpm 440 kB/s | 8.7 kB 00:00 (365/382): python3-libs-3.11.6-1.fc37.aarch64.r 97 MB/s | 9.5 MB 00:00 (366/382): qt5-qtbase-common-5.15.10-9.fc37.noa 953 kB/s | 12 kB 00:00 (367/382): python3-numpy-1.24.3-1.fc37.aarch64. 60 MB/s | 7.2 MB 00:00 (368/382): qt5-qtbase-5.15.10-9.fc37.aarch64.rp 47 MB/s | 3.5 MB 00:00 (369/382): qt5-rpm-macros-5.15.10-1.fc37.noarch 589 kB/s | 9.9 kB 00:00 (370/382): rav1e-libs-0.6.6-3.fc37.aarch64.rpm 37 MB/s | 774 kB 00:00 (371/382): qt5-qtbase-devel-5.15.10-9.fc37.aarc 60 MB/s | 3.4 MB 00:00 (372/382): re2-20220601-1.fc37.aarch64.rpm 13 MB/s | 182 kB 00:00 (373/382): readline-devel-8.2-2.fc37.aarch64.rp 11 MB/s | 207 kB 00:00 (374/382): qt5-qtbase-gui-5.15.10-9.fc37.aarch6 65 MB/s | 6.3 MB 00:00 (375/382): scala-library-2.13.10-1.fc37.noarch. 53 MB/s | 5.0 MB 00:00 (376/382): scala-reflect-2.13.10-1.fc37.noarch. 41 MB/s | 3.2 MB 00:00 (377/382): tbb-2020.3-13.fc37.aarch64.rpm 7.9 MB/s | 139 kB 00:00 (378/382): vim-filesystem-9.0.2120-1.fc37.noarc 1.4 MB/s | 18 kB 00:00 (379/382): tzdata-java-2023c-1.fc37.noarch.rpm 11 MB/s | 208 kB 00:00 (380/382): xapian-core-libs-1.4.22-1.fc37.aarch 35 MB/s | 684 kB 00:00 (381/382): xkeyboard-config-2.36-3.fc37.noarch. 46 MB/s | 957 kB 00:00 (382/382): scala-2.13.10-1.fc37.noarch.rpm 56 MB/s | 10 MB 00:00 -------------------------------------------------------------------------------- Total 32 MB/s | 393 MB 00:12 Running transaction check Transaction check succeeded. Running transaction test Transaction test succeeded. Running transaction Running scriptlet: copy-jdk-configs-4.1-1.fc37.noarch 1/1 Running scriptlet: java-17-openjdk-headless-1:17.0.8.0.7-1.fc37.aarch64 1/1 Preparing : 1/1 Installing : javapackages-filesystem-6.1.0-4.fc37.noarch 1/382 Installing : cmake-filesystem-3.27.7-1.fc37.aarch64 2/382 Installing : libpng-2:1.6.37-13.fc37.aarch64 3/382 Installing : boost-system-1.78.0-9.fc37.aarch64 4/382 Installing : expat-2.5.0-1.fc37.aarch64 5/382 Installing : libjpeg-turbo-2.1.3-2.fc37.aarch64 6/382 Installing : boost-chrono-1.78.0-9.fc37.aarch64 7/382 Installing : nspr-4.35.0-11.fc37.aarch64 8/382 Installing : tcl-1:8.6.12-3.fc37.aarch64 9/382 Installing : libicu-71.1-2.fc37.aarch64 10/382 Installing : boost-thread-1.78.0-9.fc37.aarch64 11/382 Installing : libglvnd-1:1.5.0-1.fc37.aarch64 12/382 Installing : nss-util-3.94.0-2.fc37.aarch64 13/382 Installing : libdrm-2.4.117-1.fc37.aarch64 14/382 Installing : libX11-xcb-1.8.4-1.fc37.aarch64 15/382 Installing : fonts-filesystem-1:2.0.5-9.fc37.noarch 16/382 Installing : urw-base35-fonts-common-20200910-15.fc37.noarch 17/382 Installing : boost-regex-1.78.0-9.fc37.aarch64 18/382 Installing : python-rpm-macros-3.11-6.fc37.noarch 19/382 Installing : protobuf-3.19.6-1.fc37.aarch64 20/382 Installing : lua-5.4.4-9.fc37.aarch64 21/382 Installing : libwebp-1.3.2-2.fc37.aarch64 22/382 Installing : libgfortran-12.3.1-1.fc37.aarch64 23/382 Installing : xorg-x11-proto-devel-2022.2-2.fc37.noarch 24/382 Installing : libtool-ltdl-2.4.7-2.fc37.aarch64 25/382 Installing : libmpc-1.2.1-5.fc37.aarch64 26/382 Installing : boost-context-1.78.0-9.fc37.aarch64 27/382 Installing : boost-atomic-1.78.0-9.fc37.aarch64 28/382 Installing : boost-filesystem-1.78.0-9.fc37.aarch64 29/382 Installing : python3-rpm-macros-3.11-6.fc37.noarch 30/382 Installing : openjpeg2-2.5.0-5.fc37.aarch64 31/382 Installing : mesa-libglapi-23.0.3-1.fc37.aarch64 32/382 Installing : libstdc++-devel-12.3.1-1.fc37.aarch64 33/382 Installing : libedit-3.1-43.20221009cvs.fc37.aarch64 34/382 Installing : libatomic-12.3.1-1.fc37.aarch64 35/382 Installing : lcms2-2.14-1.fc37.aarch64 36/382 Installing : dbus-libs-1:1.14.10-1.fc37.aarch64 37/382 Installing : adobe-mappings-cmap-20230622-1.fc37.noarch 38/382 Installing : zlib-devel-1.2.12-5.fc37.aarch64 39/382 Installing : m4-1.4.19-4.fc37.aarch64 40/382 Installing : lua-posix-35.1-4.fc37.aarch64 41/382 Installing : libxshmfence-1.3-11.fc37.aarch64 42/382 Installing : libwayland-server-1.21.0-1.fc37.aarch64 43/382 Installing : mesa-libgbm-23.0.3-1.fc37.aarch64 44/382 Installing : libXau-1.0.10-1.fc37.aarch64 45/382 Installing : libxcb-1.13.1-10.fc37.aarch64 46/382 Installing : libICE-1.0.10-9.fc37.aarch64 47/382 Installing : gtest-1.12.1-2.fc37.aarch64 48/382 Installing : gmock-1.12.1-2.fc37.aarch64 49/382 Installing : boost-date-time-1.78.0-9.fc37.aarch64 50/382 Installing : boost-container-1.78.0-9.fc37.aarch64 51/382 Installing : abseil-cpp-compat-20230125-20230125.3-1.fc37.aar 52/382 Installing : abseil-cpp-compat-20230125-devel-20230125.3-1.fc 53/382 Installing : boost-json-1.78.0-9.fc37.aarch64 54/382 Installing : boost-wave-1.78.0-9.fc37.aarch64 55/382 Installing : gtest-devel-1.12.1-2.fc37.aarch64 56/382 Installing : libSM-1.2.3-11.fc37.aarch64 57/382 Installing : xcb-util-0.4.0-20.fc37.aarch64 58/382 Installing : xcb-util-image-0.4.0-20.fc37.aarch64 59/382 Installing : xcb-util-keysyms-0.4.0-18.fc37.aarch64 60/382 Installing : xcb-util-renderutil-0.3.9-21.fc37.aarch64 61/382 Installing : xcb-util-wm-0.4.1-23.fc37.aarch64 62/382 Installing : libXau-devel-1.0.10-1.fc37.aarch64 63/382 Installing : libxcb-devel-1.13.1-10.fc37.aarch64 64/382 Installing : copy-jdk-configs-4.1-1.fc37.noarch 65/382 Installing : adobe-mappings-cmap-deprecated-20230622-1.fc37.n 66/382 Installing : avahi-libs-0.8-18.fc37.aarch64 67/382 Installing : llvm-libs-15.0.7-2.fc37.aarch64 68/382 Installing : pyproject-rpm-macros-1.10.0-1.fc37.noarch 69/382 Installing : boost-fiber-1.78.0-9.fc37.aarch64 70/382 Installing : boost-log-1.78.0-9.fc37.aarch64 71/382 Installing : boost-coroutine-1.78.0-9.fc37.aarch64 72/382 Installing : cpp-12.3.1-1.fc37.aarch64 73/382 Installing : unixODBC-2.3.11-1.fc37.aarch64 74/382 Installing : lua-term-0.07-16.fc37.aarch64 75/382 Installing : protobuf-compiler-3.19.6-1.fc37.aarch64 76/382 Installing : protobuf-devel-3.19.6-1.fc37.aarch64 77/382 Installing : boost-graph-1.78.0-9.fc37.aarch64 78/382 Installing : urw-base35-bookman-fonts-20200910-15.fc37.noarch 79/382 Running scriptlet: urw-base35-bookman-fonts-20200910-15.fc37.noarch 79/382 Installing : urw-base35-c059-fonts-20200910-15.fc37.noarch 80/382 Running scriptlet: urw-base35-c059-fonts-20200910-15.fc37.noarch 80/382 Installing : urw-base35-d050000l-fonts-20200910-15.fc37.noarc 81/382 Running scriptlet: urw-base35-d050000l-fonts-20200910-15.fc37.noarc 81/382 Installing : urw-base35-gothic-fonts-20200910-15.fc37.noarch 82/382 Running scriptlet: urw-base35-gothic-fonts-20200910-15.fc37.noarch 82/382 Installing : urw-base35-nimbus-mono-ps-fonts-20200910-15.fc37 83/382 Running scriptlet: urw-base35-nimbus-mono-ps-fonts-20200910-15.fc37 83/382 Installing : urw-base35-nimbus-roman-fonts-20200910-15.fc37.n 84/382 Running scriptlet: urw-base35-nimbus-roman-fonts-20200910-15.fc37.n 84/382 Installing : urw-base35-nimbus-sans-fonts-20200910-15.fc37.no 85/382 Running scriptlet: urw-base35-nimbus-sans-fonts-20200910-15.fc37.no 85/382 Installing : urw-base35-p052-fonts-20200910-15.fc37.noarch 86/382 Running scriptlet: urw-base35-p052-fonts-20200910-15.fc37.noarch 86/382 Installing : urw-base35-standard-symbols-ps-fonts-20200910-15 87/382 Running scriptlet: urw-base35-standard-symbols-ps-fonts-20200910-15 87/382 Installing : urw-base35-z003-fonts-20200910-15.fc37.noarch 88/382 Running scriptlet: urw-base35-z003-fonts-20200910-15.fc37.noarch 88/382 Installing : urw-base35-fonts-20200910-15.fc37.noarch 89/382 Installing : nss-softokn-freebl-3.94.0-2.fc37.aarch64 90/382 Installing : nss-softokn-3.94.0-2.fc37.aarch64 91/382 Installing : libglvnd-opengl-1:1.5.0-1.fc37.aarch64 92/382 Installing : boost-locale-1.78.0-9.fc37.aarch64 93/382 Installing : boost-type_erasure-1.78.0-9.fc37.aarch64 94/382 Installing : libicu-devel-71.1-2.fc37.aarch64 95/382 Installing : tcl-tclreadline-2.1.0-20.fc37.aarch64 96/382 Installing : boost-timer-1.78.0-9.fc37.aarch64 97/382 Installing : eigen3-devel-3.4.0-8.fc37.noarch 98/382 Installing : xkeyboard-config-2.36-3.fc37.noarch 99/382 Installing : libxkbcommon-1.4.1-2.fc37.aarch64 100/382 Installing : libxkbcommon-x11-1.4.1-2.fc37.aarch64 101/382 Installing : xapian-core-libs-1.4.22-1.fc37.aarch64 102/382 Installing : vim-filesystem-2:9.0.2120-1.fc37.noarch 103/382 Installing : tzdata-java-2023c-1.fc37.noarch 104/382 Installing : tbb-2020.3-13.fc37.aarch64 105/382 Installing : re2-1:20220601-1.fc37.aarch64 106/382 Installing : rav1e-libs-0.6.6-3.fc37.aarch64 107/382 Installing : qt-settings-37.2-1.fc37.noarch 108/382 Installing : python-setuptools-wheel-62.6.0-3.fc37.noarch 109/382 Installing : python-pip-wheel-22.2.2-3.fc37.noarch 110/382 Installing : procps-ng-3.3.17-6.fc37.3.aarch64 111/382 Running scriptlet: openssh-8.8p1-11.fc37.aarch64 112/382 Installing : openssh-8.8p1-11.fc37.aarch64 112/382 Installing : netpbm-11.02.00-1.fc37.aarch64 113/382 Installing : ncurses-c++-libs-6.4-3.20230114.fc37.aarch64 114/382 Installing : ncurses-devel-6.4-3.20230114.fc37.aarch64 115/382 Installing : ncurses-6.4-3.20230114.fc37.aarch64 116/382 Installing : libwacom-data-2.6.0-1.fc37.noarch 117/382 Installing : libuv-1:1.46.0-1.fc37.aarch64 118/382 Installing : libubsan-12.3.1-1.fc37.aarch64 119/382 Installing : libdav1d-1.2.1-1.fc37.aarch64 120/382 Installing : libasan-12.3.1-1.fc37.aarch64 121/382 Installing : libX11-common-1.8.4-1.fc37.noarch 122/382 Installing : libX11-1.8.4-1.fc37.aarch64 123/382 Installing : libXext-1.3.4-9.fc37.aarch64 124/382 Installing : libXrender-0.9.10-17.fc37.aarch64 125/382 Installing : libX11-devel-1.8.4-1.fc37.aarch64 126/382 Installing : libXxf86vm-1.1.4-19.fc37.aarch64 127/382 Installing : libXfixes-6.0.0-4.fc37.aarch64 128/382 Installing : libglvnd-glx-1:1.5.0-1.fc37.aarch64 129/382 Installing : mesa-libGL-23.0.3-1.fc37.aarch64 130/382 Installing : glx-utils-8.4.0-14.20210504git0f9e7d9.fc37.aarch 131/382 Installing : libXpm-3.5.15-2.fc37.aarch64 132/382 Installing : less-633-1.fc37.aarch64 133/382 Installing : kernel-headers-6.5.4-100.fc37.aarch64 134/382 Installing : libxcrypt-devel-4.4.36-1.fc37.aarch64 135/382 Installing : glibc-devel-2.36-18.fc37.aarch64 136/382 Installing : highway-1.0.7-1.fc37.aarch64 137/382 Installing : google-noto-fonts-common-20201206^1.git0c78c8329 138/382 Installing : google-noto-sans-vf-fonts-20201206^1.git0c78c832 139/382 Installing : google-droid-sans-fonts-20200215-13.fc37.noarch 140/382 Installing : langpacks-core-font-en-3.0-26.fc37.noarch 141/382 Installing : emacs-filesystem-1:28.3-0.rc1.fc37.noarch 142/382 Installing : clang-resource-filesystem-15.0.7-2.fc37.aarch64 143/382 Installing : clang-libs-15.0.7-2.fc37.aarch64 144/382 Installing : annobin-docs-12.28-1.fc37.noarch 145/382 Installing : alsa-lib-1.2.9-1.fc37.aarch64 146/382 Running scriptlet: xml-common-0.6.3-59.fc37.noarch 147/382 Installing : xml-common-0.6.3-59.fc37.noarch 147/382 Installing : vulkan-loader-1.3.216.0-3.fc37.aarch64 148/382 Installing : vulkan-headers-1.3.216.0-2.fc37.noarch 149/382 Installing : vulkan-loader-devel-1.3.216.0-3.fc37.aarch64 150/382 Installing : scotch-6.1.2-3.fc37.aarch64 151/382 Installing : rhash-1.4.2-3.fc37.aarch64 152/382 Installing : poppler-data-0.4.11-3.fc37.noarch 153/382 Installing : pixman-0.40.0-6.fc37.aarch64 154/382 Installing : pcre2-utf16-10.40-1.fc37.1.aarch64 155/382 Installing : openblas-0.3.21-3.fc37.aarch64 156/382 Installing : openblas-openmp-0.3.21-3.fc37.aarch64 157/382 Installing : flexiblas-netlib-3.3.1-5.fc37.aarch64 158/382 Installing : flexiblas-3.3.1-5.fc37.aarch64 159/382 Installing : flexiblas-openblas-openmp-3.3.1-5.fc37.aarch64 160/382 Installing : suitesparse-5.10.1-3.fc37.aarch64 161/382 Installing : glpk-5.0-5.fc37.aarch64 162/382 Installing : coin-or-CoinUtils-2.11.4-7.fc37.aarch64 163/382 Installing : coin-or-Osi-0.108.6-6.fc37.aarch64 164/382 Installing : nettle-3.8-2.fc37.aarch64 165/382 Installing : gnutls-3.8.1-1.fc37.aarch64 166/382 Installing : glib2-2.74.7-2.fc37.aarch64 167/382 Installing : cups-libs-1:2.4.7-2.fc37.aarch64 168/382 Installing : shared-mime-info-2.2-2.fc37.aarch64 169/382 Running scriptlet: shared-mime-info-2.2-2.fc37.aarch64 169/382 Installing : gdk-pixbuf2-2.42.10-1.fc37.aarch64 170/382 Installing : libjxl-1:0.7.0-5.fc37.aarch64 171/382 Installing : libaom-3.7.0-1.fc37.aarch64 172/382 Installing : libavif-0.11.1-4.fc37.aarch64 173/382 Installing : gts-0.7.6-42.20121130.fc37.aarch64 174/382 Installing : libgudev-237-3.fc37.aarch64 175/382 Installing : mtdev-1.1.6-4.fc37.aarch64 176/382 Installing : mpdecimal-2.5.1-4.fc37.aarch64 177/382 Installing : lua-lpeg-1.0.2-9.fc37.aarch64 178/382 Installing : lua-json-1.3.4-2.fc37.noarch 179/382 Installing : lua-filesystem-1.8.0-7.fc37.aarch64 180/382 Installing : Lmod-8.7.32-1.fc37.aarch64 181/382 Running scriptlet: Lmod-8.7.32-1.fc37.aarch64 181/382 Installing : lksctp-tools-1.0.19-2.fc37.aarch64 182/382 Installing : libwayland-client-1.21.0-1.fc37.aarch64 183/382 Installing : libglvnd-egl-1:1.5.0-1.fc37.aarch64 184/382 Installing : mesa-libEGL-23.0.3-1.fc37.aarch64 185/382 Installing : libglvnd-gles-1:1.5.0-1.fc37.aarch64 186/382 Installing : libproxy-0.4.18-3.fc37.aarch64 187/382 Installing : libpaper-1.1.28-5.fc37.aarch64 188/382 Installing : libimagequant-2.17.0-3.fc37.aarch64 189/382 Installing : libijs-0.35-16.fc37.aarch64 190/382 Installing : libglvnd-core-devel-1:1.5.0-1.fc37.aarch64 191/382 Installing : libglvnd-devel-1:1.5.0-1.fc37.aarch64 192/382 Installing : mesa-libEGL-devel-23.0.3-1.fc37.aarch64 193/382 Installing : libfontenc-1.1.4-4.fc37.aarch64 194/382 Installing : libevdev-1.13.0-1.fc37.aarch64 195/382 Installing : libdatrie-0.2.13-4.fc37.aarch64 196/382 Installing : libthai-0.1.29-3.fc37.aarch64 197/382 Installing : libcbor-0.7.0-7.fc37.aarch64 198/382 Installing : libfido2-1.11.0-3.fc37.aarch64 199/382 Installing : openssh-clients-8.8p1-11.fc37.aarch64 200/382 Running scriptlet: openssh-clients-8.8p1-11.fc37.aarch64 200/382 Installing : git-core-2.42.0-2.fc37.aarch64 201/382 Installing : git-core-doc-2.42.0-2.fc37.noarch 202/382 Installing : libb2-0.98.1-7.fc37.aarch64 203/382 Installing : python3-3.11.6-1.fc37.aarch64 204/382 Installing : python3-libs-3.11.6-1.fc37.aarch64 205/382 Installing : boost-python3-1.78.0-9.fc37.aarch64 206/382 Installing : cmake-rpm-macros-3.27.7-1.fc37.noarch 207/382 Installing : crypto-policies-scripts-20220815-1.gite4ed860.fc 208/382 Installing : nss-sysinit-3.94.0-2.fc37.aarch64 209/382 Installing : nss-3.94.0-2.fc37.aarch64 210/382 Running scriptlet: nss-3.94.0-2.fc37.aarch64 210/382 Installing : java-17-openjdk-headless-1:17.0.8.0.7-1.fc37.aar 211/382 Running scriptlet: java-17-openjdk-headless-1:17.0.8.0.7-1.fc37.aar 211/382 Installing : jline-terminal-3.21.0-6.fc37.noarch 212/382 Installing : scala-library-2.13.10-1.fc37.noarch 213/382 Installing : jline-reader-3.21.0-6.fc37.noarch 214/382 Installing : jline-style-3.21.0-6.fc37.noarch 215/382 Installing : javapackages-tools-6.1.0-4.fc37.noarch 216/382 Installing : objectweb-asm-9.3-2.fc37.noarch 217/382 Installing : jna-5.12.1-2.fc37.aarch64 218/382 Installing : jline-terminal-jna-3.21.0-6.fc37.noarch 219/382 Installing : byte-buddy-1.12.10-2.fc37.noarch 220/382 Installing : jline-builtins-3.21.0-6.fc37.noarch 221/382 Installing : scala-reflect-2.13.10-1.fc37.noarch 222/382 Installing : byte-buddy-agent-1.12.10-2.fc37.noarch 223/382 Installing : java-diff-utils-4.12-1.fc37.noarch 224/382 Installing : scala-2.13.10-1.fc37.noarch 225/382 Installing : objenesis-3.1-10.fc37.noarch 226/382 Installing : opentest4j-1.2.0-11.fc37.noarch 227/382 Installing : mockito-3.12.4-5.fc37.noarch 228/382 Installing : jacop-4.8-9.fc37.noarch 229/382 Installing : python3-pyparsing-3.0.9-2.fc37.noarch 230/382 Installing : python3-packaging-21.3-6.fc37.noarch 231/382 Installing : python3-rpm-generators-13-3.fc37.noarch 232/382 Installing : libwacom-2.6.0-1.fc37.aarch64 233/382 Installing : libinput-1.22.1-1.fc37.aarch64 234/382 Running scriptlet: libinput-1.22.1-1.fc37.aarch64 234/382 Installing : python3-numpy-1:1.24.3-1.fc37.aarch64 235/382 Installing : boost-numpy3-1.78.0-9.fc37.aarch64 236/382 Installing : jsoncpp-1.9.5-3.fc37.aarch64 237/382 Installing : jbigkit-libs-2.1-24.fc37.aarch64 238/382 Installing : libtiff-4.4.0-4.fc37.aarch64 239/382 Installing : jbig2dec-libs-0.19-7.fc37.aarch64 240/382 Installing : gsl-2.6-7.fc37.aarch64 241/382 Running scriptlet: groff-base-1.22.4-10.fc37.aarch64 242/382 Installing : groff-base-1.22.4-10.fc37.aarch64 242/382 Running scriptlet: groff-base-1.22.4-10.fc37.aarch64 242/382 Installing : perl-Time-Local-2:1.300-489.fc37.noarch 243/382 Installing : perl-Text-Tabs+Wrap-2023.0511-1.fc37.noarch 244/382 Installing : perl-if-0.61.000-494.fc37.noarch 245/382 Installing : perl-locale-1.10-494.fc37.noarch 246/382 Installing : perl-File-Path-2.18-489.fc37.noarch 247/382 Installing : perl-Pod-Escapes-1:1.07-489.fc37.noarch 248/382 Installing : perl-Term-ANSIColor-5.01-490.fc37.noarch 249/382 Installing : perl-Class-Struct-0.66-494.fc37.noarch 250/382 Installing : perl-POSIX-2.03-494.fc37.aarch64 251/382 Installing : perl-HTTP-Tiny-0.086-1.fc37.noarch 252/382 Installing : perl-IPC-Open3-1.22-494.fc37.noarch 253/382 Installing : perl-subs-1.04-494.fc37.noarch 254/382 Installing : perl-File-Temp-1:0.231.100-489.fc37.noarch 255/382 Installing : perl-Term-Cap-1.17-489.fc37.noarch 256/382 Installing : perl-Pod-Simple-1:3.43-490.fc37.noarch 257/382 Installing : perl-Socket-4:2.036-1.fc37.aarch64 258/382 Installing : perl-SelectSaver-1.02-494.fc37.noarch 259/382 Installing : perl-Symbol-1.09-494.fc37.noarch 260/382 Installing : perl-File-stat-1.12-494.fc37.noarch 261/382 Installing : perl-podlators-1:4.14-489.fc37.noarch 262/382 Installing : perl-Pod-Perldoc-3.28.01-490.fc37.noarch 263/382 Installing : perl-Text-ParseWords-3.31-489.fc37.noarch 264/382 Installing : perl-Fcntl-1.15-494.fc37.aarch64 265/382 Installing : perl-mro-1.26-494.fc37.aarch64 266/382 Installing : perl-IO-1.50-494.fc37.aarch64 267/382 Installing : perl-overloading-0.02-494.fc37.noarch 268/382 Installing : perl-Pod-Usage-4:2.03-3.fc37.noarch 269/382 Installing : perl-MIME-Base64-3.16-489.fc37.aarch64 270/382 Installing : perl-Scalar-List-Utils-5:1.63-489.fc37.aarch64 271/382 Installing : perl-constant-1.33-490.fc37.noarch 272/382 Installing : perl-parent-1:0.238-489.fc37.noarch 273/382 Installing : perl-Errno-1.36-494.fc37.aarch64 274/382 Installing : perl-File-Basename-2.85-494.fc37.noarch 275/382 Installing : perl-Getopt-Std-1.13-494.fc37.noarch 276/382 Installing : perl-Storable-1:3.26-489.fc37.aarch64 277/382 Installing : perl-overload-1.35-494.fc37.noarch 278/382 Installing : perl-vars-1.05-494.fc37.noarch 279/382 Installing : perl-Getopt-Long-1:2.54-1.fc37.noarch 280/382 Installing : perl-Carp-1.52-489.fc37.noarch 281/382 Installing : perl-Exporter-5.77-489.fc37.noarch 282/382 Installing : perl-PathTools-3.84-489.fc37.aarch64 283/382 Installing : perl-DynaLoader-1.52-494.fc37.aarch64 284/382 Installing : perl-Encode-4:3.19-492.fc37.aarch64 285/382 Installing : perl-libs-4:5.36.1-494.fc37.aarch64 286/382 Installing : perl-interpreter-4:5.36.1-494.fc37.aarch64 287/382 Installing : perl-File-Find-1.40-494.fc37.noarch 288/382 Installing : perl-Error-1:0.17029-10.fc37.noarch 289/382 Installing : perl-TermReadKey-2.38-14.fc37.aarch64 290/382 Installing : perl-English-1.11-494.fc37.noarch 291/382 Installing : perl-lib-0.65-494.fc37.aarch64 292/382 Installing : perl-Git-2.42.0-2.fc37.noarch 293/382 Installing : git-2.42.0-2.fc37.aarch64 294/382 Installing : graphite2-1.3.14-10.fc37.aarch64 295/382 Installing : harfbuzz-5.2.0-1.fc37.aarch64 296/382 Installing : freetype-2.12.1-3.fc37.aarch64 297/382 Installing : fontconfig-2.14.1-2.fc37.aarch64 298/382 Running scriptlet: fontconfig-2.14.1-2.fc37.aarch64 298/382 Installing : cairo-1.17.6-2.fc37.aarch64 299/382 Installing : libXft-2.3.4-3.fc37.aarch64 300/382 Running scriptlet: tk-1:8.6.12-3.fc37.aarch64 301/382 Installing : tk-1:8.6.12-3.fc37.aarch64 301/382 Installing : tclx-8.4.0-40.fc37.aarch64 302/382 Running scriptlet: tclx-8.4.0-40.fc37.aarch64 302/382 Installing : cairo-gobject-1.17.6-2.fc37.aarch64 303/382 Installing : gd-2.3.3-9.fc37.aarch64 304/382 Installing : poppler-22.08.0-5.fc37.aarch64 305/382 Installing : poppler-glib-22.08.0-5.fc37.aarch64 306/382 Installing : mkfontscale-1.2.2-2.fc37.aarch64 307/382 Installing : xorg-x11-fonts-ISO8859-1-100dpi-7.5-34.fc37.noar 308/382 Running scriptlet: xorg-x11-fonts-ISO8859-1-100dpi-7.5-34.fc37.noar 308/382 Installing : gc-8.0.6-4.fc37.aarch64 309/382 Installing : guile22-2.2.7-6.fc37.aarch64 310/382 Installing : make-1:4.3-11.fc37.aarch64 311/382 Installing : gcc-12.3.1-1.fc37.aarch64 312/382 Running scriptlet: gcc-12.3.1-1.fc37.aarch64 312/382 Installing : cmake-data-3.27.7-1.fc37.noarch 313/382 Installing : cmake-3.27.7-1.fc37.aarch64 314/382 Installing : gcc-c++-12.3.1-1.fc37.aarch64 315/382 Installing : qt5-rpm-macros-5.15.10-1.fc37.noarch 316/382 Installing : fribidi-1.0.12-2.fc37.aarch64 317/382 Installing : pango-1.50.14-1.fc37.aarch64 318/382 Installing : lasi-1.1.3-9.fc37.aarch64 319/382 Installing : librsvg2-2.54.6-1.fc37.aarch64 320/382 Installing : fmt-9.1.0-1.fc37.aarch64 321/382 Installing : fmt-devel-9.1.0-1.fc37.aarch64 322/382 Installing : double-conversion-3.1.5-7.fc37.aarch64 323/382 Installing : qt5-qtbase-common-5.15.10-9.fc37.noarch 324/382 Running scriptlet: qt5-qtbase-5.15.10-9.fc37.aarch64 325/382 Installing : qt5-qtbase-5.15.10-9.fc37.aarch64 325/382 Running scriptlet: qt5-qtbase-5.15.10-9.fc37.aarch64 325/382 Installing : qt5-qtbase-gui-5.15.10-9.fc37.aarch64 326/382 Installing : gecode-6.2.0-10.fc37.aarch64 327/382 Installing : mp-3.1.0-39.20200303git7fd4828.fc37.aarch64 328/382 Installing : coin-or-Ipopt-common-3.14.9-1.fc37.noarch 329/382 Installing : cliquer-libs-1.22-4.fc37.aarch64 330/382 Installing : libnauty-2.8.6-5.fc37.aarch64 331/382 Installing : boost-test-1.78.0-9.fc37.aarch64 332/382 Installing : boost-stacktrace-1.78.0-9.fc37.aarch64 333/382 Installing : boost-serialization-1.78.0-9.fc37.aarch64 334/382 Installing : boost-random-1.78.0-9.fc37.aarch64 335/382 Installing : boost-program-options-1.78.0-9.fc37.aarch64 336/382 Installing : boost-nowide-1.78.0-9.fc37.aarch64 337/382 Installing : boost-math-1.78.0-9.fc37.aarch64 338/382 Installing : boost-iostreams-1.78.0-9.fc37.aarch64 339/382 Installing : boost-contract-1.78.0-9.fc37.aarch64 340/382 Installing : boost-1.78.0-9.fc37.aarch64 341/382 Installing : bliss-libs-0.77-3.fc37.aarch64 342/382 Installing : adobe-mappings-pdf-20190401-2.fc37.noarch 343/382 Installing : libgs-9.56.1-9.fc37.aarch64 344/382 Installing : graphviz-5.0.0-4.fc37.aarch64 345/382 Running scriptlet: graphviz-5.0.0-4.fc37.aarch64 345/382 Installing : MUMPS-common-5.5.0-2.fc37.noarch 346/382 Installing : gklib-5.1.1-20230326.0.git8bd6bad7.fc37.aarch64 347/382 Installing : metis-5.2.1-20230403.0.gite0f1b88b.fc37.aarch64 348/382 Installing : MUMPS-5.5.0-2.fc37.aarch64 349/382 Installing : coin-or-Cbc-2.10.5-10.fc37.aarch64 350/382 Installing : coin-or-Clp-1.17.6-10.fc37.aarch64 351/382 Installing : coin-or-Cgl-0.60.3-7.fc37.aarch64 352/382 Installing : coin-or-lemon-1.3.1-25.fc37.aarch64 353/382 Installing : coin-or-Ipopt-3.14.9-1.fc37.aarch64 354/382 Installing : scip-802-20221121.0.git1929dc28.fc37.aarch64 355/382 Installing : or-tools-9.6-20230310.0.git5425dedc.fc37.aarch64 356/382 Installing : abc-libs-1.02-20231209.0.git16a3c5fc.fc37.aarch6 357/382 Installing : spdlog-1.10.0-3.1.fc37.aarch64 358/382 Installing : cudd-3.0.0-2.aarch64 359/382 Installing : opensta-2.2.0-20231207.0.gite9189bbd.fc37.aarch6 360/382 Installing : opensta-devel-2.2.0-20231207.0.gite9189bbd.fc37. 361/382 Installing : spdlog-devel-1.10.0-3.1.fc37.aarch64 362/382 Installing : abc-devel-1.02-20231209.0.git16a3c5fc.fc37.aarch 363/382 Installing : or-tools-devel-9.6-20230310.0.git5425dedc.fc37.a 364/382 Installing : coin-or-lemon-devel-1.3.1-25.fc37.aarch64 365/382 Installing : doxygen-2:1.9.5-2.fc37.aarch64 366/382 Installing : boost-devel-1.78.0-9.fc37.aarch64 367/382 Installing : qt5-qtbase-devel-5.15.10-9.fc37.aarch64 368/382 Installing : annobin-plugin-gcc-12.28-1.fc37.aarch64 369/382 Running scriptlet: annobin-plugin-gcc-12.28-1.fc37.aarch64 369/382 Installing : gcc-plugin-annobin-12.3.1-1.fc37.aarch64 370/382 Installing : tclx-devel-8.4.0-40.fc37.aarch64 371/382 Installing : python3-devel-3.11.6-1.fc37.aarch64 372/382 Installing : libXext-devel-1.3.4-9.fc37.aarch64 373/382 Installing : readline-devel-8.2-2.fc37.aarch64 374/382 Installing : tcl-tclreadline-devel-2.1.0-20.fc37.aarch64 375/382 Installing : gmock-devel-1.12.1-2.fc37.aarch64 376/382 Installing : bison-3.8.2-3.fc37.aarch64 377/382 Installing : flex-2.6.4-11.fc37.aarch64 378/382 Installing : tcl-devel-1:8.6.12-3.fc37.aarch64 379/382 Installing : libjpeg-turbo-devel-2.1.3-2.fc37.aarch64 380/382 Installing : CImg-devel-1:3.1.6-1.fc37.aarch64 381/382 Installing : swig-4.0.2-18.fc37.aarch64 382/382 Running scriptlet: copy-jdk-configs-4.1-1.fc37.noarch 382/382 Running scriptlet: urw-base35-bookman-fonts-20200910-15.fc37.noarch 382/382 Running scriptlet: urw-base35-c059-fonts-20200910-15.fc37.noarch 382/382 Running scriptlet: urw-base35-d050000l-fonts-20200910-15.fc37.noarc 382/382 Running scriptlet: urw-base35-gothic-fonts-20200910-15.fc37.noarch 382/382 Running scriptlet: urw-base35-nimbus-mono-ps-fonts-20200910-15.fc37 382/382 Running scriptlet: urw-base35-nimbus-roman-fonts-20200910-15.fc37.n 382/382 Running scriptlet: urw-base35-nimbus-sans-fonts-20200910-15.fc37.no 382/382 Running scriptlet: urw-base35-p052-fonts-20200910-15.fc37.noarch 382/382 Running scriptlet: urw-base35-standard-symbols-ps-fonts-20200910-15 382/382 Running scriptlet: urw-base35-z003-fonts-20200910-15.fc37.noarch 382/382 Running scriptlet: crypto-policies-scripts-20220815-1.gite4ed860.fc 382/382 Running scriptlet: nss-3.94.0-2.fc37.aarch64 382/382 Running scriptlet: java-17-openjdk-headless-1:17.0.8.0.7-1.fc37.aar 382/382 Running scriptlet: fontconfig-2.14.1-2.fc37.aarch64 382/382 Running scriptlet: swig-4.0.2-18.fc37.aarch64 382/382 Verifying : cudd-3.0.0-2.aarch64 1/382 Verifying : opensta-2.2.0-20231207.0.gite9189bbd.fc37.aarch6 2/382 Verifying : opensta-devel-2.2.0-20231207.0.gite9189bbd.fc37. 3/382 Verifying : or-tools-9.6-20230310.0.git5425dedc.fc37.aarch64 4/382 Verifying : or-tools-devel-9.6-20230310.0.git5425dedc.fc37.a 5/382 Verifying : scip-802-20221121.0.git1929dc28.fc37.aarch64 6/382 Verifying : spdlog-1.10.0-3.1.fc37.aarch64 7/382 Verifying : spdlog-devel-1.10.0-3.1.fc37.aarch64 8/382 Verifying : abc-devel-1.02-20231209.0.git16a3c5fc.fc37.aarch 9/382 Verifying : abc-libs-1.02-20231209.0.git16a3c5fc.fc37.aarch6 10/382 Verifying : abseil-cpp-compat-20230125-20230125.3-1.fc37.aar 11/382 Verifying : abseil-cpp-compat-20230125-devel-20230125.3-1.fc 12/382 Verifying : gklib-5.1.1-20230326.0.git8bd6bad7.fc37.aarch64 13/382 Verifying : metis-5.2.1-20230403.0.gite0f1b88b.fc37.aarch64 14/382 Verifying : MUMPS-5.5.0-2.fc37.aarch64 15/382 Verifying : MUMPS-common-5.5.0-2.fc37.noarch 16/382 Verifying : adobe-mappings-pdf-20190401-2.fc37.noarch 17/382 Verifying : bison-3.8.2-3.fc37.aarch64 18/382 Verifying : bliss-libs-0.77-3.fc37.aarch64 19/382 Verifying : boost-1.78.0-9.fc37.aarch64 20/382 Verifying : boost-atomic-1.78.0-9.fc37.aarch64 21/382 Verifying : boost-chrono-1.78.0-9.fc37.aarch64 22/382 Verifying : boost-container-1.78.0-9.fc37.aarch64 23/382 Verifying : boost-context-1.78.0-9.fc37.aarch64 24/382 Verifying : boost-contract-1.78.0-9.fc37.aarch64 25/382 Verifying : boost-coroutine-1.78.0-9.fc37.aarch64 26/382 Verifying : boost-date-time-1.78.0-9.fc37.aarch64 27/382 Verifying : boost-devel-1.78.0-9.fc37.aarch64 28/382 Verifying : boost-fiber-1.78.0-9.fc37.aarch64 29/382 Verifying : boost-filesystem-1.78.0-9.fc37.aarch64 30/382 Verifying : boost-graph-1.78.0-9.fc37.aarch64 31/382 Verifying : boost-iostreams-1.78.0-9.fc37.aarch64 32/382 Verifying : boost-json-1.78.0-9.fc37.aarch64 33/382 Verifying : boost-locale-1.78.0-9.fc37.aarch64 34/382 Verifying : boost-log-1.78.0-9.fc37.aarch64 35/382 Verifying : boost-math-1.78.0-9.fc37.aarch64 36/382 Verifying : boost-nowide-1.78.0-9.fc37.aarch64 37/382 Verifying : boost-numpy3-1.78.0-9.fc37.aarch64 38/382 Verifying : boost-program-options-1.78.0-9.fc37.aarch64 39/382 Verifying : boost-python3-1.78.0-9.fc37.aarch64 40/382 Verifying : boost-random-1.78.0-9.fc37.aarch64 41/382 Verifying : boost-regex-1.78.0-9.fc37.aarch64 42/382 Verifying : boost-serialization-1.78.0-9.fc37.aarch64 43/382 Verifying : boost-stacktrace-1.78.0-9.fc37.aarch64 44/382 Verifying : boost-system-1.78.0-9.fc37.aarch64 45/382 Verifying : boost-test-1.78.0-9.fc37.aarch64 46/382 Verifying : boost-thread-1.78.0-9.fc37.aarch64 47/382 Verifying : boost-timer-1.78.0-9.fc37.aarch64 48/382 Verifying : boost-type_erasure-1.78.0-9.fc37.aarch64 49/382 Verifying : boost-wave-1.78.0-9.fc37.aarch64 50/382 Verifying : byte-buddy-1.12.10-2.fc37.noarch 51/382 Verifying : byte-buddy-agent-1.12.10-2.fc37.noarch 52/382 Verifying : cairo-1.17.6-2.fc37.aarch64 53/382 Verifying : cairo-gobject-1.17.6-2.fc37.aarch64 54/382 Verifying : cliquer-libs-1.22-4.fc37.aarch64 55/382 Verifying : coin-or-Cbc-2.10.5-10.fc37.aarch64 56/382 Verifying : coin-or-Cgl-0.60.3-7.fc37.aarch64 57/382 Verifying : coin-or-Clp-1.17.6-10.fc37.aarch64 58/382 Verifying : coin-or-CoinUtils-2.11.4-7.fc37.aarch64 59/382 Verifying : coin-or-Ipopt-3.14.9-1.fc37.aarch64 60/382 Verifying : coin-or-Ipopt-common-3.14.9-1.fc37.noarch 61/382 Verifying : coin-or-Osi-0.108.6-6.fc37.aarch64 62/382 Verifying : coin-or-lemon-1.3.1-25.fc37.aarch64 63/382 Verifying : coin-or-lemon-devel-1.3.1-25.fc37.aarch64 64/382 Verifying : copy-jdk-configs-4.1-1.fc37.noarch 65/382 Verifying : crypto-policies-scripts-20220815-1.gite4ed860.fc 66/382 Verifying : double-conversion-3.1.5-7.fc37.aarch64 67/382 Verifying : doxygen-2:1.9.5-2.fc37.aarch64 68/382 Verifying : eigen3-devel-3.4.0-8.fc37.noarch 69/382 Verifying : flex-2.6.4-11.fc37.aarch64 70/382 Verifying : fmt-9.1.0-1.fc37.aarch64 71/382 Verifying : fmt-devel-9.1.0-1.fc37.aarch64 72/382 Verifying : fonts-filesystem-1:2.0.5-9.fc37.noarch 73/382 Verifying : freetype-2.12.1-3.fc37.aarch64 74/382 Verifying : fribidi-1.0.12-2.fc37.aarch64 75/382 Verifying : gc-8.0.6-4.fc37.aarch64 76/382 Verifying : gdk-pixbuf2-2.42.10-1.fc37.aarch64 77/382 Verifying : gecode-6.2.0-10.fc37.aarch64 78/382 Verifying : glpk-5.0-5.fc37.aarch64 79/382 Verifying : glx-utils-8.4.0-14.20210504git0f9e7d9.fc37.aarch 80/382 Verifying : gmock-1.12.1-2.fc37.aarch64 81/382 Verifying : gmock-devel-1.12.1-2.fc37.aarch64 82/382 Verifying : google-droid-sans-fonts-20200215-13.fc37.noarch 83/382 Verifying : graphite2-1.3.14-10.fc37.aarch64 84/382 Verifying : graphviz-5.0.0-4.fc37.aarch64 85/382 Verifying : groff-base-1.22.4-10.fc37.aarch64 86/382 Verifying : gsl-2.6-7.fc37.aarch64 87/382 Verifying : gtest-1.12.1-2.fc37.aarch64 88/382 Verifying : gtest-devel-1.12.1-2.fc37.aarch64 89/382 Verifying : gts-0.7.6-42.20121130.fc37.aarch64 90/382 Verifying : guile22-2.2.7-6.fc37.aarch64 91/382 Verifying : harfbuzz-5.2.0-1.fc37.aarch64 92/382 Verifying : jacop-4.8-9.fc37.noarch 93/382 Verifying : java-diff-utils-4.12-1.fc37.noarch 94/382 Verifying : javapackages-filesystem-6.1.0-4.fc37.noarch 95/382 Verifying : javapackages-tools-6.1.0-4.fc37.noarch 96/382 Verifying : jbig2dec-libs-0.19-7.fc37.aarch64 97/382 Verifying : jbigkit-libs-2.1-24.fc37.aarch64 98/382 Verifying : jline-builtins-3.21.0-6.fc37.noarch 99/382 Verifying : jline-reader-3.21.0-6.fc37.noarch 100/382 Verifying : jline-style-3.21.0-6.fc37.noarch 101/382 Verifying : jline-terminal-3.21.0-6.fc37.noarch 102/382 Verifying : jline-terminal-jna-3.21.0-6.fc37.noarch 103/382 Verifying : jna-5.12.1-2.fc37.aarch64 104/382 Verifying : jsoncpp-1.9.5-3.fc37.aarch64 105/382 Verifying : langpacks-core-font-en-3.0-26.fc37.noarch 106/382 Verifying : lasi-1.1.3-9.fc37.aarch64 107/382 Verifying : libICE-1.0.10-9.fc37.aarch64 108/382 Verifying : libSM-1.2.3-11.fc37.aarch64 109/382 Verifying : libXau-1.0.10-1.fc37.aarch64 110/382 Verifying : libXau-devel-1.0.10-1.fc37.aarch64 111/382 Verifying : libXext-1.3.4-9.fc37.aarch64 112/382 Verifying : libXext-devel-1.3.4-9.fc37.aarch64 113/382 Verifying : libXfixes-6.0.0-4.fc37.aarch64 114/382 Verifying : libXft-2.3.4-3.fc37.aarch64 115/382 Verifying : libXrender-0.9.10-17.fc37.aarch64 116/382 Verifying : libXxf86vm-1.1.4-19.fc37.aarch64 117/382 Verifying : libb2-0.98.1-7.fc37.aarch64 118/382 Verifying : libcbor-0.7.0-7.fc37.aarch64 119/382 Verifying : libdatrie-0.2.13-4.fc37.aarch64 120/382 Verifying : libevdev-1.13.0-1.fc37.aarch64 121/382 Verifying : libfido2-1.11.0-3.fc37.aarch64 122/382 Verifying : libfontenc-1.1.4-4.fc37.aarch64 123/382 Verifying : libglvnd-1:1.5.0-1.fc37.aarch64 124/382 Verifying : libglvnd-core-devel-1:1.5.0-1.fc37.aarch64 125/382 Verifying : libglvnd-devel-1:1.5.0-1.fc37.aarch64 126/382 Verifying : libglvnd-egl-1:1.5.0-1.fc37.aarch64 127/382 Verifying : libglvnd-gles-1:1.5.0-1.fc37.aarch64 128/382 Verifying : libglvnd-glx-1:1.5.0-1.fc37.aarch64 129/382 Verifying : libglvnd-opengl-1:1.5.0-1.fc37.aarch64 130/382 Verifying : libgudev-237-3.fc37.aarch64 131/382 Verifying : libicu-71.1-2.fc37.aarch64 132/382 Verifying : libicu-devel-71.1-2.fc37.aarch64 133/382 Verifying : libijs-0.35-16.fc37.aarch64 134/382 Verifying : libimagequant-2.17.0-3.fc37.aarch64 135/382 Verifying : libjpeg-turbo-2.1.3-2.fc37.aarch64 136/382 Verifying : libjpeg-turbo-devel-2.1.3-2.fc37.aarch64 137/382 Verifying : libmpc-1.2.1-5.fc37.aarch64 138/382 Verifying : libpaper-1.1.28-5.fc37.aarch64 139/382 Verifying : libpng-2:1.6.37-13.fc37.aarch64 140/382 Verifying : libproxy-0.4.18-3.fc37.aarch64 141/382 Verifying : libthai-0.1.29-3.fc37.aarch64 142/382 Verifying : libtiff-4.4.0-4.fc37.aarch64 143/382 Verifying : libtool-ltdl-2.4.7-2.fc37.aarch64 144/382 Verifying : libwayland-client-1.21.0-1.fc37.aarch64 145/382 Verifying : libwayland-server-1.21.0-1.fc37.aarch64 146/382 Verifying : libxcb-1.13.1-10.fc37.aarch64 147/382 Verifying : libxcb-devel-1.13.1-10.fc37.aarch64 148/382 Verifying : libxkbcommon-1.4.1-2.fc37.aarch64 149/382 Verifying : libxkbcommon-x11-1.4.1-2.fc37.aarch64 150/382 Verifying : libxshmfence-1.3-11.fc37.aarch64 151/382 Verifying : lksctp-tools-1.0.19-2.fc37.aarch64 152/382 Verifying : lua-filesystem-1.8.0-7.fc37.aarch64 153/382 Verifying : lua-json-1.3.4-2.fc37.noarch 154/382 Verifying : lua-lpeg-1.0.2-9.fc37.aarch64 155/382 Verifying : lua-posix-35.1-4.fc37.aarch64 156/382 Verifying : lua-term-0.07-16.fc37.aarch64 157/382 Verifying : m4-1.4.19-4.fc37.aarch64 158/382 Verifying : make-1:4.3-11.fc37.aarch64 159/382 Verifying : mkfontscale-1.2.2-2.fc37.aarch64 160/382 Verifying : mockito-3.12.4-5.fc37.noarch 161/382 Verifying : mp-3.1.0-39.20200303git7fd4828.fc37.aarch64 162/382 Verifying : mpdecimal-2.5.1-4.fc37.aarch64 163/382 Verifying : mtdev-1.1.6-4.fc37.aarch64 164/382 Verifying : nettle-3.8-2.fc37.aarch64 165/382 Verifying : objectweb-asm-9.3-2.fc37.noarch 166/382 Verifying : objenesis-3.1-10.fc37.noarch 167/382 Verifying : openblas-0.3.21-3.fc37.aarch64 168/382 Verifying : openblas-openmp-0.3.21-3.fc37.aarch64 169/382 Verifying : opentest4j-1.2.0-11.fc37.noarch 170/382 Verifying : pcre2-utf16-10.40-1.fc37.1.aarch64 171/382 Verifying : perl-Carp-1.52-489.fc37.noarch 172/382 Verifying : perl-Encode-4:3.19-492.fc37.aarch64 173/382 Verifying : perl-Error-1:0.17029-10.fc37.noarch 174/382 Verifying : perl-Exporter-5.77-489.fc37.noarch 175/382 Verifying : perl-File-Path-2.18-489.fc37.noarch 176/382 Verifying : perl-File-Temp-1:0.231.100-489.fc37.noarch 177/382 Verifying : perl-MIME-Base64-3.16-489.fc37.aarch64 178/382 Verifying : perl-PathTools-3.84-489.fc37.aarch64 179/382 Verifying : perl-Pod-Escapes-1:1.07-489.fc37.noarch 180/382 Verifying : perl-Pod-Perldoc-3.28.01-490.fc37.noarch 181/382 Verifying : perl-Pod-Simple-1:3.43-490.fc37.noarch 182/382 Verifying : perl-Pod-Usage-4:2.03-3.fc37.noarch 183/382 Verifying : perl-Scalar-List-Utils-5:1.63-489.fc37.aarch64 184/382 Verifying : perl-Socket-4:2.036-1.fc37.aarch64 185/382 Verifying : perl-Storable-1:3.26-489.fc37.aarch64 186/382 Verifying : perl-Term-ANSIColor-5.01-490.fc37.noarch 187/382 Verifying : perl-Term-Cap-1.17-489.fc37.noarch 188/382 Verifying : perl-TermReadKey-2.38-14.fc37.aarch64 189/382 Verifying : perl-Text-ParseWords-3.31-489.fc37.noarch 190/382 Verifying : perl-Time-Local-2:1.300-489.fc37.noarch 191/382 Verifying : perl-constant-1.33-490.fc37.noarch 192/382 Verifying : perl-parent-1:0.238-489.fc37.noarch 193/382 Verifying : perl-podlators-1:4.14-489.fc37.noarch 194/382 Verifying : pixman-0.40.0-6.fc37.aarch64 195/382 Verifying : poppler-data-0.4.11-3.fc37.noarch 196/382 Verifying : python3-packaging-21.3-6.fc37.noarch 197/382 Verifying : python3-pyparsing-3.0.9-2.fc37.noarch 198/382 Verifying : rhash-1.4.2-3.fc37.aarch64 199/382 Verifying : scotch-6.1.2-3.fc37.aarch64 200/382 Verifying : shared-mime-info-2.2-2.fc37.aarch64 201/382 Verifying : suitesparse-5.10.1-3.fc37.aarch64 202/382 Verifying : swig-4.0.2-18.fc37.aarch64 203/382 Verifying : tcl-1:8.6.12-3.fc37.aarch64 204/382 Verifying : tcl-devel-1:8.6.12-3.fc37.aarch64 205/382 Verifying : tcl-tclreadline-2.1.0-20.fc37.aarch64 206/382 Verifying : tcl-tclreadline-devel-2.1.0-20.fc37.aarch64 207/382 Verifying : tclx-8.4.0-40.fc37.aarch64 208/382 Verifying : tclx-devel-8.4.0-40.fc37.aarch64 209/382 Verifying : tk-1:8.6.12-3.fc37.aarch64 210/382 Verifying : unixODBC-2.3.11-1.fc37.aarch64 211/382 Verifying : urw-base35-bookman-fonts-20200910-15.fc37.noarch 212/382 Verifying : urw-base35-c059-fonts-20200910-15.fc37.noarch 213/382 Verifying : urw-base35-d050000l-fonts-20200910-15.fc37.noarc 214/382 Verifying : urw-base35-fonts-20200910-15.fc37.noarch 215/382 Verifying : urw-base35-fonts-common-20200910-15.fc37.noarch 216/382 Verifying : urw-base35-gothic-fonts-20200910-15.fc37.noarch 217/382 Verifying : urw-base35-nimbus-mono-ps-fonts-20200910-15.fc37 218/382 Verifying : urw-base35-nimbus-roman-fonts-20200910-15.fc37.n 219/382 Verifying : urw-base35-nimbus-sans-fonts-20200910-15.fc37.no 220/382 Verifying : urw-base35-p052-fonts-20200910-15.fc37.noarch 221/382 Verifying : urw-base35-standard-symbols-ps-fonts-20200910-15 222/382 Verifying : urw-base35-z003-fonts-20200910-15.fc37.noarch 223/382 Verifying : vulkan-headers-1.3.216.0-2.fc37.noarch 224/382 Verifying : vulkan-loader-1.3.216.0-3.fc37.aarch64 225/382 Verifying : vulkan-loader-devel-1.3.216.0-3.fc37.aarch64 226/382 Verifying : xcb-util-0.4.0-20.fc37.aarch64 227/382 Verifying : xcb-util-image-0.4.0-20.fc37.aarch64 228/382 Verifying : xcb-util-keysyms-0.4.0-18.fc37.aarch64 229/382 Verifying : xcb-util-renderutil-0.3.9-21.fc37.aarch64 230/382 Verifying : xcb-util-wm-0.4.1-23.fc37.aarch64 231/382 Verifying : xml-common-0.6.3-59.fc37.noarch 232/382 Verifying : xorg-x11-fonts-ISO8859-1-100dpi-7.5-34.fc37.noar 233/382 Verifying : xorg-x11-proto-devel-2022.2-2.fc37.noarch 234/382 Verifying : zlib-devel-1.2.12-5.fc37.aarch64 235/382 Verifying : CImg-devel-1:3.1.6-1.fc37.aarch64 236/382 Verifying : Lmod-8.7.32-1.fc37.aarch64 237/382 Verifying : adobe-mappings-cmap-20230622-1.fc37.noarch 238/382 Verifying : adobe-mappings-cmap-deprecated-20230622-1.fc37.n 239/382 Verifying : alsa-lib-1.2.9-1.fc37.aarch64 240/382 Verifying : annobin-docs-12.28-1.fc37.noarch 241/382 Verifying : annobin-plugin-gcc-12.28-1.fc37.aarch64 242/382 Verifying : avahi-libs-0.8-18.fc37.aarch64 243/382 Verifying : clang-libs-15.0.7-2.fc37.aarch64 244/382 Verifying : clang-resource-filesystem-15.0.7-2.fc37.aarch64 245/382 Verifying : cmake-3.27.7-1.fc37.aarch64 246/382 Verifying : cmake-data-3.27.7-1.fc37.noarch 247/382 Verifying : cmake-filesystem-3.27.7-1.fc37.aarch64 248/382 Verifying : cmake-rpm-macros-3.27.7-1.fc37.noarch 249/382 Verifying : cpp-12.3.1-1.fc37.aarch64 250/382 Verifying : cups-libs-1:2.4.7-2.fc37.aarch64 251/382 Verifying : dbus-libs-1:1.14.10-1.fc37.aarch64 252/382 Verifying : emacs-filesystem-1:28.3-0.rc1.fc37.noarch 253/382 Verifying : expat-2.5.0-1.fc37.aarch64 254/382 Verifying : flexiblas-3.3.1-5.fc37.aarch64 255/382 Verifying : flexiblas-netlib-3.3.1-5.fc37.aarch64 256/382 Verifying : flexiblas-openblas-openmp-3.3.1-5.fc37.aarch64 257/382 Verifying : fontconfig-2.14.1-2.fc37.aarch64 258/382 Verifying : gcc-12.3.1-1.fc37.aarch64 259/382 Verifying : gcc-c++-12.3.1-1.fc37.aarch64 260/382 Verifying : gcc-plugin-annobin-12.3.1-1.fc37.aarch64 261/382 Verifying : gd-2.3.3-9.fc37.aarch64 262/382 Verifying : git-2.42.0-2.fc37.aarch64 263/382 Verifying : git-core-2.42.0-2.fc37.aarch64 264/382 Verifying : git-core-doc-2.42.0-2.fc37.noarch 265/382 Verifying : glib2-2.74.7-2.fc37.aarch64 266/382 Verifying : glibc-devel-2.36-18.fc37.aarch64 267/382 Verifying : gnutls-3.8.1-1.fc37.aarch64 268/382 Verifying : google-noto-fonts-common-20201206^1.git0c78c8329 269/382 Verifying : google-noto-sans-vf-fonts-20201206^1.git0c78c832 270/382 Verifying : highway-1.0.7-1.fc37.aarch64 271/382 Verifying : java-17-openjdk-headless-1:17.0.8.0.7-1.fc37.aar 272/382 Verifying : kernel-headers-6.5.4-100.fc37.aarch64 273/382 Verifying : lcms2-2.14-1.fc37.aarch64 274/382 Verifying : less-633-1.fc37.aarch64 275/382 Verifying : libX11-1.8.4-1.fc37.aarch64 276/382 Verifying : libX11-common-1.8.4-1.fc37.noarch 277/382 Verifying : libX11-devel-1.8.4-1.fc37.aarch64 278/382 Verifying : libX11-xcb-1.8.4-1.fc37.aarch64 279/382 Verifying : libXpm-3.5.15-2.fc37.aarch64 280/382 Verifying : libaom-3.7.0-1.fc37.aarch64 281/382 Verifying : libasan-12.3.1-1.fc37.aarch64 282/382 Verifying : libatomic-12.3.1-1.fc37.aarch64 283/382 Verifying : libavif-0.11.1-4.fc37.aarch64 284/382 Verifying : libdav1d-1.2.1-1.fc37.aarch64 285/382 Verifying : libdrm-2.4.117-1.fc37.aarch64 286/382 Verifying : libedit-3.1-43.20221009cvs.fc37.aarch64 287/382 Verifying : libgfortran-12.3.1-1.fc37.aarch64 288/382 Verifying : libgs-9.56.1-9.fc37.aarch64 289/382 Verifying : libinput-1.22.1-1.fc37.aarch64 290/382 Verifying : libjxl-1:0.7.0-5.fc37.aarch64 291/382 Verifying : libnauty-2.8.6-5.fc37.aarch64 292/382 Verifying : librsvg2-2.54.6-1.fc37.aarch64 293/382 Verifying : libstdc++-devel-12.3.1-1.fc37.aarch64 294/382 Verifying : libubsan-12.3.1-1.fc37.aarch64 295/382 Verifying : libuv-1:1.46.0-1.fc37.aarch64 296/382 Verifying : libwacom-2.6.0-1.fc37.aarch64 297/382 Verifying : libwacom-data-2.6.0-1.fc37.noarch 298/382 Verifying : libwebp-1.3.2-2.fc37.aarch64 299/382 Verifying : libxcrypt-devel-4.4.36-1.fc37.aarch64 300/382 Verifying : llvm-libs-15.0.7-2.fc37.aarch64 301/382 Verifying : lua-5.4.4-9.fc37.aarch64 302/382 Verifying : mesa-libEGL-23.0.3-1.fc37.aarch64 303/382 Verifying : mesa-libEGL-devel-23.0.3-1.fc37.aarch64 304/382 Verifying : mesa-libGL-23.0.3-1.fc37.aarch64 305/382 Verifying : mesa-libgbm-23.0.3-1.fc37.aarch64 306/382 Verifying : mesa-libglapi-23.0.3-1.fc37.aarch64 307/382 Verifying : ncurses-6.4-3.20230114.fc37.aarch64 308/382 Verifying : ncurses-c++-libs-6.4-3.20230114.fc37.aarch64 309/382 Verifying : ncurses-devel-6.4-3.20230114.fc37.aarch64 310/382 Verifying : netpbm-11.02.00-1.fc37.aarch64 311/382 Verifying : nspr-4.35.0-11.fc37.aarch64 312/382 Verifying : nss-3.94.0-2.fc37.aarch64 313/382 Verifying : nss-softokn-3.94.0-2.fc37.aarch64 314/382 Verifying : nss-softokn-freebl-3.94.0-2.fc37.aarch64 315/382 Verifying : nss-sysinit-3.94.0-2.fc37.aarch64 316/382 Verifying : nss-util-3.94.0-2.fc37.aarch64 317/382 Verifying : openjpeg2-2.5.0-5.fc37.aarch64 318/382 Verifying : openssh-8.8p1-11.fc37.aarch64 319/382 Verifying : openssh-clients-8.8p1-11.fc37.aarch64 320/382 Verifying : pango-1.50.14-1.fc37.aarch64 321/382 Verifying : perl-Class-Struct-0.66-494.fc37.noarch 322/382 Verifying : perl-DynaLoader-1.52-494.fc37.aarch64 323/382 Verifying : perl-English-1.11-494.fc37.noarch 324/382 Verifying : perl-Errno-1.36-494.fc37.aarch64 325/382 Verifying : perl-Fcntl-1.15-494.fc37.aarch64 326/382 Verifying : perl-File-Basename-2.85-494.fc37.noarch 327/382 Verifying : perl-File-Find-1.40-494.fc37.noarch 328/382 Verifying : perl-File-stat-1.12-494.fc37.noarch 329/382 Verifying : perl-Getopt-Long-1:2.54-1.fc37.noarch 330/382 Verifying : perl-Getopt-Std-1.13-494.fc37.noarch 331/382 Verifying : perl-Git-2.42.0-2.fc37.noarch 332/382 Verifying : perl-HTTP-Tiny-0.086-1.fc37.noarch 333/382 Verifying : perl-IO-1.50-494.fc37.aarch64 334/382 Verifying : perl-IPC-Open3-1.22-494.fc37.noarch 335/382 Verifying : perl-POSIX-2.03-494.fc37.aarch64 336/382 Verifying : perl-SelectSaver-1.02-494.fc37.noarch 337/382 Verifying : perl-Symbol-1.09-494.fc37.noarch 338/382 Verifying : perl-Text-Tabs+Wrap-2023.0511-1.fc37.noarch 339/382 Verifying : perl-if-0.61.000-494.fc37.noarch 340/382 Verifying : perl-interpreter-4:5.36.1-494.fc37.aarch64 341/382 Verifying : perl-lib-0.65-494.fc37.aarch64 342/382 Verifying : perl-libs-4:5.36.1-494.fc37.aarch64 343/382 Verifying : perl-locale-1.10-494.fc37.noarch 344/382 Verifying : perl-mro-1.26-494.fc37.aarch64 345/382 Verifying : perl-overload-1.35-494.fc37.noarch 346/382 Verifying : perl-overloading-0.02-494.fc37.noarch 347/382 Verifying : perl-subs-1.04-494.fc37.noarch 348/382 Verifying : perl-vars-1.05-494.fc37.noarch 349/382 Verifying : poppler-22.08.0-5.fc37.aarch64 350/382 Verifying : poppler-glib-22.08.0-5.fc37.aarch64 351/382 Verifying : procps-ng-3.3.17-6.fc37.3.aarch64 352/382 Verifying : protobuf-3.19.6-1.fc37.aarch64 353/382 Verifying : protobuf-compiler-3.19.6-1.fc37.aarch64 354/382 Verifying : protobuf-devel-3.19.6-1.fc37.aarch64 355/382 Verifying : pyproject-rpm-macros-1.10.0-1.fc37.noarch 356/382 Verifying : python-pip-wheel-22.2.2-3.fc37.noarch 357/382 Verifying : python-rpm-macros-3.11-6.fc37.noarch 358/382 Verifying : python-setuptools-wheel-62.6.0-3.fc37.noarch 359/382 Verifying : python3-3.11.6-1.fc37.aarch64 360/382 Verifying : python3-devel-3.11.6-1.fc37.aarch64 361/382 Verifying : python3-libs-3.11.6-1.fc37.aarch64 362/382 Verifying : python3-numpy-1:1.24.3-1.fc37.aarch64 363/382 Verifying : python3-rpm-generators-13-3.fc37.noarch 364/382 Verifying : python3-rpm-macros-3.11-6.fc37.noarch 365/382 Verifying : qt-settings-37.2-1.fc37.noarch 366/382 Verifying : qt5-qtbase-5.15.10-9.fc37.aarch64 367/382 Verifying : qt5-qtbase-common-5.15.10-9.fc37.noarch 368/382 Verifying : qt5-qtbase-devel-5.15.10-9.fc37.aarch64 369/382 Verifying : qt5-qtbase-gui-5.15.10-9.fc37.aarch64 370/382 Verifying : qt5-rpm-macros-5.15.10-1.fc37.noarch 371/382 Verifying : rav1e-libs-0.6.6-3.fc37.aarch64 372/382 Verifying : re2-1:20220601-1.fc37.aarch64 373/382 Verifying : readline-devel-8.2-2.fc37.aarch64 374/382 Verifying : scala-2.13.10-1.fc37.noarch 375/382 Verifying : scala-library-2.13.10-1.fc37.noarch 376/382 Verifying : scala-reflect-2.13.10-1.fc37.noarch 377/382 Verifying : tbb-2020.3-13.fc37.aarch64 378/382 Verifying : tzdata-java-2023c-1.fc37.noarch 379/382 Verifying : vim-filesystem-2:9.0.2120-1.fc37.noarch 380/382 Verifying : xapian-core-libs-1.4.22-1.fc37.aarch64 381/382 Verifying : xkeyboard-config-2.36-3.fc37.noarch 382/382 Installed: CImg-devel-1:3.1.6-1.fc37.aarch64 Lmod-8.7.32-1.fc37.aarch64 MUMPS-5.5.0-2.fc37.aarch64 MUMPS-common-5.5.0-2.fc37.noarch abc-devel-1.02-20231209.0.git16a3c5fc.fc37.aarch64 abc-libs-1.02-20231209.0.git16a3c5fc.fc37.aarch64 abseil-cpp-compat-20230125-20230125.3-1.fc37.aarch64 abseil-cpp-compat-20230125-devel-20230125.3-1.fc37.aarch64 adobe-mappings-cmap-20230622-1.fc37.noarch adobe-mappings-cmap-deprecated-20230622-1.fc37.noarch adobe-mappings-pdf-20190401-2.fc37.noarch alsa-lib-1.2.9-1.fc37.aarch64 annobin-docs-12.28-1.fc37.noarch annobin-plugin-gcc-12.28-1.fc37.aarch64 avahi-libs-0.8-18.fc37.aarch64 bison-3.8.2-3.fc37.aarch64 bliss-libs-0.77-3.fc37.aarch64 boost-1.78.0-9.fc37.aarch64 boost-atomic-1.78.0-9.fc37.aarch64 boost-chrono-1.78.0-9.fc37.aarch64 boost-container-1.78.0-9.fc37.aarch64 boost-context-1.78.0-9.fc37.aarch64 boost-contract-1.78.0-9.fc37.aarch64 boost-coroutine-1.78.0-9.fc37.aarch64 boost-date-time-1.78.0-9.fc37.aarch64 boost-devel-1.78.0-9.fc37.aarch64 boost-fiber-1.78.0-9.fc37.aarch64 boost-filesystem-1.78.0-9.fc37.aarch64 boost-graph-1.78.0-9.fc37.aarch64 boost-iostreams-1.78.0-9.fc37.aarch64 boost-json-1.78.0-9.fc37.aarch64 boost-locale-1.78.0-9.fc37.aarch64 boost-log-1.78.0-9.fc37.aarch64 boost-math-1.78.0-9.fc37.aarch64 boost-nowide-1.78.0-9.fc37.aarch64 boost-numpy3-1.78.0-9.fc37.aarch64 boost-program-options-1.78.0-9.fc37.aarch64 boost-python3-1.78.0-9.fc37.aarch64 boost-random-1.78.0-9.fc37.aarch64 boost-regex-1.78.0-9.fc37.aarch64 boost-serialization-1.78.0-9.fc37.aarch64 boost-stacktrace-1.78.0-9.fc37.aarch64 boost-system-1.78.0-9.fc37.aarch64 boost-test-1.78.0-9.fc37.aarch64 boost-thread-1.78.0-9.fc37.aarch64 boost-timer-1.78.0-9.fc37.aarch64 boost-type_erasure-1.78.0-9.fc37.aarch64 boost-wave-1.78.0-9.fc37.aarch64 byte-buddy-1.12.10-2.fc37.noarch byte-buddy-agent-1.12.10-2.fc37.noarch cairo-1.17.6-2.fc37.aarch64 cairo-gobject-1.17.6-2.fc37.aarch64 clang-libs-15.0.7-2.fc37.aarch64 clang-resource-filesystem-15.0.7-2.fc37.aarch64 cliquer-libs-1.22-4.fc37.aarch64 cmake-3.27.7-1.fc37.aarch64 cmake-data-3.27.7-1.fc37.noarch cmake-filesystem-3.27.7-1.fc37.aarch64 cmake-rpm-macros-3.27.7-1.fc37.noarch coin-or-Cbc-2.10.5-10.fc37.aarch64 coin-or-Cgl-0.60.3-7.fc37.aarch64 coin-or-Clp-1.17.6-10.fc37.aarch64 coin-or-CoinUtils-2.11.4-7.fc37.aarch64 coin-or-Ipopt-3.14.9-1.fc37.aarch64 coin-or-Ipopt-common-3.14.9-1.fc37.noarch coin-or-Osi-0.108.6-6.fc37.aarch64 coin-or-lemon-1.3.1-25.fc37.aarch64 coin-or-lemon-devel-1.3.1-25.fc37.aarch64 copy-jdk-configs-4.1-1.fc37.noarch cpp-12.3.1-1.fc37.aarch64 crypto-policies-scripts-20220815-1.gite4ed860.fc37.noarch cudd-3.0.0-2.aarch64 cups-libs-1:2.4.7-2.fc37.aarch64 dbus-libs-1:1.14.10-1.fc37.aarch64 double-conversion-3.1.5-7.fc37.aarch64 doxygen-2:1.9.5-2.fc37.aarch64 eigen3-devel-3.4.0-8.fc37.noarch emacs-filesystem-1:28.3-0.rc1.fc37.noarch expat-2.5.0-1.fc37.aarch64 flex-2.6.4-11.fc37.aarch64 flexiblas-3.3.1-5.fc37.aarch64 flexiblas-netlib-3.3.1-5.fc37.aarch64 flexiblas-openblas-openmp-3.3.1-5.fc37.aarch64 fmt-9.1.0-1.fc37.aarch64 fmt-devel-9.1.0-1.fc37.aarch64 fontconfig-2.14.1-2.fc37.aarch64 fonts-filesystem-1:2.0.5-9.fc37.noarch freetype-2.12.1-3.fc37.aarch64 fribidi-1.0.12-2.fc37.aarch64 gc-8.0.6-4.fc37.aarch64 gcc-12.3.1-1.fc37.aarch64 gcc-c++-12.3.1-1.fc37.aarch64 gcc-plugin-annobin-12.3.1-1.fc37.aarch64 gd-2.3.3-9.fc37.aarch64 gdk-pixbuf2-2.42.10-1.fc37.aarch64 gecode-6.2.0-10.fc37.aarch64 git-2.42.0-2.fc37.aarch64 git-core-2.42.0-2.fc37.aarch64 git-core-doc-2.42.0-2.fc37.noarch gklib-5.1.1-20230326.0.git8bd6bad7.fc37.aarch64 glib2-2.74.7-2.fc37.aarch64 glibc-devel-2.36-18.fc37.aarch64 glpk-5.0-5.fc37.aarch64 glx-utils-8.4.0-14.20210504git0f9e7d9.fc37.aarch64 gmock-1.12.1-2.fc37.aarch64 gmock-devel-1.12.1-2.fc37.aarch64 gnutls-3.8.1-1.fc37.aarch64 google-droid-sans-fonts-20200215-13.fc37.noarch google-noto-fonts-common-20201206^1.git0c78c8329-8.fc37.noarch google-noto-sans-vf-fonts-20201206^1.git0c78c8329-8.fc37.noarch graphite2-1.3.14-10.fc37.aarch64 graphviz-5.0.0-4.fc37.aarch64 groff-base-1.22.4-10.fc37.aarch64 gsl-2.6-7.fc37.aarch64 gtest-1.12.1-2.fc37.aarch64 gtest-devel-1.12.1-2.fc37.aarch64 gts-0.7.6-42.20121130.fc37.aarch64 guile22-2.2.7-6.fc37.aarch64 harfbuzz-5.2.0-1.fc37.aarch64 highway-1.0.7-1.fc37.aarch64 jacop-4.8-9.fc37.noarch java-17-openjdk-headless-1:17.0.8.0.7-1.fc37.aarch64 java-diff-utils-4.12-1.fc37.noarch javapackages-filesystem-6.1.0-4.fc37.noarch javapackages-tools-6.1.0-4.fc37.noarch jbig2dec-libs-0.19-7.fc37.aarch64 jbigkit-libs-2.1-24.fc37.aarch64 jline-builtins-3.21.0-6.fc37.noarch jline-reader-3.21.0-6.fc37.noarch jline-style-3.21.0-6.fc37.noarch jline-terminal-3.21.0-6.fc37.noarch jline-terminal-jna-3.21.0-6.fc37.noarch jna-5.12.1-2.fc37.aarch64 jsoncpp-1.9.5-3.fc37.aarch64 kernel-headers-6.5.4-100.fc37.aarch64 langpacks-core-font-en-3.0-26.fc37.noarch lasi-1.1.3-9.fc37.aarch64 lcms2-2.14-1.fc37.aarch64 less-633-1.fc37.aarch64 libICE-1.0.10-9.fc37.aarch64 libSM-1.2.3-11.fc37.aarch64 libX11-1.8.4-1.fc37.aarch64 libX11-common-1.8.4-1.fc37.noarch libX11-devel-1.8.4-1.fc37.aarch64 libX11-xcb-1.8.4-1.fc37.aarch64 libXau-1.0.10-1.fc37.aarch64 libXau-devel-1.0.10-1.fc37.aarch64 libXext-1.3.4-9.fc37.aarch64 libXext-devel-1.3.4-9.fc37.aarch64 libXfixes-6.0.0-4.fc37.aarch64 libXft-2.3.4-3.fc37.aarch64 libXpm-3.5.15-2.fc37.aarch64 libXrender-0.9.10-17.fc37.aarch64 libXxf86vm-1.1.4-19.fc37.aarch64 libaom-3.7.0-1.fc37.aarch64 libasan-12.3.1-1.fc37.aarch64 libatomic-12.3.1-1.fc37.aarch64 libavif-0.11.1-4.fc37.aarch64 libb2-0.98.1-7.fc37.aarch64 libcbor-0.7.0-7.fc37.aarch64 libdatrie-0.2.13-4.fc37.aarch64 libdav1d-1.2.1-1.fc37.aarch64 libdrm-2.4.117-1.fc37.aarch64 libedit-3.1-43.20221009cvs.fc37.aarch64 libevdev-1.13.0-1.fc37.aarch64 libfido2-1.11.0-3.fc37.aarch64 libfontenc-1.1.4-4.fc37.aarch64 libgfortran-12.3.1-1.fc37.aarch64 libglvnd-1:1.5.0-1.fc37.aarch64 libglvnd-core-devel-1:1.5.0-1.fc37.aarch64 libglvnd-devel-1:1.5.0-1.fc37.aarch64 libglvnd-egl-1:1.5.0-1.fc37.aarch64 libglvnd-gles-1:1.5.0-1.fc37.aarch64 libglvnd-glx-1:1.5.0-1.fc37.aarch64 libglvnd-opengl-1:1.5.0-1.fc37.aarch64 libgs-9.56.1-9.fc37.aarch64 libgudev-237-3.fc37.aarch64 libicu-71.1-2.fc37.aarch64 libicu-devel-71.1-2.fc37.aarch64 libijs-0.35-16.fc37.aarch64 libimagequant-2.17.0-3.fc37.aarch64 libinput-1.22.1-1.fc37.aarch64 libjpeg-turbo-2.1.3-2.fc37.aarch64 libjpeg-turbo-devel-2.1.3-2.fc37.aarch64 libjxl-1:0.7.0-5.fc37.aarch64 libmpc-1.2.1-5.fc37.aarch64 libnauty-2.8.6-5.fc37.aarch64 libpaper-1.1.28-5.fc37.aarch64 libpng-2:1.6.37-13.fc37.aarch64 libproxy-0.4.18-3.fc37.aarch64 librsvg2-2.54.6-1.fc37.aarch64 libstdc++-devel-12.3.1-1.fc37.aarch64 libthai-0.1.29-3.fc37.aarch64 libtiff-4.4.0-4.fc37.aarch64 libtool-ltdl-2.4.7-2.fc37.aarch64 libubsan-12.3.1-1.fc37.aarch64 libuv-1:1.46.0-1.fc37.aarch64 libwacom-2.6.0-1.fc37.aarch64 libwacom-data-2.6.0-1.fc37.noarch libwayland-client-1.21.0-1.fc37.aarch64 libwayland-server-1.21.0-1.fc37.aarch64 libwebp-1.3.2-2.fc37.aarch64 libxcb-1.13.1-10.fc37.aarch64 libxcb-devel-1.13.1-10.fc37.aarch64 libxcrypt-devel-4.4.36-1.fc37.aarch64 libxkbcommon-1.4.1-2.fc37.aarch64 libxkbcommon-x11-1.4.1-2.fc37.aarch64 libxshmfence-1.3-11.fc37.aarch64 lksctp-tools-1.0.19-2.fc37.aarch64 llvm-libs-15.0.7-2.fc37.aarch64 lua-5.4.4-9.fc37.aarch64 lua-filesystem-1.8.0-7.fc37.aarch64 lua-json-1.3.4-2.fc37.noarch lua-lpeg-1.0.2-9.fc37.aarch64 lua-posix-35.1-4.fc37.aarch64 lua-term-0.07-16.fc37.aarch64 m4-1.4.19-4.fc37.aarch64 make-1:4.3-11.fc37.aarch64 mesa-libEGL-23.0.3-1.fc37.aarch64 mesa-libEGL-devel-23.0.3-1.fc37.aarch64 mesa-libGL-23.0.3-1.fc37.aarch64 mesa-libgbm-23.0.3-1.fc37.aarch64 mesa-libglapi-23.0.3-1.fc37.aarch64 metis-5.2.1-20230403.0.gite0f1b88b.fc37.aarch64 mkfontscale-1.2.2-2.fc37.aarch64 mockito-3.12.4-5.fc37.noarch mp-3.1.0-39.20200303git7fd4828.fc37.aarch64 mpdecimal-2.5.1-4.fc37.aarch64 mtdev-1.1.6-4.fc37.aarch64 ncurses-6.4-3.20230114.fc37.aarch64 ncurses-c++-libs-6.4-3.20230114.fc37.aarch64 ncurses-devel-6.4-3.20230114.fc37.aarch64 netpbm-11.02.00-1.fc37.aarch64 nettle-3.8-2.fc37.aarch64 nspr-4.35.0-11.fc37.aarch64 nss-3.94.0-2.fc37.aarch64 nss-softokn-3.94.0-2.fc37.aarch64 nss-softokn-freebl-3.94.0-2.fc37.aarch64 nss-sysinit-3.94.0-2.fc37.aarch64 nss-util-3.94.0-2.fc37.aarch64 objectweb-asm-9.3-2.fc37.noarch objenesis-3.1-10.fc37.noarch openblas-0.3.21-3.fc37.aarch64 openblas-openmp-0.3.21-3.fc37.aarch64 openjpeg2-2.5.0-5.fc37.aarch64 openssh-8.8p1-11.fc37.aarch64 openssh-clients-8.8p1-11.fc37.aarch64 opensta-2.2.0-20231207.0.gite9189bbd.fc37.aarch64 opensta-devel-2.2.0-20231207.0.gite9189bbd.fc37.aarch64 opentest4j-1.2.0-11.fc37.noarch or-tools-9.6-20230310.0.git5425dedc.fc37.aarch64 or-tools-devel-9.6-20230310.0.git5425dedc.fc37.aarch64 pango-1.50.14-1.fc37.aarch64 pcre2-utf16-10.40-1.fc37.1.aarch64 perl-Carp-1.52-489.fc37.noarch perl-Class-Struct-0.66-494.fc37.noarch perl-DynaLoader-1.52-494.fc37.aarch64 perl-Encode-4:3.19-492.fc37.aarch64 perl-English-1.11-494.fc37.noarch perl-Errno-1.36-494.fc37.aarch64 perl-Error-1:0.17029-10.fc37.noarch perl-Exporter-5.77-489.fc37.noarch perl-Fcntl-1.15-494.fc37.aarch64 perl-File-Basename-2.85-494.fc37.noarch perl-File-Find-1.40-494.fc37.noarch perl-File-Path-2.18-489.fc37.noarch perl-File-Temp-1:0.231.100-489.fc37.noarch perl-File-stat-1.12-494.fc37.noarch perl-Getopt-Long-1:2.54-1.fc37.noarch perl-Getopt-Std-1.13-494.fc37.noarch perl-Git-2.42.0-2.fc37.noarch perl-HTTP-Tiny-0.086-1.fc37.noarch perl-IO-1.50-494.fc37.aarch64 perl-IPC-Open3-1.22-494.fc37.noarch perl-MIME-Base64-3.16-489.fc37.aarch64 perl-POSIX-2.03-494.fc37.aarch64 perl-PathTools-3.84-489.fc37.aarch64 perl-Pod-Escapes-1:1.07-489.fc37.noarch perl-Pod-Perldoc-3.28.01-490.fc37.noarch perl-Pod-Simple-1:3.43-490.fc37.noarch perl-Pod-Usage-4:2.03-3.fc37.noarch perl-Scalar-List-Utils-5:1.63-489.fc37.aarch64 perl-SelectSaver-1.02-494.fc37.noarch perl-Socket-4:2.036-1.fc37.aarch64 perl-Storable-1:3.26-489.fc37.aarch64 perl-Symbol-1.09-494.fc37.noarch perl-Term-ANSIColor-5.01-490.fc37.noarch perl-Term-Cap-1.17-489.fc37.noarch perl-TermReadKey-2.38-14.fc37.aarch64 perl-Text-ParseWords-3.31-489.fc37.noarch perl-Text-Tabs+Wrap-2023.0511-1.fc37.noarch perl-Time-Local-2:1.300-489.fc37.noarch perl-constant-1.33-490.fc37.noarch perl-if-0.61.000-494.fc37.noarch perl-interpreter-4:5.36.1-494.fc37.aarch64 perl-lib-0.65-494.fc37.aarch64 perl-libs-4:5.36.1-494.fc37.aarch64 perl-locale-1.10-494.fc37.noarch perl-mro-1.26-494.fc37.aarch64 perl-overload-1.35-494.fc37.noarch perl-overloading-0.02-494.fc37.noarch perl-parent-1:0.238-489.fc37.noarch perl-podlators-1:4.14-489.fc37.noarch perl-subs-1.04-494.fc37.noarch perl-vars-1.05-494.fc37.noarch pixman-0.40.0-6.fc37.aarch64 poppler-22.08.0-5.fc37.aarch64 poppler-data-0.4.11-3.fc37.noarch poppler-glib-22.08.0-5.fc37.aarch64 procps-ng-3.3.17-6.fc37.3.aarch64 protobuf-3.19.6-1.fc37.aarch64 protobuf-compiler-3.19.6-1.fc37.aarch64 protobuf-devel-3.19.6-1.fc37.aarch64 pyproject-rpm-macros-1.10.0-1.fc37.noarch python-pip-wheel-22.2.2-3.fc37.noarch python-rpm-macros-3.11-6.fc37.noarch python-setuptools-wheel-62.6.0-3.fc37.noarch python3-3.11.6-1.fc37.aarch64 python3-devel-3.11.6-1.fc37.aarch64 python3-libs-3.11.6-1.fc37.aarch64 python3-numpy-1:1.24.3-1.fc37.aarch64 python3-packaging-21.3-6.fc37.noarch python3-pyparsing-3.0.9-2.fc37.noarch python3-rpm-generators-13-3.fc37.noarch python3-rpm-macros-3.11-6.fc37.noarch qt-settings-37.2-1.fc37.noarch qt5-qtbase-5.15.10-9.fc37.aarch64 qt5-qtbase-common-5.15.10-9.fc37.noarch qt5-qtbase-devel-5.15.10-9.fc37.aarch64 qt5-qtbase-gui-5.15.10-9.fc37.aarch64 qt5-rpm-macros-5.15.10-1.fc37.noarch rav1e-libs-0.6.6-3.fc37.aarch64 re2-1:20220601-1.fc37.aarch64 readline-devel-8.2-2.fc37.aarch64 rhash-1.4.2-3.fc37.aarch64 scala-2.13.10-1.fc37.noarch scala-library-2.13.10-1.fc37.noarch scala-reflect-2.13.10-1.fc37.noarch scip-802-20221121.0.git1929dc28.fc37.aarch64 scotch-6.1.2-3.fc37.aarch64 shared-mime-info-2.2-2.fc37.aarch64 spdlog-1.10.0-3.1.fc37.aarch64 spdlog-devel-1.10.0-3.1.fc37.aarch64 suitesparse-5.10.1-3.fc37.aarch64 swig-4.0.2-18.fc37.aarch64 tbb-2020.3-13.fc37.aarch64 tcl-1:8.6.12-3.fc37.aarch64 tcl-devel-1:8.6.12-3.fc37.aarch64 tcl-tclreadline-2.1.0-20.fc37.aarch64 tcl-tclreadline-devel-2.1.0-20.fc37.aarch64 tclx-8.4.0-40.fc37.aarch64 tclx-devel-8.4.0-40.fc37.aarch64 tk-1:8.6.12-3.fc37.aarch64 tzdata-java-2023c-1.fc37.noarch unixODBC-2.3.11-1.fc37.aarch64 urw-base35-bookman-fonts-20200910-15.fc37.noarch urw-base35-c059-fonts-20200910-15.fc37.noarch urw-base35-d050000l-fonts-20200910-15.fc37.noarch urw-base35-fonts-20200910-15.fc37.noarch urw-base35-fonts-common-20200910-15.fc37.noarch urw-base35-gothic-fonts-20200910-15.fc37.noarch urw-base35-nimbus-mono-ps-fonts-20200910-15.fc37.noarch urw-base35-nimbus-roman-fonts-20200910-15.fc37.noarch urw-base35-nimbus-sans-fonts-20200910-15.fc37.noarch urw-base35-p052-fonts-20200910-15.fc37.noarch urw-base35-standard-symbols-ps-fonts-20200910-15.fc37.noarch urw-base35-z003-fonts-20200910-15.fc37.noarch vim-filesystem-2:9.0.2120-1.fc37.noarch vulkan-headers-1.3.216.0-2.fc37.noarch vulkan-loader-1.3.216.0-3.fc37.aarch64 vulkan-loader-devel-1.3.216.0-3.fc37.aarch64 xapian-core-libs-1.4.22-1.fc37.aarch64 xcb-util-0.4.0-20.fc37.aarch64 xcb-util-image-0.4.0-20.fc37.aarch64 xcb-util-keysyms-0.4.0-18.fc37.aarch64 xcb-util-renderutil-0.3.9-21.fc37.aarch64 xcb-util-wm-0.4.1-23.fc37.aarch64 xkeyboard-config-2.36-3.fc37.noarch xml-common-0.6.3-59.fc37.noarch xorg-x11-fonts-ISO8859-1-100dpi-7.5-34.fc37.noarch xorg-x11-proto-devel-2022.2-2.fc37.noarch zlib-devel-1.2.12-5.fc37.aarch64 Complete! Finish: build setup for openroad-2.0-20231209.0.git921553ef.fc37.src.rpm Start: rpmbuild openroad-2.0-20231209.0.git921553ef.fc37.src.rpm Building target platforms: aarch64 Building for target aarch64 setting SOURCE_DATE_EPOCH=1595635200 Executing(%prep): /bin/sh -e /var/tmp/rpm-tmp.Kq8UHu + umask 022 + cd /builddir/build/BUILD + cd /builddir/build/BUILD + rm -rf openroad + /usr/bin/mkdir -p openroad + cd openroad + /usr/bin/chmod -Rf a+rX,u+w,g-w,o-w . + git clone --depth 1 -n -b master https://github.com/The-OpenROAD-Project/OpenROAD.git . Cloning into '.'... + git fetch --depth 1 origin 921553efcb6563967947e50fb63390fee243896a From https://github.com/The-OpenROAD-Project/OpenROAD * branch 921553efcb6563967947e50fb63390fee243896a -> FETCH_HEAD + git reset --hard 921553efcb6563967947e50fb63390fee243896a Updating files: 100% (5028/5028), done. HEAD is now at 921553e Merge pull request #4362 from The-OpenROAD-Project-staging/cts-clust-div-zero + git log --format=fuller commit 921553efcb6563967947e50fb63390fee243896a Author: Matt Liberty AuthorDate: Sat Dec 9 20:08:13 2023 -0800 Commit: GitHub CommitDate: Sat Dec 9 20:08:13 2023 -0800 Merge pull request #4362 from The-OpenROAD-Project-staging/cts-clust-div-zero cts: fix div by zero in clustering + sed -i -e 's|../../src|../../../src|' src/dbSta/src/dbSta.i + sed -i -e 's|-m64||' src/par/CMakeLists.txt + sed -i -e '1i #include ' include/ord/Design.h + sed -i -e '1i #include ' src/odb/include/odb/dbStream.h + sed -i -e '1i #include ' src/grt/src/fastroute/include/DataType.h + sed -i -e 's|scaleAbreviation|scaleAbbreviation|g' src/gui/src/staGui.cpp + sed -i -e 's|scaleAbreviation|scaleAbbreviation|g' src/gui/src/clockWidget.cpp + RPM_EC=0 ++ jobs -p + exit 0 Executing(%build): /bin/sh -e /var/tmp/rpm-tmp.15R21A + umask 022 + cd /builddir/build/BUILD + CFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w' + export CFLAGS + CXXFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w' + export CXXFLAGS + FFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -I/usr/lib64/gfortran/modules' + export FFLAGS + FCFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -I/usr/lib64/gfortran/modules' + export FCFLAGS + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes' + export LDFLAGS + LT_SYS_LIBRARY_PATH=/usr/lib64: + export LT_SYS_LIBRARY_PATH + CC=gcc + export CC + CXX=g++ + export CXX + cd openroad + mkdir build + pushd build ~/build/BUILD/openroad/build ~/build/BUILD/openroad + CFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w' + export CFLAGS + CXXFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w' + export CXXFLAGS + FFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -I/usr/lib64/gfortran/modules' + export FFLAGS + FCFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -I/usr/lib64/gfortran/modules' + export FCFLAGS + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes' + export LDFLAGS + LT_SYS_LIBRARY_PATH=/usr/lib64: + export LT_SYS_LIBRARY_PATH + CC=gcc + export CC + CXX=g++ + export CXX + /usr/bin/cmake -DCMAKE_C_FLAGS_RELEASE:STRING=-DNDEBUG -DCMAKE_CXX_FLAGS_RELEASE:STRING=-DNDEBUG -DCMAKE_Fortran_FLAGS_RELEASE:STRING=-DNDEBUG -DCMAKE_VERBOSE_MAKEFILE:BOOL=ON -DCMAKE_INSTALL_DO_STRIP:BOOL=OFF -DCMAKE_INSTALL_PREFIX:PATH=/usr -DINCLUDE_INSTALL_DIR:PATH=/usr/include -DLIB_INSTALL_DIR:PATH=/usr/lib64 -DSYSCONF_INSTALL_DIR:PATH=/etc -DSHARE_INSTALL_PREFIX:PATH=/usr/share -DLIB_SUFFIX=64 -DBUILD_SHARED_LIBS:BOOL=ON .. -Wno-dev -DCMAKE_SKIP_RPATH=ON -DCMAKE_VERBOSE_MAKEFILE=OFF -DCMAKE_BUILD_TYPE=RelWithDebInfo -DBUILD_SHARED_LIBS:BOOL=OFF -DOPENROAD_VERSION=2.0-20231209.git921553ef.fc37 -DBUILD_GUI=ON -DUSE_CIMG_LIB=ON -DUSE_SYSTEM_BOOST=ON -DUSE_SYSTEM_ABC=ON -DUSE_SYSTEM_OPENSTA=ON -DOPENSTA_HOME=/usr/share/opensta -DENABLE_TESTS=OFF -DALLOW_WARNINGS=ON -- The CXX compiler identification is GNU 12.3.1 -- Detecting CXX compiler ABI info -- Detecting CXX compiler ABI info - done -- Check for working CXX compiler: /usr/bin/g++ - skipped -- Detecting CXX compile features -- Detecting CXX compile features - done -- OpenROAD version: 2.0-20231209.git921553ef.fc37 -- System name: Linux -- Compiler: GNU 12.3.1 -- Build type: RelWithDebInfo -- Install prefix: /usr -- C++ Standard: 17 -- C++ Standard Required: ON -- C++ Extensions: OFF -- Found OpenSTA: /usr/lib64/libOpenSTA.so -- Using OPENSTA_INCLUDE_DIR=/usr/include/sta -- Found ABC: /usr/lib64/libabc.so -- Using ABC_INCLUDE_DIR=/usr/include/abc -- TCL library: /usr/lib64/libtcl.so -- TCL header: /usr/include/tcl.h -- TCL readline library: /usr/lib64/libtclreadline.so -- TCL readline header: /usr/include -- Found SWIG: /usr/bin/swig (found suitable version "4.0.2", minimum required is "3.0") -- Found Boost: /usr/lib64/cmake/Boost-1.78.0/BoostConfig.cmake (found version "1.78.0") -- boost: 1.78.0 -- Found Python3: /usr/include/python3.11 (found version "3.11.6") found components: Development Development.Module Development.Embed -- Found ZLIB: /usr/lib64/libz.so (found version "1.2.12") -- Performing Test CMAKE_HAVE_LIBC_PTHREAD -- Performing Test CMAKE_HAVE_LIBC_PTHREAD - Success -- Found Threads: TRUE -- spdlog: 1.10.0 -- Found BISON: /usr/bin/bison (found version "3.8.2") -- Found Doxygen: /usr/bin/doxygen (found version "1.9.5") found components: doxygen dot -- Found OpenMP_CXX: -fopenmp (found version "4.5") -- Found OpenMP: TRUE (found version "4.5") -- GPU is not enabled -- TCL library: /usr/lib64/libtcl.so -- TCL header: /usr/include/tcl.h -- The C compiler identification is GNU 12.3.1 -- Detecting C compiler ABI info -- Detecting C compiler ABI info - done -- Check for working C compiler: /usr/bin/gcc - skipped -- Detecting C compile features -- Detecting C compile features - done -- GUI is enabled -- Charts widget is not enabled -- Found Boost: /usr/lib64/cmake/Boost-1.78.0/BoostConfig.cmake (found version "1.78.0") found components: serialization -- Could NOT find VTune (missing: VTune_LIBRARIES VTune_INCLUDE_DIRS) -- Found Boost: /usr/lib64/cmake/Boost-1.78.0/BoostConfig.cmake (found suitable version "1.78.0", minimum required is "1.78") -- TCL library: /usr/lib64/libtcl.so -- TCL header: /usr/include/tcl.h -- Found Boost: /usr/lib64/cmake/Boost-1.78.0/BoostConfig.cmake (found version "1.78.0") found components: serialization system thread -- TCL readline enabled -- Tcl Extended disabled -- Python3 enabled -- Configuring done (1.7s) -- Generating done (0.3s) CMake Warning: Manually-specified variables were not used by the project: CMAKE_CXX_FLAGS_RELEASE CMAKE_C_FLAGS_RELEASE CMAKE_Fortran_FLAGS_RELEASE CMAKE_INSTALL_DO_STRIP INCLUDE_INSTALL_DIR LIB_INSTALL_DIR LIB_SUFFIX SHARE_INSTALL_PREFIX SYSCONF_INSTALL_DIR USE_CIMG_LIB -- Build files have been written to: /builddir/build/BUILD/openroad/build + /usr/bin/cmake --build . -j4 --verbose Change Dir: '/builddir/build/BUILD/openroad/build' Run Build Command(s): /usr/bin/cmake -E env VERBOSE=1 /usr/bin/gmake -f Makefile -j4 /usr/bin/cmake -S/builddir/build/BUILD/openroad -B/builddir/build/BUILD/openroad/build --check-build-system CMakeFiles/Makefile.cmake 0 /usr/bin/cmake -E cmake_progress_start /builddir/build/BUILD/openroad/build/CMakeFiles /builddir/build/BUILD/openroad/build//CMakeFiles/progress.marks /usr/bin/gmake -f CMakeFiles/Makefile2 all gmake[1]: Entering directory '/builddir/build/BUILD/openroad/build' /usr/bin/gmake -f src/utl/CMakeFiles/utl_lib.dir/build.make src/utl/CMakeFiles/utl_lib.dir/depend /usr/bin/gmake -f src/odb/src/def/CMakeFiles/def.dir/build.make src/odb/src/def/CMakeFiles/def.dir/depend /usr/bin/gmake -f src/odb/src/def/CMakeFiles/defzlib.dir/build.make src/odb/src/def/CMakeFiles/defzlib.dir/depend /usr/bin/gmake -f src/odb/src/lef/CMakeFiles/lef.dir/build.make src/odb/src/lef/CMakeFiles/lef.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src/utl /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src/utl /builddir/build/BUILD/openroad/build/src/utl/CMakeFiles/utl_lib.dir/DependInfo.cmake "--color=" gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src/odb/src/def /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src/odb/src/def /builddir/build/BUILD/openroad/build/src/odb/src/def/CMakeFiles/defzlib.dir/DependInfo.cmake "--color=" gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 0%] [BISON][def_parser] Building parser with bison 3.8.2 gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' cd /builddir/build/BUILD/openroad/build/src/odb/src/def && /usr/bin/bison --name-prefix=defyy -d -o /builddir/build/BUILD/openroad/build/src/odb/src/def/def_parser.cpp /builddir/build/BUILD/openroad/src/odb/src/def/def/def.y /usr/bin/gmake -f src/odb/src/def/CMakeFiles/defzlib.dir/build.make src/odb/src/def/CMakeFiles/defzlib.dir/build /usr/bin/gmake -f src/utl/CMakeFiles/utl_lib.dir/build.make src/utl/CMakeFiles/utl_lib.dir/build [ 0%] [BISON][lef_parser] Building parser with bison 3.8.2 /builddir/build/BUILD/openroad/src/odb/src/def/def/def.y:53.1-12: warning: deprecated directive: '%pure-parser', use '%define api.pure' [-Wdeprecated] 53 | %pure-parser | ^~~~~~~~~~~~ | %define api.pure gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' cd /builddir/build/BUILD/openroad/build/src/odb/src/lef && /usr/bin/bison --name-prefix=lefyy -d -o /builddir/build/BUILD/openroad/build/src/odb/src/lef/lef_parser.cpp /builddir/build/BUILD/openroad/src/odb/src/lef/lef/lef.y gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 0%] Building CXX object src/odb/src/def/CMakeFiles/defzlib.dir/defzlib/defzlib.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/def && /usr/bin/g++ -DABC_USE_STDINT_H=1 -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/def/CMakeFiles/defzlib.dir/defzlib/defzlib.cpp.o -MF CMakeFiles/defzlib.dir/defzlib/defzlib.cpp.o.d -o CMakeFiles/defzlib.dir/defzlib/defzlib.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/def/defzlib/defzlib.cpp [ 0%] Building CXX object src/utl/CMakeFiles/utl_lib.dir/src/Metrics.cpp.o cd /builddir/build/BUILD/openroad/build/src/utl && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/utl/src -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/utl/CMakeFiles/utl_lib.dir/src/Metrics.cpp.o -MF CMakeFiles/utl_lib.dir/src/Metrics.cpp.o.d -o CMakeFiles/utl_lib.dir/src/Metrics.cpp.o -c /builddir/build/BUILD/openroad/src/utl/src/Metrics.cpp [ 0%] Linking CXX static library libdefzlib.a cd /builddir/build/BUILD/openroad/build/src/odb/src/def && /usr/bin/cmake -P CMakeFiles/defzlib.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/openroad/build/src/odb/src/def && /usr/bin/cmake -E cmake_link_script CMakeFiles/defzlib.dir/link.txt --verbose=1 /usr/bin/ar qc libdefzlib.a CMakeFiles/defzlib.dir/defzlib/defzlib.cpp.o /usr/bin/ranlib libdefzlib.a gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [ 0%] Built target defzlib /usr/bin/gmake -f src/odb/src/lef/CMakeFiles/lefzlib.dir/build.make src/odb/src/lef/CMakeFiles/lefzlib.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src/odb/src/lef /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src/odb/src/lef /builddir/build/BUILD/openroad/build/src/odb/src/lef/CMakeFiles/lefzlib.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' /usr/bin/gmake -f src/odb/src/lef/CMakeFiles/lefzlib.dir/build.make src/odb/src/lef/CMakeFiles/lefzlib.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 0%] Building CXX object src/odb/src/lef/CMakeFiles/lefzlib.dir/lefzlib/lefzlib.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/lef && /usr/bin/g++ -DABC_USE_STDINT_H=1 -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/lef/CMakeFiles/lefzlib.dir/lefzlib/lefzlib.cpp.o -MF CMakeFiles/lefzlib.dir/lefzlib/lefzlib.cpp.o.d -o CMakeFiles/lefzlib.dir/lefzlib/lefzlib.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib/lefzlib.cpp [ 0%] Linking CXX static library liblefzlib.a cd /builddir/build/BUILD/openroad/build/src/odb/src/lef && /usr/bin/cmake -P CMakeFiles/lefzlib.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/openroad/build/src/odb/src/lef && /usr/bin/cmake -E cmake_link_script CMakeFiles/lefzlib.dir/link.txt --verbose=1 /builddir/build/BUILD/openroad/src/odb/src/def/def/def.y: warning: fix-its can be applied. Rerun with option '--update'. [-Wother] /usr/bin/ar qc liblefzlib.a CMakeFiles/lefzlib.dir/lefzlib/lefzlib.cpp.o cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src/odb/src/def /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src/odb/src/def /builddir/build/BUILD/openroad/build/src/odb/src/def/CMakeFiles/def.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' /usr/bin/gmake -f src/odb/src/def/CMakeFiles/def.dir/build.make src/odb/src/def/CMakeFiles/def.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' /usr/bin/ranlib liblefzlib.a [ 1%] Building CXX object src/odb/src/def/CMakeFiles/def.dir/def/defiAlias.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/def && /usr/bin/g++ -DABC_USE_STDINT_H=1 -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/build/src/odb/src/def -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/def/CMakeFiles/def.dir/def/defiAlias.cpp.o -MF CMakeFiles/def.dir/def/defiAlias.cpp.o.d -o CMakeFiles/def.dir/def/defiAlias.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/def/def/defiAlias.cpp gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [ 1%] Built target lefzlib /usr/bin/gmake -f src/gui/CMakeFiles/gui_RUNTIME_HEADER.dir/build.make src/gui/CMakeFiles/gui_RUNTIME_HEADER.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src/gui /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src/gui /builddir/build/BUILD/openroad/build/src/gui/CMakeFiles/gui_RUNTIME_HEADER.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' /usr/bin/gmake -f src/gui/CMakeFiles/gui_RUNTIME_HEADER.dir/build.make src/gui/CMakeFiles/gui_RUNTIME_HEADER.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 1%] Generating tclSwig.h cd /builddir/build/BUILD/openroad/build/src/gui && /usr/bin/swig -tcl -external-runtime tclSwig.h gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [ 1%] Built target gui_RUNTIME_HEADER /usr/bin/gmake -f src/ppl/src/munkres/CMakeFiles/Munkres.dir/build.make src/ppl/src/munkres/CMakeFiles/Munkres.dir/depend cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src/odb/src/lef /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src/odb/src/lef /builddir/build/BUILD/openroad/build/src/odb/src/lef/CMakeFiles/lef.dir/DependInfo.cmake "--color=" gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src/ppl/src/munkres /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src/ppl/src/munkres /builddir/build/BUILD/openroad/build/src/ppl/src/munkres/CMakeFiles/Munkres.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' /usr/bin/gmake -f src/odb/src/lef/CMakeFiles/lef.dir/build.make src/odb/src/lef/CMakeFiles/lef.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' /usr/bin/gmake -f src/ppl/src/munkres/CMakeFiles/Munkres.dir/build.make src/ppl/src/munkres/CMakeFiles/Munkres.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 1%] Building CXX object src/odb/src/lef/CMakeFiles/lef.dir/lef/crypt.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/lef && /usr/bin/g++ -DABC_USE_STDINT_H=1 -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/build/src/odb/src/lef -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -Wno-class-memaccess -MD -MT src/odb/src/lef/CMakeFiles/lef.dir/lef/crypt.cpp.o -MF CMakeFiles/lef.dir/lef/crypt.cpp.o.d -o CMakeFiles/lef.dir/lef/crypt.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/lef/lef/crypt.cpp [ 2%] Building CXX object src/ppl/src/munkres/CMakeFiles/Munkres.dir/src/Hungarian.cpp.o cd /builddir/build/BUILD/openroad/build/src/ppl/src/munkres && /usr/bin/g++ -DABC_USE_STDINT_H=1 -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/ppl/src/munkres/src -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/ppl/src/munkres/CMakeFiles/Munkres.dir/src/Hungarian.cpp.o -MF CMakeFiles/Munkres.dir/src/Hungarian.cpp.o.d -o CMakeFiles/Munkres.dir/src/Hungarian.cpp.o -c /builddir/build/BUILD/openroad/src/ppl/src/munkres/src/Hungarian.cpp [ 2%] Building CXX object src/odb/src/lef/CMakeFiles/lef.dir/lef/lefiArray.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/lef && /usr/bin/g++ -DABC_USE_STDINT_H=1 -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/build/src/odb/src/lef -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -Wno-class-memaccess -MD -MT src/odb/src/lef/CMakeFiles/lef.dir/lef/lefiArray.cpp.o -MF CMakeFiles/lef.dir/lef/lefiArray.cpp.o.d -o CMakeFiles/lef.dir/lef/lefiArray.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/lef/lef/lefiArray.cpp [ 2%] Building CXX object src/odb/src/def/CMakeFiles/def.dir/def/defiAssertion.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/def && /usr/bin/g++ -DABC_USE_STDINT_H=1 -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/build/src/odb/src/def -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/def/CMakeFiles/def.dir/def/defiAssertion.cpp.o -MF CMakeFiles/def.dir/def/defiAssertion.cpp.o.d -o CMakeFiles/def.dir/def/defiAssertion.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/def/def/defiAssertion.cpp [ 2%] Building CXX object src/odb/src/lef/CMakeFiles/lef.dir/lef/lefiCrossTalk.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/lef && /usr/bin/g++ -DABC_USE_STDINT_H=1 -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/build/src/odb/src/lef -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -Wno-class-memaccess -MD -MT src/odb/src/lef/CMakeFiles/lef.dir/lef/lefiCrossTalk.cpp.o -MF CMakeFiles/lef.dir/lef/lefiCrossTalk.cpp.o.d -o CMakeFiles/lef.dir/lef/lefiCrossTalk.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/lef/lef/lefiCrossTalk.cpp [ 2%] Linking CXX static library libMunkres.a cd /builddir/build/BUILD/openroad/build/src/ppl/src/munkres && /usr/bin/cmake -P CMakeFiles/Munkres.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/openroad/build/src/ppl/src/munkres && /usr/bin/cmake -E cmake_link_script CMakeFiles/Munkres.dir/link.txt --verbose=1 /usr/bin/ar qc libMunkres.a CMakeFiles/Munkres.dir/src/Hungarian.cpp.o /usr/bin/ranlib libMunkres.a gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [ 2%] Built target Munkres /usr/bin/gmake -f src/mpl/src/ParquetFP/CMakeFiles/ParquetFP.dir/build.make src/mpl/src/ParquetFP/CMakeFiles/ParquetFP.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src/mpl/src/ParquetFP /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src/mpl/src/ParquetFP /builddir/build/BUILD/openroad/build/src/mpl/src/ParquetFP/CMakeFiles/ParquetFP.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' /usr/bin/gmake -f src/mpl/src/ParquetFP/CMakeFiles/ParquetFP.dir/build.make src/mpl/src/ParquetFP/CMakeFiles/ParquetFP.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 2%] Building CXX object src/mpl/src/ParquetFP/CMakeFiles/ParquetFP.dir/src/AnalytSolve.cxx.o cd /builddir/build/BUILD/openroad/build/src/mpl/src/ParquetFP && /usr/bin/g++ -DABC_USE_STDINT_H=1 -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/mpl/src/ParquetFP/src -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/mpl/src/ParquetFP/CMakeFiles/ParquetFP.dir/src/AnalytSolve.cxx.o -MF CMakeFiles/ParquetFP.dir/src/AnalytSolve.cxx.o.d -o CMakeFiles/ParquetFP.dir/src/AnalytSolve.cxx.o -c /builddir/build/BUILD/openroad/src/mpl/src/ParquetFP/src/AnalytSolve.cxx [ 2%] Building CXX object src/odb/src/def/CMakeFiles/def.dir/def/defiBlockage.cpp.o [ 3%] Building CXX object src/odb/src/lef/CMakeFiles/lef.dir/lef/lefiDebug.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/def && /usr/bin/g++ -DABC_USE_STDINT_H=1 -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/build/src/odb/src/def -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/def/CMakeFiles/def.dir/def/defiBlockage.cpp.o -MF CMakeFiles/def.dir/def/defiBlockage.cpp.o.d -o CMakeFiles/def.dir/def/defiBlockage.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/def/def/defiBlockage.cpp cd /builddir/build/BUILD/openroad/build/src/odb/src/lef && /usr/bin/g++ -DABC_USE_STDINT_H=1 -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/build/src/odb/src/lef -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -Wno-class-memaccess -MD -MT src/odb/src/lef/CMakeFiles/lef.dir/lef/lefiDebug.cpp.o -MF CMakeFiles/lef.dir/lef/lefiDebug.cpp.o.d -o CMakeFiles/lef.dir/lef/lefiDebug.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/lef/lef/lefiDebug.cpp [ 3%] Building CXX object src/odb/src/lef/CMakeFiles/lef.dir/lef/lefiEncryptInt.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/lef && /usr/bin/g++ -DABC_USE_STDINT_H=1 -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/build/src/odb/src/lef -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -Wno-class-memaccess -MD -MT src/odb/src/lef/CMakeFiles/lef.dir/lef/lefiEncryptInt.cpp.o -MF CMakeFiles/lef.dir/lef/lefiEncryptInt.cpp.o.d -o CMakeFiles/lef.dir/lef/lefiEncryptInt.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/lef/lef/lefiEncryptInt.cpp [ 3%] Building CXX object src/odb/src/def/CMakeFiles/def.dir/def/defiComponent.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/def && /usr/bin/g++ -DABC_USE_STDINT_H=1 -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/build/src/odb/src/def -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/def/CMakeFiles/def.dir/def/defiComponent.cpp.o -MF CMakeFiles/def.dir/def/defiComponent.cpp.o.d -o CMakeFiles/def.dir/def/defiComponent.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/def/def/defiComponent.cpp [ 3%] Building CXX object src/odb/src/lef/CMakeFiles/lef.dir/lef/lefiLayer.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/lef && /usr/bin/g++ -DABC_USE_STDINT_H=1 -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/build/src/odb/src/lef -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -Wno-class-memaccess -MD -MT src/odb/src/lef/CMakeFiles/lef.dir/lef/lefiLayer.cpp.o -MF CMakeFiles/lef.dir/lef/lefiLayer.cpp.o.d -o CMakeFiles/lef.dir/lef/lefiLayer.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/lef/lef/lefiLayer.cpp [ 3%] Building CXX object src/mpl/src/ParquetFP/CMakeFiles/ParquetFP.dir/src/baseannealer.cxx.o cd /builddir/build/BUILD/openroad/build/src/mpl/src/ParquetFP && /usr/bin/g++ -DABC_USE_STDINT_H=1 -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/mpl/src/ParquetFP/src -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/mpl/src/ParquetFP/CMakeFiles/ParquetFP.dir/src/baseannealer.cxx.o -MF CMakeFiles/ParquetFP.dir/src/baseannealer.cxx.o.d -o CMakeFiles/ParquetFP.dir/src/baseannealer.cxx.o -c /builddir/build/BUILD/openroad/src/mpl/src/ParquetFP/src/baseannealer.cxx [ 3%] Building CXX object src/odb/src/def/CMakeFiles/def.dir/def/defiDebug.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/def && /usr/bin/g++ -DABC_USE_STDINT_H=1 -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/build/src/odb/src/def -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/def/CMakeFiles/def.dir/def/defiDebug.cpp.o -MF CMakeFiles/def.dir/def/defiDebug.cpp.o.d -o CMakeFiles/def.dir/def/defiDebug.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/def/def/defiDebug.cpp [ 3%] Building CXX object src/odb/src/def/CMakeFiles/def.dir/def/defiFill.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/def && /usr/bin/g++ -DABC_USE_STDINT_H=1 -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/build/src/odb/src/def -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/def/CMakeFiles/def.dir/def/defiFill.cpp.o -MF CMakeFiles/def.dir/def/defiFill.cpp.o.d -o CMakeFiles/def.dir/def/defiFill.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/def/def/defiFill.cpp [ 3%] Building CXX object src/mpl/src/ParquetFP/CMakeFiles/ParquetFP.dir/src/basepacking.cxx.o cd /builddir/build/BUILD/openroad/build/src/mpl/src/ParquetFP && /usr/bin/g++ -DABC_USE_STDINT_H=1 -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/mpl/src/ParquetFP/src -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/mpl/src/ParquetFP/CMakeFiles/ParquetFP.dir/src/basepacking.cxx.o -MF CMakeFiles/ParquetFP.dir/src/basepacking.cxx.o.d -o CMakeFiles/ParquetFP.dir/src/basepacking.cxx.o -c /builddir/build/BUILD/openroad/src/mpl/src/ParquetFP/src/basepacking.cxx [ 3%] Building CXX object src/odb/src/def/CMakeFiles/def.dir/def/defiFPC.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/def && /usr/bin/g++ -DABC_USE_STDINT_H=1 -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/build/src/odb/src/def -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/def/CMakeFiles/def.dir/def/defiFPC.cpp.o -MF CMakeFiles/def.dir/def/defiFPC.cpp.o.d -o CMakeFiles/def.dir/def/defiFPC.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/def/def/defiFPC.cpp [ 3%] Building CXX object src/odb/src/def/CMakeFiles/def.dir/def/defiGroup.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/def && /usr/bin/g++ -DABC_USE_STDINT_H=1 -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/build/src/odb/src/def -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/def/CMakeFiles/def.dir/def/defiGroup.cpp.o -MF CMakeFiles/def.dir/def/defiGroup.cpp.o.d -o CMakeFiles/def.dir/def/defiGroup.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/def/def/defiGroup.cpp [ 3%] Building CXX object src/mpl/src/ParquetFP/CMakeFiles/ParquetFP.dir/src/btreeanneal.cxx.o cd /builddir/build/BUILD/openroad/build/src/mpl/src/ParquetFP && /usr/bin/g++ -DABC_USE_STDINT_H=1 -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/mpl/src/ParquetFP/src -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/mpl/src/ParquetFP/CMakeFiles/ParquetFP.dir/src/btreeanneal.cxx.o -MF CMakeFiles/ParquetFP.dir/src/btreeanneal.cxx.o.d -o CMakeFiles/ParquetFP.dir/src/btreeanneal.cxx.o -c /builddir/build/BUILD/openroad/src/mpl/src/ParquetFP/src/btreeanneal.cxx [ 3%] Building CXX object src/odb/src/def/CMakeFiles/def.dir/def/defiIOTiming.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/def && /usr/bin/g++ -DABC_USE_STDINT_H=1 -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/build/src/odb/src/def -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/def/CMakeFiles/def.dir/def/defiIOTiming.cpp.o -MF CMakeFiles/def.dir/def/defiIOTiming.cpp.o.d -o CMakeFiles/def.dir/def/defiIOTiming.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/def/def/defiIOTiming.cpp [ 3%] Building CXX object src/odb/src/lef/CMakeFiles/lef.dir/lef/lefiMacro.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/lef && /usr/bin/g++ -DABC_USE_STDINT_H=1 -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/build/src/odb/src/lef -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -Wno-class-memaccess -MD -MT src/odb/src/lef/CMakeFiles/lef.dir/lef/lefiMacro.cpp.o -MF CMakeFiles/lef.dir/lef/lefiMacro.cpp.o.d -o CMakeFiles/lef.dir/lef/lefiMacro.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/lef/lef/lefiMacro.cpp [ 4%] Building CXX object src/odb/src/def/CMakeFiles/def.dir/def/defiMisc.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/def && /usr/bin/g++ -DABC_USE_STDINT_H=1 -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/build/src/odb/src/def -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/def/CMakeFiles/def.dir/def/defiMisc.cpp.o -MF CMakeFiles/def.dir/def/defiMisc.cpp.o.d -o CMakeFiles/def.dir/def/defiMisc.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/def/def/defiMisc.cpp [ 4%] Building CXX object src/odb/src/def/CMakeFiles/def.dir/def/defiNet.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/def && /usr/bin/g++ -DABC_USE_STDINT_H=1 -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/build/src/odb/src/def -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/def/CMakeFiles/def.dir/def/defiNet.cpp.o -MF CMakeFiles/def.dir/def/defiNet.cpp.o.d -o CMakeFiles/def.dir/def/defiNet.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/def/def/defiNet.cpp [ 4%] Building CXX object src/utl/CMakeFiles/utl_lib.dir/src/CFileUtils.cpp.o cd /builddir/build/BUILD/openroad/build/src/utl && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/utl/src -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/utl/CMakeFiles/utl_lib.dir/src/CFileUtils.cpp.o -MF CMakeFiles/utl_lib.dir/src/CFileUtils.cpp.o.d -o CMakeFiles/utl_lib.dir/src/CFileUtils.cpp.o -c /builddir/build/BUILD/openroad/src/utl/src/CFileUtils.cpp [ 4%] Building CXX object src/odb/src/lef/CMakeFiles/lef.dir/lef/lefiMisc.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/lef && /usr/bin/g++ -DABC_USE_STDINT_H=1 -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/build/src/odb/src/lef -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -Wno-class-memaccess -MD -MT src/odb/src/lef/CMakeFiles/lef.dir/lef/lefiMisc.cpp.o -MF CMakeFiles/lef.dir/lef/lefiMisc.cpp.o.d -o CMakeFiles/lef.dir/lef/lefiMisc.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/lef/lef/lefiMisc.cpp [ 4%] Building CXX object src/odb/src/lef/CMakeFiles/lef.dir/lef/lefiNonDefault.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/lef && /usr/bin/g++ -DABC_USE_STDINT_H=1 -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/build/src/odb/src/lef -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -Wno-class-memaccess -MD -MT src/odb/src/lef/CMakeFiles/lef.dir/lef/lefiNonDefault.cpp.o -MF CMakeFiles/lef.dir/lef/lefiNonDefault.cpp.o.d -o CMakeFiles/lef.dir/lef/lefiNonDefault.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/lef/lef/lefiNonDefault.cpp [ 4%] Building CXX object src/odb/src/def/CMakeFiles/def.dir/def/defiNonDefault.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/def && /usr/bin/g++ -DABC_USE_STDINT_H=1 -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/build/src/odb/src/def -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/def/CMakeFiles/def.dir/def/defiNonDefault.cpp.o -MF CMakeFiles/def.dir/def/defiNonDefault.cpp.o.d -o CMakeFiles/def.dir/def/defiNonDefault.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/def/def/defiNonDefault.cpp [ 4%] Building CXX object src/mpl/src/ParquetFP/CMakeFiles/ParquetFP.dir/src/btreecompact.cxx.o cd /builddir/build/BUILD/openroad/build/src/mpl/src/ParquetFP && /usr/bin/g++ -DABC_USE_STDINT_H=1 -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/mpl/src/ParquetFP/src -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/mpl/src/ParquetFP/CMakeFiles/ParquetFP.dir/src/btreecompact.cxx.o -MF CMakeFiles/ParquetFP.dir/src/btreecompact.cxx.o.d -o CMakeFiles/ParquetFP.dir/src/btreecompact.cxx.o -c /builddir/build/BUILD/openroad/src/mpl/src/ParquetFP/src/btreecompact.cxx [ 4%] Building CXX object src/odb/src/lef/CMakeFiles/lef.dir/lef/lefiProp.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/lef && /usr/bin/g++ -DABC_USE_STDINT_H=1 -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/build/src/odb/src/lef -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -Wno-class-memaccess -MD -MT src/odb/src/lef/CMakeFiles/lef.dir/lef/lefiProp.cpp.o -MF CMakeFiles/lef.dir/lef/lefiProp.cpp.o.d -o CMakeFiles/lef.dir/lef/lefiProp.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/lef/lef/lefiProp.cpp [ 4%] Building CXX object src/odb/src/lef/CMakeFiles/lef.dir/lef/lefiPropType.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/lef && /usr/bin/g++ -DABC_USE_STDINT_H=1 -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/build/src/odb/src/lef -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -Wno-class-memaccess -MD -MT src/odb/src/lef/CMakeFiles/lef.dir/lef/lefiPropType.cpp.o -MF CMakeFiles/lef.dir/lef/lefiPropType.cpp.o.d -o CMakeFiles/lef.dir/lef/lefiPropType.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/lef/lef/lefiPropType.cpp [ 4%] Building CXX object src/odb/src/def/CMakeFiles/def.dir/def/defiPartition.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/def && /usr/bin/g++ -DABC_USE_STDINT_H=1 -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/build/src/odb/src/def -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/def/CMakeFiles/def.dir/def/defiPartition.cpp.o -MF CMakeFiles/def.dir/def/defiPartition.cpp.o.d -o CMakeFiles/def.dir/def/defiPartition.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/def/def/defiPartition.cpp [ 4%] Building CXX object src/odb/src/lef/CMakeFiles/lef.dir/lef/lefiTBExt.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/lef && /usr/bin/g++ -DABC_USE_STDINT_H=1 -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/build/src/odb/src/lef -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -Wno-class-memaccess -MD -MT src/odb/src/lef/CMakeFiles/lef.dir/lef/lefiTBExt.cpp.o -MF CMakeFiles/lef.dir/lef/lefiTBExt.cpp.o.d -o CMakeFiles/lef.dir/lef/lefiTBExt.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/lef/lef/lefiTBExt.cpp [ 5%] Building CXX object src/odb/src/lef/CMakeFiles/lef.dir/lef/lefiUnits.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/lef && /usr/bin/g++ -DABC_USE_STDINT_H=1 -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/build/src/odb/src/lef -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -Wno-class-memaccess -MD -MT src/odb/src/lef/CMakeFiles/lef.dir/lef/lefiUnits.cpp.o -MF CMakeFiles/lef.dir/lef/lefiUnits.cpp.o.d -o CMakeFiles/lef.dir/lef/lefiUnits.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/lef/lef/lefiUnits.cpp [ 5%] Building CXX object src/odb/src/lef/CMakeFiles/lef.dir/lef/lefiVia.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/lef && /usr/bin/g++ -DABC_USE_STDINT_H=1 -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/build/src/odb/src/lef -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -Wno-class-memaccess -MD -MT src/odb/src/lef/CMakeFiles/lef.dir/lef/lefiVia.cpp.o -MF CMakeFiles/lef.dir/lef/lefiVia.cpp.o.d -o CMakeFiles/lef.dir/lef/lefiVia.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/lef/lef/lefiVia.cpp [ 5%] Building CXX object src/odb/src/def/CMakeFiles/def.dir/def/defiPath.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/def && /usr/bin/g++ -DABC_USE_STDINT_H=1 -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/build/src/odb/src/def -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/def/CMakeFiles/def.dir/def/defiPath.cpp.o -MF CMakeFiles/def.dir/def/defiPath.cpp.o.d -o CMakeFiles/def.dir/def/defiPath.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/def/def/defiPath.cpp [ 5%] Building CXX object src/mpl/src/ParquetFP/CMakeFiles/ParquetFP.dir/src/btree.cxx.o cd /builddir/build/BUILD/openroad/build/src/mpl/src/ParquetFP && /usr/bin/g++ -DABC_USE_STDINT_H=1 -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/mpl/src/ParquetFP/src -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/mpl/src/ParquetFP/CMakeFiles/ParquetFP.dir/src/btree.cxx.o -MF CMakeFiles/ParquetFP.dir/src/btree.cxx.o.d -o CMakeFiles/ParquetFP.dir/src/btree.cxx.o -c /builddir/build/BUILD/openroad/src/mpl/src/ParquetFP/src/btree.cxx [ 5%] Building CXX object src/odb/src/lef/CMakeFiles/lef.dir/lef/lefiViaRule.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/lef && /usr/bin/g++ -DABC_USE_STDINT_H=1 -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/build/src/odb/src/lef -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -Wno-class-memaccess -MD -MT src/odb/src/lef/CMakeFiles/lef.dir/lef/lefiViaRule.cpp.o -MF CMakeFiles/lef.dir/lef/lefiViaRule.cpp.o.d -o CMakeFiles/lef.dir/lef/lefiViaRule.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/lef/lef/lefiViaRule.cpp [ 5%] Building CXX object src/odb/src/def/CMakeFiles/def.dir/def/defiPinCap.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/def && /usr/bin/g++ -DABC_USE_STDINT_H=1 -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/build/src/odb/src/def -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/def/CMakeFiles/def.dir/def/defiPinCap.cpp.o -MF CMakeFiles/def.dir/def/defiPinCap.cpp.o.d -o CMakeFiles/def.dir/def/defiPinCap.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/def/def/defiPinCap.cpp [ 5%] Building CXX object src/odb/src/lef/CMakeFiles/lef.dir/lef/lef_keywords.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/lef && /usr/bin/g++ -DABC_USE_STDINT_H=1 -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/build/src/odb/src/lef -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -Wno-class-memaccess -MD -MT src/odb/src/lef/CMakeFiles/lef.dir/lef/lef_keywords.cpp.o -MF CMakeFiles/lef.dir/lef/lef_keywords.cpp.o.d -o CMakeFiles/lef.dir/lef/lef_keywords.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/lef/lef/lef_keywords.cpp [ 5%] Building CXX object src/utl/CMakeFiles/utl_lib.dir/src/ScopedTemporaryFile.cpp.o cd /builddir/build/BUILD/openroad/build/src/utl && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/utl/src -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/utl/CMakeFiles/utl_lib.dir/src/ScopedTemporaryFile.cpp.o -MF CMakeFiles/utl_lib.dir/src/ScopedTemporaryFile.cpp.o.d -o CMakeFiles/utl_lib.dir/src/ScopedTemporaryFile.cpp.o -c /builddir/build/BUILD/openroad/src/utl/src/ScopedTemporaryFile.cpp [ 5%] Building CXX object src/odb/src/def/CMakeFiles/def.dir/def/defiPinProp.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/def && /usr/bin/g++ -DABC_USE_STDINT_H=1 -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/build/src/odb/src/def -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/def/CMakeFiles/def.dir/def/defiPinProp.cpp.o -MF CMakeFiles/def.dir/def/defiPinProp.cpp.o.d -o CMakeFiles/def.dir/def/defiPinProp.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/def/def/defiPinProp.cpp [ 5%] Building CXX object src/mpl/src/ParquetFP/CMakeFiles/ParquetFP.dir/src/btreeslackeval.cxx.o cd /builddir/build/BUILD/openroad/build/src/mpl/src/ParquetFP && /usr/bin/g++ -DABC_USE_STDINT_H=1 -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/mpl/src/ParquetFP/src -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/mpl/src/ParquetFP/CMakeFiles/ParquetFP.dir/src/btreeslackeval.cxx.o -MF CMakeFiles/ParquetFP.dir/src/btreeslackeval.cxx.o.d -o CMakeFiles/ParquetFP.dir/src/btreeslackeval.cxx.o -c /builddir/build/BUILD/openroad/src/mpl/src/ParquetFP/src/btreeslackeval.cxx [ 5%] Building CXX object src/odb/src/lef/CMakeFiles/lef.dir/lef/lefrCallbacks.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/lef && /usr/bin/g++ -DABC_USE_STDINT_H=1 -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/build/src/odb/src/lef -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -Wno-class-memaccess -MD -MT src/odb/src/lef/CMakeFiles/lef.dir/lef/lefrCallbacks.cpp.o -MF CMakeFiles/lef.dir/lef/lefrCallbacks.cpp.o.d -o CMakeFiles/lef.dir/lef/lefrCallbacks.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/lef/lef/lefrCallbacks.cpp [ 5%] Building CXX object src/odb/src/def/CMakeFiles/def.dir/def/defiProp.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/def && /usr/bin/g++ -DABC_USE_STDINT_H=1 -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/build/src/odb/src/def -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/def/CMakeFiles/def.dir/def/defiProp.cpp.o -MF CMakeFiles/def.dir/def/defiProp.cpp.o.d -o CMakeFiles/def.dir/def/defiProp.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/def/def/defiProp.cpp [ 5%] Building CXX object src/odb/src/lef/CMakeFiles/lef.dir/lef/lefrData.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/lef && /usr/bin/g++ -DABC_USE_STDINT_H=1 -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/build/src/odb/src/lef -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -Wno-class-memaccess -MD -MT src/odb/src/lef/CMakeFiles/lef.dir/lef/lefrData.cpp.o -MF CMakeFiles/lef.dir/lef/lefrData.cpp.o.d -o CMakeFiles/lef.dir/lef/lefrData.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/lef/lef/lefrData.cpp [ 6%] Building CXX object src/mpl/src/ParquetFP/CMakeFiles/ParquetFP.dir/src/ClusterDB.cxx.o cd /builddir/build/BUILD/openroad/build/src/mpl/src/ParquetFP && /usr/bin/g++ -DABC_USE_STDINT_H=1 -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/mpl/src/ParquetFP/src -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/mpl/src/ParquetFP/CMakeFiles/ParquetFP.dir/src/ClusterDB.cxx.o -MF CMakeFiles/ParquetFP.dir/src/ClusterDB.cxx.o.d -o CMakeFiles/ParquetFP.dir/src/ClusterDB.cxx.o -c /builddir/build/BUILD/openroad/src/mpl/src/ParquetFP/src/ClusterDB.cxx [ 6%] Building CXX object src/odb/src/def/CMakeFiles/def.dir/def/defiPropType.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/def && /usr/bin/g++ -DABC_USE_STDINT_H=1 -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/build/src/odb/src/def -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/def/CMakeFiles/def.dir/def/defiPropType.cpp.o -MF CMakeFiles/def.dir/def/defiPropType.cpp.o.d -o CMakeFiles/def.dir/def/defiPropType.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/def/def/defiPropType.cpp [ 6%] Building CXX object src/odb/src/lef/CMakeFiles/lef.dir/lef/lefrReader.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/lef && /usr/bin/g++ -DABC_USE_STDINT_H=1 -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/build/src/odb/src/lef -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -Wno-class-memaccess -MD -MT src/odb/src/lef/CMakeFiles/lef.dir/lef/lefrReader.cpp.o -MF CMakeFiles/lef.dir/lef/lefrReader.cpp.o.d -o CMakeFiles/lef.dir/lef/lefrReader.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/lef/lef/lefrReader.cpp [ 7%] Building CXX object src/odb/src/def/CMakeFiles/def.dir/def/defiRegion.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/def && /usr/bin/g++ -DABC_USE_STDINT_H=1 -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/build/src/odb/src/def -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/def/CMakeFiles/def.dir/def/defiRegion.cpp.o -MF CMakeFiles/def.dir/def/defiRegion.cpp.o.d -o CMakeFiles/def.dir/def/defiRegion.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/def/def/defiRegion.cpp [ 7%] Building CXX object src/odb/src/def/CMakeFiles/def.dir/def/defiRowTrack.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/def && /usr/bin/g++ -DABC_USE_STDINT_H=1 -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/build/src/odb/src/def -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/def/CMakeFiles/def.dir/def/defiRowTrack.cpp.o -MF CMakeFiles/def.dir/def/defiRowTrack.cpp.o.d -o CMakeFiles/def.dir/def/defiRowTrack.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/def/def/defiRowTrack.cpp [ 7%] Building CXX object src/odb/src/lef/CMakeFiles/lef.dir/lef/lefrSettings.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/lef && /usr/bin/g++ -DABC_USE_STDINT_H=1 -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/build/src/odb/src/lef -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -Wno-class-memaccess -MD -MT src/odb/src/lef/CMakeFiles/lef.dir/lef/lefrSettings.cpp.o -MF CMakeFiles/lef.dir/lef/lefrSettings.cpp.o.d -o CMakeFiles/lef.dir/lef/lefrSettings.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/lef/lef/lefrSettings.cpp [ 7%] Building CXX object src/odb/src/def/CMakeFiles/def.dir/def/defiScanchain.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/def && /usr/bin/g++ -DABC_USE_STDINT_H=1 -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/build/src/odb/src/def -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/def/CMakeFiles/def.dir/def/defiScanchain.cpp.o -MF CMakeFiles/def.dir/def/defiScanchain.cpp.o.d -o CMakeFiles/def.dir/def/defiScanchain.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/def/def/defiScanchain.cpp [ 7%] Building CXX object src/odb/src/def/CMakeFiles/def.dir/def/defiSite.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/def && /usr/bin/g++ -DABC_USE_STDINT_H=1 -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/build/src/odb/src/def -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/def/CMakeFiles/def.dir/def/defiSite.cpp.o -MF CMakeFiles/def.dir/def/defiSite.cpp.o.d -o CMakeFiles/def.dir/def/defiSite.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/def/def/defiSite.cpp [ 7%] Building CXX object src/mpl/src/ParquetFP/CMakeFiles/ParquetFP.dir/src/CommandLine.cxx.o cd /builddir/build/BUILD/openroad/build/src/mpl/src/ParquetFP && /usr/bin/g++ -DABC_USE_STDINT_H=1 -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/mpl/src/ParquetFP/src -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/mpl/src/ParquetFP/CMakeFiles/ParquetFP.dir/src/CommandLine.cxx.o -MF CMakeFiles/ParquetFP.dir/src/CommandLine.cxx.o.d -o CMakeFiles/ParquetFP.dir/src/CommandLine.cxx.o -c /builddir/build/BUILD/openroad/src/mpl/src/ParquetFP/src/CommandLine.cxx [ 7%] Building CXX object src/utl/CMakeFiles/utl_lib.dir/src/Logger.cpp.o cd /builddir/build/BUILD/openroad/build/src/utl && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/utl/src -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/utl/CMakeFiles/utl_lib.dir/src/Logger.cpp.o -MF CMakeFiles/utl_lib.dir/src/Logger.cpp.o.d -o CMakeFiles/utl_lib.dir/src/Logger.cpp.o -c /builddir/build/BUILD/openroad/src/utl/src/Logger.cpp [ 7%] Building CXX object src/odb/src/lef/CMakeFiles/lef.dir/lef/lefwWriterCalls.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/lef && /usr/bin/g++ -DABC_USE_STDINT_H=1 -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/build/src/odb/src/lef -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -Wno-class-memaccess -MD -MT src/odb/src/lef/CMakeFiles/lef.dir/lef/lefwWriterCalls.cpp.o -MF CMakeFiles/lef.dir/lef/lefwWriterCalls.cpp.o.d -o CMakeFiles/lef.dir/lef/lefwWriterCalls.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/lef/lef/lefwWriterCalls.cpp [ 7%] Building CXX object src/odb/src/def/CMakeFiles/def.dir/def/defiSlot.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/def && /usr/bin/g++ -DABC_USE_STDINT_H=1 -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/build/src/odb/src/def -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/def/CMakeFiles/def.dir/def/defiSlot.cpp.o -MF CMakeFiles/def.dir/def/defiSlot.cpp.o.d -o CMakeFiles/def.dir/def/defiSlot.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/def/def/defiSlot.cpp [ 8%] Building CXX object src/odb/src/lef/CMakeFiles/lef.dir/lef/lefwWriter.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/lef && /usr/bin/g++ -DABC_USE_STDINT_H=1 -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/build/src/odb/src/lef -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -Wno-class-memaccess -MD -MT src/odb/src/lef/CMakeFiles/lef.dir/lef/lefwWriter.cpp.o -MF CMakeFiles/lef.dir/lef/lefwWriter.cpp.o.d -o CMakeFiles/lef.dir/lef/lefwWriter.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/lef/lef/lefwWriter.cpp [ 8%] Building CXX object src/mpl/src/ParquetFP/CMakeFiles/ParquetFP.dir/src/DB.cxx.o cd /builddir/build/BUILD/openroad/build/src/mpl/src/ParquetFP && /usr/bin/g++ -DABC_USE_STDINT_H=1 -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/mpl/src/ParquetFP/src -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/mpl/src/ParquetFP/CMakeFiles/ParquetFP.dir/src/DB.cxx.o -MF CMakeFiles/ParquetFP.dir/src/DB.cxx.o.d -o CMakeFiles/ParquetFP.dir/src/DB.cxx.o -c /builddir/build/BUILD/openroad/src/mpl/src/ParquetFP/src/DB.cxx [ 8%] Building CXX object src/odb/src/def/CMakeFiles/def.dir/def/defiTimingDisable.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/def && /usr/bin/g++ -DABC_USE_STDINT_H=1 -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/build/src/odb/src/def -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/def/CMakeFiles/def.dir/def/defiTimingDisable.cpp.o -MF CMakeFiles/def.dir/def/defiTimingDisable.cpp.o.d -o CMakeFiles/def.dir/def/defiTimingDisable.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/def/def/defiTimingDisable.cpp [ 8%] Building CXX object src/odb/src/def/CMakeFiles/def.dir/def/defiUtil.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/def && /usr/bin/g++ -DABC_USE_STDINT_H=1 -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/build/src/odb/src/def -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/def/CMakeFiles/def.dir/def/defiUtil.cpp.o -MF CMakeFiles/def.dir/def/defiUtil.cpp.o.d -o CMakeFiles/def.dir/def/defiUtil.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/def/def/defiUtil.cpp [ 8%] Building CXX object src/odb/src/def/CMakeFiles/def.dir/def/defiVia.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/def && /usr/bin/g++ -DABC_USE_STDINT_H=1 -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/build/src/odb/src/def -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/def/CMakeFiles/def.dir/def/defiVia.cpp.o -MF CMakeFiles/def.dir/def/defiVia.cpp.o.d -o CMakeFiles/def.dir/def/defiVia.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/def/def/defiVia.cpp [ 8%] Building CXX object src/odb/src/def/CMakeFiles/def.dir/def/def_keywords.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/def && /usr/bin/g++ -DABC_USE_STDINT_H=1 -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/build/src/odb/src/def -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/def/CMakeFiles/def.dir/def/def_keywords.cpp.o -MF CMakeFiles/def.dir/def/def_keywords.cpp.o.d -o CMakeFiles/def.dir/def/def_keywords.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/def/def/def_keywords.cpp [ 9%] Building CXX object src/odb/src/def/CMakeFiles/def.dir/def/defrCallbacks.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/def && /usr/bin/g++ -DABC_USE_STDINT_H=1 -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/build/src/odb/src/def -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/def/CMakeFiles/def.dir/def/defrCallbacks.cpp.o -MF CMakeFiles/def.dir/def/defrCallbacks.cpp.o.d -o CMakeFiles/def.dir/def/defrCallbacks.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/def/def/defrCallbacks.cpp [ 9%] Building CXX object src/odb/src/lef/CMakeFiles/lef.dir/lef_parser.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/lef && /usr/bin/g++ -DABC_USE_STDINT_H=1 -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/build/src/odb/src/lef -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -Wno-class-memaccess -MD -MT src/odb/src/lef/CMakeFiles/lef.dir/lef_parser.cpp.o -MF CMakeFiles/lef.dir/lef_parser.cpp.o.d -o CMakeFiles/lef.dir/lef_parser.cpp.o -c /builddir/build/BUILD/openroad/build/src/odb/src/lef/lef_parser.cpp [ 9%] Building CXX object src/odb/src/def/CMakeFiles/def.dir/def/defrData.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/def && /usr/bin/g++ -DABC_USE_STDINT_H=1 -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/build/src/odb/src/def -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/def/CMakeFiles/def.dir/def/defrData.cpp.o -MF CMakeFiles/def.dir/def/defrData.cpp.o.d -o CMakeFiles/def.dir/def/defrData.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/def/def/defrData.cpp [ 9%] Building CXX object src/odb/src/def/CMakeFiles/def.dir/def/defrReader.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/def && /usr/bin/g++ -DABC_USE_STDINT_H=1 -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/build/src/odb/src/def -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/def/CMakeFiles/def.dir/def/defrReader.cpp.o -MF CMakeFiles/def.dir/def/defrReader.cpp.o.d -o CMakeFiles/def.dir/def/defrReader.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/def/def/defrReader.cpp [ 9%] Building CXX object src/utl/CMakeFiles/utl_lib.dir/src/timer.cpp.o cd /builddir/build/BUILD/openroad/build/src/utl && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/utl/src -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/utl/CMakeFiles/utl_lib.dir/src/timer.cpp.o -MF CMakeFiles/utl_lib.dir/src/timer.cpp.o.d -o CMakeFiles/utl_lib.dir/src/timer.cpp.o -c /builddir/build/BUILD/openroad/src/utl/src/timer.cpp [ 9%] Building CXX object src/mpl/src/ParquetFP/CMakeFiles/ParquetFP.dir/src/FPcommon.cxx.o cd /builddir/build/BUILD/openroad/build/src/mpl/src/ParquetFP && /usr/bin/g++ -DABC_USE_STDINT_H=1 -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/mpl/src/ParquetFP/src -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/mpl/src/ParquetFP/CMakeFiles/ParquetFP.dir/src/FPcommon.cxx.o -MF CMakeFiles/ParquetFP.dir/src/FPcommon.cxx.o.d -o CMakeFiles/ParquetFP.dir/src/FPcommon.cxx.o -c /builddir/build/BUILD/openroad/src/mpl/src/ParquetFP/src/FPcommon.cxx [ 9%] Building CXX object src/odb/src/def/CMakeFiles/def.dir/def/defrSettings.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/def && /usr/bin/g++ -DABC_USE_STDINT_H=1 -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/build/src/odb/src/def -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/def/CMakeFiles/def.dir/def/defrSettings.cpp.o -MF CMakeFiles/def.dir/def/defrSettings.cpp.o.d -o CMakeFiles/def.dir/def/defrSettings.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/def/def/defrSettings.cpp [ 9%] Building CXX object src/mpl/src/ParquetFP/CMakeFiles/ParquetFP.dir/src/mixedpacking.cxx.o cd /builddir/build/BUILD/openroad/build/src/mpl/src/ParquetFP && /usr/bin/g++ -DABC_USE_STDINT_H=1 -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/mpl/src/ParquetFP/src -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/mpl/src/ParquetFP/CMakeFiles/ParquetFP.dir/src/mixedpacking.cxx.o -MF CMakeFiles/ParquetFP.dir/src/mixedpacking.cxx.o.d -o CMakeFiles/ParquetFP.dir/src/mixedpacking.cxx.o -c /builddir/build/BUILD/openroad/src/mpl/src/ParquetFP/src/mixedpacking.cxx [ 9%] Building CXX object src/odb/src/def/CMakeFiles/def.dir/def/defwWriterCalls.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/def && /usr/bin/g++ -DABC_USE_STDINT_H=1 -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/build/src/odb/src/def -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/def/CMakeFiles/def.dir/def/defwWriterCalls.cpp.o -MF CMakeFiles/def.dir/def/defwWriterCalls.cpp.o.d -o CMakeFiles/def.dir/def/defwWriterCalls.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/def/def/defwWriterCalls.cpp [ 9%] Linking CXX static library libutl_lib.a cd /builddir/build/BUILD/openroad/build/src/utl && /usr/bin/cmake -P CMakeFiles/utl_lib.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/openroad/build/src/utl && /usr/bin/cmake -E cmake_link_script CMakeFiles/utl_lib.dir/link.txt --verbose=1 /usr/bin/ar qc libutl_lib.a CMakeFiles/utl_lib.dir/src/Metrics.cpp.o CMakeFiles/utl_lib.dir/src/CFileUtils.cpp.o CMakeFiles/utl_lib.dir/src/ScopedTemporaryFile.cpp.o CMakeFiles/utl_lib.dir/src/Logger.cpp.o CMakeFiles/utl_lib.dir/src/timer.cpp.o /usr/bin/ranlib libutl_lib.a gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [ 9%] Built target utl_lib /usr/bin/gmake -f src/utl/CMakeFiles/utl_py.dir/build.make src/utl/CMakeFiles/utl_py.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 10%] Swig compile src/Logger-py.i for python cd /builddir/build/BUILD/openroad/build/src/utl && /usr/bin/cmake -E make_directory /builddir/build/BUILD/openroad/build/src/utl/CMakeFiles/utl_py.dir /builddir/build/BUILD/openroad/build/src/utl /builddir/build/BUILD/openroad/build/src/utl/CMakeFiles/utl_py.dir cd /builddir/build/BUILD/openroad/build/src/utl && /usr/bin/cmake -E env SWIG_LIB=/usr/share/swig/4.0.2 /usr/bin/swig -python -I/builddir/build/BUILD/openroad/include/utl -I/builddir/build/BUILD/openroad/src -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/usr/include/python3.11 -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/utl/src -I/usr/include -Werror -w317,325,378,401,402,467,472,503,509 -outdir /builddir/build/BUILD/openroad/build/src/utl -c++ -module utl_py -interface _utl_py -MF /builddir/build/BUILD/openroad/build/src/utl/CMakeFiles/utl_py.dir/Logger-py.i.d -MD -I/usr/include/sta -I/usr/include/abc -o /builddir/build/BUILD/openroad/build/src/utl/CMakeFiles/utl_py.dir/Logger-pyPYTHON_wrap.cxx /builddir/build/BUILD/openroad/src/utl/src/Logger-py.i [ 10%] Building CXX object src/odb/src/def/CMakeFiles/def.dir/def/defwWriter.cpp.o [ 10%] Generating utl_py-pythonInitVar.cc cd /builddir/build/BUILD/openroad/build/src/odb/src/def && /usr/bin/g++ -DABC_USE_STDINT_H=1 -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/build/src/odb/src/def -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/def/CMakeFiles/def.dir/def/defwWriter.cpp.o -MF CMakeFiles/def.dir/def/defwWriter.cpp.o.d -o CMakeFiles/def.dir/def/defwWriter.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/def/def/defwWriter.cpp cd /builddir/build/BUILD/openroad/src/utl && /usr/share/opensta/etc/TclEncode.tcl /builddir/build/BUILD/openroad/build/src/utl/utl_py-pythonInitVar.cc utl_py_python_inits /builddir/build/BUILD/openroad/build/src/utl/utl_py.py cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src/utl /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src/utl /builddir/build/BUILD/openroad/build/src/utl/CMakeFiles/utl_py.dir/DependInfo.cmake "--color=" Dependencies file "src/utl/CMakeFiles/utl_py.dir/Logger-py.i.d" is newer than depends file "/builddir/build/BUILD/openroad/build/src/utl/CMakeFiles/utl_py.dir/compiler_depend.internal". Consolidate compiler generated dependencies of target utl_py gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' /usr/bin/gmake -f src/utl/CMakeFiles/utl_py.dir/build.make src/utl/CMakeFiles/utl_py.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 10%] Building CXX object src/utl/CMakeFiles/utl_py.dir/CMakeFiles/utl_py.dir/Logger-pyPYTHON_wrap.cxx.o cd /builddir/build/BUILD/openroad/build/src/utl && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/utl/src -isystem /usr/include/python3.11 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -Wno-cast-qual -Wno-missing-braces -MD -MT src/utl/CMakeFiles/utl_py.dir/CMakeFiles/utl_py.dir/Logger-pyPYTHON_wrap.cxx.o -MF CMakeFiles/utl_py.dir/CMakeFiles/utl_py.dir/Logger-pyPYTHON_wrap.cxx.o.d -o CMakeFiles/utl_py.dir/CMakeFiles/utl_py.dir/Logger-pyPYTHON_wrap.cxx.o -c /builddir/build/BUILD/openroad/build/src/utl/CMakeFiles/utl_py.dir/Logger-pyPYTHON_wrap.cxx [ 10%] Building CXX object src/mpl/src/ParquetFP/CMakeFiles/ParquetFP.dir/src/mixedpackingfromdb.cxx.o cd /builddir/build/BUILD/openroad/build/src/mpl/src/ParquetFP && /usr/bin/g++ -DABC_USE_STDINT_H=1 -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/mpl/src/ParquetFP/src -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/mpl/src/ParquetFP/CMakeFiles/ParquetFP.dir/src/mixedpackingfromdb.cxx.o -MF CMakeFiles/ParquetFP.dir/src/mixedpackingfromdb.cxx.o.d -o CMakeFiles/ParquetFP.dir/src/mixedpackingfromdb.cxx.o -c /builddir/build/BUILD/openroad/src/mpl/src/ParquetFP/src/mixedpackingfromdb.cxx [ 10%] Building CXX object src/mpl/src/ParquetFP/CMakeFiles/ParquetFP.dir/src/Net.cxx.o cd /builddir/build/BUILD/openroad/build/src/mpl/src/ParquetFP && /usr/bin/g++ -DABC_USE_STDINT_H=1 -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/mpl/src/ParquetFP/src -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/mpl/src/ParquetFP/CMakeFiles/ParquetFP.dir/src/Net.cxx.o -MF CMakeFiles/ParquetFP.dir/src/Net.cxx.o.d -o CMakeFiles/ParquetFP.dir/src/Net.cxx.o -c /builddir/build/BUILD/openroad/src/mpl/src/ParquetFP/src/Net.cxx [ 10%] Building CXX object src/odb/src/def/CMakeFiles/def.dir/def_parser.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/def && /usr/bin/g++ -DABC_USE_STDINT_H=1 -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/build/src/odb/src/def -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/def/CMakeFiles/def.dir/def_parser.cpp.o -MF CMakeFiles/def.dir/def_parser.cpp.o.d -o CMakeFiles/def.dir/def_parser.cpp.o -c /builddir/build/BUILD/openroad/build/src/odb/src/def/def_parser.cpp [ 10%] Linking CXX static library liblef.a cd /builddir/build/BUILD/openroad/build/src/odb/src/lef && /usr/bin/cmake -P CMakeFiles/lef.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/openroad/build/src/odb/src/lef && /usr/bin/cmake -E cmake_link_script CMakeFiles/lef.dir/link.txt --verbose=1 /usr/bin/ar qc liblef.a CMakeFiles/lef.dir/lef/crypt.cpp.o CMakeFiles/lef.dir/lef/lefiArray.cpp.o CMakeFiles/lef.dir/lef/lefiCrossTalk.cpp.o CMakeFiles/lef.dir/lef/lefiDebug.cpp.o CMakeFiles/lef.dir/lef/lefiEncryptInt.cpp.o CMakeFiles/lef.dir/lef/lefiLayer.cpp.o CMakeFiles/lef.dir/lef/lefiMacro.cpp.o CMakeFiles/lef.dir/lef/lefiMisc.cpp.o CMakeFiles/lef.dir/lef/lefiNonDefault.cpp.o CMakeFiles/lef.dir/lef/lefiProp.cpp.o CMakeFiles/lef.dir/lef/lefiPropType.cpp.o CMakeFiles/lef.dir/lef/lefiTBExt.cpp.o CMakeFiles/lef.dir/lef/lefiUnits.cpp.o CMakeFiles/lef.dir/lef/lefiVia.cpp.o CMakeFiles/lef.dir/lef/lefiViaRule.cpp.o CMakeFiles/lef.dir/lef/lef_keywords.cpp.o CMakeFiles/lef.dir/lef/lefrCallbacks.cpp.o CMakeFiles/lef.dir/lef/lefrData.cpp.o CMakeFiles/lef.dir/lef/lefrReader.cpp.o CMakeFiles/lef.dir/lef/lefrSettings.cpp.o CMakeFiles/lef.dir/lef/lefwWriterCalls.cpp.o CMakeFiles/lef.dir/lef/lefwWriter.cpp.o CMakeFiles/lef.dir/lef_parser.cpp.o [ 10%] Building CXX object src/utl/CMakeFiles/utl_py.dir/utl_py-pythonInitVar.cc.o cd /builddir/build/BUILD/openroad/build/src/utl && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/utl/src -isystem /usr/include/python3.11 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/utl/CMakeFiles/utl_py.dir/utl_py-pythonInitVar.cc.o -MF CMakeFiles/utl_py.dir/utl_py-pythonInitVar.cc.o.d -o CMakeFiles/utl_py.dir/utl_py-pythonInitVar.cc.o -c /builddir/build/BUILD/openroad/build/src/utl/utl_py-pythonInitVar.cc /usr/bin/ranlib liblef.a [ 10%] Linking CXX static library _utl_py.a cd /builddir/build/BUILD/openroad/build/src/utl && /usr/bin/cmake -P CMakeFiles/utl_py.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/openroad/build/src/utl && /usr/bin/cmake -E cmake_link_script CMakeFiles/utl_py.dir/link.txt --verbose=1 /usr/bin/ar qc _utl_py.a "CMakeFiles/utl_py.dir/CMakeFiles/utl_py.dir/Logger-pyPYTHON_wrap.cxx.o" "CMakeFiles/utl_py.dir/utl_py-pythonInitVar.cc.o" /usr/bin/ranlib _utl_py.a gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [ 10%] Building CXX object src/mpl/src/ParquetFP/CMakeFiles/ParquetFP.dir/src/netlist.cxx.o cd /builddir/build/BUILD/openroad/build/src/mpl/src/ParquetFP && /usr/bin/g++ -DABC_USE_STDINT_H=1 -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/mpl/src/ParquetFP/src -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/mpl/src/ParquetFP/CMakeFiles/ParquetFP.dir/src/netlist.cxx.o -MF CMakeFiles/ParquetFP.dir/src/netlist.cxx.o.d -o CMakeFiles/ParquetFP.dir/src/netlist.cxx.o -c /builddir/build/BUILD/openroad/src/mpl/src/ParquetFP/src/netlist.cxx [ 10%] Built target lef gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [ 10%] Building CXX object src/mpl/src/ParquetFP/CMakeFiles/ParquetFP.dir/src/Nets.cxx.o [ 10%] Built target utl_py cd /builddir/build/BUILD/openroad/build/src/mpl/src/ParquetFP && /usr/bin/g++ -DABC_USE_STDINT_H=1 -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/mpl/src/ParquetFP/src -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/mpl/src/ParquetFP/CMakeFiles/ParquetFP.dir/src/Nets.cxx.o -MF CMakeFiles/ParquetFP.dir/src/Nets.cxx.o.d -o CMakeFiles/ParquetFP.dir/src/Nets.cxx.o -c /builddir/build/BUILD/openroad/src/mpl/src/ParquetFP/src/Nets.cxx /usr/bin/gmake -f src/odb/src/zutil/CMakeFiles/zutil.dir/build.make src/odb/src/zutil/CMakeFiles/zutil.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src/odb/src/zutil /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src/odb/src/zutil /builddir/build/BUILD/openroad/build/src/odb/src/zutil/CMakeFiles/zutil.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' /usr/bin/gmake -f src/odb/src/zutil/CMakeFiles/zutil.dir/build.make src/odb/src/zutil/CMakeFiles/zutil.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 10%] Building CXX object src/odb/src/zutil/CMakeFiles/zutil.dir/parse.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/zutil && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/zutil/CMakeFiles/zutil.dir/parse.cpp.o -MF CMakeFiles/zutil.dir/parse.cpp.o.d -o CMakeFiles/zutil.dir/parse.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/zutil/parse.cpp [ 11%] Building CXX object src/mpl/src/ParquetFP/CMakeFiles/ParquetFP.dir/src/Node.cxx.o cd /builddir/build/BUILD/openroad/build/src/mpl/src/ParquetFP && /usr/bin/g++ -DABC_USE_STDINT_H=1 -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/mpl/src/ParquetFP/src -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/mpl/src/ParquetFP/CMakeFiles/ParquetFP.dir/src/Node.cxx.o -MF CMakeFiles/ParquetFP.dir/src/Node.cxx.o.d -o CMakeFiles/ParquetFP.dir/src/Node.cxx.o -c /builddir/build/BUILD/openroad/src/mpl/src/ParquetFP/src/Node.cxx [ 11%] Building CXX object src/mpl/src/ParquetFP/CMakeFiles/ParquetFP.dir/src/Nodes.cxx.o cd /builddir/build/BUILD/openroad/build/src/mpl/src/ParquetFP && /usr/bin/g++ -DABC_USE_STDINT_H=1 -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/mpl/src/ParquetFP/src -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/mpl/src/ParquetFP/CMakeFiles/ParquetFP.dir/src/Nodes.cxx.o -MF CMakeFiles/ParquetFP.dir/src/Nodes.cxx.o.d -o CMakeFiles/ParquetFP.dir/src/Nodes.cxx.o -c /builddir/build/BUILD/openroad/src/mpl/src/ParquetFP/src/Nodes.cxx [ 11%] Building CXX object src/mpl/src/ParquetFP/CMakeFiles/ParquetFP.dir/src/plcompact.cxx.o cd /builddir/build/BUILD/openroad/build/src/mpl/src/ParquetFP && /usr/bin/g++ -DABC_USE_STDINT_H=1 -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/mpl/src/ParquetFP/src -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/mpl/src/ParquetFP/CMakeFiles/ParquetFP.dir/src/plcompact.cxx.o -MF CMakeFiles/ParquetFP.dir/src/plcompact.cxx.o.d -o CMakeFiles/ParquetFP.dir/src/plcompact.cxx.o -c /builddir/build/BUILD/openroad/src/mpl/src/ParquetFP/src/plcompact.cxx [ 11%] Building CXX object src/mpl/src/ParquetFP/CMakeFiles/ParquetFP.dir/src/plsptobtree.cxx.o cd /builddir/build/BUILD/openroad/build/src/mpl/src/ParquetFP && /usr/bin/g++ -DABC_USE_STDINT_H=1 -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/mpl/src/ParquetFP/src -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/mpl/src/ParquetFP/CMakeFiles/ParquetFP.dir/src/plsptobtree.cxx.o -MF CMakeFiles/ParquetFP.dir/src/plsptobtree.cxx.o.d -o CMakeFiles/ParquetFP.dir/src/plsptobtree.cxx.o -c /builddir/build/BUILD/openroad/src/mpl/src/ParquetFP/src/plsptobtree.cxx [ 11%] Linking CXX static library libdef.a cd /builddir/build/BUILD/openroad/build/src/odb/src/def && /usr/bin/cmake -P CMakeFiles/def.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/openroad/build/src/odb/src/def && /usr/bin/cmake -E cmake_link_script CMakeFiles/def.dir/link.txt --verbose=1 /usr/bin/ar qc libdef.a CMakeFiles/def.dir/def/defiAlias.cpp.o CMakeFiles/def.dir/def/defiAssertion.cpp.o CMakeFiles/def.dir/def/defiBlockage.cpp.o CMakeFiles/def.dir/def/defiComponent.cpp.o CMakeFiles/def.dir/def/defiDebug.cpp.o CMakeFiles/def.dir/def/defiFill.cpp.o CMakeFiles/def.dir/def/defiFPC.cpp.o CMakeFiles/def.dir/def/defiGroup.cpp.o CMakeFiles/def.dir/def/defiIOTiming.cpp.o CMakeFiles/def.dir/def/defiMisc.cpp.o CMakeFiles/def.dir/def/defiNet.cpp.o CMakeFiles/def.dir/def/defiNonDefault.cpp.o CMakeFiles/def.dir/def/defiPartition.cpp.o CMakeFiles/def.dir/def/defiPath.cpp.o CMakeFiles/def.dir/def/defiPinCap.cpp.o CMakeFiles/def.dir/def/defiPinProp.cpp.o CMakeFiles/def.dir/def/defiProp.cpp.o CMakeFiles/def.dir/def/defiPropType.cpp.o CMakeFiles/def.dir/def/defiRegion.cpp.o CMakeFiles/def.dir/def/defiRowTrack.cpp.o CMakeFiles/def.dir/def/defiScanchain.cpp.o CMakeFiles/def.dir/def/defiSite.cpp.o CMakeFiles/def.dir/def/defiSlot.cpp.o CMakeFiles/def.dir/def/defiTimingDisable.cpp.o CMakeFiles/def.dir/def/defiUtil.cpp.o CMakeFiles/def.dir/def/defiVia.cpp.o CMakeFiles/def.dir/def/def_keywords.cpp.o CMakeFiles/def.dir/def/defrCallbacks.cpp.o CMakeFiles/def.dir/def/defrData.cpp.o CMakeFiles/def.dir/def/defrReader.cpp.o CMakeFiles/def.dir/def/defrSettings.cpp.o CMakeFiles/def.dir/def/defwWriterCalls.cpp.o CMakeFiles/def.dir/def/defwWriter.cpp.o CMakeFiles/def.dir/def_parser.cpp.o /usr/bin/ranlib libdef.a gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [ 11%] Built target def /usr/bin/gmake -f src/utl/CMakeFiles/utl.dir/build.make src/utl/CMakeFiles/utl.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 11%] Swig compile src/Logger.i for tcl cd /builddir/build/BUILD/openroad/build/src/utl && /usr/bin/cmake -E make_directory /builddir/build/BUILD/openroad/build/src/utl/CMakeFiles/utl.dir /builddir/build/BUILD/openroad/build/src/utl /builddir/build/BUILD/openroad/build/src/utl/CMakeFiles/utl.dir cd /builddir/build/BUILD/openroad/build/src/utl && /usr/bin/cmake -E env SWIG_LIB=/usr/share/swig/4.0.2 /usr/bin/swig -tcl -I/builddir/build/BUILD/openroad/src -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/usr/include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/utl/src -namespace -prefix utl -Werror -w317,325,378,401,402,467,472,503,509 -outdir /builddir/build/BUILD/openroad/build/src/utl -c++ -module utl -MF /builddir/build/BUILD/openroad/build/src/utl/CMakeFiles/utl.dir/Logger.i.d -MD -I/usr/include/sta -I/usr/include/abc -o /builddir/build/BUILD/openroad/build/src/utl/CMakeFiles/utl.dir/LoggerTCL_wrap.cxx /builddir/build/BUILD/openroad/src/utl/src/Logger.i cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src/utl /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src/utl /builddir/build/BUILD/openroad/build/src/utl/CMakeFiles/utl.dir/DependInfo.cmake "--color=" Dependencies file "src/utl/CMakeFiles/utl.dir/Logger.i.d" is newer than depends file "/builddir/build/BUILD/openroad/build/src/utl/CMakeFiles/utl.dir/compiler_depend.internal". Consolidate compiler generated dependencies of target utl gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' /usr/bin/gmake -f src/utl/CMakeFiles/utl.dir/build.make src/utl/CMakeFiles/utl.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 11%] Building CXX object src/utl/CMakeFiles/utl.dir/CMakeFiles/utl.dir/LoggerTCL_wrap.cxx.o cd /builddir/build/BUILD/openroad/build/src/utl && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/utl/src -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -Wno-cast-qual -Wno-missing-braces -MD -MT src/utl/CMakeFiles/utl.dir/CMakeFiles/utl.dir/LoggerTCL_wrap.cxx.o -MF CMakeFiles/utl.dir/CMakeFiles/utl.dir/LoggerTCL_wrap.cxx.o.d -o CMakeFiles/utl.dir/CMakeFiles/utl.dir/LoggerTCL_wrap.cxx.o -c /builddir/build/BUILD/openroad/build/src/utl/CMakeFiles/utl.dir/LoggerTCL_wrap.cxx [ 11%] Building CXX object src/odb/src/zutil/CMakeFiles/zutil.dir/poly_decomp.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/zutil && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/zutil/CMakeFiles/zutil.dir/poly_decomp.cpp.o -MF CMakeFiles/zutil.dir/poly_decomp.cpp.o.d -o CMakeFiles/zutil.dir/poly_decomp.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/zutil/poly_decomp.cpp [ 11%] Building CXX object src/mpl/src/ParquetFP/CMakeFiles/ParquetFP.dir/src/pltobtree.cxx.o cd /builddir/build/BUILD/openroad/build/src/mpl/src/ParquetFP && /usr/bin/g++ -DABC_USE_STDINT_H=1 -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/mpl/src/ParquetFP/src -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/mpl/src/ParquetFP/CMakeFiles/ParquetFP.dir/src/pltobtree.cxx.o -MF CMakeFiles/ParquetFP.dir/src/pltobtree.cxx.o.d -o CMakeFiles/ParquetFP.dir/src/pltobtree.cxx.o -c /builddir/build/BUILD/openroad/src/mpl/src/ParquetFP/src/pltobtree.cxx [ 11%] Building CXX object src/mpl/src/ParquetFP/CMakeFiles/ParquetFP.dir/src/PlToSP.cxx.o cd /builddir/build/BUILD/openroad/build/src/mpl/src/ParquetFP && /usr/bin/g++ -DABC_USE_STDINT_H=1 -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/mpl/src/ParquetFP/src -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/mpl/src/ParquetFP/CMakeFiles/ParquetFP.dir/src/PlToSP.cxx.o -MF CMakeFiles/ParquetFP.dir/src/PlToSP.cxx.o.d -o CMakeFiles/ParquetFP.dir/src/PlToSP.cxx.o -c /builddir/build/BUILD/openroad/src/mpl/src/ParquetFP/src/PlToSP.cxx [ 12%] Building CXX object src/utl/CMakeFiles/utl.dir/src/LoggerCommon.cpp.o cd /builddir/build/BUILD/openroad/build/src/utl && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/utl/src -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/utl/CMakeFiles/utl.dir/src/LoggerCommon.cpp.o -MF CMakeFiles/utl.dir/src/LoggerCommon.cpp.o.d -o CMakeFiles/utl.dir/src/LoggerCommon.cpp.o -c /builddir/build/BUILD/openroad/src/utl/src/LoggerCommon.cpp [ 12%] Building CXX object src/odb/src/zutil/CMakeFiles/zutil.dir/util.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/zutil && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/zutil/CMakeFiles/zutil.dir/util.cpp.o -MF CMakeFiles/zutil.dir/util.cpp.o.d -o CMakeFiles/zutil.dir/util.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/zutil/util.cpp [ 12%] Building CXX object src/mpl/src/ParquetFP/CMakeFiles/ParquetFP.dir/src/SeqPair.cxx.o cd /builddir/build/BUILD/openroad/build/src/mpl/src/ParquetFP && /usr/bin/g++ -DABC_USE_STDINT_H=1 -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/mpl/src/ParquetFP/src -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/mpl/src/ParquetFP/CMakeFiles/ParquetFP.dir/src/SeqPair.cxx.o -MF CMakeFiles/ParquetFP.dir/src/SeqPair.cxx.o.d -o CMakeFiles/ParquetFP.dir/src/SeqPair.cxx.o -c /builddir/build/BUILD/openroad/src/mpl/src/ParquetFP/src/SeqPair.cxx [ 12%] Building CXX object src/mpl/src/ParquetFP/CMakeFiles/ParquetFP.dir/src/skyline.cxx.o cd /builddir/build/BUILD/openroad/build/src/mpl/src/ParquetFP && /usr/bin/g++ -DABC_USE_STDINT_H=1 -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/mpl/src/ParquetFP/src -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/mpl/src/ParquetFP/CMakeFiles/ParquetFP.dir/src/skyline.cxx.o -MF CMakeFiles/ParquetFP.dir/src/skyline.cxx.o.d -o CMakeFiles/ParquetFP.dir/src/skyline.cxx.o -c /builddir/build/BUILD/openroad/src/mpl/src/ParquetFP/src/skyline.cxx [ 12%] Building CXX object src/mpl/src/ParquetFP/CMakeFiles/ParquetFP.dir/src/SolveMulti.cxx.o cd /builddir/build/BUILD/openroad/build/src/mpl/src/ParquetFP && /usr/bin/g++ -DABC_USE_STDINT_H=1 -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/mpl/src/ParquetFP/src -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/mpl/src/ParquetFP/CMakeFiles/ParquetFP.dir/src/SolveMulti.cxx.o -MF CMakeFiles/ParquetFP.dir/src/SolveMulti.cxx.o.d -o CMakeFiles/ParquetFP.dir/src/SolveMulti.cxx.o -c /builddir/build/BUILD/openroad/src/mpl/src/ParquetFP/src/SolveMulti.cxx [ 13%] Building CXX object src/mpl/src/ParquetFP/CMakeFiles/ParquetFP.dir/src/SPeval.cxx.o cd /builddir/build/BUILD/openroad/build/src/mpl/src/ParquetFP && /usr/bin/g++ -DABC_USE_STDINT_H=1 -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/mpl/src/ParquetFP/src -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/mpl/src/ParquetFP/CMakeFiles/ParquetFP.dir/src/SPeval.cxx.o -MF CMakeFiles/ParquetFP.dir/src/SPeval.cxx.o.d -o CMakeFiles/ParquetFP.dir/src/SPeval.cxx.o -c /builddir/build/BUILD/openroad/src/mpl/src/ParquetFP/src/SPeval.cxx [ 13%] Building CXX object src/utl/CMakeFiles/utl.dir/src/MakeLogger.cpp.o cd /builddir/build/BUILD/openroad/build/src/utl && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/utl/src -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/utl/CMakeFiles/utl.dir/src/MakeLogger.cpp.o -MF CMakeFiles/utl.dir/src/MakeLogger.cpp.o.d -o CMakeFiles/utl.dir/src/MakeLogger.cpp.o -c /builddir/build/BUILD/openroad/src/utl/src/MakeLogger.cpp [ 13%] Linking CXX static library libParquetFP.a cd /builddir/build/BUILD/openroad/build/src/mpl/src/ParquetFP && /usr/bin/cmake -P CMakeFiles/ParquetFP.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/openroad/build/src/mpl/src/ParquetFP && /usr/bin/cmake -E cmake_link_script CMakeFiles/ParquetFP.dir/link.txt --verbose=1 /usr/bin/ar qc libParquetFP.a CMakeFiles/ParquetFP.dir/src/AnalytSolve.cxx.o CMakeFiles/ParquetFP.dir/src/baseannealer.cxx.o CMakeFiles/ParquetFP.dir/src/basepacking.cxx.o CMakeFiles/ParquetFP.dir/src/btreeanneal.cxx.o CMakeFiles/ParquetFP.dir/src/btreecompact.cxx.o CMakeFiles/ParquetFP.dir/src/btree.cxx.o CMakeFiles/ParquetFP.dir/src/btreeslackeval.cxx.o CMakeFiles/ParquetFP.dir/src/ClusterDB.cxx.o CMakeFiles/ParquetFP.dir/src/CommandLine.cxx.o CMakeFiles/ParquetFP.dir/src/DB.cxx.o CMakeFiles/ParquetFP.dir/src/FPcommon.cxx.o CMakeFiles/ParquetFP.dir/src/mixedpacking.cxx.o CMakeFiles/ParquetFP.dir/src/mixedpackingfromdb.cxx.o CMakeFiles/ParquetFP.dir/src/Net.cxx.o CMakeFiles/ParquetFP.dir/src/netlist.cxx.o CMakeFiles/ParquetFP.dir/src/Nets.cxx.o CMakeFiles/ParquetFP.dir/src/Node.cxx.o CMakeFiles/ParquetFP.dir/src/Nodes.cxx.o CMakeFiles/ParquetFP.dir/src/plcompact.cxx.o CMakeFiles/ParquetFP.dir/src/plsptobtree.cxx.o CMakeFiles/ParquetFP.dir/src/pltobtree.cxx.o CMakeFiles/ParquetFP.dir/src/PlToSP.cxx.o CMakeFiles/ParquetFP.dir/src/SeqPair.cxx.o CMakeFiles/ParquetFP.dir/src/skyline.cxx.o CMakeFiles/ParquetFP.dir/src/SolveMulti.cxx.o CMakeFiles/ParquetFP.dir/src/SPeval.cxx.o /usr/bin/ranlib libParquetFP.a gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [ 13%] Built target ParquetFP [ 13%] Linking CXX static library utl.a cd /builddir/build/BUILD/openroad/build/src/utl && /usr/bin/cmake -P CMakeFiles/utl.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/openroad/build/src/utl && /usr/bin/cmake -E cmake_link_script CMakeFiles/utl.dir/link.txt --verbose=1 /usr/bin/ar qc utl.a CMakeFiles/utl.dir/CMakeFiles/utl.dir/LoggerTCL_wrap.cxx.o CMakeFiles/utl.dir/src/LoggerCommon.cpp.o CMakeFiles/utl.dir/src/MakeLogger.cpp.o /usr/bin/ranlib utl.a gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [ 13%] Built target utl /usr/bin/gmake -f src/gui/CMakeFiles/gui_autogen.dir/build.make src/gui/CMakeFiles/gui_autogen.dir/depend /usr/bin/gmake -f src/dst/CMakeFiles/dst.dir/build.make src/dst/CMakeFiles/dst.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src/gui /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src/gui /builddir/build/BUILD/openroad/build/src/gui/CMakeFiles/gui_autogen.dir/DependInfo.cmake "--color=" gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 13%] Generating dst-tclInitVar.cc [ 13%] Swig compile src/Distributed.i for tcl cd /builddir/build/BUILD/openroad/src/dst && /usr/share/opensta/etc/TclEncode.tcl /builddir/build/BUILD/openroad/build/src/dst/dst-tclInitVar.cc dst_tcl_inits src/Distributed.tcl gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' /usr/bin/gmake -f src/gui/CMakeFiles/gui_autogen.dir/build.make src/gui/CMakeFiles/gui_autogen.dir/build cd /builddir/build/BUILD/openroad/build/src/dst && /usr/bin/cmake -E make_directory /builddir/build/BUILD/openroad/build/src/dst/CMakeFiles/dst.dir /builddir/build/BUILD/openroad/build/src/dst /builddir/build/BUILD/openroad/build/src/dst/CMakeFiles/dst.dir gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' cd /builddir/build/BUILD/openroad/build/src/dst && /usr/bin/cmake -E env SWIG_LIB=/usr/share/swig/4.0.2 /usr/bin/swig -tcl -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/usr/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/utl/include -namespace -prefix dst -Werror -w317,325,378,401,402,467,472,503,509 -outdir /builddir/build/BUILD/openroad/build/src/dst -c++ -module dst -MF /builddir/build/BUILD/openroad/build/src/dst/CMakeFiles/dst.dir/Distributed.i.d -MD -I/usr/include/sta -I/usr/include/abc -o /builddir/build/BUILD/openroad/build/src/dst/CMakeFiles/dst.dir/DistributedTCL_wrap.cxx /builddir/build/BUILD/openroad/src/dst/src/Distributed.i [ 14%] Swig compile src/gui.i for tcl cd /builddir/build/BUILD/openroad/build/src/gui && /usr/bin/cmake -E make_directory /builddir/build/BUILD/openroad/build/src/gui/CMakeFiles/gui.dir /builddir/build/BUILD/openroad/build/src/gui /builddir/build/BUILD/openroad/build/src/gui/CMakeFiles/gui.dir [ 14%] Generating gui-tclInitVar.cc cd /builddir/build/BUILD/openroad/src/gui && /usr/share/opensta/etc/TclEncode.tcl /builddir/build/BUILD/openroad/build/src/gui/gui-tclInitVar.cc gui_tcl_inits src/gui.tcl cd /builddir/build/BUILD/openroad/build/src/gui && /usr/bin/cmake -E env SWIG_LIB=/usr/share/swig/4.0.2 /usr/bin/swig -tcl -I/builddir/build/BUILD/openroad/build/src/gui/gui_autogen/include -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/usr/include -I/builddir/build/BUILD/openroad/build/src/gui -I/builddir/build/BUILD/openroad/src/gui/include -I/usr/share/opensta/include -I/builddir/build/BUILD/openroad/src/dbSta/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/usr/include/qt5/ -I/usr/include/qt5/QtCore -I/usr/lib64/qt5//mkspecs/linux-g++ -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -namespace -prefix gui -Werror -w317,325,378,401,402,467,472,503,509 -outdir /builddir/build/BUILD/openroad/build/src/gui -c++ -module gui -MF /builddir/build/BUILD/openroad/build/src/gui/CMakeFiles/gui.dir/gui.i.d -MD -I/usr/include/sta -I/usr/include/abc -o /builddir/build/BUILD/openroad/build/src/gui/CMakeFiles/gui.dir/guiTCL_wrap.cxx /builddir/build/BUILD/openroad/src/gui/src/gui.i cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src/dst /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src/dst /builddir/build/BUILD/openroad/build/src/dst/CMakeFiles/dst.dir/DependInfo.cmake "--color=" Dependencies file "src/dst/CMakeFiles/dst.dir/Distributed.i.d" is newer than depends file "/builddir/build/BUILD/openroad/build/src/dst/CMakeFiles/dst.dir/compiler_depend.internal". Consolidate compiler generated dependencies of target dst gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' /usr/bin/gmake -f src/dst/CMakeFiles/dst.dir/build.make src/dst/CMakeFiles/dst.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 14%] Building CXX object src/dst/CMakeFiles/dst.dir/CMakeFiles/dst.dir/DistributedTCL_wrap.cxx.o [ 14%] Building CXX object src/dst/CMakeFiles/dst.dir/dst-tclInitVar.cc.o cd /builddir/build/BUILD/openroad/build/src/dst && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DBOOST_SERIALIZATION_DYN_LINK -DBOOST_SERIALIZATION_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -Wno-cast-qual -Wno-missing-braces -MD -MT src/dst/CMakeFiles/dst.dir/CMakeFiles/dst.dir/DistributedTCL_wrap.cxx.o -MF CMakeFiles/dst.dir/CMakeFiles/dst.dir/DistributedTCL_wrap.cxx.o.d -o CMakeFiles/dst.dir/CMakeFiles/dst.dir/DistributedTCL_wrap.cxx.o -c /builddir/build/BUILD/openroad/build/src/dst/CMakeFiles/dst.dir/DistributedTCL_wrap.cxx cd /builddir/build/BUILD/openroad/build/src/dst && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DBOOST_SERIALIZATION_DYN_LINK -DBOOST_SERIALIZATION_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/dst/CMakeFiles/dst.dir/dst-tclInitVar.cc.o -MF CMakeFiles/dst.dir/dst-tclInitVar.cc.o.d -o CMakeFiles/dst.dir/dst-tclInitVar.cc.o -c /builddir/build/BUILD/openroad/build/src/dst/dst-tclInitVar.cc [ 14%] Building CXX object src/dst/CMakeFiles/dst.dir/src/JobMessage.cc.o cd /builddir/build/BUILD/openroad/build/src/dst && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DBOOST_SERIALIZATION_DYN_LINK -DBOOST_SERIALIZATION_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/dst/CMakeFiles/dst.dir/src/JobMessage.cc.o -MF CMakeFiles/dst.dir/src/JobMessage.cc.o.d -o CMakeFiles/dst.dir/src/JobMessage.cc.o -c /builddir/build/BUILD/openroad/src/dst/src/JobMessage.cc [ 14%] Automatic MOC and UIC for target gui cd /builddir/build/BUILD/openroad/build/src/gui && /usr/bin/cmake -E cmake_autogen /builddir/build/BUILD/openroad/build/src/gui/CMakeFiles/gui_autogen.dir/AutogenInfo.json RelWithDebInfo AutoGen: Refreshing parse cache because it doesn't exist. AutoMoc: Generating "SRC:/build/src/gui/gui_autogen/moc_predefs.h", because it doesn't exist. /usr/bin/g++ -std=c++17 -dM -E -c /usr/share/cmake/Modules/CMakeCXXCompilerABI.cpp -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/builddir/build/BUILD/openroad/build/src/gui -I/builddir/build/BUILD/openroad/src/gui -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/gui/include -I/usr/share/opensta/include -I/builddir/build/BUILD/openroad/src/dbSta/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/usr/include/qt5 -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include -I/usr/include/c++/12 -I/usr/include/c++/12/aarch64-redhat-linux -I/usr/include/c++/12/backward -I/usr/lib/gcc/aarch64-redhat-linux/12/include -I/usr/local/include AutoGen: Parsing "SRC:/src/gui/src/browserWidget.h" AutoGen: Parsing "SRC:/src/gui/src/bufferTreeDescriptor.h" AutoGen: Parsing "SRC:/src/gui/src/clockWidget.h" AutoGen: Parsing "SRC:/src/gui/src/cmdInputWidget.h" AutoGen: Parsing "SRC:/src/gui/src/colorGenerator.h" AutoGen: Parsing "SRC:/src/gui/src/dbDescriptors.h" AutoGen: Parsing "SRC:/src/gui/src/displayControls.h" AutoGen: Parsing "SRC:/src/gui/src/drcWidget.h" AutoGen: Parsing "SRC:/src/gui/src/findDialog.h" AutoGen: Parsing "SRC:/src/gui/src/globalConnectDialog.h" AutoGen: Parsing "SRC:/src/gui/src/gotoDialog.h" AutoGen: Parsing "SRC:/src/gui/src/gui_utils.h" AutoGen: Parsing "SRC:/src/gui/src/heatMapPlacementDensity.h" AutoGen: Parsing "SRC:/src/gui/src/heatMapRUDY.h" AutoGen: Parsing "SRC:/src/gui/src/heatMapSetup.h" AutoGen: Parsing "SRC:/src/gui/src/highlightGroupDialog.h" AutoGen: Parsing "SRC:/src/gui/src/inspector.h" AutoGen: Parsing "SRC:/src/gui/src/layoutTabs.h" AutoGen: Parsing "SRC:/src/gui/src/layoutViewer.h" AutoGen: Parsing "SRC:/src/gui/src/mainWindow.h" AutoGen: Parsing "SRC:/src/gui/src/painter.h" AutoGen: Parsing "SRC:/src/gui/src/renderThread.h" AutoGen: Parsing "SRC:/src/gui/src/ruler.h" AutoGen: Parsing "SRC:/src/gui/src/scriptWidget.h" AutoGen: Parsing "SRC:/src/gui/src/search.h" AutoGen: Parsing "SRC:/src/gui/src/selectHighlightWindow.h" AutoGen: Parsing "SRC:/src/gui/src/staGui.h" AutoGen: Parsing "SRC:/src/gui/src/staGuiInterface.h" AutoGen: Parsing "SRC:/src/gui/src/tclCmdHighlighter.h" AutoGen: Parsing "SRC:/src/gui/src/tclCmdInputWidget.h" AutoGen: Parsing "SRC:/src/gui/src/timingWidget.h" AutoGen: Parsing "SRC:/build/src/gui/CMakeFiles/gui.dir/guiTCL_wrap.cxx" AutoGen: Parsing "SRC:/build/src/gui/gui-tclInitVar.cc" AutoGen: Parsing "SRC:/src/gui/src/browserWidget.cpp" AutoGen: Parsing "SRC:/src/gui/src/bufferTreeDescriptor.cpp" AutoGen: Parsing "SRC:/src/gui/src/clockWidget.cpp" AutoGen: Parsing "SRC:/src/gui/src/cmdInputWidget.cpp" AutoGen: Parsing "SRC:/src/gui/src/colorGenerator.cpp" AutoGen: Parsing "SRC:/src/gui/src/dbDescriptors.cpp" AutoGen: Parsing "SRC:/src/gui/src/displayControls.cpp" AutoGen: Parsing "SRC:/src/gui/src/drcWidget.cpp" AutoGen: Parsing "SRC:/src/gui/src/findDialog.cpp" AutoGen: Parsing "SRC:/src/gui/src/globalConnectDialog.cpp" AutoGen: Parsing "SRC:/src/gui/src/gotoDialog.cpp" AutoGen: Parsing "SRC:/src/gui/src/gui.cpp" AutoGen: Parsing "SRC:/src/gui/src/gui_utils.cpp" AutoGen: Parsing "SRC:/src/gui/src/heatMap.cpp" AutoGen: Parsing "SRC:/src/gui/src/heatMapPlacementDensity.cpp" AutoGen: Parsing "SRC:/src/gui/src/heatMapRUDY.cpp" AutoGen: Parsing "SRC:/src/gui/src/heatMapSetup.cpp" AutoGen: Parsing "SRC:/src/gui/src/highlightGroupDialog.cpp" AutoGen: Parsing "SRC:/src/gui/src/inspector.cpp" AutoGen: Parsing "SRC:/src/gui/src/layoutTabs.cpp" AutoGen: Parsing "SRC:/src/gui/src/layoutViewer.cpp" AutoGen: Parsing "SRC:/src/gui/src/mainWindow.cpp" AutoGen: Parsing "SRC:/src/gui/src/painter.cpp" AutoGen: Parsing "SRC:/src/gui/src/renderThread.cpp" AutoGen: Parsing "SRC:/src/gui/src/ruler.cpp" AutoGen: Parsing "SRC:/src/gui/src/scriptWidget.cpp" AutoGen: Parsing "SRC:/src/gui/src/search.cpp" AutoGen: Parsing "SRC:/src/gui/src/selectHighlightWindow.cpp" AutoGen: Parsing "SRC:/src/gui/src/staGui.cpp" AutoGen: Parsing "SRC:/src/gui/src/staGuiInterface.cpp" AutoGen: Parsing "SRC:/src/gui/src/tclCmdHighlighter.cpp" AutoGen: Parsing "SRC:/src/gui/src/tclCmdInputWidget.cpp" AutoGen: Parsing "SRC:/src/gui/src/timingWidget.cpp" AutoMoc: Generating "SRC:/build/src/gui/gui_autogen/UVLADIE3JM/moc_browserWidget.cpp", because it doesn't exist, from "SRC:/src/gui/src/browserWidget.h" /usr/lib64/qt5/bin/moc -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/builddir/build/BUILD/openroad/build/src/gui -I/builddir/build/BUILD/openroad/src/gui -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/gui/include -I/usr/share/opensta/include -I/builddir/build/BUILD/openroad/src/dbSta/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/usr/include/qt5 -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include -I/usr/include/c++/12 -I/usr/include/c++/12/aarch64-redhat-linux -I/usr/include/c++/12/backward -I/usr/lib/gcc/aarch64-redhat-linux/12/include -I/usr/local/include --include /builddir/build/BUILD/openroad/build/src/gui/gui_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/openroad/build/src/gui/gui_autogen/UVLADIE3JM/moc_browserWidget.cpp /builddir/build/BUILD/openroad/src/gui/src/browserWidget.h [ 15%] Linking CXX static library libzutil.a cd /builddir/build/BUILD/openroad/build/src/odb/src/zutil && /usr/bin/cmake -P CMakeFiles/zutil.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/openroad/build/src/odb/src/zutil && /usr/bin/cmake -E cmake_link_script CMakeFiles/zutil.dir/link.txt --verbose=1 /usr/bin/ar qc libzutil.a CMakeFiles/zutil.dir/parse.cpp.o CMakeFiles/zutil.dir/poly_decomp.cpp.o CMakeFiles/zutil.dir/util.cpp.o /usr/bin/ranlib libzutil.a gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [ 15%] Built target zutil /usr/bin/gmake -f src/odb/src/db/CMakeFiles/db.dir/build.make src/odb/src/db/CMakeFiles/db.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src/odb/src/db /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src/odb/src/db /builddir/build/BUILD/openroad/build/src/odb/src/db/CMakeFiles/db.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' /usr/bin/gmake -f src/odb/src/db/CMakeFiles/db.dir/build.make src/odb/src/db/CMakeFiles/db.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 15%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbBTerm.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbBTerm.cpp.o -MF CMakeFiles/db.dir/dbBTerm.cpp.o.d -o CMakeFiles/db.dir/dbBTerm.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbBTerm.cpp AutoMoc: Reading dependencies from "SRC:/build/src/gui/gui_autogen/UVLADIE3JM/moc_browserWidget.cpp.d" AutoMoc: Generating "SRC:/build/src/gui/gui_autogen/UVLADIE3JM/moc_clockWidget.cpp", because it doesn't exist, from "SRC:/src/gui/src/clockWidget.h" /usr/lib64/qt5/bin/moc -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/builddir/build/BUILD/openroad/build/src/gui -I/builddir/build/BUILD/openroad/src/gui -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/gui/include -I/usr/share/opensta/include -I/builddir/build/BUILD/openroad/src/dbSta/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/usr/include/qt5 -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include -I/usr/include/c++/12 -I/usr/include/c++/12/aarch64-redhat-linux -I/usr/include/c++/12/backward -I/usr/lib/gcc/aarch64-redhat-linux/12/include -I/usr/local/include --include /builddir/build/BUILD/openroad/build/src/gui/gui_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/openroad/build/src/gui/gui_autogen/UVLADIE3JM/moc_clockWidget.cpp /builddir/build/BUILD/openroad/src/gui/src/clockWidget.h [ 15%] Building CXX object src/dst/CMakeFiles/dst.dir/src/Worker.cc.o cd /builddir/build/BUILD/openroad/build/src/dst && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DBOOST_SERIALIZATION_DYN_LINK -DBOOST_SERIALIZATION_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/dst/CMakeFiles/dst.dir/src/Worker.cc.o -MF CMakeFiles/dst.dir/src/Worker.cc.o.d -o CMakeFiles/dst.dir/src/Worker.cc.o -c /builddir/build/BUILD/openroad/src/dst/src/Worker.cc [ 15%] Building CXX object src/dst/CMakeFiles/dst.dir/src/LoadBalancer.cc.o cd /builddir/build/BUILD/openroad/build/src/dst && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DBOOST_SERIALIZATION_DYN_LINK -DBOOST_SERIALIZATION_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/dst/CMakeFiles/dst.dir/src/LoadBalancer.cc.o -MF CMakeFiles/dst.dir/src/LoadBalancer.cc.o.d -o CMakeFiles/dst.dir/src/LoadBalancer.cc.o -c /builddir/build/BUILD/openroad/src/dst/src/LoadBalancer.cc AutoMoc: Reading dependencies from "SRC:/build/src/gui/gui_autogen/UVLADIE3JM/moc_clockWidget.cpp.d" AutoMoc: Generating "SRC:/build/src/gui/gui_autogen/UVLADIE3JM/moc_cmdInputWidget.cpp", because it doesn't exist, from "SRC:/src/gui/src/cmdInputWidget.h" /usr/lib64/qt5/bin/moc -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/builddir/build/BUILD/openroad/build/src/gui -I/builddir/build/BUILD/openroad/src/gui -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/gui/include -I/usr/share/opensta/include -I/builddir/build/BUILD/openroad/src/dbSta/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/usr/include/qt5 -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include -I/usr/include/c++/12 -I/usr/include/c++/12/aarch64-redhat-linux -I/usr/include/c++/12/backward -I/usr/lib/gcc/aarch64-redhat-linux/12/include -I/usr/local/include --include /builddir/build/BUILD/openroad/build/src/gui/gui_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/openroad/build/src/gui/gui_autogen/UVLADIE3JM/moc_cmdInputWidget.cpp /builddir/build/BUILD/openroad/src/gui/src/cmdInputWidget.h AutoMoc: Reading dependencies from "SRC:/build/src/gui/gui_autogen/UVLADIE3JM/moc_cmdInputWidget.cpp.d" AutoMoc: Generating "SRC:/build/src/gui/gui_autogen/UVLADIE3JM/moc_displayControls.cpp", because it doesn't exist, from "SRC:/src/gui/src/displayControls.h" /usr/lib64/qt5/bin/moc -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/builddir/build/BUILD/openroad/build/src/gui -I/builddir/build/BUILD/openroad/src/gui -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/gui/include -I/usr/share/opensta/include -I/builddir/build/BUILD/openroad/src/dbSta/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/usr/include/qt5 -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include -I/usr/include/c++/12 -I/usr/include/c++/12/aarch64-redhat-linux -I/usr/include/c++/12/backward -I/usr/lib/gcc/aarch64-redhat-linux/12/include -I/usr/local/include --include /builddir/build/BUILD/openroad/build/src/gui/gui_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/openroad/build/src/gui/gui_autogen/UVLADIE3JM/moc_displayControls.cpp /builddir/build/BUILD/openroad/src/gui/src/displayControls.h AutoMoc: Reading dependencies from "SRC:/build/src/gui/gui_autogen/UVLADIE3JM/moc_displayControls.cpp.d" AutoMoc: Generating "SRC:/build/src/gui/gui_autogen/UVLADIE3JM/moc_drcWidget.cpp", because it doesn't exist, from "SRC:/src/gui/src/drcWidget.h" /usr/lib64/qt5/bin/moc -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/builddir/build/BUILD/openroad/build/src/gui -I/builddir/build/BUILD/openroad/src/gui -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/gui/include -I/usr/share/opensta/include -I/builddir/build/BUILD/openroad/src/dbSta/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/usr/include/qt5 -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include -I/usr/include/c++/12 -I/usr/include/c++/12/aarch64-redhat-linux -I/usr/include/c++/12/backward -I/usr/lib/gcc/aarch64-redhat-linux/12/include -I/usr/local/include --include /builddir/build/BUILD/openroad/build/src/gui/gui_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/openroad/build/src/gui/gui_autogen/UVLADIE3JM/moc_drcWidget.cpp /builddir/build/BUILD/openroad/src/gui/src/drcWidget.h AutoMoc: Reading dependencies from "SRC:/build/src/gui/gui_autogen/UVLADIE3JM/moc_drcWidget.cpp.d" AutoMoc: Generating "SRC:/build/src/gui/gui_autogen/UVLADIE3JM/moc_findDialog.cpp", because it doesn't exist, from "SRC:/src/gui/src/findDialog.h" /usr/lib64/qt5/bin/moc -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/builddir/build/BUILD/openroad/build/src/gui -I/builddir/build/BUILD/openroad/src/gui -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/gui/include -I/usr/share/opensta/include -I/builddir/build/BUILD/openroad/src/dbSta/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/usr/include/qt5 -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include -I/usr/include/c++/12 -I/usr/include/c++/12/aarch64-redhat-linux -I/usr/include/c++/12/backward -I/usr/lib/gcc/aarch64-redhat-linux/12/include -I/usr/local/include --include /builddir/build/BUILD/openroad/build/src/gui/gui_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/openroad/build/src/gui/gui_autogen/UVLADIE3JM/moc_findDialog.cpp /builddir/build/BUILD/openroad/src/gui/src/findDialog.h AutoMoc: Reading dependencies from "SRC:/build/src/gui/gui_autogen/UVLADIE3JM/moc_findDialog.cpp.d" AutoMoc: Generating "SRC:/build/src/gui/gui_autogen/UVLADIE3JM/moc_globalConnectDialog.cpp", because it doesn't exist, from "SRC:/src/gui/src/globalConnectDialog.h" /usr/lib64/qt5/bin/moc -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/builddir/build/BUILD/openroad/build/src/gui -I/builddir/build/BUILD/openroad/src/gui -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/gui/include -I/usr/share/opensta/include -I/builddir/build/BUILD/openroad/src/dbSta/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/usr/include/qt5 -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include -I/usr/include/c++/12 -I/usr/include/c++/12/aarch64-redhat-linux -I/usr/include/c++/12/backward -I/usr/lib/gcc/aarch64-redhat-linux/12/include -I/usr/local/include --include /builddir/build/BUILD/openroad/build/src/gui/gui_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/openroad/build/src/gui/gui_autogen/UVLADIE3JM/moc_globalConnectDialog.cpp /builddir/build/BUILD/openroad/src/gui/src/globalConnectDialog.h AutoMoc: Reading dependencies from "SRC:/build/src/gui/gui_autogen/UVLADIE3JM/moc_globalConnectDialog.cpp.d" AutoMoc: Generating "SRC:/build/src/gui/gui_autogen/UVLADIE3JM/moc_gotoDialog.cpp", because it doesn't exist, from "SRC:/src/gui/src/gotoDialog.h" /usr/lib64/qt5/bin/moc -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/builddir/build/BUILD/openroad/build/src/gui -I/builddir/build/BUILD/openroad/src/gui -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/gui/include -I/usr/share/opensta/include -I/builddir/build/BUILD/openroad/src/dbSta/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/usr/include/qt5 -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include -I/usr/include/c++/12 -I/usr/include/c++/12/aarch64-redhat-linux -I/usr/include/c++/12/backward -I/usr/lib/gcc/aarch64-redhat-linux/12/include -I/usr/local/include --include /builddir/build/BUILD/openroad/build/src/gui/gui_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/openroad/build/src/gui/gui_autogen/UVLADIE3JM/moc_gotoDialog.cpp /builddir/build/BUILD/openroad/src/gui/src/gotoDialog.h [ 15%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbStream.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbStream.cpp.o -MF CMakeFiles/db.dir/dbStream.cpp.o.d -o CMakeFiles/db.dir/dbStream.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbStream.cpp AutoMoc: Reading dependencies from "SRC:/build/src/gui/gui_autogen/UVLADIE3JM/moc_gotoDialog.cpp.d" AutoMoc: Generating "SRC:/build/src/gui/gui_autogen/UVLADIE3JM/moc_heatMapSetup.cpp", because it doesn't exist, from "SRC:/src/gui/src/heatMapSetup.h" /usr/lib64/qt5/bin/moc -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/builddir/build/BUILD/openroad/build/src/gui -I/builddir/build/BUILD/openroad/src/gui -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/gui/include -I/usr/share/opensta/include -I/builddir/build/BUILD/openroad/src/dbSta/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/usr/include/qt5 -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include -I/usr/include/c++/12 -I/usr/include/c++/12/aarch64-redhat-linux -I/usr/include/c++/12/backward -I/usr/lib/gcc/aarch64-redhat-linux/12/include -I/usr/local/include --include /builddir/build/BUILD/openroad/build/src/gui/gui_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/openroad/build/src/gui/gui_autogen/UVLADIE3JM/moc_heatMapSetup.cpp /builddir/build/BUILD/openroad/src/gui/src/heatMapSetup.h [ 15%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbBTermItr.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbBTermItr.cpp.o -MF CMakeFiles/db.dir/dbBTermItr.cpp.o.d -o CMakeFiles/db.dir/dbBTermItr.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbBTermItr.cpp AutoMoc: Reading dependencies from "SRC:/build/src/gui/gui_autogen/UVLADIE3JM/moc_heatMapSetup.cpp.d" AutoMoc: Generating "SRC:/build/src/gui/gui_autogen/UVLADIE3JM/moc_highlightGroupDialog.cpp", because it doesn't exist, from "SRC:/src/gui/src/highlightGroupDialog.h" /usr/lib64/qt5/bin/moc -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/builddir/build/BUILD/openroad/build/src/gui -I/builddir/build/BUILD/openroad/src/gui -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/gui/include -I/usr/share/opensta/include -I/builddir/build/BUILD/openroad/src/dbSta/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/usr/include/qt5 -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include -I/usr/include/c++/12 -I/usr/include/c++/12/aarch64-redhat-linux -I/usr/include/c++/12/backward -I/usr/lib/gcc/aarch64-redhat-linux/12/include -I/usr/local/include --include /builddir/build/BUILD/openroad/build/src/gui/gui_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/openroad/build/src/gui/gui_autogen/UVLADIE3JM/moc_highlightGroupDialog.cpp /builddir/build/BUILD/openroad/src/gui/src/highlightGroupDialog.h AutoMoc: Reading dependencies from "SRC:/build/src/gui/gui_autogen/UVLADIE3JM/moc_highlightGroupDialog.cpp.d" AutoMoc: Generating "SRC:/build/src/gui/gui_autogen/UVLADIE3JM/moc_inspector.cpp", because it doesn't exist, from "SRC:/src/gui/src/inspector.h" /usr/lib64/qt5/bin/moc -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/builddir/build/BUILD/openroad/build/src/gui -I/builddir/build/BUILD/openroad/src/gui -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/gui/include -I/usr/share/opensta/include -I/builddir/build/BUILD/openroad/src/dbSta/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/usr/include/qt5 -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include -I/usr/include/c++/12 -I/usr/include/c++/12/aarch64-redhat-linux -I/usr/include/c++/12/backward -I/usr/lib/gcc/aarch64-redhat-linux/12/include -I/usr/local/include --include /builddir/build/BUILD/openroad/build/src/gui/gui_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/openroad/build/src/gui/gui_autogen/UVLADIE3JM/moc_inspector.cpp /builddir/build/BUILD/openroad/src/gui/src/inspector.h AutoMoc: Reading dependencies from "SRC:/build/src/gui/gui_autogen/UVLADIE3JM/moc_inspector.cpp.d" AutoMoc: Generating "SRC:/build/src/gui/gui_autogen/UVLADIE3JM/moc_layoutTabs.cpp", because it doesn't exist, from "SRC:/src/gui/src/layoutTabs.h" /usr/lib64/qt5/bin/moc -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/builddir/build/BUILD/openroad/build/src/gui -I/builddir/build/BUILD/openroad/src/gui -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/gui/include -I/usr/share/opensta/include -I/builddir/build/BUILD/openroad/src/dbSta/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/usr/include/qt5 -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include -I/usr/include/c++/12 -I/usr/include/c++/12/aarch64-redhat-linux -I/usr/include/c++/12/backward -I/usr/lib/gcc/aarch64-redhat-linux/12/include -I/usr/local/include --include /builddir/build/BUILD/openroad/build/src/gui/gui_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/openroad/build/src/gui/gui_autogen/UVLADIE3JM/moc_layoutTabs.cpp /builddir/build/BUILD/openroad/src/gui/src/layoutTabs.h [ 15%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbBPinItr.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbBPinItr.cpp.o -MF CMakeFiles/db.dir/dbBPinItr.cpp.o.d -o CMakeFiles/db.dir/dbBPinItr.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbBPinItr.cpp AutoMoc: Reading dependencies from "SRC:/build/src/gui/gui_autogen/UVLADIE3JM/moc_layoutTabs.cpp.d" AutoMoc: Generating "SRC:/build/src/gui/gui_autogen/UVLADIE3JM/moc_layoutViewer.cpp", because it doesn't exist, from "SRC:/src/gui/src/layoutViewer.h" /usr/lib64/qt5/bin/moc -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/builddir/build/BUILD/openroad/build/src/gui -I/builddir/build/BUILD/openroad/src/gui -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/gui/include -I/usr/share/opensta/include -I/builddir/build/BUILD/openroad/src/dbSta/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/usr/include/qt5 -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include -I/usr/include/c++/12 -I/usr/include/c++/12/aarch64-redhat-linux -I/usr/include/c++/12/backward -I/usr/lib/gcc/aarch64-redhat-linux/12/include -I/usr/local/include --include /builddir/build/BUILD/openroad/build/src/gui/gui_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/openroad/build/src/gui/gui_autogen/UVLADIE3JM/moc_layoutViewer.cpp /builddir/build/BUILD/openroad/src/gui/src/layoutViewer.h [ 15%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbBlock.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbBlock.cpp.o -MF CMakeFiles/db.dir/dbBlock.cpp.o.d -o CMakeFiles/db.dir/dbBlock.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbBlock.cpp [ 16%] Building CXX object src/dst/CMakeFiles/dst.dir/src/WorkerConnection.cc.o cd /builddir/build/BUILD/openroad/build/src/dst && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DBOOST_SERIALIZATION_DYN_LINK -DBOOST_SERIALIZATION_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/dst/CMakeFiles/dst.dir/src/WorkerConnection.cc.o -MF CMakeFiles/dst.dir/src/WorkerConnection.cc.o.d -o CMakeFiles/dst.dir/src/WorkerConnection.cc.o -c /builddir/build/BUILD/openroad/src/dst/src/WorkerConnection.cc AutoMoc: Reading dependencies from "SRC:/build/src/gui/gui_autogen/UVLADIE3JM/moc_layoutViewer.cpp.d" AutoMoc: Generating "SRC:/build/src/gui/gui_autogen/UVLADIE3JM/moc_mainWindow.cpp", because it doesn't exist, from "SRC:/src/gui/src/mainWindow.h" /usr/lib64/qt5/bin/moc -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/builddir/build/BUILD/openroad/build/src/gui -I/builddir/build/BUILD/openroad/src/gui -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/gui/include -I/usr/share/opensta/include -I/builddir/build/BUILD/openroad/src/dbSta/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/usr/include/qt5 -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include -I/usr/include/c++/12 -I/usr/include/c++/12/aarch64-redhat-linux -I/usr/include/c++/12/backward -I/usr/lib/gcc/aarch64-redhat-linux/12/include -I/usr/local/include --include /builddir/build/BUILD/openroad/build/src/gui/gui_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/openroad/build/src/gui/gui_autogen/UVLADIE3JM/moc_mainWindow.cpp /builddir/build/BUILD/openroad/src/gui/src/mainWindow.h AutoMoc: Reading dependencies from "SRC:/build/src/gui/gui_autogen/UVLADIE3JM/moc_mainWindow.cpp.d" AutoMoc: Generating "SRC:/build/src/gui/gui_autogen/UVLADIE3JM/moc_renderThread.cpp", because it doesn't exist, from "SRC:/src/gui/src/renderThread.h" /usr/lib64/qt5/bin/moc -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/builddir/build/BUILD/openroad/build/src/gui -I/builddir/build/BUILD/openroad/src/gui -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/gui/include -I/usr/share/opensta/include -I/builddir/build/BUILD/openroad/src/dbSta/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/usr/include/qt5 -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include -I/usr/include/c++/12 -I/usr/include/c++/12/aarch64-redhat-linux -I/usr/include/c++/12/backward -I/usr/lib/gcc/aarch64-redhat-linux/12/include -I/usr/local/include --include /builddir/build/BUILD/openroad/build/src/gui/gui_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/openroad/build/src/gui/gui_autogen/UVLADIE3JM/moc_renderThread.cpp /builddir/build/BUILD/openroad/src/gui/src/renderThread.h AutoMoc: Reading dependencies from "SRC:/build/src/gui/gui_autogen/UVLADIE3JM/moc_renderThread.cpp.d" AutoMoc: Generating "SRC:/build/src/gui/gui_autogen/UVLADIE3JM/moc_scriptWidget.cpp", because it doesn't exist, from "SRC:/src/gui/src/scriptWidget.h" /usr/lib64/qt5/bin/moc -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/builddir/build/BUILD/openroad/build/src/gui -I/builddir/build/BUILD/openroad/src/gui -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/gui/include -I/usr/share/opensta/include -I/builddir/build/BUILD/openroad/src/dbSta/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/usr/include/qt5 -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include -I/usr/include/c++/12 -I/usr/include/c++/12/aarch64-redhat-linux -I/usr/include/c++/12/backward -I/usr/lib/gcc/aarch64-redhat-linux/12/include -I/usr/local/include --include /builddir/build/BUILD/openroad/build/src/gui/gui_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/openroad/build/src/gui/gui_autogen/UVLADIE3JM/moc_scriptWidget.cpp /builddir/build/BUILD/openroad/src/gui/src/scriptWidget.h AutoMoc: Reading dependencies from "SRC:/build/src/gui/gui_autogen/UVLADIE3JM/moc_scriptWidget.cpp.d" AutoMoc: Generating "SRC:/build/src/gui/gui_autogen/UVLADIE3JM/moc_search.cpp", because it doesn't exist, from "SRC:/src/gui/src/search.h" /usr/lib64/qt5/bin/moc -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/builddir/build/BUILD/openroad/build/src/gui -I/builddir/build/BUILD/openroad/src/gui -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/gui/include -I/usr/share/opensta/include -I/builddir/build/BUILD/openroad/src/dbSta/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/usr/include/qt5 -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include -I/usr/include/c++/12 -I/usr/include/c++/12/aarch64-redhat-linux -I/usr/include/c++/12/backward -I/usr/lib/gcc/aarch64-redhat-linux/12/include -I/usr/local/include --include /builddir/build/BUILD/openroad/build/src/gui/gui_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/openroad/build/src/gui/gui_autogen/UVLADIE3JM/moc_search.cpp /builddir/build/BUILD/openroad/src/gui/src/search.h AutoMoc: Reading dependencies from "SRC:/build/src/gui/gui_autogen/UVLADIE3JM/moc_search.cpp.d" AutoMoc: Generating "SRC:/build/src/gui/gui_autogen/UVLADIE3JM/moc_selectHighlightWindow.cpp", because it doesn't exist, from "SRC:/src/gui/src/selectHighlightWindow.h" /usr/lib64/qt5/bin/moc -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/builddir/build/BUILD/openroad/build/src/gui -I/builddir/build/BUILD/openroad/src/gui -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/gui/include -I/usr/share/opensta/include -I/builddir/build/BUILD/openroad/src/dbSta/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/usr/include/qt5 -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include -I/usr/include/c++/12 -I/usr/include/c++/12/aarch64-redhat-linux -I/usr/include/c++/12/backward -I/usr/lib/gcc/aarch64-redhat-linux/12/include -I/usr/local/include --include /builddir/build/BUILD/openroad/build/src/gui/gui_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/openroad/build/src/gui/gui_autogen/UVLADIE3JM/moc_selectHighlightWindow.cpp /builddir/build/BUILD/openroad/src/gui/src/selectHighlightWindow.h [ 16%] Building CXX object src/dst/CMakeFiles/dst.dir/src/BalancerConnection.cc.o cd /builddir/build/BUILD/openroad/build/src/dst && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DBOOST_SERIALIZATION_DYN_LINK -DBOOST_SERIALIZATION_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/dst/CMakeFiles/dst.dir/src/BalancerConnection.cc.o -MF CMakeFiles/dst.dir/src/BalancerConnection.cc.o.d -o CMakeFiles/dst.dir/src/BalancerConnection.cc.o -c /builddir/build/BUILD/openroad/src/dst/src/BalancerConnection.cc AutoMoc: Reading dependencies from "SRC:/build/src/gui/gui_autogen/UVLADIE3JM/moc_selectHighlightWindow.cpp.d" AutoMoc: Generating "SRC:/build/src/gui/gui_autogen/UVLADIE3JM/moc_staGui.cpp", because it doesn't exist, from "SRC:/src/gui/src/staGui.h" /usr/lib64/qt5/bin/moc -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/builddir/build/BUILD/openroad/build/src/gui -I/builddir/build/BUILD/openroad/src/gui -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/gui/include -I/usr/share/opensta/include -I/builddir/build/BUILD/openroad/src/dbSta/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/usr/include/qt5 -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include -I/usr/include/c++/12 -I/usr/include/c++/12/aarch64-redhat-linux -I/usr/include/c++/12/backward -I/usr/lib/gcc/aarch64-redhat-linux/12/include -I/usr/local/include --include /builddir/build/BUILD/openroad/build/src/gui/gui_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/openroad/build/src/gui/gui_autogen/UVLADIE3JM/moc_staGui.cpp /builddir/build/BUILD/openroad/src/gui/src/staGui.h AutoMoc: Reading dependencies from "SRC:/build/src/gui/gui_autogen/UVLADIE3JM/moc_staGui.cpp.d" AutoMoc: Generating "SRC:/build/src/gui/gui_autogen/UVLADIE3JM/moc_tclCmdHighlighter.cpp", because it doesn't exist, from "SRC:/src/gui/src/tclCmdHighlighter.h" /usr/lib64/qt5/bin/moc -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/builddir/build/BUILD/openroad/build/src/gui -I/builddir/build/BUILD/openroad/src/gui -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/gui/include -I/usr/share/opensta/include -I/builddir/build/BUILD/openroad/src/dbSta/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/usr/include/qt5 -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include -I/usr/include/c++/12 -I/usr/include/c++/12/aarch64-redhat-linux -I/usr/include/c++/12/backward -I/usr/lib/gcc/aarch64-redhat-linux/12/include -I/usr/local/include --include /builddir/build/BUILD/openroad/build/src/gui/gui_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/openroad/build/src/gui/gui_autogen/UVLADIE3JM/moc_tclCmdHighlighter.cpp /builddir/build/BUILD/openroad/src/gui/src/tclCmdHighlighter.h AutoMoc: Reading dependencies from "SRC:/build/src/gui/gui_autogen/UVLADIE3JM/moc_tclCmdHighlighter.cpp.d" AutoMoc: Generating "SRC:/build/src/gui/gui_autogen/UVLADIE3JM/moc_tclCmdInputWidget.cpp", because it doesn't exist, from "SRC:/src/gui/src/tclCmdInputWidget.h" /usr/lib64/qt5/bin/moc -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/builddir/build/BUILD/openroad/build/src/gui -I/builddir/build/BUILD/openroad/src/gui -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/gui/include -I/usr/share/opensta/include -I/builddir/build/BUILD/openroad/src/dbSta/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/usr/include/qt5 -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include -I/usr/include/c++/12 -I/usr/include/c++/12/aarch64-redhat-linux -I/usr/include/c++/12/backward -I/usr/lib/gcc/aarch64-redhat-linux/12/include -I/usr/local/include --include /builddir/build/BUILD/openroad/build/src/gui/gui_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/openroad/build/src/gui/gui_autogen/UVLADIE3JM/moc_tclCmdInputWidget.cpp /builddir/build/BUILD/openroad/src/gui/src/tclCmdInputWidget.h AutoMoc: Reading dependencies from "SRC:/build/src/gui/gui_autogen/UVLADIE3JM/moc_tclCmdInputWidget.cpp.d" AutoMoc: Generating "SRC:/build/src/gui/gui_autogen/UVLADIE3JM/moc_timingWidget.cpp", because it doesn't exist, from "SRC:/src/gui/src/timingWidget.h" /usr/lib64/qt5/bin/moc -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/builddir/build/BUILD/openroad/build/src/gui -I/builddir/build/BUILD/openroad/src/gui -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/gui/include -I/usr/share/opensta/include -I/builddir/build/BUILD/openroad/src/dbSta/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/usr/include/qt5 -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include -I/usr/include/c++/12 -I/usr/include/c++/12/aarch64-redhat-linux -I/usr/include/c++/12/backward -I/usr/lib/gcc/aarch64-redhat-linux/12/include -I/usr/local/include --include /builddir/build/BUILD/openroad/build/src/gui/gui_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/openroad/build/src/gui/gui_autogen/UVLADIE3JM/moc_timingWidget.cpp /builddir/build/BUILD/openroad/src/gui/src/timingWidget.h AutoMoc: Reading dependencies from "SRC:/build/src/gui/gui_autogen/UVLADIE3JM/moc_timingWidget.cpp.d" AutoUic: Generating "SRC:/build/src/gui/gui_autogen/include/ui_findDlg.h", because it doesn't exist, from "SRC:/src/gui/ui/findDlg.ui" /usr/lib64/qt5/bin/uic -o /builddir/build/BUILD/openroad/build/src/gui/gui_autogen/include/ui_findDlg.h /builddir/build/BUILD/openroad/src/gui/ui/findDlg.ui AutoUic: Generating "SRC:/build/src/gui/gui_autogen/include/ui_gotoDlg.h", because it doesn't exist, from "SRC:/src/gui/ui/gotoDlg.ui" /usr/lib64/qt5/bin/uic -o /builddir/build/BUILD/openroad/build/src/gui/gui_autogen/include/ui_gotoDlg.h /builddir/build/BUILD/openroad/src/gui/ui/gotoDlg.ui AutoUic: Generating "SRC:/build/src/gui/gui_autogen/include/ui_highlightGroupDlg.h", because it doesn't exist, from "SRC:/src/gui/ui/highlightGroupDlg.ui" /usr/lib64/qt5/bin/uic -o /builddir/build/BUILD/openroad/build/src/gui/gui_autogen/include/ui_highlightGroupDlg.h /builddir/build/BUILD/openroad/src/gui/ui/highlightGroupDlg.ui AutoUic: Generating "SRC:/build/src/gui/gui_autogen/include/ui_selectedWidget.h", because it doesn't exist, from "SRC:/src/gui/ui/selectedWidget.ui" /usr/lib64/qt5/bin/uic -o /builddir/build/BUILD/openroad/build/src/gui/gui_autogen/include/ui_selectedWidget.h /builddir/build/BUILD/openroad/src/gui/ui/selectedWidget.ui AutoMoc: Generating MOC compilation "SRC:/build/src/gui/gui_autogen/mocs_compilation.cpp" AutoGen: Writing the parse cache file "SRC:/build/src/gui/CMakeFiles/gui_autogen.dir/ParseCache.txt" AutoGen: Writing the settings file "SRC:/build/src/gui/CMakeFiles/gui_autogen.dir/AutogenUsed.txt" gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [ 16%] Built target gui_autogen [ 16%] Building CXX object src/dst/CMakeFiles/dst.dir/src/MakeDistributed.cc.o cd /builddir/build/BUILD/openroad/build/src/dst && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DBOOST_SERIALIZATION_DYN_LINK -DBOOST_SERIALIZATION_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/dst/CMakeFiles/dst.dir/src/MakeDistributed.cc.o -MF CMakeFiles/dst.dir/src/MakeDistributed.cc.o.d -o CMakeFiles/dst.dir/src/MakeDistributed.cc.o -c /builddir/build/BUILD/openroad/src/dst/src/MakeDistributed.cc [ 16%] Building CXX object src/dst/CMakeFiles/dst.dir/src/Distributed.cc.o cd /builddir/build/BUILD/openroad/build/src/dst && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DBOOST_SERIALIZATION_DYN_LINK -DBOOST_SERIALIZATION_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/dst/CMakeFiles/dst.dir/src/Distributed.cc.o -MF CMakeFiles/dst.dir/src/Distributed.cc.o.d -o CMakeFiles/dst.dir/src/Distributed.cc.o -c /builddir/build/BUILD/openroad/src/dst/src/Distributed.cc [ 16%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbBlockItr.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbBlockItr.cpp.o -MF CMakeFiles/db.dir/dbBlockItr.cpp.o.d -o CMakeFiles/db.dir/dbBlockItr.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbBlockItr.cpp [ 16%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbBox.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbBox.cpp.o -MF CMakeFiles/db.dir/dbBox.cpp.o.d -o CMakeFiles/db.dir/dbBox.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbBox.cpp [ 17%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbBoxItr.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbBoxItr.cpp.o -MF CMakeFiles/db.dir/dbBoxItr.cpp.o.d -o CMakeFiles/db.dir/dbBoxItr.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbBoxItr.cpp [ 17%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbChip.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbChip.cpp.o -MF CMakeFiles/db.dir/dbChip.cpp.o.d -o CMakeFiles/db.dir/dbChip.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbChip.cpp [ 17%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbDatabase.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbDatabase.cpp.o -MF CMakeFiles/db.dir/dbDatabase.cpp.o.d -o CMakeFiles/db.dir/dbDatabase.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbDatabase.cpp [ 17%] Linking CXX static library dst.a cd /builddir/build/BUILD/openroad/build/src/dst && /usr/bin/cmake -P CMakeFiles/dst.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/openroad/build/src/dst && /usr/bin/cmake -E cmake_link_script CMakeFiles/dst.dir/link.txt --verbose=1 /usr/bin/ar qc dst.a CMakeFiles/dst.dir/CMakeFiles/dst.dir/DistributedTCL_wrap.cxx.o "CMakeFiles/dst.dir/dst-tclInitVar.cc.o" CMakeFiles/dst.dir/src/JobMessage.cc.o CMakeFiles/dst.dir/src/Worker.cc.o CMakeFiles/dst.dir/src/LoadBalancer.cc.o CMakeFiles/dst.dir/src/WorkerConnection.cc.o CMakeFiles/dst.dir/src/BalancerConnection.cc.o CMakeFiles/dst.dir/src/MakeDistributed.cc.o CMakeFiles/dst.dir/src/Distributed.cc.o [ 17%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbITerm.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbITerm.cpp.o -MF CMakeFiles/db.dir/dbITerm.cpp.o.d -o CMakeFiles/db.dir/dbITerm.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbITerm.cpp /usr/bin/ranlib dst.a cd /builddir/build/BUILD/openroad/src/dst && /builddir/build/BUILD/openroad/etc/find_messages.py > /builddir/build/BUILD/openroad/src/dst/messages.txt gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [ 17%] Built target dst [ 17%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbITermItr.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbITermItr.cpp.o -MF CMakeFiles/db.dir/dbITermItr.cpp.o.d -o CMakeFiles/db.dir/dbITermItr.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbITermItr.cpp [ 17%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbInst.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbInst.cpp.o -MF CMakeFiles/db.dir/dbInst.cpp.o.d -o CMakeFiles/db.dir/dbInst.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbInst.cpp [ 17%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbInstHdr.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbInstHdr.cpp.o -MF CMakeFiles/db.dir/dbInstHdr.cpp.o.d -o CMakeFiles/db.dir/dbInstHdr.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbInstHdr.cpp [ 17%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbLib.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbLib.cpp.o -MF CMakeFiles/db.dir/dbLib.cpp.o.d -o CMakeFiles/db.dir/dbLib.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbLib.cpp [ 17%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbMPin.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbMPin.cpp.o -MF CMakeFiles/db.dir/dbMPin.cpp.o.d -o CMakeFiles/db.dir/dbMPin.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbMPin.cpp [ 18%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbMPinItr.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbMPinItr.cpp.o -MF CMakeFiles/db.dir/dbMPinItr.cpp.o.d -o CMakeFiles/db.dir/dbMPinItr.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbMPinItr.cpp [ 18%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbMTerm.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbMTerm.cpp.o -MF CMakeFiles/db.dir/dbMTerm.cpp.o.d -o CMakeFiles/db.dir/dbMTerm.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbMTerm.cpp [ 18%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbMaster.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbMaster.cpp.o -MF CMakeFiles/db.dir/dbMaster.cpp.o.d -o CMakeFiles/db.dir/dbMaster.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbMaster.cpp [ 18%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbNet.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbNet.cpp.o -MF CMakeFiles/db.dir/dbNet.cpp.o.d -o CMakeFiles/db.dir/dbNet.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbNet.cpp [ 18%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbTech.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbTech.cpp.o -MF CMakeFiles/db.dir/dbTech.cpp.o.d -o CMakeFiles/db.dir/dbTech.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbTech.cpp [ 18%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbTechLayerSpacingRule.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbTechLayerSpacingRule.cpp.o -MF CMakeFiles/db.dir/dbTechLayerSpacingRule.cpp.o.d -o CMakeFiles/db.dir/dbTechLayerSpacingRule.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbTechLayerSpacingRule.cpp [ 18%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbTechLayerAntennaRule.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbTechLayerAntennaRule.cpp.o -MF CMakeFiles/db.dir/dbTechLayerAntennaRule.cpp.o.d -o CMakeFiles/db.dir/dbTechLayerAntennaRule.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbTechLayerAntennaRule.cpp [ 18%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbTechMinCutOrAreaRule.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbTechMinCutOrAreaRule.cpp.o -MF CMakeFiles/db.dir/dbTechMinCutOrAreaRule.cpp.o.d -o CMakeFiles/db.dir/dbTechMinCutOrAreaRule.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbTechMinCutOrAreaRule.cpp [ 18%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbTechLayerItr.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbTechLayerItr.cpp.o -MF CMakeFiles/db.dir/dbTechLayerItr.cpp.o.d -o CMakeFiles/db.dir/dbTechLayerItr.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbTechLayerItr.cpp [ 19%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbTechVia.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbTechVia.cpp.o -MF CMakeFiles/db.dir/dbTechVia.cpp.o.d -o CMakeFiles/db.dir/dbTechVia.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbTechVia.cpp [ 19%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbTypes.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbTypes.cpp.o -MF CMakeFiles/db.dir/dbTypes.cpp.o.d -o CMakeFiles/db.dir/dbTypes.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbTypes.cpp [ 19%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbVia.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbVia.cpp.o -MF CMakeFiles/db.dir/dbVia.cpp.o.d -o CMakeFiles/db.dir/dbVia.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbVia.cpp [ 19%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbWire.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbWire.cpp.o -MF CMakeFiles/db.dir/dbWire.cpp.o.d -o CMakeFiles/db.dir/dbWire.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbWire.cpp [ 19%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbWireCodec.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbWireCodec.cpp.o -MF CMakeFiles/db.dir/dbWireCodec.cpp.o.d -o CMakeFiles/db.dir/dbWireCodec.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbWireCodec.cpp [ 19%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbTrackGrid.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbTrackGrid.cpp.o -MF CMakeFiles/db.dir/dbTrackGrid.cpp.o.d -o CMakeFiles/db.dir/dbTrackGrid.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbTrackGrid.cpp [ 19%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbBlockage.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbBlockage.cpp.o -MF CMakeFiles/db.dir/dbBlockage.cpp.o.d -o CMakeFiles/db.dir/dbBlockage.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbBlockage.cpp [ 19%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbObstruction.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbObstruction.cpp.o -MF CMakeFiles/db.dir/dbObstruction.cpp.o.d -o CMakeFiles/db.dir/dbObstruction.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbObstruction.cpp [ 19%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbObject.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbObject.cpp.o -MF CMakeFiles/db.dir/dbObject.cpp.o.d -o CMakeFiles/db.dir/dbObject.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbObject.cpp [ 20%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbInstShapeItr.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbInstShapeItr.cpp.o -MF CMakeFiles/db.dir/dbInstShapeItr.cpp.o.d -o CMakeFiles/db.dir/dbInstShapeItr.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbInstShapeItr.cpp [ 20%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbTransform.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbTransform.cpp.o -MF CMakeFiles/db.dir/dbTransform.cpp.o.d -o CMakeFiles/db.dir/dbTransform.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbTransform.cpp [ 20%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbITermShapeItr.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbITermShapeItr.cpp.o -MF CMakeFiles/db.dir/dbITermShapeItr.cpp.o.d -o CMakeFiles/db.dir/dbITermShapeItr.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbITermShapeItr.cpp [ 20%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbSWire.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbSWire.cpp.o -MF CMakeFiles/db.dir/dbSWire.cpp.o.d -o CMakeFiles/db.dir/dbSWire.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbSWire.cpp [ 20%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbSBox.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbSBox.cpp.o -MF CMakeFiles/db.dir/dbSBox.cpp.o.d -o CMakeFiles/db.dir/dbSBox.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbSBox.cpp [ 20%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbSWireItr.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbSWireItr.cpp.o -MF CMakeFiles/db.dir/dbSWireItr.cpp.o.d -o CMakeFiles/db.dir/dbSWireItr.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbSWireItr.cpp [ 20%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbSBoxItr.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbSBoxItr.cpp.o -MF CMakeFiles/db.dir/dbSBoxItr.cpp.o.d -o CMakeFiles/db.dir/dbSBoxItr.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbSBoxItr.cpp [ 20%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbDiff.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbDiff.cpp.o -MF CMakeFiles/db.dir/dbDiff.cpp.o.d -o CMakeFiles/db.dir/dbDiff.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbDiff.cpp [ 20%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbSite.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbSite.cpp.o -MF CMakeFiles/db.dir/dbSite.cpp.o.d -o CMakeFiles/db.dir/dbSite.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbSite.cpp [ 21%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbCCSeg.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbCCSeg.cpp.o -MF CMakeFiles/db.dir/dbCCSeg.cpp.o.d -o CMakeFiles/db.dir/dbCCSeg.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbCCSeg.cpp [ 21%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbCCSegItr.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbCCSegItr.cpp.o -MF CMakeFiles/db.dir/dbCCSegItr.cpp.o.d -o CMakeFiles/db.dir/dbCCSegItr.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbCCSegItr.cpp [ 21%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbWireShapeItr.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbWireShapeItr.cpp.o -MF CMakeFiles/db.dir/dbWireShapeItr.cpp.o.d -o CMakeFiles/db.dir/dbWireShapeItr.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbWireShapeItr.cpp [ 21%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbWirePathItr.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbWirePathItr.cpp.o -MF CMakeFiles/db.dir/dbWirePathItr.cpp.o.d -o CMakeFiles/db.dir/dbWirePathItr.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbWirePathItr.cpp [ 21%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbTarget.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbTarget.cpp.o -MF CMakeFiles/db.dir/dbTarget.cpp.o.d -o CMakeFiles/db.dir/dbTarget.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbTarget.cpp [ 21%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbTargetItr.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbTargetItr.cpp.o -MF CMakeFiles/db.dir/dbTargetItr.cpp.o.d -o CMakeFiles/db.dir/dbTargetItr.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbTargetItr.cpp [ 21%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbTechNonDefaultRule.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbTechNonDefaultRule.cpp.o -MF CMakeFiles/db.dir/dbTechNonDefaultRule.cpp.o.d -o CMakeFiles/db.dir/dbTechNonDefaultRule.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbTechNonDefaultRule.cpp [ 21%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbTechLayerRule.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbTechLayerRule.cpp.o -MF CMakeFiles/db.dir/dbTechLayerRule.cpp.o.d -o CMakeFiles/db.dir/dbTechLayerRule.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbTechLayerRule.cpp [ 21%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbCapNode.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbCapNode.cpp.o -MF CMakeFiles/db.dir/dbCapNode.cpp.o.d -o CMakeFiles/db.dir/dbCapNode.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbCapNode.cpp [ 22%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbCapNodeItr.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbCapNodeItr.cpp.o -MF CMakeFiles/db.dir/dbCapNodeItr.cpp.o.d -o CMakeFiles/db.dir/dbCapNodeItr.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbCapNodeItr.cpp [ 22%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbRSeg.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbRSeg.cpp.o -MF CMakeFiles/db.dir/dbRSeg.cpp.o.d -o CMakeFiles/db.dir/dbRSeg.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbRSeg.cpp [ 22%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbRSegItr.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbRSegItr.cpp.o -MF CMakeFiles/db.dir/dbRSegItr.cpp.o.d -o CMakeFiles/db.dir/dbRSegItr.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbRSegItr.cpp [ 22%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbTechSameNetRule.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbTechSameNetRule.cpp.o -MF CMakeFiles/db.dir/dbTechSameNetRule.cpp.o.d -o CMakeFiles/db.dir/dbTechSameNetRule.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbTechSameNetRule.cpp [ 22%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbRow.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbRow.cpp.o -MF CMakeFiles/db.dir/dbRow.cpp.o.d -o CMakeFiles/db.dir/dbRow.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbRow.cpp [ 22%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbFill.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbFill.cpp.o -MF CMakeFiles/db.dir/dbFill.cpp.o.d -o CMakeFiles/db.dir/dbFill.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbFill.cpp [ 22%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbShape.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbShape.cpp.o -MF CMakeFiles/db.dir/dbShape.cpp.o.d -o CMakeFiles/db.dir/dbShape.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbShape.cpp [ 22%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbWireGraph.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbWireGraph.cpp.o -MF CMakeFiles/db.dir/dbWireGraph.cpp.o.d -o CMakeFiles/db.dir/dbWireGraph.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbWireGraph.cpp [ 22%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbJournal.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbJournal.cpp.o -MF CMakeFiles/db.dir/dbJournal.cpp.o.d -o CMakeFiles/db.dir/dbJournal.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbJournal.cpp [ 23%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbJournalLog.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbJournalLog.cpp.o -MF CMakeFiles/db.dir/dbJournalLog.cpp.o.d -o CMakeFiles/db.dir/dbJournalLog.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbJournalLog.cpp [ 23%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbBlockCallBackObj.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbBlockCallBackObj.cpp.o -MF CMakeFiles/db.dir/dbBlockCallBackObj.cpp.o.d -o CMakeFiles/db.dir/dbBlockCallBackObj.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbBlockCallBackObj.cpp [ 23%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbRegion.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbRegion.cpp.o -MF CMakeFiles/db.dir/dbRegion.cpp.o.d -o CMakeFiles/db.dir/dbRegion.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbRegion.cpp [ 23%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbRegionInstItr.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbRegionInstItr.cpp.o -MF CMakeFiles/db.dir/dbRegionInstItr.cpp.o.d -o CMakeFiles/db.dir/dbRegionInstItr.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbRegionInstItr.cpp [ 23%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbExtControl.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbExtControl.cpp.o -MF CMakeFiles/db.dir/dbExtControl.cpp.o.d -o CMakeFiles/db.dir/dbExtControl.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbExtControl.cpp [ 23%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbNullIterator.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbNullIterator.cpp.o -MF CMakeFiles/db.dir/dbNullIterator.cpp.o.d -o CMakeFiles/db.dir/dbNullIterator.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbNullIterator.cpp [ 23%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbBPin.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbBPin.cpp.o -MF CMakeFiles/db.dir/dbBPin.cpp.o.d -o CMakeFiles/db.dir/dbBPin.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbBPin.cpp [ 23%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbHierInstShapeItr.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbHierInstShapeItr.cpp.o -MF CMakeFiles/db.dir/dbHierInstShapeItr.cpp.o.d -o CMakeFiles/db.dir/dbHierInstShapeItr.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbHierInstShapeItr.cpp [ 23%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbHier.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbHier.cpp.o -MF CMakeFiles/db.dir/dbHier.cpp.o.d -o CMakeFiles/db.dir/dbHier.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbHier.cpp [ 24%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbTechViaRule.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbTechViaRule.cpp.o -MF CMakeFiles/db.dir/dbTechViaRule.cpp.o.d -o CMakeFiles/db.dir/dbTechViaRule.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbTechViaRule.cpp [ 24%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbTechViaLayerRule.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbTechViaLayerRule.cpp.o -MF CMakeFiles/db.dir/dbTechViaLayerRule.cpp.o.d -o CMakeFiles/db.dir/dbTechViaLayerRule.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbTechViaLayerRule.cpp [ 24%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbTechViaGenerateRule.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbTechViaGenerateRule.cpp.o -MF CMakeFiles/db.dir/dbTechViaGenerateRule.cpp.o.d -o CMakeFiles/db.dir/dbTechViaGenerateRule.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbTechViaGenerateRule.cpp [ 24%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbViaParams.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbViaParams.cpp.o -MF CMakeFiles/db.dir/dbViaParams.cpp.o.d -o CMakeFiles/db.dir/dbViaParams.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbViaParams.cpp [ 24%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbNameCache.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbNameCache.cpp.o -MF CMakeFiles/db.dir/dbNameCache.cpp.o.d -o CMakeFiles/db.dir/dbNameCache.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbNameCache.cpp [ 24%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbProperty.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbProperty.cpp.o -MF CMakeFiles/db.dir/dbProperty.cpp.o.d -o CMakeFiles/db.dir/dbProperty.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbProperty.cpp [ 24%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbPropertyItr.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbPropertyItr.cpp.o -MF CMakeFiles/db.dir/dbPropertyItr.cpp.o.d -o CMakeFiles/db.dir/dbPropertyItr.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbPropertyItr.cpp [ 24%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbUtil.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbUtil.cpp.o -MF CMakeFiles/db.dir/dbUtil.cpp.o.d -o CMakeFiles/db.dir/dbUtil.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbUtil.cpp [ 24%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/gs.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/gs.cpp.o -MF CMakeFiles/db.dir/gs.cpp.o.d -o CMakeFiles/db.dir/gs.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/gs.cpp [ 25%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/grids.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/grids.cpp.o -MF CMakeFiles/db.dir/grids.cpp.o.d -o CMakeFiles/db.dir/grids.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/grids.cpp [ 25%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/tmg_conn.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/tmg_conn.cpp.o -MF CMakeFiles/db.dir/tmg_conn.cpp.o.d -o CMakeFiles/db.dir/tmg_conn.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/tmg_conn.cpp [ 25%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/tmg_conn_g.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/tmg_conn_g.cpp.o -MF CMakeFiles/db.dir/tmg_conn_g.cpp.o.d -o CMakeFiles/db.dir/tmg_conn_g.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/tmg_conn_g.cpp [ 25%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/tmg_conn_s.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/tmg_conn_s.cpp.o -MF CMakeFiles/db.dir/tmg_conn_s.cpp.o.d -o CMakeFiles/db.dir/tmg_conn_s.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/tmg_conn_s.cpp [ 25%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/tmg_conn_w.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/tmg_conn_w.cpp.o -MF CMakeFiles/db.dir/tmg_conn_w.cpp.o.d -o CMakeFiles/db.dir/tmg_conn_w.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/tmg_conn_w.cpp [ 25%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbGroupPowerNetItr.cpp.o [ 25%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/wOrder.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/wOrder.cpp.o -MF CMakeFiles/db.dir/wOrder.cpp.o.d -o CMakeFiles/db.dir/wOrder.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/wOrder.cpp cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbGroupPowerNetItr.cpp.o -MF CMakeFiles/db.dir/dbGroupPowerNetItr.cpp.o.d -o CMakeFiles/db.dir/dbGroupPowerNetItr.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbGroupPowerNetItr.cpp [ 25%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbGroupGroundNetItr.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbGroupGroundNetItr.cpp.o -MF CMakeFiles/db.dir/dbGroupGroundNetItr.cpp.o.d -o CMakeFiles/db.dir/dbGroupGroundNetItr.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbGroupGroundNetItr.cpp [ 25%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbAccessPoint.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbAccessPoint.cpp.o -MF CMakeFiles/db.dir/dbAccessPoint.cpp.o.d -o CMakeFiles/db.dir/dbAccessPoint.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbAccessPoint.cpp [ 26%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbGCellGrid.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbGCellGrid.cpp.o -MF CMakeFiles/db.dir/dbGCellGrid.cpp.o.d -o CMakeFiles/db.dir/dbGCellGrid.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbGCellGrid.cpp [ 26%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbGlobalConnect.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbGlobalConnect.cpp.o -MF CMakeFiles/db.dir/dbGlobalConnect.cpp.o.d -o CMakeFiles/db.dir/dbGlobalConnect.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbGlobalConnect.cpp [ 26%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbGroup.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbGroup.cpp.o -MF CMakeFiles/db.dir/dbGroup.cpp.o.d -o CMakeFiles/db.dir/dbGroup.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbGroup.cpp [ 26%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbGuide.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbGuide.cpp.o -MF CMakeFiles/db.dir/dbGuide.cpp.o.d -o CMakeFiles/db.dir/dbGuide.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbGuide.cpp [ 26%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbIsolation.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbIsolation.cpp.o -MF CMakeFiles/db.dir/dbIsolation.cpp.o.d -o CMakeFiles/db.dir/dbIsolation.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbIsolation.cpp [ 26%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbLevelShifter.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbLevelShifter.cpp.o -MF CMakeFiles/db.dir/dbLevelShifter.cpp.o.d -o CMakeFiles/db.dir/dbLevelShifter.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbLevelShifter.cpp [ 26%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbLogicPort.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbLogicPort.cpp.o -MF CMakeFiles/db.dir/dbLogicPort.cpp.o.d -o CMakeFiles/db.dir/dbLogicPort.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbLogicPort.cpp [ 26%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbMetalWidthViaMap.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbMetalWidthViaMap.cpp.o -MF CMakeFiles/db.dir/dbMetalWidthViaMap.cpp.o.d -o CMakeFiles/db.dir/dbMetalWidthViaMap.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbMetalWidthViaMap.cpp [ 26%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbModInst.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbModInst.cpp.o -MF CMakeFiles/db.dir/dbModInst.cpp.o.d -o CMakeFiles/db.dir/dbModInst.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbModInst.cpp [ 27%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbModule.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbModule.cpp.o -MF CMakeFiles/db.dir/dbModule.cpp.o.d -o CMakeFiles/db.dir/dbModule.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbModule.cpp [ 27%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbNetTrack.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbNetTrack.cpp.o -MF CMakeFiles/db.dir/dbNetTrack.cpp.o.d -o CMakeFiles/db.dir/dbNetTrack.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbNetTrack.cpp [ 27%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbPowerDomain.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbPowerDomain.cpp.o -MF CMakeFiles/db.dir/dbPowerDomain.cpp.o.d -o CMakeFiles/db.dir/dbPowerDomain.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbPowerDomain.cpp [ 27%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbPowerSwitch.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbPowerSwitch.cpp.o -MF CMakeFiles/db.dir/dbPowerSwitch.cpp.o.d -o CMakeFiles/db.dir/dbPowerSwitch.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbPowerSwitch.cpp [ 27%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbTechLayer.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbTechLayer.cpp.o -MF CMakeFiles/db.dir/dbTechLayer.cpp.o.d -o CMakeFiles/db.dir/dbTechLayer.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbTechLayer.cpp [ 27%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbTechLayerAreaRule.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbTechLayerAreaRule.cpp.o -MF CMakeFiles/db.dir/dbTechLayerAreaRule.cpp.o.d -o CMakeFiles/db.dir/dbTechLayerAreaRule.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbTechLayerAreaRule.cpp [ 27%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbTechLayerArraySpacingRule.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbTechLayerArraySpacingRule.cpp.o -MF CMakeFiles/db.dir/dbTechLayerArraySpacingRule.cpp.o.d -o CMakeFiles/db.dir/dbTechLayerArraySpacingRule.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbTechLayerArraySpacingRule.cpp [ 27%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbTechLayerCornerSpacingRule.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbTechLayerCornerSpacingRule.cpp.o -MF CMakeFiles/db.dir/dbTechLayerCornerSpacingRule.cpp.o.d -o CMakeFiles/db.dir/dbTechLayerCornerSpacingRule.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbTechLayerCornerSpacingRule.cpp [ 27%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbTechLayerCutClassRule.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbTechLayerCutClassRule.cpp.o -MF CMakeFiles/db.dir/dbTechLayerCutClassRule.cpp.o.d -o CMakeFiles/db.dir/dbTechLayerCutClassRule.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbTechLayerCutClassRule.cpp [ 28%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbTechLayerCutEnclosureRule.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbTechLayerCutEnclosureRule.cpp.o -MF CMakeFiles/db.dir/dbTechLayerCutEnclosureRule.cpp.o.d -o CMakeFiles/db.dir/dbTechLayerCutEnclosureRule.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbTechLayerCutEnclosureRule.cpp [ 28%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbTechLayerCutSpacingRule.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbTechLayerCutSpacingRule.cpp.o -MF CMakeFiles/db.dir/dbTechLayerCutSpacingRule.cpp.o.d -o CMakeFiles/db.dir/dbTechLayerCutSpacingRule.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbTechLayerCutSpacingRule.cpp [ 28%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbTechLayerCutSpacingTableDefRule.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbTechLayerCutSpacingTableDefRule.cpp.o -MF CMakeFiles/db.dir/dbTechLayerCutSpacingTableDefRule.cpp.o.d -o CMakeFiles/db.dir/dbTechLayerCutSpacingTableDefRule.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbTechLayerCutSpacingTableDefRule.cpp [ 28%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbTechLayerCutSpacingTableOrthRule.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbTechLayerCutSpacingTableOrthRule.cpp.o -MF CMakeFiles/db.dir/dbTechLayerCutSpacingTableOrthRule.cpp.o.d -o CMakeFiles/db.dir/dbTechLayerCutSpacingTableOrthRule.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbTechLayerCutSpacingTableOrthRule.cpp [ 28%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbTechLayerEolExtensionRule.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbTechLayerEolExtensionRule.cpp.o -MF CMakeFiles/db.dir/dbTechLayerEolExtensionRule.cpp.o.d -o CMakeFiles/db.dir/dbTechLayerEolExtensionRule.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbTechLayerEolExtensionRule.cpp [ 28%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbTechLayerEolKeepOutRule.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbTechLayerEolKeepOutRule.cpp.o -MF CMakeFiles/db.dir/dbTechLayerEolKeepOutRule.cpp.o.d -o CMakeFiles/db.dir/dbTechLayerEolKeepOutRule.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbTechLayerEolKeepOutRule.cpp [ 28%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbTechLayerForbiddenSpacingRule.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbTechLayerForbiddenSpacingRule.cpp.o -MF CMakeFiles/db.dir/dbTechLayerForbiddenSpacingRule.cpp.o.d -o CMakeFiles/db.dir/dbTechLayerForbiddenSpacingRule.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbTechLayerForbiddenSpacingRule.cpp [ 28%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbTechLayerKeepOutZoneRule.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbTechLayerKeepOutZoneRule.cpp.o -MF CMakeFiles/db.dir/dbTechLayerKeepOutZoneRule.cpp.o.d -o CMakeFiles/db.dir/dbTechLayerKeepOutZoneRule.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbTechLayerKeepOutZoneRule.cpp [ 28%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbTechLayerMinCutRule.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbTechLayerMinCutRule.cpp.o -MF CMakeFiles/db.dir/dbTechLayerMinCutRule.cpp.o.d -o CMakeFiles/db.dir/dbTechLayerMinCutRule.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbTechLayerMinCutRule.cpp [ 29%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbTechLayerMinStepRule.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbTechLayerMinStepRule.cpp.o -MF CMakeFiles/db.dir/dbTechLayerMinStepRule.cpp.o.d -o CMakeFiles/db.dir/dbTechLayerMinStepRule.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbTechLayerMinStepRule.cpp [ 29%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbTechLayerSpacingEolRule.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbTechLayerSpacingEolRule.cpp.o -MF CMakeFiles/db.dir/dbTechLayerSpacingEolRule.cpp.o.d -o CMakeFiles/db.dir/dbTechLayerSpacingEolRule.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbTechLayerSpacingEolRule.cpp [ 29%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbTechLayerSpacingTablePrlRule.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbTechLayerSpacingTablePrlRule.cpp.o -MF CMakeFiles/db.dir/dbTechLayerSpacingTablePrlRule.cpp.o.d -o CMakeFiles/db.dir/dbTechLayerSpacingTablePrlRule.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbTechLayerSpacingTablePrlRule.cpp [ 29%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbTechLayerWidthTableRule.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbTechLayerWidthTableRule.cpp.o -MF CMakeFiles/db.dir/dbTechLayerWidthTableRule.cpp.o.d -o CMakeFiles/db.dir/dbTechLayerWidthTableRule.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbTechLayerWidthTableRule.cpp [ 29%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbGroupInstItr.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbGroupInstItr.cpp.o -MF CMakeFiles/db.dir/dbGroupInstItr.cpp.o.d -o CMakeFiles/db.dir/dbGroupInstItr.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbGroupInstItr.cpp [ 29%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbGroupItr.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbGroupItr.cpp.o -MF CMakeFiles/db.dir/dbGroupItr.cpp.o.d -o CMakeFiles/db.dir/dbGroupItr.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbGroupItr.cpp [ 29%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbGroupModInstItr.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbGroupModInstItr.cpp.o -MF CMakeFiles/db.dir/dbGroupModInstItr.cpp.o.d -o CMakeFiles/db.dir/dbGroupModInstItr.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbGroupModInstItr.cpp [ 29%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbGuideItr.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbGuideItr.cpp.o -MF CMakeFiles/db.dir/dbGuideItr.cpp.o.d -o CMakeFiles/db.dir/dbGuideItr.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbGuideItr.cpp [ 29%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbModuleInstItr.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbModuleInstItr.cpp.o -MF CMakeFiles/db.dir/dbModuleInstItr.cpp.o.d -o CMakeFiles/db.dir/dbModuleInstItr.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbModuleInstItr.cpp [ 30%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbModuleModInstItr.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbModuleModInstItr.cpp.o -MF CMakeFiles/db.dir/dbModuleModInstItr.cpp.o.d -o CMakeFiles/db.dir/dbModuleModInstItr.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbModuleModInstItr.cpp [ 30%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbNetTrackItr.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbNetTrackItr.cpp.o -MF CMakeFiles/db.dir/dbNetTrackItr.cpp.o.d -o CMakeFiles/db.dir/dbNetTrackItr.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbNetTrackItr.cpp [ 30%] Building CXX object src/odb/src/db/CMakeFiles/db.dir/dbRegionGroupItr.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/db/CMakeFiles/db.dir/dbRegionGroupItr.cpp.o -MF CMakeFiles/db.dir/dbRegionGroupItr.cpp.o.d -o CMakeFiles/db.dir/dbRegionGroupItr.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/db/dbRegionGroupItr.cpp [ 30%] Linking CXX static library libdb.a cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/cmake -P CMakeFiles/db.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/openroad/build/src/odb/src/db && /usr/bin/cmake -E cmake_link_script CMakeFiles/db.dir/link.txt --verbose=1 /usr/bin/ar qc libdb.a CMakeFiles/db.dir/dbBTerm.cpp.o CMakeFiles/db.dir/dbStream.cpp.o CMakeFiles/db.dir/dbBTermItr.cpp.o CMakeFiles/db.dir/dbBPinItr.cpp.o CMakeFiles/db.dir/dbBlock.cpp.o CMakeFiles/db.dir/dbBlockItr.cpp.o CMakeFiles/db.dir/dbBox.cpp.o CMakeFiles/db.dir/dbBoxItr.cpp.o CMakeFiles/db.dir/dbChip.cpp.o CMakeFiles/db.dir/dbDatabase.cpp.o CMakeFiles/db.dir/dbITerm.cpp.o CMakeFiles/db.dir/dbITermItr.cpp.o CMakeFiles/db.dir/dbInst.cpp.o CMakeFiles/db.dir/dbInstHdr.cpp.o CMakeFiles/db.dir/dbLib.cpp.o CMakeFiles/db.dir/dbMPin.cpp.o CMakeFiles/db.dir/dbMPinItr.cpp.o CMakeFiles/db.dir/dbMTerm.cpp.o CMakeFiles/db.dir/dbMaster.cpp.o CMakeFiles/db.dir/dbNet.cpp.o CMakeFiles/db.dir/dbTech.cpp.o CMakeFiles/db.dir/dbTechLayerSpacingRule.cpp.o CMakeFiles/db.dir/dbTechLayerAntennaRule.cpp.o CMakeFiles/db.dir/dbTechMinCutOrAreaRule.cpp.o CMakeFiles/db.dir/dbTechLayerItr.cpp.o CMakeFiles/db.dir/dbTechVia.cpp.o CMakeFiles/db.dir/dbTypes.cpp.o CMakeFiles/db.dir/dbVia.cpp.o CMakeFiles/db.dir/dbWire.cpp.o CMakeFiles/db.dir/dbWireCodec.cpp.o CMakeFiles/db.dir/dbTrackGrid.cpp.o CMakeFiles/db.dir/dbBlockage.cpp.o CMakeFiles/db.dir/dbObstruction.cpp.o CMakeFiles/db.dir/dbObject.cpp.o CMakeFiles/db.dir/dbInstShapeItr.cpp.o CMakeFiles/db.dir/dbTransform.cpp.o CMakeFiles/db.dir/dbITermShapeItr.cpp.o CMakeFiles/db.dir/dbSWire.cpp.o CMakeFiles/db.dir/dbSBox.cpp.o CMakeFiles/db.dir/dbSWireItr.cpp.o CMakeFiles/db.dir/dbSBoxItr.cpp.o CMakeFiles/db.dir/dbDiff.cpp.o CMakeFiles/db.dir/dbSite.cpp.o CMakeFiles/db.dir/dbCCSeg.cpp.o CMakeFiles/db.dir/dbCCSegItr.cpp.o CMakeFiles/db.dir/dbWireShapeItr.cpp.o CMakeFiles/db.dir/dbWirePathItr.cpp.o CMakeFiles/db.dir/dbTarget.cpp.o CMakeFiles/db.dir/dbTargetItr.cpp.o CMakeFiles/db.dir/dbTechNonDefaultRule.cpp.o CMakeFiles/db.dir/dbTechLayerRule.cpp.o CMakeFiles/db.dir/dbCapNode.cpp.o CMakeFiles/db.dir/dbCapNodeItr.cpp.o CMakeFiles/db.dir/dbRSeg.cpp.o CMakeFiles/db.dir/dbRSegItr.cpp.o CMakeFiles/db.dir/dbTechSameNetRule.cpp.o CMakeFiles/db.dir/dbRow.cpp.o CMakeFiles/db.dir/dbFill.cpp.o CMakeFiles/db.dir/dbShape.cpp.o CMakeFiles/db.dir/dbWireGraph.cpp.o CMakeFiles/db.dir/dbJournal.cpp.o CMakeFiles/db.dir/dbJournalLog.cpp.o CMakeFiles/db.dir/dbBlockCallBackObj.cpp.o CMakeFiles/db.dir/dbRegion.cpp.o CMakeFiles/db.dir/dbRegionInstItr.cpp.o CMakeFiles/db.dir/dbExtControl.cpp.o CMakeFiles/db.dir/dbNullIterator.cpp.o CMakeFiles/db.dir/dbBPin.cpp.o CMakeFiles/db.dir/dbHierInstShapeItr.cpp.o CMakeFiles/db.dir/dbHier.cpp.o CMakeFiles/db.dir/dbTechViaRule.cpp.o CMakeFiles/db.dir/dbTechViaLayerRule.cpp.o CMakeFiles/db.dir/dbTechViaGenerateRule.cpp.o CMakeFiles/db.dir/dbViaParams.cpp.o CMakeFiles/db.dir/dbNameCache.cpp.o CMakeFiles/db.dir/dbProperty.cpp.o CMakeFiles/db.dir/dbPropertyItr.cpp.o CMakeFiles/db.dir/dbUtil.cpp.o CMakeFiles/db.dir/gs.cpp.o CMakeFiles/db.dir/grids.cpp.o CMakeFiles/db.dir/tmg_conn.cpp.o CMakeFiles/db.dir/tmg_conn_g.cpp.o CMakeFiles/db.dir/tmg_conn_s.cpp.o CMakeFiles/db.dir/tmg_conn_w.cpp.o CMakeFiles/db.dir/wOrder.cpp.o CMakeFiles/db.dir/dbGroupPowerNetItr.cpp.o CMakeFiles/db.dir/dbGroupGroundNetItr.cpp.o CMakeFiles/db.dir/dbAccessPoint.cpp.o CMakeFiles/db.dir/dbGCellGrid.cpp.o CMakeFiles/db.dir/dbGlobalConnect.cpp.o CMakeFiles/db.dir/dbGroup.cpp.o CMakeFiles/db.dir/dbGuide.cpp.o CMakeFiles/db.dir/dbIsolation.cpp.o CMakeFiles/db.dir/dbLevelShifter.cpp.o CMakeFiles/db.dir/dbLogicPort.cpp.o CMakeFiles/db.dir/dbMetalWidthViaMap.cpp.o CMakeFiles/db.dir/dbModInst.cpp.o CMakeFiles/db.dir/dbModule.cpp.o CMakeFiles/db.dir/dbNetTrack.cpp.o CMakeFiles/db.dir/dbPowerDomain.cpp.o CMakeFiles/db.dir/dbPowerSwitch.cpp.o CMakeFiles/db.dir/dbTechLayer.cpp.o CMakeFiles/db.dir/dbTechLayerAreaRule.cpp.o CMakeFiles/db.dir/dbTechLayerArraySpacingRule.cpp.o CMakeFiles/db.dir/dbTechLayerCornerSpacingRule.cpp.o CMakeFiles/db.dir/dbTechLayerCutClassRule.cpp.o CMakeFiles/db.dir/dbTechLayerCutEnclosureRule.cpp.o CMakeFiles/db.dir/dbTechLayerCutSpacingRule.cpp.o CMakeFiles/db.dir/dbTechLayerCutSpacingTableDefRule.cpp.o CMakeFiles/db.dir/dbTechLayerCutSpacingTableOrthRule.cpp.o CMakeFiles/db.dir/dbTechLayerEolExtensionRule.cpp.o CMakeFiles/db.dir/dbTechLayerEolKeepOutRule.cpp.o CMakeFiles/db.dir/dbTechLayerForbiddenSpacingRule.cpp.o CMakeFiles/db.dir/dbTechLayerKeepOutZoneRule.cpp.o CMakeFiles/db.dir/dbTechLayerMinCutRule.cpp.o CMakeFiles/db.dir/dbTechLayerMinStepRule.cpp.o CMakeFiles/db.dir/dbTechLayerSpacingEolRule.cpp.o CMakeFiles/db.dir/dbTechLayerSpacingTablePrlRule.cpp.o CMakeFiles/db.dir/dbTechLayerWidthTableRule.cpp.o CMakeFiles/db.dir/dbGroupInstItr.cpp.o CMakeFiles/db.dir/dbGroupItr.cpp.o CMakeFiles/db.dir/dbGroupModInstItr.cpp.o CMakeFiles/db.dir/dbGuideItr.cpp.o CMakeFiles/db.dir/dbModuleInstItr.cpp.o CMakeFiles/db.dir/dbModuleModInstItr.cpp.o CMakeFiles/db.dir/dbNetTrackItr.cpp.o CMakeFiles/db.dir/dbRegionGroupItr.cpp.o /usr/bin/ranlib libdb.a cd /builddir/build/BUILD/openroad/src/odb && /builddir/build/BUILD/openroad/etc/find_messages.py > /builddir/build/BUILD/openroad/src/odb/src/db/../../messages.txt gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [ 30%] Built target db /usr/bin/gmake -f src/odb/src/defin/CMakeFiles/defin.dir/build.make src/odb/src/defin/CMakeFiles/defin.dir/depend /usr/bin/gmake -f src/odb/src/defout/CMakeFiles/defout.dir/build.make src/odb/src/defout/CMakeFiles/defout.dir/depend /usr/bin/gmake -f src/odb/src/lefin/CMakeFiles/lefin.dir/build.make src/odb/src/lefin/CMakeFiles/lefin.dir/depend /usr/bin/gmake -f src/odb/src/lefout/CMakeFiles/lefout.dir/build.make src/odb/src/lefout/CMakeFiles/lefout.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src/odb/src/defout /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src/odb/src/defout /builddir/build/BUILD/openroad/build/src/odb/src/defout/CMakeFiles/defout.dir/DependInfo.cmake "--color=" gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src/odb/src/lefin /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src/odb/src/lefin /builddir/build/BUILD/openroad/build/src/odb/src/lefin/CMakeFiles/lefin.dir/DependInfo.cmake "--color=" cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src/odb/src/defin /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src/odb/src/defin /builddir/build/BUILD/openroad/build/src/odb/src/defin/CMakeFiles/defin.dir/DependInfo.cmake "--color=" cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src/odb/src/lefout /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src/odb/src/lefout /builddir/build/BUILD/openroad/build/src/odb/src/lefout/CMakeFiles/lefout.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' /usr/bin/gmake -f src/odb/src/lefin/CMakeFiles/lefin.dir/build.make src/odb/src/lefin/CMakeFiles/lefin.dir/build gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' /usr/bin/gmake -f src/odb/src/defin/CMakeFiles/defin.dir/build.make src/odb/src/defin/CMakeFiles/defin.dir/build /usr/bin/gmake -f src/odb/src/defout/CMakeFiles/defout.dir/build.make src/odb/src/defout/CMakeFiles/defout.dir/build gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' /usr/bin/gmake -f src/odb/src/lefout/CMakeFiles/lefout.dir/build.make src/odb/src/lefout/CMakeFiles/lefout.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 30%] Building CXX object src/odb/src/lefin/CMakeFiles/lefin.dir/lefin.cpp.o [ 30%] Building CXX object src/odb/src/defin/CMakeFiles/defin.dir/definNet.cpp.o [ 30%] Building CXX object src/odb/src/defout/CMakeFiles/defout.dir/defout.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/defin && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/defin/CMakeFiles/defin.dir/definNet.cpp.o -MF CMakeFiles/defin.dir/definNet.cpp.o.d -o CMakeFiles/defin.dir/definNet.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/defin/definNet.cpp cd /builddir/build/BUILD/openroad/build/src/odb/src/lefin && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/lefin/CMakeFiles/lefin.dir/lefin.cpp.o -MF CMakeFiles/lefin.dir/lefin.cpp.o.d -o CMakeFiles/lefin.dir/lefin.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/lefin/lefin.cpp [ 30%] Building CXX object src/odb/src/lefout/CMakeFiles/lefout.dir/lefout.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/defout && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/defout/CMakeFiles/defout.dir/defout.cpp.o -MF CMakeFiles/defout.dir/defout.cpp.o.d -o CMakeFiles/defout.dir/defout.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/defout/defout.cpp cd /builddir/build/BUILD/openroad/build/src/odb/src/lefout && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/lefout/CMakeFiles/lefout.dir/lefout.cpp.o -MF CMakeFiles/lefout.dir/lefout.cpp.o.d -o CMakeFiles/lefout.dir/lefout.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/lefout/lefout.cpp [ 30%] Building CXX object src/odb/src/defout/CMakeFiles/defout.dir/defout_impl.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/defout && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/defout/CMakeFiles/defout.dir/defout_impl.cpp.o -MF CMakeFiles/defout.dir/defout_impl.cpp.o.d -o CMakeFiles/defout.dir/defout_impl.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/defout/defout_impl.cpp [ 31%] Building CXX object src/odb/src/defin/CMakeFiles/defin.dir/definSNet.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/defin && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/defin/CMakeFiles/defin.dir/definSNet.cpp.o -MF CMakeFiles/defin.dir/definSNet.cpp.o.d -o CMakeFiles/defin.dir/definSNet.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/defin/definSNet.cpp [ 31%] Building CXX object src/odb/src/lefin/CMakeFiles/lefin.dir/reader.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/lefin && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/lefin/CMakeFiles/lefin.dir/reader.cpp.o -MF CMakeFiles/lefin.dir/reader.cpp.o.d -o CMakeFiles/lefin.dir/reader.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/lefin/reader.cpp [ 31%] Linking CXX static library libdefout.a cd /builddir/build/BUILD/openroad/build/src/odb/src/defout && /usr/bin/cmake -P CMakeFiles/defout.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/openroad/build/src/odb/src/defout && /usr/bin/cmake -E cmake_link_script CMakeFiles/defout.dir/link.txt --verbose=1 /usr/bin/ar qc libdefout.a CMakeFiles/defout.dir/defout.cpp.o CMakeFiles/defout.dir/defout_impl.cpp.o /usr/bin/ranlib libdefout.a gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [ 31%] Built target defout /usr/bin/gmake -f src/odb/src/cdl/CMakeFiles/cdl.dir/build.make src/odb/src/cdl/CMakeFiles/cdl.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src/odb/src/cdl /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src/odb/src/cdl /builddir/build/BUILD/openroad/build/src/odb/src/cdl/CMakeFiles/cdl.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' /usr/bin/gmake -f src/odb/src/cdl/CMakeFiles/cdl.dir/build.make src/odb/src/cdl/CMakeFiles/cdl.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 31%] Building CXX object src/odb/src/cdl/CMakeFiles/cdl.dir/cdl.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/cdl && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/cdl/CMakeFiles/cdl.dir/cdl.cpp.o -MF CMakeFiles/cdl.dir/cdl.cpp.o.d -o CMakeFiles/cdl.dir/cdl.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/cdl/cdl.cpp [ 31%] Building CXX object src/odb/src/defin/CMakeFiles/defin.dir/definComponent.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/defin && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/defin/CMakeFiles/defin.dir/definComponent.cpp.o -MF CMakeFiles/defin.dir/definComponent.cpp.o.d -o CMakeFiles/defin.dir/definComponent.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/defin/definComponent.cpp [ 31%] Linking CXX static library liblefout.a cd /builddir/build/BUILD/openroad/build/src/odb/src/lefout && /usr/bin/cmake -P CMakeFiles/lefout.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/openroad/build/src/odb/src/lefout && /usr/bin/cmake -E cmake_link_script CMakeFiles/lefout.dir/link.txt --verbose=1 /usr/bin/ar qc liblefout.a CMakeFiles/lefout.dir/lefout.cpp.o /usr/bin/ranlib liblefout.a gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [ 31%] Built target lefout [ 31%] Building CXX object src/odb/src/defin/CMakeFiles/defin.dir/definComponentMaskShift.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/defin && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/defin/CMakeFiles/defin.dir/definComponentMaskShift.cpp.o -MF CMakeFiles/defin.dir/definComponentMaskShift.cpp.o.d -o CMakeFiles/defin.dir/definComponentMaskShift.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/defin/definComponentMaskShift.cpp [ 31%] Building CXX object src/odb/src/lefin/CMakeFiles/lefin.dir/lefTechLayerSpacingEolParser.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/lefin && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/lefin/CMakeFiles/lefin.dir/lefTechLayerSpacingEolParser.cpp.o -MF CMakeFiles/lefin.dir/lefTechLayerSpacingEolParser.cpp.o.d -o CMakeFiles/lefin.dir/lefTechLayerSpacingEolParser.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/lefin/lefTechLayerSpacingEolParser.cpp [ 31%] Building CXX object src/odb/src/defin/CMakeFiles/defin.dir/definVia.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/defin && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/defin/CMakeFiles/defin.dir/definVia.cpp.o -MF CMakeFiles/defin.dir/definVia.cpp.o.d -o CMakeFiles/defin.dir/definVia.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/defin/definVia.cpp [ 31%] Building CXX object src/odb/src/defin/CMakeFiles/defin.dir/definPin.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/defin && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/defin/CMakeFiles/defin.dir/definPin.cpp.o -MF CMakeFiles/defin.dir/definPin.cpp.o.d -o CMakeFiles/defin.dir/definPin.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/defin/definPin.cpp [ 31%] Building CXX object src/odb/src/defin/CMakeFiles/defin.dir/definBlockage.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/defin && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/defin/CMakeFiles/defin.dir/definBlockage.cpp.o -MF CMakeFiles/defin.dir/definBlockage.cpp.o.d -o CMakeFiles/defin.dir/definBlockage.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/defin/definBlockage.cpp [ 31%] Linking CXX static library libcdl.a cd /builddir/build/BUILD/openroad/build/src/odb/src/cdl && /usr/bin/cmake -P CMakeFiles/cdl.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/openroad/build/src/odb/src/cdl && /usr/bin/cmake -E cmake_link_script CMakeFiles/cdl.dir/link.txt --verbose=1 /usr/bin/ar qc libcdl.a CMakeFiles/cdl.dir/cdl.cpp.o /usr/bin/ranlib libcdl.a gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [ 31%] Built target cdl [ 31%] Building CXX object src/odb/src/defin/CMakeFiles/defin.dir/definFill.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/defin && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/defin/CMakeFiles/defin.dir/definFill.cpp.o -MF CMakeFiles/defin.dir/definFill.cpp.o.d -o CMakeFiles/defin.dir/definFill.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/defin/definFill.cpp [ 31%] Building CXX object src/odb/src/defin/CMakeFiles/defin.dir/definTracks.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/defin && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/defin/CMakeFiles/defin.dir/definTracks.cpp.o -MF CMakeFiles/defin.dir/definTracks.cpp.o.d -o CMakeFiles/defin.dir/definTracks.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/defin/definTracks.cpp [ 31%] Building CXX object src/odb/src/defin/CMakeFiles/defin.dir/definGCell.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/defin && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/defin/CMakeFiles/defin.dir/definGCell.cpp.o -MF CMakeFiles/defin.dir/definGCell.cpp.o.d -o CMakeFiles/defin.dir/definGCell.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/defin/definGCell.cpp [ 32%] Building CXX object src/odb/src/defin/CMakeFiles/defin.dir/definRow.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/defin && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/defin/CMakeFiles/defin.dir/definRow.cpp.o -MF CMakeFiles/defin.dir/definRow.cpp.o.d -o CMakeFiles/defin.dir/definRow.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/defin/definRow.cpp [ 32%] Building CXX object src/odb/src/defin/CMakeFiles/defin.dir/definRegion.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/defin && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/defin/CMakeFiles/defin.dir/definRegion.cpp.o -MF CMakeFiles/defin.dir/definRegion.cpp.o.d -o CMakeFiles/defin.dir/definRegion.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/defin/definRegion.cpp [ 32%] Building CXX object src/odb/src/defin/CMakeFiles/defin.dir/definGroup.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/defin && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/defin/CMakeFiles/defin.dir/definGroup.cpp.o -MF CMakeFiles/defin.dir/definGroup.cpp.o.d -o CMakeFiles/defin.dir/definGroup.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/defin/definGroup.cpp [ 32%] Building CXX object src/odb/src/defin/CMakeFiles/defin.dir/definNonDefaultRule.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/defin && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/defin/CMakeFiles/defin.dir/definNonDefaultRule.cpp.o -MF CMakeFiles/defin.dir/definNonDefaultRule.cpp.o.d -o CMakeFiles/defin.dir/definNonDefaultRule.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/defin/definNonDefaultRule.cpp [ 32%] Building CXX object src/odb/src/defin/CMakeFiles/defin.dir/definReader.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/defin && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/defin/CMakeFiles/defin.dir/definReader.cpp.o -MF CMakeFiles/defin.dir/definReader.cpp.o.d -o CMakeFiles/defin.dir/definReader.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/defin/definReader.cpp [ 32%] Building CXX object src/odb/src/defin/CMakeFiles/defin.dir/definBase.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/defin && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/defin/CMakeFiles/defin.dir/definBase.cpp.o -MF CMakeFiles/defin.dir/definBase.cpp.o.d -o CMakeFiles/defin.dir/definBase.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/defin/definBase.cpp [ 32%] Building CXX object src/odb/src/defin/CMakeFiles/defin.dir/create_box.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/defin && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/defin/CMakeFiles/defin.dir/create_box.cpp.o -MF CMakeFiles/defin.dir/create_box.cpp.o.d -o CMakeFiles/defin.dir/create_box.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/defin/create_box.cpp [ 32%] Building CXX object src/odb/src/defin/CMakeFiles/defin.dir/defin.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/defin && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/defin/CMakeFiles/defin.dir/defin.cpp.o -MF CMakeFiles/defin.dir/defin.cpp.o.d -o CMakeFiles/defin.dir/defin.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/defin/defin.cpp [ 32%] Building CXX object src/odb/src/defin/CMakeFiles/defin.dir/definPolygon.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/defin && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/defin/CMakeFiles/defin.dir/definPolygon.cpp.o -MF CMakeFiles/defin.dir/definPolygon.cpp.o.d -o CMakeFiles/defin.dir/definPolygon.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/defin/definPolygon.cpp [ 32%] Building CXX object src/odb/src/lefin/CMakeFiles/lefin.dir/lefTechLayerMinStepParser.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/lefin && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/lefin/CMakeFiles/lefin.dir/lefTechLayerMinStepParser.cpp.o -MF CMakeFiles/lefin.dir/lefTechLayerMinStepParser.cpp.o.d -o CMakeFiles/lefin.dir/lefTechLayerMinStepParser.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/lefin/lefTechLayerMinStepParser.cpp [ 33%] Building CXX object src/odb/src/defin/CMakeFiles/defin.dir/definPropDefs.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/defin && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/defin/CMakeFiles/defin.dir/definPropDefs.cpp.o -MF CMakeFiles/defin.dir/definPropDefs.cpp.o.d -o CMakeFiles/defin.dir/definPropDefs.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/defin/definPropDefs.cpp [ 33%] Building CXX object src/odb/src/defin/CMakeFiles/defin.dir/definPinProps.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/defin && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/defin/CMakeFiles/defin.dir/definPinProps.cpp.o -MF CMakeFiles/defin.dir/definPinProps.cpp.o.d -o CMakeFiles/defin.dir/definPinProps.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/defin/definPinProps.cpp [ 33%] Building CXX object src/odb/src/lefin/CMakeFiles/lefin.dir/lefTechLayerCornerSpacingParser.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/lefin && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/lefin/CMakeFiles/lefin.dir/lefTechLayerCornerSpacingParser.cpp.o -MF CMakeFiles/lefin.dir/lefTechLayerCornerSpacingParser.cpp.o.d -o CMakeFiles/lefin.dir/lefTechLayerCornerSpacingParser.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/lefin/lefTechLayerCornerSpacingParser.cpp [ 34%] Building CXX object src/odb/src/lefin/CMakeFiles/lefin.dir/lefTechLayerSpacingTablePrlParser.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/lefin && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/lefin/CMakeFiles/lefin.dir/lefTechLayerSpacingTablePrlParser.cpp.o -MF CMakeFiles/lefin.dir/lefTechLayerSpacingTablePrlParser.cpp.o.d -o CMakeFiles/lefin.dir/lefTechLayerSpacingTablePrlParser.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/lefin/lefTechLayerSpacingTablePrlParser.cpp [ 34%] Linking CXX static library libdefin.a cd /builddir/build/BUILD/openroad/build/src/odb/src/defin && /usr/bin/cmake -P CMakeFiles/defin.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/openroad/build/src/odb/src/defin && /usr/bin/cmake -E cmake_link_script CMakeFiles/defin.dir/link.txt --verbose=1 /usr/bin/ar qc libdefin.a CMakeFiles/defin.dir/definNet.cpp.o CMakeFiles/defin.dir/definSNet.cpp.o CMakeFiles/defin.dir/definComponent.cpp.o CMakeFiles/defin.dir/definComponentMaskShift.cpp.o CMakeFiles/defin.dir/definVia.cpp.o CMakeFiles/defin.dir/definPin.cpp.o CMakeFiles/defin.dir/definBlockage.cpp.o CMakeFiles/defin.dir/definFill.cpp.o CMakeFiles/defin.dir/definTracks.cpp.o CMakeFiles/defin.dir/definGCell.cpp.o CMakeFiles/defin.dir/definRow.cpp.o CMakeFiles/defin.dir/definRegion.cpp.o CMakeFiles/defin.dir/definGroup.cpp.o CMakeFiles/defin.dir/definNonDefaultRule.cpp.o CMakeFiles/defin.dir/definReader.cpp.o CMakeFiles/defin.dir/definBase.cpp.o CMakeFiles/defin.dir/create_box.cpp.o CMakeFiles/defin.dir/defin.cpp.o CMakeFiles/defin.dir/definPolygon.cpp.o CMakeFiles/defin.dir/definPropDefs.cpp.o CMakeFiles/defin.dir/definPinProps.cpp.o /usr/bin/ranlib libdefin.a gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [ 34%] Built target defin [ 34%] Building CXX object src/odb/src/lefin/CMakeFiles/lefin.dir/lefTechLayerRightWayOnGridOnlyParser.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/lefin && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/lefin/CMakeFiles/lefin.dir/lefTechLayerRightWayOnGridOnlyParser.cpp.o -MF CMakeFiles/lefin.dir/lefTechLayerRightWayOnGridOnlyParser.cpp.o.d -o CMakeFiles/lefin.dir/lefTechLayerRightWayOnGridOnlyParser.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/lefin/lefTechLayerRightWayOnGridOnlyParser.cpp [ 34%] Building CXX object src/odb/src/lefin/CMakeFiles/lefin.dir/lefTechLayerRectOnlyParser.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/lefin && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/lefin/CMakeFiles/lefin.dir/lefTechLayerRectOnlyParser.cpp.o -MF CMakeFiles/lefin.dir/lefTechLayerRectOnlyParser.cpp.o.d -o CMakeFiles/lefin.dir/lefTechLayerRectOnlyParser.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/lefin/lefTechLayerRectOnlyParser.cpp [ 34%] Building CXX object src/odb/src/lefin/CMakeFiles/lefin.dir/lefTechLayerCutClassParser.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/lefin && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/lefin/CMakeFiles/lefin.dir/lefTechLayerCutClassParser.cpp.o -MF CMakeFiles/lefin.dir/lefTechLayerCutClassParser.cpp.o.d -o CMakeFiles/lefin.dir/lefTechLayerCutClassParser.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/lefin/lefTechLayerCutClassParser.cpp [ 34%] Building CXX object src/odb/src/lefin/CMakeFiles/lefin.dir/lefTechLayerCutSpacingParser.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/lefin && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/lefin/CMakeFiles/lefin.dir/lefTechLayerCutSpacingParser.cpp.o -MF CMakeFiles/lefin.dir/lefTechLayerCutSpacingParser.cpp.o.d -o CMakeFiles/lefin.dir/lefTechLayerCutSpacingParser.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/lefin/lefTechLayerCutSpacingParser.cpp [ 34%] Building CXX object src/odb/src/lefin/CMakeFiles/lefin.dir/lefTechLayerCutSpacingTableParser.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/lefin && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/lefin/CMakeFiles/lefin.dir/lefTechLayerCutSpacingTableParser.cpp.o -MF CMakeFiles/lefin.dir/lefTechLayerCutSpacingTableParser.cpp.o.d -o CMakeFiles/lefin.dir/lefTechLayerCutSpacingTableParser.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/lefin/lefTechLayerCutSpacingTableParser.cpp [ 34%] Building CXX object src/odb/src/lefin/CMakeFiles/lefin.dir/lefTechLayerCutEnclosureParser.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/lefin && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/lefin/CMakeFiles/lefin.dir/lefTechLayerCutEnclosureParser.cpp.o -MF CMakeFiles/lefin.dir/lefTechLayerCutEnclosureParser.cpp.o.d -o CMakeFiles/lefin.dir/lefTechLayerCutEnclosureParser.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/lefin/lefTechLayerCutEnclosureParser.cpp [ 34%] Building CXX object src/odb/src/lefin/CMakeFiles/lefin.dir/lefTechLayerTypeParser.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/lefin && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/lefin/CMakeFiles/lefin.dir/lefTechLayerTypeParser.cpp.o -MF CMakeFiles/lefin.dir/lefTechLayerTypeParser.cpp.o.d -o CMakeFiles/lefin.dir/lefTechLayerTypeParser.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/lefin/lefTechLayerTypeParser.cpp [ 34%] Building CXX object src/odb/src/lefin/CMakeFiles/lefin.dir/lefTechLayerEolExtensionParser.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/lefin && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/lefin/CMakeFiles/lefin.dir/lefTechLayerEolExtensionParser.cpp.o -MF CMakeFiles/lefin.dir/lefTechLayerEolExtensionParser.cpp.o.d -o CMakeFiles/lefin.dir/lefTechLayerEolExtensionParser.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/lefin/lefTechLayerEolExtensionParser.cpp [ 35%] Building CXX object src/odb/src/lefin/CMakeFiles/lefin.dir/lefTechLayerEolKeepOutRuleParser.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/lefin && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/lefin/CMakeFiles/lefin.dir/lefTechLayerEolKeepOutRuleParser.cpp.o -MF CMakeFiles/lefin.dir/lefTechLayerEolKeepOutRuleParser.cpp.o.d -o CMakeFiles/lefin.dir/lefTechLayerEolKeepOutRuleParser.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/lefin/lefTechLayerEolKeepOutRuleParser.cpp [ 35%] Building CXX object src/odb/src/lefin/CMakeFiles/lefin.dir/lefTechLayerAreaRuleParser.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/lefin && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/lefin/CMakeFiles/lefin.dir/lefTechLayerAreaRuleParser.cpp.o -MF CMakeFiles/lefin.dir/lefTechLayerAreaRuleParser.cpp.o.d -o CMakeFiles/lefin.dir/lefTechLayerAreaRuleParser.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/lefin/lefTechLayerAreaRuleParser.cpp [ 35%] Building CXX object src/odb/src/lefin/CMakeFiles/lefin.dir/lefTechLayerPitchRuleParser.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/lefin && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/lefin/CMakeFiles/lefin.dir/lefTechLayerPitchRuleParser.cpp.o -MF CMakeFiles/lefin.dir/lefTechLayerPitchRuleParser.cpp.o.d -o CMakeFiles/lefin.dir/lefTechLayerPitchRuleParser.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/lefin/lefTechLayerPitchRuleParser.cpp [ 35%] Building CXX object src/odb/src/lefin/CMakeFiles/lefin.dir/lefTechLayerForbiddenSpacingRuleParser.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/lefin && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/lefin/CMakeFiles/lefin.dir/lefTechLayerForbiddenSpacingRuleParser.cpp.o -MF CMakeFiles/lefin.dir/lefTechLayerForbiddenSpacingRuleParser.cpp.o.d -o CMakeFiles/lefin.dir/lefTechLayerForbiddenSpacingRuleParser.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/lefin/lefTechLayerForbiddenSpacingRuleParser.cpp [ 35%] Building CXX object src/odb/src/lefin/CMakeFiles/lefin.dir/lefMacroClassParser.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/lefin && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/lefin/CMakeFiles/lefin.dir/lefMacroClassParser.cpp.o -MF CMakeFiles/lefin.dir/lefMacroClassParser.cpp.o.d -o CMakeFiles/lefin.dir/lefMacroClassParser.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/lefin/lefMacroClassParser.cpp [ 35%] Building CXX object src/odb/src/lefin/CMakeFiles/lefin.dir/ArraySpacingParser.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/lefin && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/lefin/CMakeFiles/lefin.dir/ArraySpacingParser.cpp.o -MF CMakeFiles/lefin.dir/ArraySpacingParser.cpp.o.d -o CMakeFiles/lefin.dir/ArraySpacingParser.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/lefin/ArraySpacingParser.cpp [ 35%] Building CXX object src/odb/src/lefin/CMakeFiles/lefin.dir/WidthTableParser.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/lefin && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/lefin/CMakeFiles/lefin.dir/WidthTableParser.cpp.o -MF CMakeFiles/lefin.dir/WidthTableParser.cpp.o.d -o CMakeFiles/lefin.dir/WidthTableParser.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/lefin/WidthTableParser.cpp [ 35%] Building CXX object src/odb/src/lefin/CMakeFiles/lefin.dir/MinCutParser.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/lefin && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/lefin/CMakeFiles/lefin.dir/MinCutParser.cpp.o -MF CMakeFiles/lefin.dir/MinCutParser.cpp.o.d -o CMakeFiles/lefin.dir/MinCutParser.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/lefin/MinCutParser.cpp [ 35%] Building CXX object src/odb/src/lefin/CMakeFiles/lefin.dir/MetalWidthViaMapParser.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/lefin && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/lefin/CMakeFiles/lefin.dir/MetalWidthViaMapParser.cpp.o -MF CMakeFiles/lefin.dir/MetalWidthViaMapParser.cpp.o.d -o CMakeFiles/lefin.dir/MetalWidthViaMapParser.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/lefin/MetalWidthViaMapParser.cpp [ 36%] Building CXX object src/odb/src/lefin/CMakeFiles/lefin.dir/KeepOutZoneParser.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/lefin && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/lefin/CMakeFiles/lefin.dir/KeepOutZoneParser.cpp.o -MF CMakeFiles/lefin.dir/KeepOutZoneParser.cpp.o.d -o CMakeFiles/lefin.dir/KeepOutZoneParser.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/lefin/KeepOutZoneParser.cpp [ 36%] Linking CXX static library liblefin.a cd /builddir/build/BUILD/openroad/build/src/odb/src/lefin && /usr/bin/cmake -P CMakeFiles/lefin.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/openroad/build/src/odb/src/lefin && /usr/bin/cmake -E cmake_link_script CMakeFiles/lefin.dir/link.txt --verbose=1 /usr/bin/ar qc liblefin.a CMakeFiles/lefin.dir/lefin.cpp.o CMakeFiles/lefin.dir/reader.cpp.o CMakeFiles/lefin.dir/lefTechLayerSpacingEolParser.cpp.o CMakeFiles/lefin.dir/lefTechLayerMinStepParser.cpp.o CMakeFiles/lefin.dir/lefTechLayerCornerSpacingParser.cpp.o CMakeFiles/lefin.dir/lefTechLayerSpacingTablePrlParser.cpp.o CMakeFiles/lefin.dir/lefTechLayerRightWayOnGridOnlyParser.cpp.o CMakeFiles/lefin.dir/lefTechLayerRectOnlyParser.cpp.o CMakeFiles/lefin.dir/lefTechLayerCutClassParser.cpp.o CMakeFiles/lefin.dir/lefTechLayerCutSpacingParser.cpp.o CMakeFiles/lefin.dir/lefTechLayerCutSpacingTableParser.cpp.o CMakeFiles/lefin.dir/lefTechLayerCutEnclosureParser.cpp.o CMakeFiles/lefin.dir/lefTechLayerTypeParser.cpp.o CMakeFiles/lefin.dir/lefTechLayerEolExtensionParser.cpp.o CMakeFiles/lefin.dir/lefTechLayerEolKeepOutRuleParser.cpp.o CMakeFiles/lefin.dir/lefTechLayerAreaRuleParser.cpp.o CMakeFiles/lefin.dir/lefTechLayerPitchRuleParser.cpp.o CMakeFiles/lefin.dir/lefTechLayerForbiddenSpacingRuleParser.cpp.o CMakeFiles/lefin.dir/lefMacroClassParser.cpp.o CMakeFiles/lefin.dir/ArraySpacingParser.cpp.o CMakeFiles/lefin.dir/WidthTableParser.cpp.o CMakeFiles/lefin.dir/MinCutParser.cpp.o CMakeFiles/lefin.dir/MetalWidthViaMapParser.cpp.o CMakeFiles/lefin.dir/KeepOutZoneParser.cpp.o /usr/bin/ranlib liblefin.a gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [ 36%] Built target lefin /usr/bin/gmake -f src/dbSta/src/CMakeFiles/dbSta_lib.dir/build.make src/dbSta/src/CMakeFiles/dbSta_lib.dir/depend /usr/bin/gmake -f src/gui/CMakeFiles/gui.dir/build.make src/gui/CMakeFiles/gui.dir/depend /usr/bin/gmake -f src/dft/src/utils/CMakeFiles/dft_utils_scan_pin_lib.dir/build.make src/dft/src/utils/CMakeFiles/dft_utils_scan_pin_lib.dir/depend /usr/bin/gmake -f src/odb/src/swig/common/CMakeFiles/odb_swig_common.dir/build.make src/odb/src/swig/common/CMakeFiles/odb_swig_common.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src/dbSta/src /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src/dbSta/src /builddir/build/BUILD/openroad/build/src/dbSta/src/CMakeFiles/dbSta_lib.dir/DependInfo.cmake "--color=" gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src/odb/src/swig/common /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src/odb/src/swig/common /builddir/build/BUILD/openroad/build/src/odb/src/swig/common/CMakeFiles/odb_swig_common.dir/DependInfo.cmake "--color=" gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src/dft/src/utils /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src/dft/src/utils /builddir/build/BUILD/openroad/build/src/dft/src/utils/CMakeFiles/dft_utils_scan_pin_lib.dir/DependInfo.cmake "--color=" gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' /usr/bin/gmake -f src/dbSta/src/CMakeFiles/dbSta_lib.dir/build.make src/dbSta/src/CMakeFiles/dbSta_lib.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 36%] Automatic RCC for resources/resource.qrc cd /builddir/build/BUILD/openroad/build/src/gui && /usr/bin/cmake -E cmake_autorcc /builddir/build/BUILD/openroad/build/src/gui/CMakeFiles/gui_autogen.dir/AutoRcc_resource_3YJK5W5UP7_Info.json RelWithDebInfo gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' /usr/bin/gmake -f src/dft/src/utils/CMakeFiles/dft_utils_scan_pin_lib.dir/build.make src/dft/src/utils/CMakeFiles/dft_utils_scan_pin_lib.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' /usr/bin/gmake -f src/odb/src/swig/common/CMakeFiles/odb_swig_common.dir/build.make src/odb/src/swig/common/CMakeFiles/odb_swig_common.dir/build [ 36%] Building CXX object src/dbSta/src/CMakeFiles/dbSta_lib.dir/dbSta.cc.o cd /builddir/build/BUILD/openroad/build/src/dbSta/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/dbSta/src/CMakeFiles/dbSta_lib.dir/dbSta.cc.o -MF CMakeFiles/dbSta_lib.dir/dbSta.cc.o.d -o CMakeFiles/dbSta_lib.dir/dbSta.cc.o -c /builddir/build/BUILD/openroad/src/dbSta/src/dbSta.cc gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 36%] Building CXX object src/dft/src/utils/CMakeFiles/dft_utils_scan_pin_lib.dir/ScanPin.cpp.o cd /builddir/build/BUILD/openroad/build/src/dft/src/utils && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/dft/src/utils -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/dft/src/utils/CMakeFiles/dft_utils_scan_pin_lib.dir/ScanPin.cpp.o -MF CMakeFiles/dft_utils_scan_pin_lib.dir/ScanPin.cpp.o.d -o CMakeFiles/dft_utils_scan_pin_lib.dir/ScanPin.cpp.o -c /builddir/build/BUILD/openroad/src/dft/src/utils/ScanPin.cpp [ 36%] Building CXX object src/odb/src/swig/common/CMakeFiles/odb_swig_common.dir/swig_common.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/swig/common && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/src/swig/common/. -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/swig/common/CMakeFiles/odb_swig_common.dir/swig_common.cpp.o -MF CMakeFiles/odb_swig_common.dir/swig_common.cpp.o.d -o CMakeFiles/odb_swig_common.dir/swig_common.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/swig/common/swig_common.cpp AutoRcc: Generating "SRC:/build/src/gui/gui_autogen/3YJK5W5UP7/qrc_resource.cpp", because it doesn't exist, from "SRC:/src/gui/resources/resource.qrc" /usr/lib64/qt5/bin/rcc -name resource -o /builddir/build/BUILD/openroad/build/src/gui/gui_autogen/3YJK5W5UP7/qrc_resource.cpp /builddir/build/BUILD/openroad/src/gui/resources/resource.qrc AutoRcc: Writing settings file "SRC:/build/src/gui/CMakeFiles/gui_autogen.dir/AutoRcc_resource_3YJK5W5UP7_Used.txt" cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src/gui /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src/gui /builddir/build/BUILD/openroad/build/src/gui/CMakeFiles/gui.dir/DependInfo.cmake "--color=" Dependencies file "src/gui/CMakeFiles/gui.dir/gui.i.d" is newer than depends file "/builddir/build/BUILD/openroad/build/src/gui/CMakeFiles/gui.dir/compiler_depend.internal". Consolidate compiler generated dependencies of target gui gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' /usr/bin/gmake -f src/gui/CMakeFiles/gui.dir/build.make src/gui/CMakeFiles/gui.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 36%] Building CXX object src/gui/CMakeFiles/gui.dir/gui_autogen/mocs_compilation.cpp.o cd /builddir/build/BUILD/openroad/build/src/gui && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/builddir/build/BUILD/openroad/build/src/gui -I/builddir/build/BUILD/openroad/src/gui -I/builddir/build/BUILD/openroad/build/src/gui/gui_autogen/include -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/gui/include -I/usr/share/opensta/include -I/builddir/build/BUILD/openroad/src/dbSta/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -fPIC -MD -MT src/gui/CMakeFiles/gui.dir/gui_autogen/mocs_compilation.cpp.o -MF CMakeFiles/gui.dir/gui_autogen/mocs_compilation.cpp.o.d -o CMakeFiles/gui.dir/gui_autogen/mocs_compilation.cpp.o -c /builddir/build/BUILD/openroad/build/src/gui/gui_autogen/mocs_compilation.cpp [ 36%] Linking CXX static library libdft_utils_scan_pin_lib.a cd /builddir/build/BUILD/openroad/build/src/dft/src/utils && /usr/bin/cmake -P CMakeFiles/dft_utils_scan_pin_lib.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/openroad/build/src/dft/src/utils && /usr/bin/cmake -E cmake_link_script CMakeFiles/dft_utils_scan_pin_lib.dir/link.txt --verbose=1 /usr/bin/ar qc libdft_utils_scan_pin_lib.a CMakeFiles/dft_utils_scan_pin_lib.dir/ScanPin.cpp.o /usr/bin/ranlib libdft_utils_scan_pin_lib.a gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [ 36%] Built target dft_utils_scan_pin_lib /usr/bin/gmake -f src/stt/CMakeFiles/stt_lib.dir/build.make src/stt/CMakeFiles/stt_lib.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 36%] Generating POWV9.cpp cd /builddir/build/BUILD/openroad/build/src/stt && /builddir/build/BUILD/openroad/src/stt/src/flt/etc/MakeDatVar.tcl powv9 /builddir/build/BUILD/openroad/build/src/stt/POWV9.cpp /builddir/build/BUILD/openroad/src/stt/src/flt/etc/POWV9.dat [ 36%] Generating POST9.cpp cd /builddir/build/BUILD/openroad/build/src/stt && /builddir/build/BUILD/openroad/src/stt/src/flt/etc/MakeDatVar.tcl post9 /builddir/build/BUILD/openroad/build/src/stt/POST9.cpp /builddir/build/BUILD/openroad/src/stt/src/flt/etc/POST9.dat cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src/stt /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src/stt /builddir/build/BUILD/openroad/build/src/stt/CMakeFiles/stt_lib.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' /usr/bin/gmake -f src/stt/CMakeFiles/stt_lib.dir/build.make src/stt/CMakeFiles/stt_lib.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 36%] Building CXX object src/stt/CMakeFiles/stt_lib.dir/src/SteinerTreeBuilder.cpp.o cd /builddir/build/BUILD/openroad/build/src/stt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/stt/CMakeFiles/stt_lib.dir/src/SteinerTreeBuilder.cpp.o -MF CMakeFiles/stt_lib.dir/src/SteinerTreeBuilder.cpp.o.d -o CMakeFiles/stt_lib.dir/src/SteinerTreeBuilder.cpp.o -c /builddir/build/BUILD/openroad/src/stt/src/SteinerTreeBuilder.cpp [ 36%] Building CXX object src/dbSta/src/CMakeFiles/dbSta_lib.dir/dbNetwork.cc.o cd /builddir/build/BUILD/openroad/build/src/dbSta/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/dbSta/src/CMakeFiles/dbSta_lib.dir/dbNetwork.cc.o -MF CMakeFiles/dbSta_lib.dir/dbNetwork.cc.o.d -o CMakeFiles/dbSta_lib.dir/dbNetwork.cc.o -c /builddir/build/BUILD/openroad/src/dbSta/src/dbNetwork.cc [ 36%] Building CXX object src/stt/CMakeFiles/stt_lib.dir/src/flt/flute.cpp.o cd /builddir/build/BUILD/openroad/build/src/stt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/stt/CMakeFiles/stt_lib.dir/src/flt/flute.cpp.o -MF CMakeFiles/stt_lib.dir/src/flt/flute.cpp.o.d -o CMakeFiles/stt_lib.dir/src/flt/flute.cpp.o -c /builddir/build/BUILD/openroad/src/stt/src/flt/flute.cpp [ 36%] Linking CXX static library libodb_swig_common.a cd /builddir/build/BUILD/openroad/build/src/odb/src/swig/common && /usr/bin/cmake -P CMakeFiles/odb_swig_common.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/openroad/build/src/odb/src/swig/common && /usr/bin/cmake -E cmake_link_script CMakeFiles/odb_swig_common.dir/link.txt --verbose=1 /usr/bin/ar qc libodb_swig_common.a CMakeFiles/odb_swig_common.dir/swig_common.cpp.o /usr/bin/ranlib libodb_swig_common.a gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [ 36%] Built target odb_swig_common /usr/bin/gmake -f src/dpl/CMakeFiles/dpl_lib.dir/build.make src/dpl/CMakeFiles/dpl_lib.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src/dpl /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src/dpl /builddir/build/BUILD/openroad/build/src/dpl/CMakeFiles/dpl_lib.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' /usr/bin/gmake -f src/dpl/CMakeFiles/dpl_lib.dir/build.make src/dpl/CMakeFiles/dpl_lib.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 36%] Building CXX object src/dpl/CMakeFiles/dpl_lib.dir/src/Opendp.cpp.o cd /builddir/build/BUILD/openroad/build/src/dpl && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/dpl/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/dpl/CMakeFiles/dpl_lib.dir/src/Opendp.cpp.o -MF CMakeFiles/dpl_lib.dir/src/Opendp.cpp.o.d -o CMakeFiles/dpl_lib.dir/src/Opendp.cpp.o -c /builddir/build/BUILD/openroad/src/dpl/src/Opendp.cpp [ 36%] Building CXX object src/stt/CMakeFiles/stt_lib.dir/POWV9.cpp.o cd /builddir/build/BUILD/openroad/build/src/stt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/stt/CMakeFiles/stt_lib.dir/POWV9.cpp.o -MF CMakeFiles/stt_lib.dir/POWV9.cpp.o.d -o CMakeFiles/stt_lib.dir/POWV9.cpp.o -c /builddir/build/BUILD/openroad/build/src/stt/POWV9.cpp [ 36%] Building CXX object src/stt/CMakeFiles/stt_lib.dir/POST9.cpp.o cd /builddir/build/BUILD/openroad/build/src/stt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/stt/CMakeFiles/stt_lib.dir/POST9.cpp.o -MF CMakeFiles/stt_lib.dir/POST9.cpp.o.d -o CMakeFiles/stt_lib.dir/POST9.cpp.o -c /builddir/build/BUILD/openroad/build/src/stt/POST9.cpp [ 36%] Building CXX object src/dbSta/src/CMakeFiles/dbSta_lib.dir/dbSdcNetwork.cc.o cd /builddir/build/BUILD/openroad/build/src/dbSta/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/dbSta/src/CMakeFiles/dbSta_lib.dir/dbSdcNetwork.cc.o -MF CMakeFiles/dbSta_lib.dir/dbSdcNetwork.cc.o.d -o CMakeFiles/dbSta_lib.dir/dbSdcNetwork.cc.o -c /builddir/build/BUILD/openroad/src/dbSta/src/dbSdcNetwork.cc [ 36%] Building CXX object src/stt/CMakeFiles/stt_lib.dir/src/pdr/src/pd.cpp.o cd /builddir/build/BUILD/openroad/build/src/stt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/stt/CMakeFiles/stt_lib.dir/src/pdr/src/pd.cpp.o -MF CMakeFiles/stt_lib.dir/src/pdr/src/pd.cpp.o.d -o CMakeFiles/stt_lib.dir/src/pdr/src/pd.cpp.o -c /builddir/build/BUILD/openroad/src/stt/src/pdr/src/pd.cpp [ 36%] Building CXX object src/dbSta/src/CMakeFiles/dbSta_lib.dir/dbReadVerilog.cc.o cd /builddir/build/BUILD/openroad/build/src/dbSta/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/dbSta/src/CMakeFiles/dbSta_lib.dir/dbReadVerilog.cc.o -MF CMakeFiles/dbSta_lib.dir/dbReadVerilog.cc.o.d -o CMakeFiles/dbSta_lib.dir/dbReadVerilog.cc.o -c /builddir/build/BUILD/openroad/src/dbSta/src/dbReadVerilog.cc [ 36%] Building CXX object src/gui/CMakeFiles/gui.dir/CMakeFiles/gui.dir/guiTCL_wrap.cxx.o cd /builddir/build/BUILD/openroad/build/src/gui && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/builddir/build/BUILD/openroad/build/src/gui -I/builddir/build/BUILD/openroad/src/gui -I/builddir/build/BUILD/openroad/build/src/gui/gui_autogen/include -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/gui/include -I/usr/share/opensta/include -I/builddir/build/BUILD/openroad/src/dbSta/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -fPIC -Wno-cast-qual -Wno-missing-braces -MD -MT src/gui/CMakeFiles/gui.dir/CMakeFiles/gui.dir/guiTCL_wrap.cxx.o -MF CMakeFiles/gui.dir/CMakeFiles/gui.dir/guiTCL_wrap.cxx.o.d -o CMakeFiles/gui.dir/CMakeFiles/gui.dir/guiTCL_wrap.cxx.o -c /builddir/build/BUILD/openroad/build/src/gui/CMakeFiles/gui.dir/guiTCL_wrap.cxx [ 37%] Linking CXX static library libstt_lib.a cd /builddir/build/BUILD/openroad/build/src/stt && /usr/bin/cmake -P CMakeFiles/stt_lib.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/openroad/build/src/stt && /usr/bin/cmake -E cmake_link_script CMakeFiles/stt_lib.dir/link.txt --verbose=1 /usr/bin/ar qc libstt_lib.a CMakeFiles/stt_lib.dir/src/SteinerTreeBuilder.cpp.o CMakeFiles/stt_lib.dir/src/flt/flute.cpp.o CMakeFiles/stt_lib.dir/POWV9.cpp.o CMakeFiles/stt_lib.dir/POST9.cpp.o CMakeFiles/stt_lib.dir/src/pdr/src/pd.cpp.o /usr/bin/ranlib libstt_lib.a [ 37%] Linking CXX static library libdbSta_lib.a cd /builddir/build/BUILD/openroad/build/src/dbSta/src && /usr/bin/cmake -P CMakeFiles/dbSta_lib.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/openroad/build/src/dbSta/src && /usr/bin/cmake -E cmake_link_script CMakeFiles/dbSta_lib.dir/link.txt --verbose=1 /usr/bin/ar qc libdbSta_lib.a CMakeFiles/dbSta_lib.dir/dbSta.cc.o CMakeFiles/dbSta_lib.dir/dbNetwork.cc.o CMakeFiles/dbSta_lib.dir/dbSdcNetwork.cc.o CMakeFiles/dbSta_lib.dir/dbReadVerilog.cc.o gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [ 37%] Built target stt_lib /usr/bin/gmake -f src/ant/src/CMakeFiles/ant_lib.dir/build.make src/ant/src/CMakeFiles/ant_lib.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src/ant/src /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src/ant/src /builddir/build/BUILD/openroad/build/src/ant/src/CMakeFiles/ant_lib.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' /usr/bin/gmake -f src/ant/src/CMakeFiles/ant_lib.dir/build.make src/ant/src/CMakeFiles/ant_lib.dir/build /usr/bin/ranlib libdbSta_lib.a gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 37%] Building CXX object src/ant/src/CMakeFiles/ant_lib.dir/AntennaChecker.cc.o cd /builddir/build/BUILD/openroad/build/src/ant/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/ant/src/CMakeFiles/ant_lib.dir/AntennaChecker.cc.o -MF CMakeFiles/ant_lib.dir/AntennaChecker.cc.o.d -o CMakeFiles/ant_lib.dir/AntennaChecker.cc.o -c /builddir/build/BUILD/openroad/src/ant/src/AntennaChecker.cc gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [ 37%] Built target dbSta_lib /usr/bin/gmake -f src/tap/src/CMakeFiles/tap.dir/build.make src/tap/src/CMakeFiles/tap.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 37%] Generating tap-tclInitVar.cc cd /builddir/build/BUILD/openroad/src/tap/src && /usr/share/opensta/etc/TclEncode.tcl /builddir/build/BUILD/openroad/build/src/tap/src/tap-tclInitVar.cc tap_tcl_inits tapcell.tcl [ 37%] Swig compile tapcell.i for tcl cd /builddir/build/BUILD/openroad/build/src/tap/src && /usr/bin/cmake -E make_directory /builddir/build/BUILD/openroad/build/src/tap/src/CMakeFiles/tap.dir /builddir/build/BUILD/openroad/build/src/tap/src /builddir/build/BUILD/openroad/build/src/tap/src/CMakeFiles/tap.dir cd /builddir/build/BUILD/openroad/build/src/tap/src && /usr/bin/cmake -E env SWIG_LIB=/usr/share/swig/4.0.2 /usr/bin/swig -tcl -I/builddir/build/BUILD/openroad/src/odb/src/swig/common -I/builddir/build/BUILD/openroad/src/odb/src/swig/tcl -I/builddir/build/BUILD/openroad/src/odb/include -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/usr/include -I/builddir/build/BUILD/openroad/src/tap/src/../include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -namespace -prefix tap -Werror -w317,325,378,401,402,467,472,503,509 -outdir /builddir/build/BUILD/openroad/build/src/tap/src -c++ -module tap -MF /builddir/build/BUILD/openroad/build/src/tap/src/CMakeFiles/tap.dir/tapcell.i.d -MD -I/usr/include/sta -I/usr/include/abc -o /builddir/build/BUILD/openroad/build/src/tap/src/CMakeFiles/tap.dir/tapcellTCL_wrap.cxx /builddir/build/BUILD/openroad/src/tap/src/tapcell.i cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src/tap/src /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src/tap/src /builddir/build/BUILD/openroad/build/src/tap/src/CMakeFiles/tap.dir/DependInfo.cmake "--color=" Dependencies file "src/tap/src/CMakeFiles/tap.dir/tapcell.i.d" is newer than depends file "/builddir/build/BUILD/openroad/build/src/tap/src/CMakeFiles/tap.dir/compiler_depend.internal". Consolidate compiler generated dependencies of target tap gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' /usr/bin/gmake -f src/tap/src/CMakeFiles/tap.dir/build.make src/tap/src/CMakeFiles/tap.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 37%] Building CXX object src/tap/src/CMakeFiles/tap.dir/CMakeFiles/tap.dir/tapcellTCL_wrap.cxx.o cd /builddir/build/BUILD/openroad/build/src/tap/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/tap/src/../include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -Wno-cast-qual -Wno-missing-braces -MD -MT src/tap/src/CMakeFiles/tap.dir/CMakeFiles/tap.dir/tapcellTCL_wrap.cxx.o -MF CMakeFiles/tap.dir/CMakeFiles/tap.dir/tapcellTCL_wrap.cxx.o.d -o CMakeFiles/tap.dir/CMakeFiles/tap.dir/tapcellTCL_wrap.cxx.o -c /builddir/build/BUILD/openroad/build/src/tap/src/CMakeFiles/tap.dir/tapcellTCL_wrap.cxx /builddir/build/BUILD/openroad/src/ant/src/AntennaChecker.cc: In member function 'std::pair ant::AntennaChecker::calculateWireArea(odb::dbWireGraph::Node*, int, std::set&, std::set&)': /builddir/build/BUILD/openroad/src/ant/src/AntennaChecker.cc:369:46: note: parameter passing for argument of type 'std::pair' when C++17 is enabled changed to match C++14 in GCC 10.1 369 | std::set& level_nodes) | ^ [ 37%] Building CXX object src/dpl/CMakeFiles/dpl_lib.dir/src/dbToOpendp.cpp.o cd /builddir/build/BUILD/openroad/build/src/dpl && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/dpl/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/dpl/CMakeFiles/dpl_lib.dir/src/dbToOpendp.cpp.o -MF CMakeFiles/dpl_lib.dir/src/dbToOpendp.cpp.o.d -o CMakeFiles/dpl_lib.dir/src/dbToOpendp.cpp.o -c /builddir/build/BUILD/openroad/src/dpl/src/dbToOpendp.cpp [ 37%] Building CXX object src/gui/CMakeFiles/gui.dir/gui-tclInitVar.cc.o cd /builddir/build/BUILD/openroad/build/src/gui && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/builddir/build/BUILD/openroad/build/src/gui -I/builddir/build/BUILD/openroad/src/gui -I/builddir/build/BUILD/openroad/build/src/gui/gui_autogen/include -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/gui/include -I/usr/share/opensta/include -I/builddir/build/BUILD/openroad/src/dbSta/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -fPIC -MD -MT src/gui/CMakeFiles/gui.dir/gui-tclInitVar.cc.o -MF CMakeFiles/gui.dir/gui-tclInitVar.cc.o.d -o CMakeFiles/gui.dir/gui-tclInitVar.cc.o -c /builddir/build/BUILD/openroad/build/src/gui/gui-tclInitVar.cc [ 37%] Building CXX object src/gui/CMakeFiles/gui.dir/src/layoutViewer.cpp.o cd /builddir/build/BUILD/openroad/build/src/gui && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/builddir/build/BUILD/openroad/build/src/gui -I/builddir/build/BUILD/openroad/src/gui -I/builddir/build/BUILD/openroad/build/src/gui/gui_autogen/include -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/gui/include -I/usr/share/opensta/include -I/builddir/build/BUILD/openroad/src/dbSta/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -fPIC -MD -MT src/gui/CMakeFiles/gui.dir/src/layoutViewer.cpp.o -MF CMakeFiles/gui.dir/src/layoutViewer.cpp.o.d -o CMakeFiles/gui.dir/src/layoutViewer.cpp.o -c /builddir/build/BUILD/openroad/src/gui/src/layoutViewer.cpp [ 38%] Building CXX object src/tap/src/CMakeFiles/tap.dir/tap-tclInitVar.cc.o cd /builddir/build/BUILD/openroad/build/src/tap/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/tap/src/../include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/tap/src/CMakeFiles/tap.dir/tap-tclInitVar.cc.o -MF CMakeFiles/tap.dir/tap-tclInitVar.cc.o.d -o CMakeFiles/tap.dir/tap-tclInitVar.cc.o -c /builddir/build/BUILD/openroad/build/src/tap/src/tap-tclInitVar.cc [ 38%] Building CXX object src/tap/src/CMakeFiles/tap.dir/tapcell.cpp.o cd /builddir/build/BUILD/openroad/build/src/tap/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/tap/src/../include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/tap/src/CMakeFiles/tap.dir/tapcell.cpp.o -MF CMakeFiles/tap.dir/tapcell.cpp.o.d -o CMakeFiles/tap.dir/tapcell.cpp.o -c /builddir/build/BUILD/openroad/src/tap/src/tapcell.cpp [ 39%] Linking CXX static library libant_lib.a cd /builddir/build/BUILD/openroad/build/src/ant/src && /usr/bin/cmake -P CMakeFiles/ant_lib.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/openroad/build/src/ant/src && /usr/bin/cmake -E cmake_link_script CMakeFiles/ant_lib.dir/link.txt --verbose=1 /usr/bin/ar qc libant_lib.a CMakeFiles/ant_lib.dir/AntennaChecker.cc.o /usr/bin/ranlib libant_lib.a gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [ 39%] Built target ant_lib /usr/bin/gmake -f src/par/CMakeFiles/par_lib.dir/build.make src/par/CMakeFiles/par_lib.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src/par /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src/par /builddir/build/BUILD/openroad/build/src/par/CMakeFiles/par_lib.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' /usr/bin/gmake -f src/par/CMakeFiles/par_lib.dir/build.make src/par/CMakeFiles/par_lib.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 39%] Building CXX object src/par/CMakeFiles/par_lib.dir/src/PartitionMgr.cpp.o cd /builddir/build/BUILD/openroad/build/src/par && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DOR_TOOLS_AS_DYNAMIC_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -DUSE_BOP -DUSE_CBC -DUSE_CLP -DUSE_GLOP -DUSE_GLPK -DUSE_LP_PARSER -DUSE_PDLP -DUSE_SCIP -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/par/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -fwrapv -MD -MT src/par/CMakeFiles/par_lib.dir/src/PartitionMgr.cpp.o -MF CMakeFiles/par_lib.dir/src/PartitionMgr.cpp.o.d -o CMakeFiles/par_lib.dir/src/PartitionMgr.cpp.o -c /builddir/build/BUILD/openroad/src/par/src/PartitionMgr.cpp [ 39%] Building CXX object src/dpl/CMakeFiles/dpl_lib.dir/src/Grid.cpp.o cd /builddir/build/BUILD/openroad/build/src/dpl && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/dpl/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/dpl/CMakeFiles/dpl_lib.dir/src/Grid.cpp.o -MF CMakeFiles/dpl_lib.dir/src/Grid.cpp.o.d -o CMakeFiles/dpl_lib.dir/src/Grid.cpp.o -c /builddir/build/BUILD/openroad/src/dpl/src/Grid.cpp [ 39%] Building CXX object src/par/CMakeFiles/par_lib.dir/src/TritonPart.cpp.o cd /builddir/build/BUILD/openroad/build/src/par && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DOR_TOOLS_AS_DYNAMIC_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -DUSE_BOP -DUSE_CBC -DUSE_CLP -DUSE_GLOP -DUSE_GLPK -DUSE_LP_PARSER -DUSE_PDLP -DUSE_SCIP -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/par/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -fwrapv -MD -MT src/par/CMakeFiles/par_lib.dir/src/TritonPart.cpp.o -MF CMakeFiles/par_lib.dir/src/TritonPart.cpp.o.d -o CMakeFiles/par_lib.dir/src/TritonPart.cpp.o -c /builddir/build/BUILD/openroad/src/par/src/TritonPart.cpp [ 39%] Building CXX object src/tap/src/CMakeFiles/tap.dir/MakeTapcell.cpp.o cd /builddir/build/BUILD/openroad/build/src/tap/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/tap/src/../include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/tap/src/CMakeFiles/tap.dir/MakeTapcell.cpp.o -MF CMakeFiles/tap.dir/MakeTapcell.cpp.o.d -o CMakeFiles/tap.dir/MakeTapcell.cpp.o -c /builddir/build/BUILD/openroad/src/tap/src/MakeTapcell.cpp [ 40%] Building CXX object src/gui/CMakeFiles/gui.dir/src/layoutTabs.cpp.o cd /builddir/build/BUILD/openroad/build/src/gui && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/builddir/build/BUILD/openroad/build/src/gui -I/builddir/build/BUILD/openroad/src/gui -I/builddir/build/BUILD/openroad/build/src/gui/gui_autogen/include -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/gui/include -I/usr/share/opensta/include -I/builddir/build/BUILD/openroad/src/dbSta/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -fPIC -MD -MT src/gui/CMakeFiles/gui.dir/src/layoutTabs.cpp.o -MF CMakeFiles/gui.dir/src/layoutTabs.cpp.o.d -o CMakeFiles/gui.dir/src/layoutTabs.cpp.o -c /builddir/build/BUILD/openroad/src/gui/src/layoutTabs.cpp [ 40%] Linking CXX static library tap.a cd /builddir/build/BUILD/openroad/build/src/tap/src && /usr/bin/cmake -P CMakeFiles/tap.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/openroad/build/src/tap/src && /usr/bin/cmake -E cmake_link_script CMakeFiles/tap.dir/link.txt --verbose=1 /usr/bin/ar qc tap.a CMakeFiles/tap.dir/CMakeFiles/tap.dir/tapcellTCL_wrap.cxx.o "CMakeFiles/tap.dir/tap-tclInitVar.cc.o" CMakeFiles/tap.dir/tapcell.cpp.o CMakeFiles/tap.dir/MakeTapcell.cpp.o /usr/bin/ranlib tap.a cd /builddir/build/BUILD/openroad/src/tap && /builddir/build/BUILD/openroad/etc/find_messages.py > /builddir/build/BUILD/openroad/src/tap/src/../messages.txt gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [ 40%] Built target tap /usr/bin/gmake -f src/rcx/src/CMakeFiles/rcx_lib.dir/build.make src/rcx/src/CMakeFiles/rcx_lib.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src/rcx/src /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src/rcx/src /builddir/build/BUILD/openroad/build/src/rcx/src/CMakeFiles/rcx_lib.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' /usr/bin/gmake -f src/rcx/src/CMakeFiles/rcx_lib.dir/build.make src/rcx/src/CMakeFiles/rcx_lib.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 40%] Building CXX object src/rcx/src/CMakeFiles/rcx_lib.dir/ext.cpp.o cd /builddir/build/BUILD/openroad/build/src/rcx/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/rcx/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/rcx/src/CMakeFiles/rcx_lib.dir/ext.cpp.o -MF CMakeFiles/rcx_lib.dir/ext.cpp.o.d -o CMakeFiles/rcx_lib.dir/ext.cpp.o -c /builddir/build/BUILD/openroad/src/rcx/src/ext.cpp [ 41%] Building CXX object src/par/CMakeFiles/par_lib.dir/src/Hypergraph.cpp.o cd /builddir/build/BUILD/openroad/build/src/par && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DOR_TOOLS_AS_DYNAMIC_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -DUSE_BOP -DUSE_CBC -DUSE_CLP -DUSE_GLOP -DUSE_GLPK -DUSE_LP_PARSER -DUSE_PDLP -DUSE_SCIP -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/par/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -fwrapv -MD -MT src/par/CMakeFiles/par_lib.dir/src/Hypergraph.cpp.o -MF CMakeFiles/par_lib.dir/src/Hypergraph.cpp.o.d -o CMakeFiles/par_lib.dir/src/Hypergraph.cpp.o -c /builddir/build/BUILD/openroad/src/par/src/Hypergraph.cpp [ 42%] Building CXX object src/dpl/CMakeFiles/dpl_lib.dir/src/CheckPlacement.cpp.o cd /builddir/build/BUILD/openroad/build/src/dpl && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/dpl/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/dpl/CMakeFiles/dpl_lib.dir/src/CheckPlacement.cpp.o -MF CMakeFiles/dpl_lib.dir/src/CheckPlacement.cpp.o.d -o CMakeFiles/dpl_lib.dir/src/CheckPlacement.cpp.o -c /builddir/build/BUILD/openroad/src/dpl/src/CheckPlacement.cpp [ 42%] Building CXX object src/rcx/src/CMakeFiles/rcx_lib.dir/extBench.cpp.o cd /builddir/build/BUILD/openroad/build/src/rcx/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/rcx/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/rcx/src/CMakeFiles/rcx_lib.dir/extBench.cpp.o -MF CMakeFiles/rcx_lib.dir/extBench.cpp.o.d -o CMakeFiles/rcx_lib.dir/extBench.cpp.o -c /builddir/build/BUILD/openroad/src/rcx/src/extBench.cpp [ 42%] Building CXX object src/gui/CMakeFiles/gui.dir/src/renderThread.cpp.o cd /builddir/build/BUILD/openroad/build/src/gui && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/builddir/build/BUILD/openroad/build/src/gui -I/builddir/build/BUILD/openroad/src/gui -I/builddir/build/BUILD/openroad/build/src/gui/gui_autogen/include -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/gui/include -I/usr/share/opensta/include -I/builddir/build/BUILD/openroad/src/dbSta/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -fPIC -MD -MT src/gui/CMakeFiles/gui.dir/src/renderThread.cpp.o -MF CMakeFiles/gui.dir/src/renderThread.cpp.o.d -o CMakeFiles/gui.dir/src/renderThread.cpp.o -c /builddir/build/BUILD/openroad/src/gui/src/renderThread.cpp [ 42%] Building CXX object src/par/CMakeFiles/par_lib.dir/src/Utilities.cpp.o cd /builddir/build/BUILD/openroad/build/src/par && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DOR_TOOLS_AS_DYNAMIC_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -DUSE_BOP -DUSE_CBC -DUSE_CLP -DUSE_GLOP -DUSE_GLPK -DUSE_LP_PARSER -DUSE_PDLP -DUSE_SCIP -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/par/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -fwrapv -MD -MT src/par/CMakeFiles/par_lib.dir/src/Utilities.cpp.o -MF CMakeFiles/par_lib.dir/src/Utilities.cpp.o.d -o CMakeFiles/par_lib.dir/src/Utilities.cpp.o -c /builddir/build/BUILD/openroad/src/par/src/Utilities.cpp [ 42%] Building CXX object src/rcx/src/CMakeFiles/rcx_lib.dir/extBenchDB.cpp.o cd /builddir/build/BUILD/openroad/build/src/rcx/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/rcx/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/rcx/src/CMakeFiles/rcx_lib.dir/extBenchDB.cpp.o -MF CMakeFiles/rcx_lib.dir/extBenchDB.cpp.o.d -o CMakeFiles/rcx_lib.dir/extBenchDB.cpp.o -c /builddir/build/BUILD/openroad/src/rcx/src/extBenchDB.cpp [ 42%] Building CXX object src/par/CMakeFiles/par_lib.dir/src/Coarsener.cpp.o cd /builddir/build/BUILD/openroad/build/src/par && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DOR_TOOLS_AS_DYNAMIC_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -DUSE_BOP -DUSE_CBC -DUSE_CLP -DUSE_GLOP -DUSE_GLPK -DUSE_LP_PARSER -DUSE_PDLP -DUSE_SCIP -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/par/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -fwrapv -MD -MT src/par/CMakeFiles/par_lib.dir/src/Coarsener.cpp.o -MF CMakeFiles/par_lib.dir/src/Coarsener.cpp.o.d -o CMakeFiles/par_lib.dir/src/Coarsener.cpp.o -c /builddir/build/BUILD/openroad/src/par/src/Coarsener.cpp [ 42%] Building CXX object src/rcx/src/CMakeFiles/rcx_lib.dir/extCC.cpp.o cd /builddir/build/BUILD/openroad/build/src/rcx/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/rcx/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/rcx/src/CMakeFiles/rcx_lib.dir/extCC.cpp.o -MF CMakeFiles/rcx_lib.dir/extCC.cpp.o.d -o CMakeFiles/rcx_lib.dir/extCC.cpp.o -c /builddir/build/BUILD/openroad/src/rcx/src/extCC.cpp [ 42%] Building CXX object src/rcx/src/CMakeFiles/rcx_lib.dir/extCoords.cpp.o cd /builddir/build/BUILD/openroad/build/src/rcx/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/rcx/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/rcx/src/CMakeFiles/rcx_lib.dir/extCoords.cpp.o -MF CMakeFiles/rcx_lib.dir/extCoords.cpp.o.d -o CMakeFiles/rcx_lib.dir/extCoords.cpp.o -c /builddir/build/BUILD/openroad/src/rcx/src/extCoords.cpp [ 42%] Building CXX object src/rcx/src/CMakeFiles/rcx_lib.dir/extFlow.cpp.o cd /builddir/build/BUILD/openroad/build/src/rcx/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/rcx/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/rcx/src/CMakeFiles/rcx_lib.dir/extFlow.cpp.o -MF CMakeFiles/rcx_lib.dir/extFlow.cpp.o.d -o CMakeFiles/rcx_lib.dir/extFlow.cpp.o -c /builddir/build/BUILD/openroad/src/rcx/src/extFlow.cpp [ 42%] Building CXX object src/dpl/CMakeFiles/dpl_lib.dir/src/Place.cpp.o cd /builddir/build/BUILD/openroad/build/src/dpl && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/dpl/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/dpl/CMakeFiles/dpl_lib.dir/src/Place.cpp.o -MF CMakeFiles/dpl_lib.dir/src/Place.cpp.o.d -o CMakeFiles/dpl_lib.dir/src/Place.cpp.o -c /builddir/build/BUILD/openroad/src/dpl/src/Place.cpp [ 42%] Building CXX object src/par/CMakeFiles/par_lib.dir/src/Multilevel.cpp.o cd /builddir/build/BUILD/openroad/build/src/par && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DOR_TOOLS_AS_DYNAMIC_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -DUSE_BOP -DUSE_CBC -DUSE_CLP -DUSE_GLOP -DUSE_GLPK -DUSE_LP_PARSER -DUSE_PDLP -DUSE_SCIP -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/par/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -fwrapv -MD -MT src/par/CMakeFiles/par_lib.dir/src/Multilevel.cpp.o -MF CMakeFiles/par_lib.dir/src/Multilevel.cpp.o.d -o CMakeFiles/par_lib.dir/src/Multilevel.cpp.o -c /builddir/build/BUILD/openroad/src/par/src/Multilevel.cpp [ 42%] Building CXX object src/gui/CMakeFiles/gui.dir/src/painter.cpp.o cd /builddir/build/BUILD/openroad/build/src/gui && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/builddir/build/BUILD/openroad/build/src/gui -I/builddir/build/BUILD/openroad/src/gui -I/builddir/build/BUILD/openroad/build/src/gui/gui_autogen/include -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/gui/include -I/usr/share/opensta/include -I/builddir/build/BUILD/openroad/src/dbSta/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -fPIC -MD -MT src/gui/CMakeFiles/gui.dir/src/painter.cpp.o -MF CMakeFiles/gui.dir/src/painter.cpp.o.d -o CMakeFiles/gui.dir/src/painter.cpp.o -c /builddir/build/BUILD/openroad/src/gui/src/painter.cpp [ 43%] Building CXX object src/rcx/src/CMakeFiles/rcx_lib.dir/extRCmodel.cpp.o cd /builddir/build/BUILD/openroad/build/src/rcx/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/rcx/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/rcx/src/CMakeFiles/rcx_lib.dir/extRCmodel.cpp.o -MF CMakeFiles/rcx_lib.dir/extRCmodel.cpp.o.d -o CMakeFiles/rcx_lib.dir/extRCmodel.cpp.o -c /builddir/build/BUILD/openroad/src/rcx/src/extRCmodel.cpp [ 43%] Building CXX object src/gui/CMakeFiles/gui.dir/src/mainWindow.cpp.o cd /builddir/build/BUILD/openroad/build/src/gui && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/builddir/build/BUILD/openroad/build/src/gui -I/builddir/build/BUILD/openroad/src/gui -I/builddir/build/BUILD/openroad/build/src/gui/gui_autogen/include -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/gui/include -I/usr/share/opensta/include -I/builddir/build/BUILD/openroad/src/dbSta/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -fPIC -MD -MT src/gui/CMakeFiles/gui.dir/src/mainWindow.cpp.o -MF CMakeFiles/gui.dir/src/mainWindow.cpp.o.d -o CMakeFiles/gui.dir/src/mainWindow.cpp.o -c /builddir/build/BUILD/openroad/src/gui/src/mainWindow.cpp [ 43%] Building CXX object src/par/CMakeFiles/par_lib.dir/src/Refiner.cpp.o cd /builddir/build/BUILD/openroad/build/src/par && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DOR_TOOLS_AS_DYNAMIC_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -DUSE_BOP -DUSE_CBC -DUSE_CLP -DUSE_GLOP -DUSE_GLPK -DUSE_LP_PARSER -DUSE_PDLP -DUSE_SCIP -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/par/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -fwrapv -MD -MT src/par/CMakeFiles/par_lib.dir/src/Refiner.cpp.o -MF CMakeFiles/par_lib.dir/src/Refiner.cpp.o.d -o CMakeFiles/par_lib.dir/src/Refiner.cpp.o -c /builddir/build/BUILD/openroad/src/par/src/Refiner.cpp [ 43%] Building CXX object src/dpl/CMakeFiles/dpl_lib.dir/src/FillerPlacement.cpp.o cd /builddir/build/BUILD/openroad/build/src/dpl && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/dpl/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/dpl/CMakeFiles/dpl_lib.dir/src/FillerPlacement.cpp.o -MF CMakeFiles/dpl_lib.dir/src/FillerPlacement.cpp.o.d -o CMakeFiles/dpl_lib.dir/src/FillerPlacement.cpp.o -c /builddir/build/BUILD/openroad/src/dpl/src/FillerPlacement.cpp [ 43%] Building CXX object src/rcx/src/CMakeFiles/rcx_lib.dir/extSpef.cpp.o cd /builddir/build/BUILD/openroad/build/src/rcx/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/rcx/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/rcx/src/CMakeFiles/rcx_lib.dir/extSpef.cpp.o -MF CMakeFiles/rcx_lib.dir/extSpef.cpp.o.d -o CMakeFiles/rcx_lib.dir/extSpef.cpp.o -c /builddir/build/BUILD/openroad/src/rcx/src/extSpef.cpp [ 43%] Building CXX object src/par/CMakeFiles/par_lib.dir/src/Partitioner.cpp.o cd /builddir/build/BUILD/openroad/build/src/par && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DOR_TOOLS_AS_DYNAMIC_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -DUSE_BOP -DUSE_CBC -DUSE_CLP -DUSE_GLOP -DUSE_GLPK -DUSE_LP_PARSER -DUSE_PDLP -DUSE_SCIP -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/par/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -fwrapv -MD -MT src/par/CMakeFiles/par_lib.dir/src/Partitioner.cpp.o -MF CMakeFiles/par_lib.dir/src/Partitioner.cpp.o.d -o CMakeFiles/par_lib.dir/src/Partitioner.cpp.o -c /builddir/build/BUILD/openroad/src/par/src/Partitioner.cpp [ 43%] Building CXX object src/rcx/src/CMakeFiles/rcx_lib.dir/extSpefIn.cpp.o cd /builddir/build/BUILD/openroad/build/src/rcx/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/rcx/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/rcx/src/CMakeFiles/rcx_lib.dir/extSpefIn.cpp.o -MF CMakeFiles/rcx_lib.dir/extSpefIn.cpp.o.d -o CMakeFiles/rcx_lib.dir/extSpefIn.cpp.o -c /builddir/build/BUILD/openroad/src/rcx/src/extSpefIn.cpp [ 43%] Building CXX object src/dpl/CMakeFiles/dpl_lib.dir/src/OptMirror.cpp.o cd /builddir/build/BUILD/openroad/build/src/dpl && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/dpl/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/dpl/CMakeFiles/dpl_lib.dir/src/OptMirror.cpp.o -MF CMakeFiles/dpl_lib.dir/src/OptMirror.cpp.o.d -o CMakeFiles/dpl_lib.dir/src/OptMirror.cpp.o -c /builddir/build/BUILD/openroad/src/dpl/src/OptMirror.cpp [ 43%] Building CXX object src/par/CMakeFiles/par_lib.dir/src/Evaluator.cpp.o cd /builddir/build/BUILD/openroad/build/src/par && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DOR_TOOLS_AS_DYNAMIC_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -DUSE_BOP -DUSE_CBC -DUSE_CLP -DUSE_GLOP -DUSE_GLPK -DUSE_LP_PARSER -DUSE_PDLP -DUSE_SCIP -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/par/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -fwrapv -MD -MT src/par/CMakeFiles/par_lib.dir/src/Evaluator.cpp.o -MF CMakeFiles/par_lib.dir/src/Evaluator.cpp.o.d -o CMakeFiles/par_lib.dir/src/Evaluator.cpp.o -c /builddir/build/BUILD/openroad/src/par/src/Evaluator.cpp [ 43%] Building CXX object src/gui/CMakeFiles/gui.dir/src/scriptWidget.cpp.o cd /builddir/build/BUILD/openroad/build/src/gui && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/builddir/build/BUILD/openroad/build/src/gui -I/builddir/build/BUILD/openroad/src/gui -I/builddir/build/BUILD/openroad/build/src/gui/gui_autogen/include -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/gui/include -I/usr/share/opensta/include -I/builddir/build/BUILD/openroad/src/dbSta/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -fPIC -MD -MT src/gui/CMakeFiles/gui.dir/src/scriptWidget.cpp.o -MF CMakeFiles/gui.dir/src/scriptWidget.cpp.o.d -o CMakeFiles/gui.dir/src/scriptWidget.cpp.o -c /builddir/build/BUILD/openroad/src/gui/src/scriptWidget.cpp [ 43%] Building CXX object src/rcx/src/CMakeFiles/rcx_lib.dir/extmain.cpp.o cd /builddir/build/BUILD/openroad/build/src/rcx/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/rcx/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/rcx/src/CMakeFiles/rcx_lib.dir/extmain.cpp.o -MF CMakeFiles/rcx_lib.dir/extmain.cpp.o.d -o CMakeFiles/rcx_lib.dir/extmain.cpp.o -c /builddir/build/BUILD/openroad/src/rcx/src/extmain.cpp [ 43%] Building CXX object src/par/CMakeFiles/par_lib.dir/src/GreedyRefine.cpp.o cd /builddir/build/BUILD/openroad/build/src/par && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DOR_TOOLS_AS_DYNAMIC_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -DUSE_BOP -DUSE_CBC -DUSE_CLP -DUSE_GLOP -DUSE_GLPK -DUSE_LP_PARSER -DUSE_PDLP -DUSE_SCIP -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/par/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -fwrapv -MD -MT src/par/CMakeFiles/par_lib.dir/src/GreedyRefine.cpp.o -MF CMakeFiles/par_lib.dir/src/GreedyRefine.cpp.o.d -o CMakeFiles/par_lib.dir/src/GreedyRefine.cpp.o -c /builddir/build/BUILD/openroad/src/par/src/GreedyRefine.cpp [ 43%] Linking CXX static library libdpl_lib.a cd /builddir/build/BUILD/openroad/build/src/dpl && /usr/bin/cmake -P CMakeFiles/dpl_lib.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/openroad/build/src/dpl && /usr/bin/cmake -E cmake_link_script CMakeFiles/dpl_lib.dir/link.txt --verbose=1 /usr/bin/ar qc libdpl_lib.a CMakeFiles/dpl_lib.dir/src/Opendp.cpp.o CMakeFiles/dpl_lib.dir/src/dbToOpendp.cpp.o CMakeFiles/dpl_lib.dir/src/Grid.cpp.o CMakeFiles/dpl_lib.dir/src/CheckPlacement.cpp.o CMakeFiles/dpl_lib.dir/src/Place.cpp.o CMakeFiles/dpl_lib.dir/src/FillerPlacement.cpp.o CMakeFiles/dpl_lib.dir/src/OptMirror.cpp.o /usr/bin/ranlib libdpl_lib.a gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [ 43%] Built target dpl_lib /usr/bin/gmake -f src/dft/src/config/CMakeFiles/dft_config_lib.dir/build.make src/dft/src/config/CMakeFiles/dft_config_lib.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src/dft/src/config /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src/dft/src/config /builddir/build/BUILD/openroad/build/src/dft/src/config/CMakeFiles/dft_config_lib.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' /usr/bin/gmake -f src/dft/src/config/CMakeFiles/dft_config_lib.dir/build.make src/dft/src/config/CMakeFiles/dft_config_lib.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 43%] Building CXX object src/dft/src/config/CMakeFiles/dft_config_lib.dir/DftConfig.cpp.o cd /builddir/build/BUILD/openroad/build/src/dft/src/config && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/dft/src/config -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dft/src/utils -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/dft/src/config/CMakeFiles/dft_config_lib.dir/DftConfig.cpp.o -MF CMakeFiles/dft_config_lib.dir/DftConfig.cpp.o.d -o CMakeFiles/dft_config_lib.dir/DftConfig.cpp.o -c /builddir/build/BUILD/openroad/src/dft/src/config/DftConfig.cpp [ 43%] Building CXX object src/par/CMakeFiles/par_lib.dir/src/ILPRefine.cpp.o cd /builddir/build/BUILD/openroad/build/src/par && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DOR_TOOLS_AS_DYNAMIC_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -DUSE_BOP -DUSE_CBC -DUSE_CLP -DUSE_GLOP -DUSE_GLPK -DUSE_LP_PARSER -DUSE_PDLP -DUSE_SCIP -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/par/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -fwrapv -MD -MT src/par/CMakeFiles/par_lib.dir/src/ILPRefine.cpp.o -MF CMakeFiles/par_lib.dir/src/ILPRefine.cpp.o.d -o CMakeFiles/par_lib.dir/src/ILPRefine.cpp.o -c /builddir/build/BUILD/openroad/src/par/src/ILPRefine.cpp [ 43%] Building CXX object src/gui/CMakeFiles/gui.dir/src/cmdInputWidget.cpp.o cd /builddir/build/BUILD/openroad/build/src/gui && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/builddir/build/BUILD/openroad/build/src/gui -I/builddir/build/BUILD/openroad/src/gui -I/builddir/build/BUILD/openroad/build/src/gui/gui_autogen/include -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/gui/include -I/usr/share/opensta/include -I/builddir/build/BUILD/openroad/src/dbSta/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -fPIC -MD -MT src/gui/CMakeFiles/gui.dir/src/cmdInputWidget.cpp.o -MF CMakeFiles/gui.dir/src/cmdInputWidget.cpp.o.d -o CMakeFiles/gui.dir/src/cmdInputWidget.cpp.o -c /builddir/build/BUILD/openroad/src/gui/src/cmdInputWidget.cpp [ 43%] Building CXX object src/rcx/src/CMakeFiles/rcx_lib.dir/extmeasure.cpp.o cd /builddir/build/BUILD/openroad/build/src/rcx/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/rcx/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/rcx/src/CMakeFiles/rcx_lib.dir/extmeasure.cpp.o -MF CMakeFiles/rcx_lib.dir/extmeasure.cpp.o.d -o CMakeFiles/rcx_lib.dir/extmeasure.cpp.o -c /builddir/build/BUILD/openroad/src/rcx/src/extmeasure.cpp [ 44%] Building CXX object src/dft/src/config/CMakeFiles/dft_config_lib.dir/ScanArchitectConfig.cpp.o cd /builddir/build/BUILD/openroad/build/src/dft/src/config && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/dft/src/config -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dft/src/utils -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/dft/src/config/CMakeFiles/dft_config_lib.dir/ScanArchitectConfig.cpp.o -MF CMakeFiles/dft_config_lib.dir/ScanArchitectConfig.cpp.o.d -o CMakeFiles/dft_config_lib.dir/ScanArchitectConfig.cpp.o -c /builddir/build/BUILD/openroad/src/dft/src/config/ScanArchitectConfig.cpp [ 44%] Building CXX object src/gui/CMakeFiles/gui.dir/src/tclCmdInputWidget.cpp.o cd /builddir/build/BUILD/openroad/build/src/gui && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/builddir/build/BUILD/openroad/build/src/gui -I/builddir/build/BUILD/openroad/src/gui -I/builddir/build/BUILD/openroad/build/src/gui/gui_autogen/include -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/gui/include -I/usr/share/opensta/include -I/builddir/build/BUILD/openroad/src/dbSta/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -fPIC -MD -MT src/gui/CMakeFiles/gui.dir/src/tclCmdInputWidget.cpp.o -MF CMakeFiles/gui.dir/src/tclCmdInputWidget.cpp.o.d -o CMakeFiles/gui.dir/src/tclCmdInputWidget.cpp.o -c /builddir/build/BUILD/openroad/src/gui/src/tclCmdInputWidget.cpp [ 45%] Building CXX object src/par/CMakeFiles/par_lib.dir/src/KWayFMRefine.cpp.o cd /builddir/build/BUILD/openroad/build/src/par && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DOR_TOOLS_AS_DYNAMIC_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -DUSE_BOP -DUSE_CBC -DUSE_CLP -DUSE_GLOP -DUSE_GLPK -DUSE_LP_PARSER -DUSE_PDLP -DUSE_SCIP -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/par/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -fwrapv -MD -MT src/par/CMakeFiles/par_lib.dir/src/KWayFMRefine.cpp.o -MF CMakeFiles/par_lib.dir/src/KWayFMRefine.cpp.o.d -o CMakeFiles/par_lib.dir/src/KWayFMRefine.cpp.o -c /builddir/build/BUILD/openroad/src/par/src/KWayFMRefine.cpp [ 45%] Linking CXX static library libdft_config_lib.a cd /builddir/build/BUILD/openroad/build/src/dft/src/config && /usr/bin/cmake -P CMakeFiles/dft_config_lib.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/openroad/build/src/dft/src/config && /usr/bin/cmake -E cmake_link_script CMakeFiles/dft_config_lib.dir/link.txt --verbose=1 /usr/bin/ar qc libdft_config_lib.a CMakeFiles/dft_config_lib.dir/DftConfig.cpp.o CMakeFiles/dft_config_lib.dir/ScanArchitectConfig.cpp.o /usr/bin/ranlib libdft_config_lib.a gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [ 45%] Built target dft_config_lib /usr/bin/gmake -f src/odb/src/swig/python/CMakeFiles/odb_py.dir/build.make src/odb/src/swig/python/CMakeFiles/odb_py.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 45%] Swig compile ../common/odb.i for python cd /builddir/build/BUILD/openroad/build/src/odb/src/swig/python && /usr/bin/cmake -E make_directory /builddir/build/BUILD/openroad/build/src/odb/src/swig/python/CMakeFiles/odb_py.dir /builddir/build/BUILD/openroad/build/src/odb/src/swig/python /builddir/build/BUILD/openroad/build/src/odb/src/swig/python/CMakeFiles/odb_py.dir cd /builddir/build/BUILD/openroad/build/src/odb/src/swig/python && /usr/bin/cmake -E env SWIG_LIB=/usr/share/swig/4.0.2 /usr/bin/swig -python -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/src/swig/python -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/usr/include/python3.11 -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/include -I/usr/include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/odb/src/swig/common/. -Werror -w317,325,378,401,402,467,472,503,509 -outdir /builddir/build/BUILD/openroad/build/src/odb/src/swig/python -c++ -module odb_py -interface _odb_py -MF /builddir/build/BUILD/openroad/build/src/odb/src/swig/python/CMakeFiles/odb_py.dir/odb.i.d -MD -I/usr/include/sta -I/usr/include/abc -o /builddir/build/BUILD/openroad/build/src/odb/src/swig/python/CMakeFiles/odb_py.dir/odbPYTHON_wrap.cxx /builddir/build/BUILD/openroad/src/odb/src/swig/common/odb.i [ 45%] Building CXX object src/rcx/src/CMakeFiles/rcx_lib.dir/extDebugPrint.cpp.o cd /builddir/build/BUILD/openroad/build/src/rcx/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/rcx/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/rcx/src/CMakeFiles/rcx_lib.dir/extDebugPrint.cpp.o -MF CMakeFiles/rcx_lib.dir/extDebugPrint.cpp.o.d -o CMakeFiles/rcx_lib.dir/extDebugPrint.cpp.o -c /builddir/build/BUILD/openroad/src/rcx/src/extDebugPrint.cpp [ 45%] Building CXX object src/par/CMakeFiles/par_lib.dir/src/KWayPMRefine.cpp.o cd /builddir/build/BUILD/openroad/build/src/par && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DOR_TOOLS_AS_DYNAMIC_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -DUSE_BOP -DUSE_CBC -DUSE_CLP -DUSE_GLOP -DUSE_GLPK -DUSE_LP_PARSER -DUSE_PDLP -DUSE_SCIP -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/par/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -fwrapv -MD -MT src/par/CMakeFiles/par_lib.dir/src/KWayPMRefine.cpp.o -MF CMakeFiles/par_lib.dir/src/KWayPMRefine.cpp.o.d -o CMakeFiles/par_lib.dir/src/KWayPMRefine.cpp.o -c /builddir/build/BUILD/openroad/src/par/src/KWayPMRefine.cpp [ 46%] Generating odb_py-pythonInitVar.cc cd /builddir/build/BUILD/openroad/src/odb/src/swig/python && /usr/share/opensta/etc/TclEncode.tcl /builddir/build/BUILD/openroad/build/src/odb/src/swig/python/odb_py-pythonInitVar.cc odb_py_python_inits /builddir/build/BUILD/openroad/build/src/odb/src/swig/python/odb_py.py [ 46%] Building CXX object src/par/CMakeFiles/par_lib.dir/src/PriorityQueue.cpp.o cd /builddir/build/BUILD/openroad/build/src/par && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DOR_TOOLS_AS_DYNAMIC_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -DUSE_BOP -DUSE_CBC -DUSE_CLP -DUSE_GLOP -DUSE_GLPK -DUSE_LP_PARSER -DUSE_PDLP -DUSE_SCIP -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/par/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -fwrapv -MD -MT src/par/CMakeFiles/par_lib.dir/src/PriorityQueue.cpp.o -MF CMakeFiles/par_lib.dir/src/PriorityQueue.cpp.o.d -o CMakeFiles/par_lib.dir/src/PriorityQueue.cpp.o -c /builddir/build/BUILD/openroad/src/par/src/PriorityQueue.cpp cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src/odb/src/swig/python /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src/odb/src/swig/python /builddir/build/BUILD/openroad/build/src/odb/src/swig/python/CMakeFiles/odb_py.dir/DependInfo.cmake "--color=" Dependencies file "src/odb/src/swig/python/CMakeFiles/odb_py.dir/odb.i.d" is newer than depends file "/builddir/build/BUILD/openroad/build/src/odb/src/swig/python/CMakeFiles/odb_py.dir/compiler_depend.internal". Consolidate compiler generated dependencies of target odb_py gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' /usr/bin/gmake -f src/odb/src/swig/python/CMakeFiles/odb_py.dir/build.make src/odb/src/swig/python/CMakeFiles/odb_py.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 46%] Building CXX object src/odb/src/swig/python/CMakeFiles/odb_py.dir/CMakeFiles/odb_py.dir/odbPYTHON_wrap.cxx.o cd /builddir/build/BUILD/openroad/build/src/odb/src/swig/python && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/odb/src/swig/common/. -isystem /usr/include/python3.11 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -Wno-cast-qual -Wno-missing-braces -MD -MT src/odb/src/swig/python/CMakeFiles/odb_py.dir/CMakeFiles/odb_py.dir/odbPYTHON_wrap.cxx.o -MF CMakeFiles/odb_py.dir/CMakeFiles/odb_py.dir/odbPYTHON_wrap.cxx.o.d -o CMakeFiles/odb_py.dir/CMakeFiles/odb_py.dir/odbPYTHON_wrap.cxx.o -c /builddir/build/BUILD/openroad/build/src/odb/src/swig/python/CMakeFiles/odb_py.dir/odbPYTHON_wrap.cxx [ 46%] Linking CXX static library libpar_lib.a cd /builddir/build/BUILD/openroad/build/src/par && /usr/bin/cmake -P CMakeFiles/par_lib.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/openroad/build/src/par && /usr/bin/cmake -E cmake_link_script CMakeFiles/par_lib.dir/link.txt --verbose=1 /usr/bin/ar qc libpar_lib.a CMakeFiles/par_lib.dir/src/PartitionMgr.cpp.o CMakeFiles/par_lib.dir/src/TritonPart.cpp.o CMakeFiles/par_lib.dir/src/Hypergraph.cpp.o CMakeFiles/par_lib.dir/src/Utilities.cpp.o CMakeFiles/par_lib.dir/src/Coarsener.cpp.o CMakeFiles/par_lib.dir/src/Multilevel.cpp.o CMakeFiles/par_lib.dir/src/Refiner.cpp.o CMakeFiles/par_lib.dir/src/Partitioner.cpp.o CMakeFiles/par_lib.dir/src/Evaluator.cpp.o CMakeFiles/par_lib.dir/src/GreedyRefine.cpp.o CMakeFiles/par_lib.dir/src/ILPRefine.cpp.o CMakeFiles/par_lib.dir/src/KWayFMRefine.cpp.o CMakeFiles/par_lib.dir/src/KWayPMRefine.cpp.o CMakeFiles/par_lib.dir/src/PriorityQueue.cpp.o /usr/bin/ranlib libpar_lib.a cd /builddir/build/BUILD/openroad/src/par && /builddir/build/BUILD/openroad/etc/find_messages.py > /builddir/build/BUILD/openroad/src/par/messages.txt gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [ 46%] Built target par_lib /usr/bin/gmake -f src/odb/src/swig/tcl/CMakeFiles/odbtcl.dir/build.make src/odb/src/swig/tcl/CMakeFiles/odbtcl.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 46%] Swig compile ../common/odb.i for tcl cd /builddir/build/BUILD/openroad/build/src/odb/src/swig/tcl && /usr/bin/cmake -E make_directory /builddir/build/BUILD/openroad/build/src/odb/src/swig/tcl/CMakeFiles/odbtcl.dir /builddir/build/BUILD/openroad/build/src/odb/src/swig/tcl /builddir/build/BUILD/openroad/build/src/odb/src/swig/tcl/CMakeFiles/odbtcl.dir cd /builddir/build/BUILD/openroad/build/src/odb/src/swig/tcl && /usr/bin/cmake -E env SWIG_LIB=/usr/share/swig/4.0.2 /usr/bin/swig -tcl -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/src/swig/tcl -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/usr/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/odb/src/swig/common/. -namespace -prefix odb -Werror -w317,325,378,401,402,467,472,503,509 -outdir /builddir/build/BUILD/openroad/build/src/odb/src/swig/tcl -c++ -module odbtcl -MF /builddir/build/BUILD/openroad/build/src/odb/src/swig/tcl/CMakeFiles/odbtcl.dir/odb.i.d -MD -I/usr/include/sta -I/usr/include/abc -o /builddir/build/BUILD/openroad/build/src/odb/src/swig/tcl/CMakeFiles/odbtcl.dir/odbTCL_wrap.cxx /builddir/build/BUILD/openroad/src/odb/src/swig/common/odb.i [ 46%] Building CXX object src/rcx/src/CMakeFiles/rcx_lib.dir/extstats.cpp.o cd /builddir/build/BUILD/openroad/build/src/rcx/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/rcx/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/rcx/src/CMakeFiles/rcx_lib.dir/extstats.cpp.o -MF CMakeFiles/rcx_lib.dir/extstats.cpp.o.d -o CMakeFiles/rcx_lib.dir/extstats.cpp.o -c /builddir/build/BUILD/openroad/src/rcx/src/extstats.cpp [ 46%] Building CXX object src/gui/CMakeFiles/gui.dir/src/tclCmdHighlighter.cpp.o cd /builddir/build/BUILD/openroad/build/src/gui && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/builddir/build/BUILD/openroad/build/src/gui -I/builddir/build/BUILD/openroad/src/gui -I/builddir/build/BUILD/openroad/build/src/gui/gui_autogen/include -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/gui/include -I/usr/share/opensta/include -I/builddir/build/BUILD/openroad/src/dbSta/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -fPIC -MD -MT src/gui/CMakeFiles/gui.dir/src/tclCmdHighlighter.cpp.o -MF CMakeFiles/gui.dir/src/tclCmdHighlighter.cpp.o.d -o CMakeFiles/gui.dir/src/tclCmdHighlighter.cpp.o -c /builddir/build/BUILD/openroad/src/gui/src/tclCmdHighlighter.cpp cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src/odb/src/swig/tcl /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src/odb/src/swig/tcl /builddir/build/BUILD/openroad/build/src/odb/src/swig/tcl/CMakeFiles/odbtcl.dir/DependInfo.cmake "--color=" Dependencies file "src/odb/src/swig/tcl/CMakeFiles/odbtcl.dir/odb.i.d" is newer than depends file "/builddir/build/BUILD/openroad/build/src/odb/src/swig/tcl/CMakeFiles/odbtcl.dir/compiler_depend.internal". [ 46%] Building CXX object src/rcx/src/CMakeFiles/rcx_lib.dir/extprocess.cpp.o Consolidate compiler generated dependencies of target odbtcl cd /builddir/build/BUILD/openroad/build/src/rcx/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/rcx/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/rcx/src/CMakeFiles/rcx_lib.dir/extprocess.cpp.o -MF CMakeFiles/rcx_lib.dir/extprocess.cpp.o.d -o CMakeFiles/rcx_lib.dir/extprocess.cpp.o -c /builddir/build/BUILD/openroad/src/rcx/src/extprocess.cpp gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' /usr/bin/gmake -f src/odb/src/swig/tcl/CMakeFiles/odbtcl.dir/build.make src/odb/src/swig/tcl/CMakeFiles/odbtcl.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 47%] Building CXX object src/odb/src/swig/tcl/CMakeFiles/odbtcl.dir/CMakeFiles/odbtcl.dir/odbTCL_wrap.cxx.o cd /builddir/build/BUILD/openroad/build/src/odb/src/swig/tcl && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/odb/src/swig/common/. -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -Wno-cast-qual -Wno-missing-braces -MD -MT src/odb/src/swig/tcl/CMakeFiles/odbtcl.dir/CMakeFiles/odbtcl.dir/odbTCL_wrap.cxx.o -MF CMakeFiles/odbtcl.dir/CMakeFiles/odbtcl.dir/odbTCL_wrap.cxx.o.d -o CMakeFiles/odbtcl.dir/CMakeFiles/odbtcl.dir/odbTCL_wrap.cxx.o -c /builddir/build/BUILD/openroad/build/src/odb/src/swig/tcl/CMakeFiles/odbtcl.dir/odbTCL_wrap.cxx [ 47%] Building CXX object src/gui/CMakeFiles/gui.dir/src/displayControls.cpp.o cd /builddir/build/BUILD/openroad/build/src/gui && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/builddir/build/BUILD/openroad/build/src/gui -I/builddir/build/BUILD/openroad/src/gui -I/builddir/build/BUILD/openroad/build/src/gui/gui_autogen/include -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/gui/include -I/usr/share/opensta/include -I/builddir/build/BUILD/openroad/src/dbSta/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -fPIC -MD -MT src/gui/CMakeFiles/gui.dir/src/displayControls.cpp.o -MF CMakeFiles/gui.dir/src/displayControls.cpp.o.d -o CMakeFiles/gui.dir/src/displayControls.cpp.o -c /builddir/build/BUILD/openroad/src/gui/src/displayControls.cpp [ 47%] Building CXX object src/rcx/src/CMakeFiles/rcx_lib.dir/netRC.cpp.o cd /builddir/build/BUILD/openroad/build/src/rcx/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/rcx/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/rcx/src/CMakeFiles/rcx_lib.dir/netRC.cpp.o -MF CMakeFiles/rcx_lib.dir/netRC.cpp.o.d -o CMakeFiles/rcx_lib.dir/netRC.cpp.o -c /builddir/build/BUILD/openroad/src/rcx/src/netRC.cpp [ 48%] Building CXX object src/rcx/src/CMakeFiles/rcx_lib.dir/extmeasure_res.cpp.o cd /builddir/build/BUILD/openroad/build/src/rcx/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/rcx/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/rcx/src/CMakeFiles/rcx_lib.dir/extmeasure_res.cpp.o -MF CMakeFiles/rcx_lib.dir/extmeasure_res.cpp.o.d -o CMakeFiles/rcx_lib.dir/extmeasure_res.cpp.o -c /builddir/build/BUILD/openroad/src/rcx/src/extmeasure_res.cpp [ 48%] Building CXX object src/rcx/src/CMakeFiles/rcx_lib.dir/name.cpp.o cd /builddir/build/BUILD/openroad/build/src/rcx/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/rcx/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/rcx/src/CMakeFiles/rcx_lib.dir/name.cpp.o -MF CMakeFiles/rcx_lib.dir/name.cpp.o.d -o CMakeFiles/rcx_lib.dir/name.cpp.o -c /builddir/build/BUILD/openroad/src/rcx/src/name.cpp [ 49%] Building CXX object src/gui/CMakeFiles/gui.dir/src/gui.cpp.o cd /builddir/build/BUILD/openroad/build/src/gui && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/builddir/build/BUILD/openroad/build/src/gui -I/builddir/build/BUILD/openroad/src/gui -I/builddir/build/BUILD/openroad/build/src/gui/gui_autogen/include -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/gui/include -I/usr/share/opensta/include -I/builddir/build/BUILD/openroad/src/dbSta/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -fPIC -MD -MT src/gui/CMakeFiles/gui.dir/src/gui.cpp.o -MF CMakeFiles/gui.dir/src/gui.cpp.o.d -o CMakeFiles/gui.dir/src/gui.cpp.o -c /builddir/build/BUILD/openroad/src/gui/src/gui.cpp [ 49%] Linking CXX static library librcx_lib.a cd /builddir/build/BUILD/openroad/build/src/rcx/src && /usr/bin/cmake -P CMakeFiles/rcx_lib.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/openroad/build/src/rcx/src && /usr/bin/cmake -E cmake_link_script CMakeFiles/rcx_lib.dir/link.txt --verbose=1 /usr/bin/ar qc librcx_lib.a CMakeFiles/rcx_lib.dir/ext.cpp.o CMakeFiles/rcx_lib.dir/extBench.cpp.o CMakeFiles/rcx_lib.dir/extBenchDB.cpp.o CMakeFiles/rcx_lib.dir/extCC.cpp.o CMakeFiles/rcx_lib.dir/extCoords.cpp.o CMakeFiles/rcx_lib.dir/extFlow.cpp.o CMakeFiles/rcx_lib.dir/extRCmodel.cpp.o CMakeFiles/rcx_lib.dir/extSpef.cpp.o CMakeFiles/rcx_lib.dir/extSpefIn.cpp.o CMakeFiles/rcx_lib.dir/extmain.cpp.o CMakeFiles/rcx_lib.dir/extmeasure.cpp.o CMakeFiles/rcx_lib.dir/extDebugPrint.cpp.o CMakeFiles/rcx_lib.dir/extstats.cpp.o CMakeFiles/rcx_lib.dir/extprocess.cpp.o CMakeFiles/rcx_lib.dir/netRC.cpp.o CMakeFiles/rcx_lib.dir/extmeasure_res.cpp.o CMakeFiles/rcx_lib.dir/name.cpp.o /usr/bin/ranlib librcx_lib.a gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [ 49%] Built target rcx_lib /usr/bin/gmake -f src/grt/src/fastroute/CMakeFiles/FastRoute4.1.dir/build.make src/grt/src/fastroute/CMakeFiles/FastRoute4.1.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src/grt/src/fastroute /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src/grt/src/fastroute /builddir/build/BUILD/openroad/build/src/grt/src/fastroute/CMakeFiles/FastRoute4.1.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' /usr/bin/gmake -f src/grt/src/fastroute/CMakeFiles/FastRoute4.1.dir/build.make src/grt/src/fastroute/CMakeFiles/FastRoute4.1.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 49%] Building CXX object src/grt/src/fastroute/CMakeFiles/FastRoute4.1.dir/src/FastRoute.cpp.o cd /builddir/build/BUILD/openroad/build/src/grt/src/fastroute && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/../../include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/grt/src/fastroute/CMakeFiles/FastRoute4.1.dir/src/FastRoute.cpp.o -MF CMakeFiles/FastRoute4.1.dir/src/FastRoute.cpp.o.d -o CMakeFiles/FastRoute4.1.dir/src/FastRoute.cpp.o -c /builddir/build/BUILD/openroad/src/grt/src/fastroute/src/FastRoute.cpp [ 49%] Building CXX object src/grt/src/fastroute/CMakeFiles/FastRoute4.1.dir/src/RSMT.cpp.o cd /builddir/build/BUILD/openroad/build/src/grt/src/fastroute && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/../../include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/grt/src/fastroute/CMakeFiles/FastRoute4.1.dir/src/RSMT.cpp.o -MF CMakeFiles/FastRoute4.1.dir/src/RSMT.cpp.o.d -o CMakeFiles/FastRoute4.1.dir/src/RSMT.cpp.o -c /builddir/build/BUILD/openroad/src/grt/src/fastroute/src/RSMT.cpp [ 49%] Building CXX object src/gui/CMakeFiles/gui.dir/src/search.cpp.o cd /builddir/build/BUILD/openroad/build/src/gui && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/builddir/build/BUILD/openroad/build/src/gui -I/builddir/build/BUILD/openroad/src/gui -I/builddir/build/BUILD/openroad/build/src/gui/gui_autogen/include -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/gui/include -I/usr/share/opensta/include -I/builddir/build/BUILD/openroad/src/dbSta/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -fPIC -MD -MT src/gui/CMakeFiles/gui.dir/src/search.cpp.o -MF CMakeFiles/gui.dir/src/search.cpp.o.d -o CMakeFiles/gui.dir/src/search.cpp.o -c /builddir/build/BUILD/openroad/src/gui/src/search.cpp [ 49%] Building CXX object src/grt/src/fastroute/CMakeFiles/FastRoute4.1.dir/src/RipUp.cpp.o cd /builddir/build/BUILD/openroad/build/src/grt/src/fastroute && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/../../include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/grt/src/fastroute/CMakeFiles/FastRoute4.1.dir/src/RipUp.cpp.o -MF CMakeFiles/FastRoute4.1.dir/src/RipUp.cpp.o.d -o CMakeFiles/FastRoute4.1.dir/src/RipUp.cpp.o -c /builddir/build/BUILD/openroad/src/grt/src/fastroute/src/RipUp.cpp [ 49%] Building CXX object src/grt/src/fastroute/CMakeFiles/FastRoute4.1.dir/src/maze.cpp.o cd /builddir/build/BUILD/openroad/build/src/grt/src/fastroute && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/../../include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/grt/src/fastroute/CMakeFiles/FastRoute4.1.dir/src/maze.cpp.o -MF CMakeFiles/FastRoute4.1.dir/src/maze.cpp.o.d -o CMakeFiles/FastRoute4.1.dir/src/maze.cpp.o -c /builddir/build/BUILD/openroad/src/grt/src/fastroute/src/maze.cpp [ 49%] Building CXX object src/grt/src/fastroute/CMakeFiles/FastRoute4.1.dir/src/maze3D.cpp.o cd /builddir/build/BUILD/openroad/build/src/grt/src/fastroute && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/../../include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/grt/src/fastroute/CMakeFiles/FastRoute4.1.dir/src/maze3D.cpp.o -MF CMakeFiles/FastRoute4.1.dir/src/maze3D.cpp.o.d -o CMakeFiles/FastRoute4.1.dir/src/maze3D.cpp.o -c /builddir/build/BUILD/openroad/src/grt/src/fastroute/src/maze3D.cpp [ 49%] Building CXX object src/gui/CMakeFiles/gui.dir/src/findDialog.cpp.o cd /builddir/build/BUILD/openroad/build/src/gui && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/builddir/build/BUILD/openroad/build/src/gui -I/builddir/build/BUILD/openroad/src/gui -I/builddir/build/BUILD/openroad/build/src/gui/gui_autogen/include -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/gui/include -I/usr/share/opensta/include -I/builddir/build/BUILD/openroad/src/dbSta/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -fPIC -MD -MT src/gui/CMakeFiles/gui.dir/src/findDialog.cpp.o -MF CMakeFiles/gui.dir/src/findDialog.cpp.o.d -o CMakeFiles/gui.dir/src/findDialog.cpp.o -c /builddir/build/BUILD/openroad/src/gui/src/findDialog.cpp [ 49%] Building CXX object src/odb/src/swig/python/CMakeFiles/odb_py.dir/odb_py-pythonInitVar.cc.o cd /builddir/build/BUILD/openroad/build/src/odb/src/swig/python && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/odb/src/swig/common/. -isystem /usr/include/python3.11 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/swig/python/CMakeFiles/odb_py.dir/odb_py-pythonInitVar.cc.o -MF CMakeFiles/odb_py.dir/odb_py-pythonInitVar.cc.o.d -o CMakeFiles/odb_py.dir/odb_py-pythonInitVar.cc.o -c /builddir/build/BUILD/openroad/build/src/odb/src/swig/python/odb_py-pythonInitVar.cc [ 49%] Linking CXX static library _odb_py.a cd /builddir/build/BUILD/openroad/build/src/odb/src/swig/python && /usr/bin/cmake -P CMakeFiles/odb_py.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/openroad/build/src/odb/src/swig/python && /usr/bin/cmake -E cmake_link_script CMakeFiles/odb_py.dir/link.txt --verbose=1 /usr/bin/ar qc _odb_py.a CMakeFiles/odb_py.dir/CMakeFiles/odb_py.dir/odbPYTHON_wrap.cxx.o "CMakeFiles/odb_py.dir/odb_py-pythonInitVar.cc.o" /usr/bin/ranlib _odb_py.a gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [ 49%] Built target odb_py /usr/bin/gmake -f src/dpo/CMakeFiles/dpo.dir/build.make src/dpo/CMakeFiles/dpo.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 49%] Generating dpo-tclInitVar.cc cd /builddir/build/BUILD/openroad/src/dpo && /usr/share/opensta/etc/TclEncode.tcl /builddir/build/BUILD/openroad/build/src/dpo/dpo-tclInitVar.cc dpo_tcl_inits src/Optdp.tcl [ 49%] Swig compile src/Optdp.i for tcl cd /builddir/build/BUILD/openroad/build/src/dpo && /usr/bin/cmake -E make_directory /builddir/build/BUILD/openroad/build/src/dpo/CMakeFiles/dpo.dir /builddir/build/BUILD/openroad/build/src/dpo /builddir/build/BUILD/openroad/build/src/dpo/CMakeFiles/dpo.dir cd /builddir/build/BUILD/openroad/build/src/dpo && /usr/bin/cmake -E env SWIG_LIB=/usr/share/swig/4.0.2 /usr/bin/swig -tcl -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/usr/include -I/builddir/build/BUILD/openroad/src/dpo/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dpl/include -namespace -prefix dpo -Werror -w317,325,378,401,402,467,472,503,509 -outdir /builddir/build/BUILD/openroad/build/src/dpo -c++ -module dpo -MF /builddir/build/BUILD/openroad/build/src/dpo/CMakeFiles/dpo.dir/Optdp.i.d -MD -I/usr/include/sta -I/usr/include/abc -o /builddir/build/BUILD/openroad/build/src/dpo/CMakeFiles/dpo.dir/OptdpTCL_wrap.cxx /builddir/build/BUILD/openroad/src/dpo/src/Optdp.i cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src/dpo /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src/dpo /builddir/build/BUILD/openroad/build/src/dpo/CMakeFiles/dpo.dir/DependInfo.cmake "--color=" Dependencies file "src/dpo/CMakeFiles/dpo.dir/Optdp.i.d" is newer than depends file "/builddir/build/BUILD/openroad/build/src/dpo/CMakeFiles/dpo.dir/compiler_depend.internal". Consolidate compiler generated dependencies of target dpo gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' /usr/bin/gmake -f src/dpo/CMakeFiles/dpo.dir/build.make src/dpo/CMakeFiles/dpo.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 49%] Building CXX object src/dpo/CMakeFiles/dpo.dir/CMakeFiles/dpo.dir/OptdpTCL_wrap.cxx.o cd /builddir/build/BUILD/openroad/build/src/dpo && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/dpo/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dpl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -Wno-cast-qual -Wno-missing-braces -MD -MT src/dpo/CMakeFiles/dpo.dir/CMakeFiles/dpo.dir/OptdpTCL_wrap.cxx.o -MF CMakeFiles/dpo.dir/CMakeFiles/dpo.dir/OptdpTCL_wrap.cxx.o.d -o CMakeFiles/dpo.dir/CMakeFiles/dpo.dir/OptdpTCL_wrap.cxx.o -c /builddir/build/BUILD/openroad/build/src/dpo/CMakeFiles/dpo.dir/OptdpTCL_wrap.cxx [ 49%] Building CXX object src/dpo/CMakeFiles/dpo.dir/dpo-tclInitVar.cc.o cd /builddir/build/BUILD/openroad/build/src/dpo && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/dpo/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dpl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/dpo/CMakeFiles/dpo.dir/dpo-tclInitVar.cc.o -MF CMakeFiles/dpo.dir/dpo-tclInitVar.cc.o.d -o CMakeFiles/dpo.dir/dpo-tclInitVar.cc.o -c /builddir/build/BUILD/openroad/build/src/dpo/dpo-tclInitVar.cc [ 49%] Building CXX object src/dpo/CMakeFiles/dpo.dir/src/Optdp.cpp.o cd /builddir/build/BUILD/openroad/build/src/dpo && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/dpo/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dpl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/dpo/CMakeFiles/dpo.dir/src/Optdp.cpp.o -MF CMakeFiles/dpo.dir/src/Optdp.cpp.o.d -o CMakeFiles/dpo.dir/src/Optdp.cpp.o -c /builddir/build/BUILD/openroad/src/dpo/src/Optdp.cpp [ 49%] Building CXX object src/gui/CMakeFiles/gui.dir/src/gotoDialog.cpp.o cd /builddir/build/BUILD/openroad/build/src/gui && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/builddir/build/BUILD/openroad/build/src/gui -I/builddir/build/BUILD/openroad/src/gui -I/builddir/build/BUILD/openroad/build/src/gui/gui_autogen/include -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/gui/include -I/usr/share/opensta/include -I/builddir/build/BUILD/openroad/src/dbSta/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -fPIC -MD -MT src/gui/CMakeFiles/gui.dir/src/gotoDialog.cpp.o -MF CMakeFiles/gui.dir/src/gotoDialog.cpp.o.d -o CMakeFiles/gui.dir/src/gotoDialog.cpp.o -c /builddir/build/BUILD/openroad/src/gui/src/gotoDialog.cpp [ 49%] Building CXX object src/grt/src/fastroute/CMakeFiles/FastRoute4.1.dir/src/route.cpp.o cd /builddir/build/BUILD/openroad/build/src/grt/src/fastroute && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/../../include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/grt/src/fastroute/CMakeFiles/FastRoute4.1.dir/src/route.cpp.o -MF CMakeFiles/FastRoute4.1.dir/src/route.cpp.o.d -o CMakeFiles/FastRoute4.1.dir/src/route.cpp.o -c /builddir/build/BUILD/openroad/src/grt/src/fastroute/src/route.cpp [ 49%] Building CXX object src/gui/CMakeFiles/gui.dir/src/inspector.cpp.o cd /builddir/build/BUILD/openroad/build/src/gui && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/builddir/build/BUILD/openroad/build/src/gui -I/builddir/build/BUILD/openroad/src/gui -I/builddir/build/BUILD/openroad/build/src/gui/gui_autogen/include -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/gui/include -I/usr/share/opensta/include -I/builddir/build/BUILD/openroad/src/dbSta/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -fPIC -MD -MT src/gui/CMakeFiles/gui.dir/src/inspector.cpp.o -MF CMakeFiles/gui.dir/src/inspector.cpp.o.d -o CMakeFiles/gui.dir/src/inspector.cpp.o -c /builddir/build/BUILD/openroad/src/gui/src/inspector.cpp [ 49%] Building CXX object src/dpo/CMakeFiles/dpo.dir/src/MakeOptdp.cpp.o cd /builddir/build/BUILD/openroad/build/src/dpo && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/dpo/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dpl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/dpo/CMakeFiles/dpo.dir/src/MakeOptdp.cpp.o -MF CMakeFiles/dpo.dir/src/MakeOptdp.cpp.o.d -o CMakeFiles/dpo.dir/src/MakeOptdp.cpp.o -c /builddir/build/BUILD/openroad/src/dpo/src/MakeOptdp.cpp [ 49%] Building CXX object src/grt/src/fastroute/CMakeFiles/FastRoute4.1.dir/src/utility.cpp.o cd /builddir/build/BUILD/openroad/build/src/grt/src/fastroute && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/../../include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/grt/src/fastroute/CMakeFiles/FastRoute4.1.dir/src/utility.cpp.o -MF CMakeFiles/FastRoute4.1.dir/src/utility.cpp.o.d -o CMakeFiles/FastRoute4.1.dir/src/utility.cpp.o -c /builddir/build/BUILD/openroad/src/grt/src/fastroute/src/utility.cpp [ 49%] Building CXX object src/dpo/CMakeFiles/dpo.dir/src/architecture.cxx.o cd /builddir/build/BUILD/openroad/build/src/dpo && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/dpo/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dpl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/dpo/CMakeFiles/dpo.dir/src/architecture.cxx.o -MF CMakeFiles/dpo.dir/src/architecture.cxx.o.d -o CMakeFiles/dpo.dir/src/architecture.cxx.o -c /builddir/build/BUILD/openroad/src/dpo/src/architecture.cxx [ 49%] Building CXX object src/gui/CMakeFiles/gui.dir/src/dbDescriptors.cpp.o cd /builddir/build/BUILD/openroad/build/src/gui && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/builddir/build/BUILD/openroad/build/src/gui -I/builddir/build/BUILD/openroad/src/gui -I/builddir/build/BUILD/openroad/build/src/gui/gui_autogen/include -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/gui/include -I/usr/share/opensta/include -I/builddir/build/BUILD/openroad/src/dbSta/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -fPIC -MD -MT src/gui/CMakeFiles/gui.dir/src/dbDescriptors.cpp.o -MF CMakeFiles/gui.dir/src/dbDescriptors.cpp.o.d -o CMakeFiles/gui.dir/src/dbDescriptors.cpp.o -c /builddir/build/BUILD/openroad/src/gui/src/dbDescriptors.cpp [ 49%] Building CXX object src/dpo/CMakeFiles/dpo.dir/src/network.cxx.o cd /builddir/build/BUILD/openroad/build/src/dpo && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/dpo/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dpl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/dpo/CMakeFiles/dpo.dir/src/network.cxx.o -MF CMakeFiles/dpo.dir/src/network.cxx.o.d -o CMakeFiles/dpo.dir/src/network.cxx.o -c /builddir/build/BUILD/openroad/src/dpo/src/network.cxx [ 49%] Linking CXX static library odbtcl.a cd /builddir/build/BUILD/openroad/build/src/odb/src/swig/tcl && /usr/bin/cmake -P CMakeFiles/odbtcl.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/openroad/build/src/odb/src/swig/tcl && /usr/bin/cmake -E cmake_link_script CMakeFiles/odbtcl.dir/link.txt --verbose=1 /usr/bin/ar qc odbtcl.a CMakeFiles/odbtcl.dir/CMakeFiles/odbtcl.dir/odbTCL_wrap.cxx.o /usr/bin/ranlib odbtcl.a gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [ 49%] Built target odbtcl /usr/bin/gmake -f src/tap/src/CMakeFiles/tap_py.dir/build.make src/tap/src/CMakeFiles/tap_py.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 50%] Building CXX object src/dpo/CMakeFiles/dpo.dir/src/router.cxx.o cd /builddir/build/BUILD/openroad/build/src/dpo && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/dpo/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dpl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/dpo/CMakeFiles/dpo.dir/src/router.cxx.o -MF CMakeFiles/dpo.dir/src/router.cxx.o.d -o CMakeFiles/dpo.dir/src/router.cxx.o -c /builddir/build/BUILD/openroad/src/dpo/src/router.cxx [ 50%] Swig compile tapcell-py.i for python cd /builddir/build/BUILD/openroad/build/src/tap/src && /usr/bin/cmake -E make_directory /builddir/build/BUILD/openroad/build/src/tap/src/CMakeFiles/tap_py.dir /builddir/build/BUILD/openroad/build/src/tap/src /builddir/build/BUILD/openroad/build/src/tap/src/CMakeFiles/tap_py.dir cd /builddir/build/BUILD/openroad/build/src/tap/src && /usr/bin/cmake -E env SWIG_LIB=/usr/share/swig/4.0.2 /usr/bin/swig -python -I/builddir/build/BUILD/openroad/src/tap/include -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/usr/include/python3.11 -I/builddir/build/BUILD/openroad/src/tap/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/usr/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -Werror -w317,325,378,401,402,467,472,503,509 -outdir /builddir/build/BUILD/openroad/build/src/tap/src -c++ -module tap_py -interface _tap_py -MF /builddir/build/BUILD/openroad/build/src/tap/src/CMakeFiles/tap_py.dir/tapcell-py.i.d -MD -I/usr/include/sta -I/usr/include/abc -o /builddir/build/BUILD/openroad/build/src/tap/src/CMakeFiles/tap_py.dir/tapcell-pyPYTHON_wrap.cxx /builddir/build/BUILD/openroad/src/tap/src/tapcell-py.i [ 50%] Generating tap_py-pythonInitVar.cc cd /builddir/build/BUILD/openroad/src/tap/src && /usr/share/opensta/etc/TclEncode.tcl /builddir/build/BUILD/openroad/build/src/tap/src/tap_py-pythonInitVar.cc tap_py_python_inits /builddir/build/BUILD/openroad/build/src/tap/src/tap_py.py cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src/tap/src /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src/tap/src /builddir/build/BUILD/openroad/build/src/tap/src/CMakeFiles/tap_py.dir/DependInfo.cmake "--color=" Dependencies file "src/tap/src/CMakeFiles/tap_py.dir/tapcell-py.i.d" is newer than depends file "/builddir/build/BUILD/openroad/build/src/tap/src/CMakeFiles/tap_py.dir/compiler_depend.internal". Consolidate compiler generated dependencies of target tap_py gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' /usr/bin/gmake -f src/tap/src/CMakeFiles/tap_py.dir/build.make src/tap/src/CMakeFiles/tap_py.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 50%] Building CXX object src/tap/src/CMakeFiles/tap_py.dir/CMakeFiles/tap_py.dir/tapcell-pyPYTHON_wrap.cxx.o cd /builddir/build/BUILD/openroad/build/src/tap/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/tap/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -isystem /usr/include/python3.11 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -Wno-cast-qual -Wno-missing-braces -MD -MT src/tap/src/CMakeFiles/tap_py.dir/CMakeFiles/tap_py.dir/tapcell-pyPYTHON_wrap.cxx.o -MF CMakeFiles/tap_py.dir/CMakeFiles/tap_py.dir/tapcell-pyPYTHON_wrap.cxx.o.d -o CMakeFiles/tap_py.dir/CMakeFiles/tap_py.dir/tapcell-pyPYTHON_wrap.cxx.o -c /builddir/build/BUILD/openroad/build/src/tap/src/CMakeFiles/tap_py.dir/tapcell-pyPYTHON_wrap.cxx [ 50%] Building CXX object src/dpo/CMakeFiles/dpo.dir/src/utility.cxx.o cd /builddir/build/BUILD/openroad/build/src/dpo && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/dpo/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dpl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/dpo/CMakeFiles/dpo.dir/src/utility.cxx.o -MF CMakeFiles/dpo.dir/src/utility.cxx.o.d -o CMakeFiles/dpo.dir/src/utility.cxx.o -c /builddir/build/BUILD/openroad/src/dpo/src/utility.cxx [ 50%] Building CXX object src/dpo/CMakeFiles/dpo.dir/src/legalize_shift.cxx.o cd /builddir/build/BUILD/openroad/build/src/dpo && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/dpo/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dpl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/dpo/CMakeFiles/dpo.dir/src/legalize_shift.cxx.o -MF CMakeFiles/dpo.dir/src/legalize_shift.cxx.o.d -o CMakeFiles/dpo.dir/src/legalize_shift.cxx.o -c /builddir/build/BUILD/openroad/src/dpo/src/legalize_shift.cxx [ 50%] Building CXX object src/tap/src/CMakeFiles/tap_py.dir/tap_py-pythonInitVar.cc.o cd /builddir/build/BUILD/openroad/build/src/tap/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/tap/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -isystem /usr/include/python3.11 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/tap/src/CMakeFiles/tap_py.dir/tap_py-pythonInitVar.cc.o -MF CMakeFiles/tap_py.dir/tap_py-pythonInitVar.cc.o.d -o CMakeFiles/tap_py.dir/tap_py-pythonInitVar.cc.o -c /builddir/build/BUILD/openroad/build/src/tap/src/tap_py-pythonInitVar.cc [ 50%] Linking CXX static library _tap_py.a cd /builddir/build/BUILD/openroad/build/src/tap/src && /usr/bin/cmake -P CMakeFiles/tap_py.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/openroad/build/src/tap/src && /usr/bin/cmake -E cmake_link_script CMakeFiles/tap_py.dir/link.txt --verbose=1 /usr/bin/ar qc _tap_py.a "CMakeFiles/tap_py.dir/CMakeFiles/tap_py.dir/tapcell-pyPYTHON_wrap.cxx.o" "CMakeFiles/tap_py.dir/tap_py-pythonInitVar.cc.o" /usr/bin/ranlib _tap_py.a gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [ 50%] Built target tap_py /usr/bin/gmake -f src/mpl2/CMakeFiles/mpl2_lib.dir/build.make src/mpl2/CMakeFiles/mpl2_lib.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src/mpl2 /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src/mpl2 /builddir/build/BUILD/openroad/build/src/mpl2/CMakeFiles/mpl2_lib.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' /usr/bin/gmake -f src/mpl2/CMakeFiles/mpl2_lib.dir/build.make src/mpl2/CMakeFiles/mpl2_lib.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 50%] Building CXX object src/mpl2/CMakeFiles/mpl2_lib.dir/src/rtl_mp.cpp.o cd /builddir/build/BUILD/openroad/build/src/mpl2 && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DOR_TOOLS_AS_DYNAMIC_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -DUSE_BOP -DUSE_CBC -DUSE_CLP -DUSE_GLOP -DUSE_GLPK -DUSE_LP_PARSER -DUSE_PDLP -DUSE_SCIP -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/mpl2/include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/par/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -fwrapv -MD -MT src/mpl2/CMakeFiles/mpl2_lib.dir/src/rtl_mp.cpp.o -MF CMakeFiles/mpl2_lib.dir/src/rtl_mp.cpp.o.d -o CMakeFiles/mpl2_lib.dir/src/rtl_mp.cpp.o -c /builddir/build/BUILD/openroad/src/mpl2/src/rtl_mp.cpp [ 50%] Building CXX object src/dpo/CMakeFiles/dpo.dir/src/detailed.cxx.o cd /builddir/build/BUILD/openroad/build/src/dpo && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/dpo/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dpl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/dpo/CMakeFiles/dpo.dir/src/detailed.cxx.o -MF CMakeFiles/dpo.dir/src/detailed.cxx.o.d -o CMakeFiles/dpo.dir/src/detailed.cxx.o -c /builddir/build/BUILD/openroad/src/dpo/src/detailed.cxx [ 50%] Linking CXX static library libFastRoute4.1.a cd /builddir/build/BUILD/openroad/build/src/grt/src/fastroute && /usr/bin/cmake -P CMakeFiles/FastRoute4.1.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/openroad/build/src/grt/src/fastroute && /usr/bin/cmake -E cmake_link_script CMakeFiles/FastRoute4.1.dir/link.txt --verbose=1 /usr/bin/ar qc libFastRoute4.1.a CMakeFiles/FastRoute4.1.dir/src/FastRoute.cpp.o CMakeFiles/FastRoute4.1.dir/src/RSMT.cpp.o CMakeFiles/FastRoute4.1.dir/src/RipUp.cpp.o CMakeFiles/FastRoute4.1.dir/src/maze.cpp.o CMakeFiles/FastRoute4.1.dir/src/maze3D.cpp.o CMakeFiles/FastRoute4.1.dir/src/route.cpp.o CMakeFiles/FastRoute4.1.dir/src/utility.cpp.o /usr/bin/ranlib libFastRoute4.1.a [ 50%] Building CXX object src/mpl2/CMakeFiles/mpl2_lib.dir/src/hier_rtlmp.cpp.o cd /builddir/build/BUILD/openroad/build/src/mpl2 && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DOR_TOOLS_AS_DYNAMIC_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -DUSE_BOP -DUSE_CBC -DUSE_CLP -DUSE_GLOP -DUSE_GLPK -DUSE_LP_PARSER -DUSE_PDLP -DUSE_SCIP -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/mpl2/include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/par/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -fwrapv -MD -MT src/mpl2/CMakeFiles/mpl2_lib.dir/src/hier_rtlmp.cpp.o -MF CMakeFiles/mpl2_lib.dir/src/hier_rtlmp.cpp.o.d -o CMakeFiles/mpl2_lib.dir/src/hier_rtlmp.cpp.o -c /builddir/build/BUILD/openroad/src/mpl2/src/hier_rtlmp.cpp gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [ 50%] Built target FastRoute4.1 /usr/bin/gmake -f src/rcx/src/CMakeFiles/rcx.dir/build.make src/rcx/src/CMakeFiles/rcx.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 50%] Generating rcx-tclInitVar.cc cd /builddir/build/BUILD/openroad/src/rcx/src && /usr/share/opensta/etc/TclEncode.tcl /builddir/build/BUILD/openroad/build/src/rcx/src/rcx-tclInitVar.cc rcx_tcl_inits OpenRCX.tcl [ 50%] Swig compile ext.i for tcl cd /builddir/build/BUILD/openroad/build/src/rcx/src && /usr/bin/cmake -E make_directory /builddir/build/BUILD/openroad/build/src/rcx/src/CMakeFiles/rcx.dir /builddir/build/BUILD/openroad/build/src/rcx/src /builddir/build/BUILD/openroad/build/src/rcx/src/CMakeFiles/rcx.dir cd /builddir/build/BUILD/openroad/build/src/rcx/src && /usr/bin/cmake -E env SWIG_LIB=/usr/share/swig/4.0.2 /usr/bin/swig -tcl -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/usr/include -I/builddir/build/BUILD/openroad/src/rcx/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -namespace -prefix rcx -Werror -w317,325,378,401,402,467,472,503,509 -outdir /builddir/build/BUILD/openroad/build/src/rcx/src -c++ -module rcx -MF /builddir/build/BUILD/openroad/build/src/rcx/src/CMakeFiles/rcx.dir/ext.i.d -MD -I/usr/include/sta -I/usr/include/abc -o /builddir/build/BUILD/openroad/build/src/rcx/src/CMakeFiles/rcx.dir/extTCL_wrap.cxx /builddir/build/BUILD/openroad/src/rcx/src/ext.i cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src/rcx/src /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src/rcx/src /builddir/build/BUILD/openroad/build/src/rcx/src/CMakeFiles/rcx.dir/DependInfo.cmake "--color=" Dependencies file "src/rcx/src/CMakeFiles/rcx.dir/ext.i.d" is newer than depends file "/builddir/build/BUILD/openroad/build/src/rcx/src/CMakeFiles/rcx.dir/compiler_depend.internal". Consolidate compiler generated dependencies of target rcx gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' /usr/bin/gmake -f src/rcx/src/CMakeFiles/rcx.dir/build.make src/rcx/src/CMakeFiles/rcx.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 50%] Building CXX object src/rcx/src/CMakeFiles/rcx.dir/CMakeFiles/rcx.dir/extTCL_wrap.cxx.o cd /builddir/build/BUILD/openroad/build/src/rcx/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/rcx/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -Wno-cast-qual -Wno-missing-braces -MD -MT src/rcx/src/CMakeFiles/rcx.dir/CMakeFiles/rcx.dir/extTCL_wrap.cxx.o -MF CMakeFiles/rcx.dir/CMakeFiles/rcx.dir/extTCL_wrap.cxx.o.d -o CMakeFiles/rcx.dir/CMakeFiles/rcx.dir/extTCL_wrap.cxx.o -c /builddir/build/BUILD/openroad/build/src/rcx/src/CMakeFiles/rcx.dir/extTCL_wrap.cxx [ 51%] Building CXX object src/rcx/src/CMakeFiles/rcx.dir/rcx-tclInitVar.cc.o cd /builddir/build/BUILD/openroad/build/src/rcx/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/rcx/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/rcx/src/CMakeFiles/rcx.dir/rcx-tclInitVar.cc.o -MF CMakeFiles/rcx.dir/rcx-tclInitVar.cc.o.d -o CMakeFiles/rcx.dir/rcx-tclInitVar.cc.o -c /builddir/build/BUILD/openroad/build/src/rcx/src/rcx-tclInitVar.cc [ 51%] Building CXX object src/rcx/src/CMakeFiles/rcx.dir/MakeOpenRCX.cpp.o cd /builddir/build/BUILD/openroad/build/src/rcx/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/rcx/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/rcx/src/CMakeFiles/rcx.dir/MakeOpenRCX.cpp.o -MF CMakeFiles/rcx.dir/MakeOpenRCX.cpp.o.d -o CMakeFiles/rcx.dir/MakeOpenRCX.cpp.o -c /builddir/build/BUILD/openroad/src/rcx/src/MakeOpenRCX.cpp In file included from /usr/include/c++/12/bits/stl_algo.h:60, from /usr/include/c++/12/regex:50, from /builddir/build/BUILD/openroad/src/utl/include/utl/Metrics.h:39, from /builddir/build/BUILD/openroad/src/utl/include/utl/Logger.h:51, from /builddir/build/BUILD/openroad/src/odb/include/odb/odb.h:43, from /builddir/build/BUILD/openroad/src/mpl2/src/object.h:46, from /builddir/build/BUILD/openroad/src/mpl2/src/Mpl2Observer.h:41, from /builddir/build/BUILD/openroad/src/mpl2/src/hier_rtlmp.h:45, from /builddir/build/BUILD/openroad/src/mpl2/src/hier_rtlmp.cpp:35: /usr/include/c++/12/bits/stl_heap.h: In function 'void std::__adjust_heap(_RandomAccessIterator, _Distance, _Distance, _Tp, _Compare) [with _RandomAccessIterator = __gnu_cxx::__normal_iterator*, vector > >; _Distance = long int; _Tp = pair; _Compare = __gnu_cxx::__ops::_Iter_comp_iter&, const pair&)>]': /usr/include/c++/12/bits/stl_heap.h:224:5: note: parameter passing for argument of type 'std::pair' when C++17 is enabled changed to match C++14 in GCC 10.1 224 | __adjust_heap(_RandomAccessIterator __first, _Distance __holeIndex, | ^~~~~~~~~~~~~ [ 51%] Building CXX object src/dpo/CMakeFiles/dpo.dir/src/detailed_manager.cxx.o cd /builddir/build/BUILD/openroad/build/src/dpo && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/dpo/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dpl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/dpo/CMakeFiles/dpo.dir/src/detailed_manager.cxx.o -MF CMakeFiles/dpo.dir/src/detailed_manager.cxx.o.d -o CMakeFiles/dpo.dir/src/detailed_manager.cxx.o -c /builddir/build/BUILD/openroad/src/dpo/src/detailed_manager.cxx [ 51%] Linking CXX static library rcx.a cd /builddir/build/BUILD/openroad/build/src/rcx/src && /usr/bin/cmake -P CMakeFiles/rcx.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/openroad/build/src/rcx/src && /usr/bin/cmake -E cmake_link_script CMakeFiles/rcx.dir/link.txt --verbose=1 /usr/bin/ar qc rcx.a CMakeFiles/rcx.dir/CMakeFiles/rcx.dir/extTCL_wrap.cxx.o "CMakeFiles/rcx.dir/rcx-tclInitVar.cc.o" CMakeFiles/rcx.dir/MakeOpenRCX.cpp.o /usr/bin/ranlib rcx.a cd /builddir/build/BUILD/openroad/src/rcx && /builddir/build/BUILD/openroad/etc/find_messages.py > /builddir/build/BUILD/openroad/src/rcx/src/../messages.txt gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [ 51%] Built target rcx /usr/bin/gmake -f src/rcx/src/CMakeFiles/rcx_py.dir/build.make src/rcx/src/CMakeFiles/rcx_py.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 51%] Swig compile ext-py.i for python cd /builddir/build/BUILD/openroad/build/src/rcx/src && /usr/bin/cmake -E make_directory /builddir/build/BUILD/openroad/build/src/rcx/src/CMakeFiles/rcx_py.dir /builddir/build/BUILD/openroad/build/src/rcx/src /builddir/build/BUILD/openroad/build/src/rcx/src/CMakeFiles/rcx_py.dir cd /builddir/build/BUILD/openroad/build/src/rcx/src && /usr/bin/cmake -E env SWIG_LIB=/usr/share/swig/4.0.2 /usr/bin/swig -python -I/builddir/build/BUILD/openroad/src/rcx/../include -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/usr/include/python3.11 -I/builddir/build/BUILD/openroad/src/rcx/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/usr/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -Werror -w317,325,378,401,402,467,472,503,509 -outdir /builddir/build/BUILD/openroad/build/src/rcx/src -c++ -module rcx_py -interface _rcx_py -MF /builddir/build/BUILD/openroad/build/src/rcx/src/CMakeFiles/rcx_py.dir/ext-py.i.d -MD -I/usr/include/sta -I/usr/include/abc -o /builddir/build/BUILD/openroad/build/src/rcx/src/CMakeFiles/rcx_py.dir/ext-pyPYTHON_wrap.cxx /builddir/build/BUILD/openroad/src/rcx/src/ext-py.i In file included from /usr/include/c++/12/bits/stl_algobase.h:64, from /usr/include/c++/12/vector:60, from /builddir/build/BUILD/openroad/src/dpo/src/detailed_manager.h:44, from /builddir/build/BUILD/openroad/src/dpo/src/detailed_manager.cxx:39: /usr/include/c++/12/bits/stl_pair.h: In instantiation of 'constexpr std::pair::type>::__type, typename std::__strip_reference_wrapper::type>::__type> std::make_pair(_T1&&, _T2&&) [with _T1 = double&; _T2 = double&; typename __strip_reference_wrapper::type>::__type = double; typename decay<_Tp2>::type = double; typename __strip_reference_wrapper::type>::__type = double; typename decay<_Tp>::type = double]': /builddir/build/BUILD/openroad/src/dpo/src/detailed_manager.cxx:968:46: required from here /usr/include/c++/12/bits/stl_pair.h:741:5: note: parameter passing for argument of type 'std::pair' when C++17 is enabled changed to match C++14 in GCC 10.1 741 | make_pair(_T1&& __x, _T2&& __y) | ^~~~~~~~~ [ 51%] Generating rcx_py-pythonInitVar.cc cd /builddir/build/BUILD/openroad/src/rcx/src && /usr/share/opensta/etc/TclEncode.tcl /builddir/build/BUILD/openroad/build/src/rcx/src/rcx_py-pythonInitVar.cc rcx_py_python_inits /builddir/build/BUILD/openroad/build/src/rcx/src/rcx_py.py cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src/rcx/src /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src/rcx/src /builddir/build/BUILD/openroad/build/src/rcx/src/CMakeFiles/rcx_py.dir/DependInfo.cmake "--color=" Dependencies file "src/rcx/src/CMakeFiles/rcx_py.dir/ext-py.i.d" is newer than depends file "/builddir/build/BUILD/openroad/build/src/rcx/src/CMakeFiles/rcx_py.dir/compiler_depend.internal". Consolidate compiler generated dependencies of target rcx_py gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' /usr/bin/gmake -f src/rcx/src/CMakeFiles/rcx_py.dir/build.make src/rcx/src/CMakeFiles/rcx_py.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 51%] Building CXX object src/rcx/src/CMakeFiles/rcx_py.dir/CMakeFiles/rcx_py.dir/ext-pyPYTHON_wrap.cxx.o cd /builddir/build/BUILD/openroad/build/src/rcx/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/rcx/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -isystem /usr/include/python3.11 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -Wno-cast-qual -Wno-missing-braces -MD -MT src/rcx/src/CMakeFiles/rcx_py.dir/CMakeFiles/rcx_py.dir/ext-pyPYTHON_wrap.cxx.o -MF CMakeFiles/rcx_py.dir/CMakeFiles/rcx_py.dir/ext-pyPYTHON_wrap.cxx.o.d -o CMakeFiles/rcx_py.dir/CMakeFiles/rcx_py.dir/ext-pyPYTHON_wrap.cxx.o -c /builddir/build/BUILD/openroad/build/src/rcx/src/CMakeFiles/rcx_py.dir/ext-pyPYTHON_wrap.cxx [ 51%] Building CXX object src/rcx/src/CMakeFiles/rcx_py.dir/rcx_py-pythonInitVar.cc.o cd /builddir/build/BUILD/openroad/build/src/rcx/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/rcx/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -isystem /usr/include/python3.11 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/rcx/src/CMakeFiles/rcx_py.dir/rcx_py-pythonInitVar.cc.o -MF CMakeFiles/rcx_py.dir/rcx_py-pythonInitVar.cc.o.d -o CMakeFiles/rcx_py.dir/rcx_py-pythonInitVar.cc.o -c /builddir/build/BUILD/openroad/build/src/rcx/src/rcx_py-pythonInitVar.cc [ 51%] Linking CXX static library _rcx_py.a cd /builddir/build/BUILD/openroad/build/src/rcx/src && /usr/bin/cmake -P CMakeFiles/rcx_py.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/openroad/build/src/rcx/src && /usr/bin/cmake -E cmake_link_script CMakeFiles/rcx_py.dir/link.txt --verbose=1 /usr/bin/ar qc _rcx_py.a "CMakeFiles/rcx_py.dir/CMakeFiles/rcx_py.dir/ext-pyPYTHON_wrap.cxx.o" "CMakeFiles/rcx_py.dir/rcx_py-pythonInitVar.cc.o" /usr/bin/ranlib _rcx_py.a gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [ 51%] Built target rcx_py /usr/bin/gmake -f src/par/CMakeFiles/par.dir/build.make src/par/CMakeFiles/par.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 51%] Generating par-tclInitVar.cc cd /builddir/build/BUILD/openroad/src/par && /usr/share/opensta/etc/TclEncode.tcl /builddir/build/BUILD/openroad/build/src/par/par-tclInitVar.cc par_tcl_inits src/partitionmgr.tcl [ 51%] Swig compile src/partitionmgr.i for tcl cd /builddir/build/BUILD/openroad/build/src/par && /usr/bin/cmake -E make_directory /builddir/build/BUILD/openroad/build/src/par/CMakeFiles/par.dir /builddir/build/BUILD/openroad/build/src/par /builddir/build/BUILD/openroad/build/src/par/CMakeFiles/par.dir cd /builddir/build/BUILD/openroad/build/src/par && /usr/bin/cmake -E env SWIG_LIB=/usr/share/swig/4.0.2 /usr/bin/swig -tcl -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/usr/include -I/builddir/build/BUILD/openroad/src/par/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -namespace -prefix par -Werror -w317,325,378,401,402,467,472,503,509 -outdir /builddir/build/BUILD/openroad/build/src/par -c++ -module par -MF /builddir/build/BUILD/openroad/build/src/par/CMakeFiles/par.dir/partitionmgr.i.d -MD -I/usr/include/sta -I/usr/include/abc -o /builddir/build/BUILD/openroad/build/src/par/CMakeFiles/par.dir/partitionmgrTCL_wrap.cxx /builddir/build/BUILD/openroad/src/par/src/partitionmgr.i cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src/par /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src/par /builddir/build/BUILD/openroad/build/src/par/CMakeFiles/par.dir/DependInfo.cmake "--color=" Dependencies file "src/par/CMakeFiles/par.dir/partitionmgr.i.d" is newer than depends file "/builddir/build/BUILD/openroad/build/src/par/CMakeFiles/par.dir/compiler_depend.internal". Consolidate compiler generated dependencies of target par gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' /usr/bin/gmake -f src/par/CMakeFiles/par.dir/build.make src/par/CMakeFiles/par.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 51%] Building CXX object src/par/CMakeFiles/par.dir/CMakeFiles/par.dir/partitionmgrTCL_wrap.cxx.o cd /builddir/build/BUILD/openroad/build/src/par && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/par/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -Wno-cast-qual -Wno-missing-braces -MD -MT src/par/CMakeFiles/par.dir/CMakeFiles/par.dir/partitionmgrTCL_wrap.cxx.o -MF CMakeFiles/par.dir/CMakeFiles/par.dir/partitionmgrTCL_wrap.cxx.o.d -o CMakeFiles/par.dir/CMakeFiles/par.dir/partitionmgrTCL_wrap.cxx.o -c /builddir/build/BUILD/openroad/build/src/par/CMakeFiles/par.dir/partitionmgrTCL_wrap.cxx [ 51%] Building CXX object src/par/CMakeFiles/par.dir/par-tclInitVar.cc.o cd /builddir/build/BUILD/openroad/build/src/par && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/par/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/par/CMakeFiles/par.dir/par-tclInitVar.cc.o -MF CMakeFiles/par.dir/par-tclInitVar.cc.o.d -o CMakeFiles/par.dir/par-tclInitVar.cc.o -c /builddir/build/BUILD/openroad/build/src/par/par-tclInitVar.cc [ 51%] Building CXX object src/par/CMakeFiles/par.dir/src/MakePartitionMgr.cpp.o cd /builddir/build/BUILD/openroad/build/src/par && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/par/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/par/CMakeFiles/par.dir/src/MakePartitionMgr.cpp.o -MF CMakeFiles/par.dir/src/MakePartitionMgr.cpp.o.d -o CMakeFiles/par.dir/src/MakePartitionMgr.cpp.o -c /builddir/build/BUILD/openroad/src/par/src/MakePartitionMgr.cpp [ 51%] Building CXX object src/gui/CMakeFiles/gui.dir/src/highlightGroupDialog.cpp.o cd /builddir/build/BUILD/openroad/build/src/gui && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/builddir/build/BUILD/openroad/build/src/gui -I/builddir/build/BUILD/openroad/src/gui -I/builddir/build/BUILD/openroad/build/src/gui/gui_autogen/include -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/gui/include -I/usr/share/opensta/include -I/builddir/build/BUILD/openroad/src/dbSta/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -fPIC -MD -MT src/gui/CMakeFiles/gui.dir/src/highlightGroupDialog.cpp.o -MF CMakeFiles/gui.dir/src/highlightGroupDialog.cpp.o.d -o CMakeFiles/gui.dir/src/highlightGroupDialog.cpp.o -c /builddir/build/BUILD/openroad/src/gui/src/highlightGroupDialog.cpp [ 51%] Linking CXX static library par.a cd /builddir/build/BUILD/openroad/build/src/par && /usr/bin/cmake -P CMakeFiles/par.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/openroad/build/src/par && /usr/bin/cmake -E cmake_link_script CMakeFiles/par.dir/link.txt --verbose=1 /usr/bin/ar qc par.a CMakeFiles/par.dir/CMakeFiles/par.dir/partitionmgrTCL_wrap.cxx.o "CMakeFiles/par.dir/par-tclInitVar.cc.o" CMakeFiles/par.dir/src/MakePartitionMgr.cpp.o /usr/bin/ranlib par.a gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [ 51%] Built target par /usr/bin/gmake -f src/par/CMakeFiles/par_py.dir/build.make src/par/CMakeFiles/par_py.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 51%] Swig compile src/partitionmgr-py.i for python cd /builddir/build/BUILD/openroad/build/src/par && /usr/bin/cmake -E make_directory /builddir/build/BUILD/openroad/build/src/par/CMakeFiles/par_py.dir /builddir/build/BUILD/openroad/build/src/par /builddir/build/BUILD/openroad/build/src/par/CMakeFiles/par_py.dir cd /builddir/build/BUILD/openroad/build/src/par && /usr/bin/cmake -E env SWIG_LIB=/usr/share/swig/4.0.2 /usr/bin/swig -python -I/builddir/build/BUILD/openroad/include/par -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/usr/include/python3.11 -I/builddir/build/BUILD/openroad/src/par/include -Werror -w317,325,378,401,402,467,472,503,509 -outdir /builddir/build/BUILD/openroad/build/src/par -c++ -module par_py -interface _par_py -MF /builddir/build/BUILD/openroad/build/src/par/CMakeFiles/par_py.dir/partitionmgr-py.i.d -MD -I/usr/include/sta -I/usr/include/abc -o /builddir/build/BUILD/openroad/build/src/par/CMakeFiles/par_py.dir/partitionmgr-pyPYTHON_wrap.cxx /builddir/build/BUILD/openroad/src/par/src/partitionmgr-py.i [ 51%] Generating par_py-pythonInitVar.cc cd /builddir/build/BUILD/openroad/src/par && /usr/share/opensta/etc/TclEncode.tcl /builddir/build/BUILD/openroad/build/src/par/par_py-pythonInitVar.cc par_py_python_inits /builddir/build/BUILD/openroad/build/src/par/par_py.py cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src/par /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src/par /builddir/build/BUILD/openroad/build/src/par/CMakeFiles/par_py.dir/DependInfo.cmake "--color=" Dependencies file "src/par/CMakeFiles/par_py.dir/partitionmgr-py.i.d" is newer than depends file "/builddir/build/BUILD/openroad/build/src/par/CMakeFiles/par_py.dir/compiler_depend.internal". Consolidate compiler generated dependencies of target par_py gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' /usr/bin/gmake -f src/par/CMakeFiles/par_py.dir/build.make src/par/CMakeFiles/par_py.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 51%] Building CXX object src/par/CMakeFiles/par_py.dir/CMakeFiles/par_py.dir/partitionmgr-pyPYTHON_wrap.cxx.o cd /builddir/build/BUILD/openroad/build/src/par && /usr/bin/g++ -DABC_USE_STDINT_H=1 -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/par/include -isystem /usr/include/python3.11 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -Wno-cast-qual -Wno-missing-braces -MD -MT src/par/CMakeFiles/par_py.dir/CMakeFiles/par_py.dir/partitionmgr-pyPYTHON_wrap.cxx.o -MF CMakeFiles/par_py.dir/CMakeFiles/par_py.dir/partitionmgr-pyPYTHON_wrap.cxx.o.d -o CMakeFiles/par_py.dir/CMakeFiles/par_py.dir/partitionmgr-pyPYTHON_wrap.cxx.o -c /builddir/build/BUILD/openroad/build/src/par/CMakeFiles/par_py.dir/partitionmgr-pyPYTHON_wrap.cxx [ 51%] Building CXX object src/gui/CMakeFiles/gui.dir/src/selectHighlightWindow.cpp.o cd /builddir/build/BUILD/openroad/build/src/gui && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/builddir/build/BUILD/openroad/build/src/gui -I/builddir/build/BUILD/openroad/src/gui -I/builddir/build/BUILD/openroad/build/src/gui/gui_autogen/include -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/gui/include -I/usr/share/opensta/include -I/builddir/build/BUILD/openroad/src/dbSta/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -fPIC -MD -MT src/gui/CMakeFiles/gui.dir/src/selectHighlightWindow.cpp.o -MF CMakeFiles/gui.dir/src/selectHighlightWindow.cpp.o.d -o CMakeFiles/gui.dir/src/selectHighlightWindow.cpp.o -c /builddir/build/BUILD/openroad/src/gui/src/selectHighlightWindow.cpp [ 51%] Building CXX object src/dpo/CMakeFiles/dpo.dir/src/detailed_mis.cxx.o cd /builddir/build/BUILD/openroad/build/src/dpo && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/dpo/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dpl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/dpo/CMakeFiles/dpo.dir/src/detailed_mis.cxx.o -MF CMakeFiles/dpo.dir/src/detailed_mis.cxx.o.d -o CMakeFiles/dpo.dir/src/detailed_mis.cxx.o -c /builddir/build/BUILD/openroad/src/dpo/src/detailed_mis.cxx [ 51%] Building CXX object src/gui/CMakeFiles/gui.dir/src/staGui.cpp.o cd /builddir/build/BUILD/openroad/build/src/gui && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/builddir/build/BUILD/openroad/build/src/gui -I/builddir/build/BUILD/openroad/src/gui -I/builddir/build/BUILD/openroad/build/src/gui/gui_autogen/include -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/gui/include -I/usr/share/opensta/include -I/builddir/build/BUILD/openroad/src/dbSta/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -fPIC -MD -MT src/gui/CMakeFiles/gui.dir/src/staGui.cpp.o -MF CMakeFiles/gui.dir/src/staGui.cpp.o.d -o CMakeFiles/gui.dir/src/staGui.cpp.o -c /builddir/build/BUILD/openroad/src/gui/src/staGui.cpp [ 51%] Building CXX object src/par/CMakeFiles/par_py.dir/par_py-pythonInitVar.cc.o cd /builddir/build/BUILD/openroad/build/src/par && /usr/bin/g++ -DABC_USE_STDINT_H=1 -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/par/include -isystem /usr/include/python3.11 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/par/CMakeFiles/par_py.dir/par_py-pythonInitVar.cc.o -MF CMakeFiles/par_py.dir/par_py-pythonInitVar.cc.o.d -o CMakeFiles/par_py.dir/par_py-pythonInitVar.cc.o -c /builddir/build/BUILD/openroad/build/src/par/par_py-pythonInitVar.cc [ 51%] Linking CXX static library _par_py.a cd /builddir/build/BUILD/openroad/build/src/par && /usr/bin/cmake -P CMakeFiles/par_py.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/openroad/build/src/par && /usr/bin/cmake -E cmake_link_script CMakeFiles/par_py.dir/link.txt --verbose=1 /usr/bin/ar qc _par_py.a "CMakeFiles/par_py.dir/CMakeFiles/par_py.dir/partitionmgr-pyPYTHON_wrap.cxx.o" "CMakeFiles/par_py.dir/par_py-pythonInitVar.cc.o" /usr/bin/ranlib _par_py.a gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [ 51%] Built target par_py /usr/bin/gmake -f src/dft/src/clock_domain/CMakeFiles/dft_clock_domain_lib.dir/build.make src/dft/src/clock_domain/CMakeFiles/dft_clock_domain_lib.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src/dft/src/clock_domain /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src/dft/src/clock_domain /builddir/build/BUILD/openroad/build/src/dft/src/clock_domain/CMakeFiles/dft_clock_domain_lib.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' /usr/bin/gmake -f src/dft/src/clock_domain/CMakeFiles/dft_clock_domain_lib.dir/build.make src/dft/src/clock_domain/CMakeFiles/dft_clock_domain_lib.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 51%] Building CXX object src/dft/src/clock_domain/CMakeFiles/dft_clock_domain_lib.dir/ClockDomain.cpp.o cd /builddir/build/BUILD/openroad/build/src/dft/src/clock_domain && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/dft/src/clock_domain -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/dft/src/config -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/dft/src/clock_domain/CMakeFiles/dft_clock_domain_lib.dir/ClockDomain.cpp.o -MF CMakeFiles/dft_clock_domain_lib.dir/ClockDomain.cpp.o.d -o CMakeFiles/dft_clock_domain_lib.dir/ClockDomain.cpp.o -c /builddir/build/BUILD/openroad/src/dft/src/clock_domain/ClockDomain.cpp [ 51%] Linking CXX static library libdft_clock_domain_lib.a cd /builddir/build/BUILD/openroad/build/src/dft/src/clock_domain && /usr/bin/cmake -P CMakeFiles/dft_clock_domain_lib.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/openroad/build/src/dft/src/clock_domain && /usr/bin/cmake -E cmake_link_script CMakeFiles/dft_clock_domain_lib.dir/link.txt --verbose=1 /usr/bin/ar qc libdft_clock_domain_lib.a CMakeFiles/dft_clock_domain_lib.dir/ClockDomain.cpp.o /usr/bin/ranlib libdft_clock_domain_lib.a gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [ 51%] Built target dft_clock_domain_lib /usr/bin/gmake -f src/odb/src/swig/python/CMakeFiles/odb_py-bin.dir/build.make src/odb/src/swig/python/CMakeFiles/odb_py-bin.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src/odb/src/swig/python /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src/odb/src/swig/python /builddir/build/BUILD/openroad/build/src/odb/src/swig/python/CMakeFiles/odb_py-bin.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' /usr/bin/gmake -f src/odb/src/swig/python/CMakeFiles/odb_py-bin.dir/build.make src/odb/src/swig/python/CMakeFiles/odb_py-bin.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 51%] Building CXX object src/odb/src/swig/python/CMakeFiles/odb_py-bin.dir/main.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/swig/python && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/odb/src/swig/common/. -isystem /usr/include/python3.11 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/swig/python/CMakeFiles/odb_py-bin.dir/main.cpp.o -MF CMakeFiles/odb_py-bin.dir/main.cpp.o.d -o CMakeFiles/odb_py-bin.dir/main.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/swig/python/main.cpp [ 51%] Linking CXX executable odb_py cd /builddir/build/BUILD/openroad/build/src/odb/src/swig/python && /usr/bin/cmake -E cmake_link_script CMakeFiles/odb_py-bin.dir/link.txt --verbose=1 /usr/bin/g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes "CMakeFiles/odb_py-bin.dir/main.cpp.o" -o odb_py _odb_py.a /usr/lib64/libpython3.11.so ../../cdl/libcdl.a ../common/libodb_swig_common.a ../../../../utl/utl.a ../../defin/libdefin.a ../../def/libdef.a ../../def/libdefzlib.a ../../defout/libdefout.a ../../lefin/liblefin.a ../../lef/liblef.a ../../lef/liblefzlib.a /usr/lib64/libz.so ../../lefout/liblefout.a ../../db/libdb.a ../../zutil/libzutil.a ../../db/libdb.a ../../zutil/libzutil.a /usr/lib64/libtcl.so ../../../../utl/libutl_lib.a /usr/lib64/libspdlog.so.1.10.0 [ 51%] Building CXX object src/dpo/CMakeFiles/dpo.dir/src/detailed_reorder.cxx.o cd /builddir/build/BUILD/openroad/build/src/dpo && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/dpo/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dpl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/dpo/CMakeFiles/dpo.dir/src/detailed_reorder.cxx.o -MF CMakeFiles/dpo.dir/src/detailed_reorder.cxx.o.d -o CMakeFiles/dpo.dir/src/detailed_reorder.cxx.o -c /builddir/build/BUILD/openroad/src/dpo/src/detailed_reorder.cxx [ 52%] Building CXX object src/gui/CMakeFiles/gui.dir/src/staGuiInterface.cpp.o cd /builddir/build/BUILD/openroad/build/src/gui && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/builddir/build/BUILD/openroad/build/src/gui -I/builddir/build/BUILD/openroad/src/gui -I/builddir/build/BUILD/openroad/build/src/gui/gui_autogen/include -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/gui/include -I/usr/share/opensta/include -I/builddir/build/BUILD/openroad/src/dbSta/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -fPIC -MD -MT src/gui/CMakeFiles/gui.dir/src/staGuiInterface.cpp.o -MF CMakeFiles/gui.dir/src/staGuiInterface.cpp.o.d -o CMakeFiles/gui.dir/src/staGuiInterface.cpp.o -c /builddir/build/BUILD/openroad/src/gui/src/staGuiInterface.cpp [ 52%] Building CXX object src/mpl2/CMakeFiles/mpl2_lib.dir/src/object.cpp.o cd /builddir/build/BUILD/openroad/build/src/mpl2 && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DOR_TOOLS_AS_DYNAMIC_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -DUSE_BOP -DUSE_CBC -DUSE_CLP -DUSE_GLOP -DUSE_GLPK -DUSE_LP_PARSER -DUSE_PDLP -DUSE_SCIP -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/mpl2/include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/par/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -fwrapv -MD -MT src/mpl2/CMakeFiles/mpl2_lib.dir/src/object.cpp.o -MF CMakeFiles/mpl2_lib.dir/src/object.cpp.o.d -o CMakeFiles/mpl2_lib.dir/src/object.cpp.o -c /builddir/build/BUILD/openroad/src/mpl2/src/object.cpp /builddir/build/BUILD/openroad/src/mpl2/src/object.cpp: In member function 'const std::pair mpl2::Metrics::getAreaStats() const': /builddir/build/BUILD/openroad/src/mpl2/src/object.cpp:125:55: note: parameter passing for argument of type 'std::pair' when C++17 is enabled changed to match C++14 in GCC 10.1 125 | const std::pair Metrics::getAreaStats() const | ^~~~~ [ 52%] Building CXX object src/dpo/CMakeFiles/dpo.dir/src/detailed_global.cxx.o cd /builddir/build/BUILD/openroad/build/src/dpo && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/dpo/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dpl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/dpo/CMakeFiles/dpo.dir/src/detailed_global.cxx.o -MF CMakeFiles/dpo.dir/src/detailed_global.cxx.o.d -o CMakeFiles/dpo.dir/src/detailed_global.cxx.o -c /builddir/build/BUILD/openroad/src/dpo/src/detailed_global.cxx [ 52%] Building CXX object src/gui/CMakeFiles/gui.dir/src/timingWidget.cpp.o cd /builddir/build/BUILD/openroad/build/src/gui && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/builddir/build/BUILD/openroad/build/src/gui -I/builddir/build/BUILD/openroad/src/gui -I/builddir/build/BUILD/openroad/build/src/gui/gui_autogen/include -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/gui/include -I/usr/share/opensta/include -I/builddir/build/BUILD/openroad/src/dbSta/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -fPIC -MD -MT src/gui/CMakeFiles/gui.dir/src/timingWidget.cpp.o -MF CMakeFiles/gui.dir/src/timingWidget.cpp.o.d -o CMakeFiles/gui.dir/src/timingWidget.cpp.o -c /builddir/build/BUILD/openroad/src/gui/src/timingWidget.cpp [ 52%] Building CXX object src/mpl2/CMakeFiles/mpl2_lib.dir/src/SimulatedAnnealingCore.cpp.o cd /builddir/build/BUILD/openroad/build/src/mpl2 && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DOR_TOOLS_AS_DYNAMIC_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -DUSE_BOP -DUSE_CBC -DUSE_CLP -DUSE_GLOP -DUSE_GLPK -DUSE_LP_PARSER -DUSE_PDLP -DUSE_SCIP -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/mpl2/include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/par/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -fwrapv -MD -MT src/mpl2/CMakeFiles/mpl2_lib.dir/src/SimulatedAnnealingCore.cpp.o -MF CMakeFiles/mpl2_lib.dir/src/SimulatedAnnealingCore.cpp.o.d -o CMakeFiles/mpl2_lib.dir/src/SimulatedAnnealingCore.cpp.o -c /builddir/build/BUILD/openroad/src/mpl2/src/SimulatedAnnealingCore.cpp [ 52%] Building CXX object src/dpo/CMakeFiles/dpo.dir/src/detailed_vertical.cxx.o cd /builddir/build/BUILD/openroad/build/src/dpo && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/dpo/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dpl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/dpo/CMakeFiles/dpo.dir/src/detailed_vertical.cxx.o -MF CMakeFiles/dpo.dir/src/detailed_vertical.cxx.o.d -o CMakeFiles/dpo.dir/src/detailed_vertical.cxx.o -c /builddir/build/BUILD/openroad/src/dpo/src/detailed_vertical.cxx [ 52%] Building CXX object src/mpl2/CMakeFiles/mpl2_lib.dir/src/SACoreHardMacro.cpp.o cd /builddir/build/BUILD/openroad/build/src/mpl2 && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DOR_TOOLS_AS_DYNAMIC_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -DUSE_BOP -DUSE_CBC -DUSE_CLP -DUSE_GLOP -DUSE_GLPK -DUSE_LP_PARSER -DUSE_PDLP -DUSE_SCIP -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/mpl2/include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/par/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -fwrapv -MD -MT src/mpl2/CMakeFiles/mpl2_lib.dir/src/SACoreHardMacro.cpp.o -MF CMakeFiles/mpl2_lib.dir/src/SACoreHardMacro.cpp.o.d -o CMakeFiles/mpl2_lib.dir/src/SACoreHardMacro.cpp.o -c /builddir/build/BUILD/openroad/src/mpl2/src/SACoreHardMacro.cpp [ 52%] Building CXX object src/gui/CMakeFiles/gui.dir/src/drcWidget.cpp.o cd /builddir/build/BUILD/openroad/build/src/gui && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/builddir/build/BUILD/openroad/build/src/gui -I/builddir/build/BUILD/openroad/src/gui -I/builddir/build/BUILD/openroad/build/src/gui/gui_autogen/include -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/gui/include -I/usr/share/opensta/include -I/builddir/build/BUILD/openroad/src/dbSta/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -fPIC -MD -MT src/gui/CMakeFiles/gui.dir/src/drcWidget.cpp.o -MF CMakeFiles/gui.dir/src/drcWidget.cpp.o.d -o CMakeFiles/gui.dir/src/drcWidget.cpp.o -c /builddir/build/BUILD/openroad/src/gui/src/drcWidget.cpp [ 53%] Building CXX object src/dpo/CMakeFiles/dpo.dir/src/detailed_displacement.cxx.o cd /builddir/build/BUILD/openroad/build/src/dpo && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/dpo/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dpl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/dpo/CMakeFiles/dpo.dir/src/detailed_displacement.cxx.o -MF CMakeFiles/dpo.dir/src/detailed_displacement.cxx.o.d -o CMakeFiles/dpo.dir/src/detailed_displacement.cxx.o -c /builddir/build/BUILD/openroad/src/dpo/src/detailed_displacement.cxx [ 54%] Building CXX object src/mpl2/CMakeFiles/mpl2_lib.dir/src/SACoreSoftMacro.cpp.o cd /builddir/build/BUILD/openroad/build/src/mpl2 && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DOR_TOOLS_AS_DYNAMIC_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -DUSE_BOP -DUSE_CBC -DUSE_CLP -DUSE_GLOP -DUSE_GLPK -DUSE_LP_PARSER -DUSE_PDLP -DUSE_SCIP -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/mpl2/include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/par/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -fwrapv -MD -MT src/mpl2/CMakeFiles/mpl2_lib.dir/src/SACoreSoftMacro.cpp.o -MF CMakeFiles/mpl2_lib.dir/src/SACoreSoftMacro.cpp.o.d -o CMakeFiles/mpl2_lib.dir/src/SACoreSoftMacro.cpp.o -c /builddir/build/BUILD/openroad/src/mpl2/src/SACoreSoftMacro.cpp [ 54%] Building CXX object src/dpo/CMakeFiles/dpo.dir/src/detailed_hpwl.cxx.o cd /builddir/build/BUILD/openroad/build/src/dpo && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/dpo/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dpl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/dpo/CMakeFiles/dpo.dir/src/detailed_hpwl.cxx.o -MF CMakeFiles/dpo.dir/src/detailed_hpwl.cxx.o.d -o CMakeFiles/dpo.dir/src/detailed_hpwl.cxx.o -c /builddir/build/BUILD/openroad/src/dpo/src/detailed_hpwl.cxx [ 54%] Building CXX object src/dpo/CMakeFiles/dpo.dir/src/detailed_abu.cxx.o cd /builddir/build/BUILD/openroad/build/src/dpo && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/dpo/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dpl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/dpo/CMakeFiles/dpo.dir/src/detailed_abu.cxx.o -MF CMakeFiles/dpo.dir/src/detailed_abu.cxx.o.d -o CMakeFiles/dpo.dir/src/detailed_abu.cxx.o -c /builddir/build/BUILD/openroad/src/dpo/src/detailed_abu.cxx [ 54%] Building CXX object src/mpl2/CMakeFiles/mpl2_lib.dir/src/bus_synthesis.cpp.o cd /builddir/build/BUILD/openroad/build/src/mpl2 && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DOR_TOOLS_AS_DYNAMIC_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -DUSE_BOP -DUSE_CBC -DUSE_CLP -DUSE_GLOP -DUSE_GLPK -DUSE_LP_PARSER -DUSE_PDLP -DUSE_SCIP -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/mpl2/include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/par/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -fwrapv -MD -MT src/mpl2/CMakeFiles/mpl2_lib.dir/src/bus_synthesis.cpp.o -MF CMakeFiles/mpl2_lib.dir/src/bus_synthesis.cpp.o.d -o CMakeFiles/mpl2_lib.dir/src/bus_synthesis.cpp.o -c /builddir/build/BUILD/openroad/src/mpl2/src/bus_synthesis.cpp [ 54%] Building CXX object src/dpo/CMakeFiles/dpo.dir/src/detailed_random.cxx.o cd /builddir/build/BUILD/openroad/build/src/dpo && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/dpo/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dpl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/dpo/CMakeFiles/dpo.dir/src/detailed_random.cxx.o -MF CMakeFiles/dpo.dir/src/detailed_random.cxx.o.d -o CMakeFiles/dpo.dir/src/detailed_random.cxx.o -c /builddir/build/BUILD/openroad/src/dpo/src/detailed_random.cxx In file included from /builddir/build/BUILD/openroad/src/mpl2/src/bus_synthesis.cpp:34: /builddir/build/BUILD/openroad/src/mpl2/src/bus_synthesis.h: In constructor 'mpl2::Vertex::Vertex(int, mpl2::Point)': /builddir/build/BUILD/openroad/src/mpl2/src/bus_synthesis.h:53:3: note: parameter passing for argument of type 'std::pair' when C++17 is enabled changed to match C++14 in GCC 10.1 53 | Vertex(int vertex_id, Point pos) : vertex_id(vertex_id), pos(pos) {} | ^~~~~~ [ 54%] Building CXX object src/gui/CMakeFiles/gui.dir/src/ruler.cpp.o cd /builddir/build/BUILD/openroad/build/src/gui && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/builddir/build/BUILD/openroad/build/src/gui -I/builddir/build/BUILD/openroad/src/gui -I/builddir/build/BUILD/openroad/build/src/gui/gui_autogen/include -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/gui/include -I/usr/share/opensta/include -I/builddir/build/BUILD/openroad/src/dbSta/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -fPIC -MD -MT src/gui/CMakeFiles/gui.dir/src/ruler.cpp.o -MF CMakeFiles/gui.dir/src/ruler.cpp.o.d -o CMakeFiles/gui.dir/src/ruler.cpp.o -c /builddir/build/BUILD/openroad/src/gui/src/ruler.cpp [ 54%] Building CXX object src/dpo/CMakeFiles/dpo.dir/src/detailed_orient.cxx.o cd /builddir/build/BUILD/openroad/build/src/dpo && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/dpo/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dpl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/dpo/CMakeFiles/dpo.dir/src/detailed_orient.cxx.o -MF CMakeFiles/dpo.dir/src/detailed_orient.cxx.o.d -o CMakeFiles/dpo.dir/src/detailed_orient.cxx.o -c /builddir/build/BUILD/openroad/src/dpo/src/detailed_orient.cxx [ 54%] Linking CXX static library libmpl2_lib.a cd /builddir/build/BUILD/openroad/build/src/mpl2 && /usr/bin/cmake -P CMakeFiles/mpl2_lib.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/openroad/build/src/mpl2 && /usr/bin/cmake -E cmake_link_script CMakeFiles/mpl2_lib.dir/link.txt --verbose=1 /usr/bin/ar qc libmpl2_lib.a CMakeFiles/mpl2_lib.dir/src/rtl_mp.cpp.o CMakeFiles/mpl2_lib.dir/src/hier_rtlmp.cpp.o CMakeFiles/mpl2_lib.dir/src/object.cpp.o CMakeFiles/mpl2_lib.dir/src/SimulatedAnnealingCore.cpp.o CMakeFiles/mpl2_lib.dir/src/SACoreHardMacro.cpp.o CMakeFiles/mpl2_lib.dir/src/SACoreSoftMacro.cpp.o CMakeFiles/mpl2_lib.dir/src/bus_synthesis.cpp.o /usr/bin/ranlib libmpl2_lib.a gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [ 54%] Built target mpl2_lib /usr/bin/gmake -f src/odb/src/swig/tcl/CMakeFiles/odbtcl-bin.dir/build.make src/odb/src/swig/tcl/CMakeFiles/odbtcl-bin.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src/odb/src/swig/tcl /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src/odb/src/swig/tcl /builddir/build/BUILD/openroad/build/src/odb/src/swig/tcl/CMakeFiles/odbtcl-bin.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' /usr/bin/gmake -f src/odb/src/swig/tcl/CMakeFiles/odbtcl-bin.dir/build.make src/odb/src/swig/tcl/CMakeFiles/odbtcl-bin.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 54%] Building CXX object src/odb/src/swig/tcl/CMakeFiles/odbtcl-bin.dir/main.cpp.o cd /builddir/build/BUILD/openroad/build/src/odb/src/swig/tcl && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/odb/src/swig/common/. -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/odb/src/swig/tcl/CMakeFiles/odbtcl-bin.dir/main.cpp.o -MF CMakeFiles/odbtcl-bin.dir/main.cpp.o.d -o CMakeFiles/odbtcl-bin.dir/main.cpp.o -c /builddir/build/BUILD/openroad/src/odb/src/swig/tcl/main.cpp [ 54%] Linking CXX executable odbtcl cd /builddir/build/BUILD/openroad/build/src/odb/src/swig/tcl && /usr/bin/cmake -E cmake_link_script CMakeFiles/odbtcl-bin.dir/link.txt --verbose=1 /usr/bin/g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes "CMakeFiles/odbtcl-bin.dir/main.cpp.o" -o odbtcl odbtcl.a ../../cdl/libcdl.a ../common/libodb_swig_common.a ../../../../utl/utl.a ../../defin/libdefin.a ../../def/libdef.a ../../def/libdefzlib.a ../../defout/libdefout.a ../../lefin/liblefin.a ../../lef/liblef.a ../../lef/liblefzlib.a /usr/lib64/libz.so ../../lefout/liblefout.a ../../db/libdb.a ../../zutil/libzutil.a ../../db/libdb.a ../../zutil/libzutil.a ../../../../utl/libutl_lib.a /usr/lib64/libspdlog.so.1.10.0 /usr/lib64/libtcl.so [ 54%] Linking CXX static library dpo.a cd /builddir/build/BUILD/openroad/build/src/dpo && /usr/bin/cmake -P CMakeFiles/dpo.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/openroad/build/src/dpo && /usr/bin/cmake -E cmake_link_script CMakeFiles/dpo.dir/link.txt --verbose=1 /usr/bin/ar qc dpo.a CMakeFiles/dpo.dir/CMakeFiles/dpo.dir/OptdpTCL_wrap.cxx.o "CMakeFiles/dpo.dir/dpo-tclInitVar.cc.o" CMakeFiles/dpo.dir/src/Optdp.cpp.o CMakeFiles/dpo.dir/src/MakeOptdp.cpp.o CMakeFiles/dpo.dir/src/architecture.cxx.o CMakeFiles/dpo.dir/src/network.cxx.o CMakeFiles/dpo.dir/src/router.cxx.o CMakeFiles/dpo.dir/src/utility.cxx.o CMakeFiles/dpo.dir/src/legalize_shift.cxx.o CMakeFiles/dpo.dir/src/detailed.cxx.o CMakeFiles/dpo.dir/src/detailed_manager.cxx.o CMakeFiles/dpo.dir/src/detailed_mis.cxx.o CMakeFiles/dpo.dir/src/detailed_reorder.cxx.o CMakeFiles/dpo.dir/src/detailed_global.cxx.o CMakeFiles/dpo.dir/src/detailed_vertical.cxx.o CMakeFiles/dpo.dir/src/detailed_displacement.cxx.o CMakeFiles/dpo.dir/src/detailed_hpwl.cxx.o CMakeFiles/dpo.dir/src/detailed_abu.cxx.o CMakeFiles/dpo.dir/src/detailed_random.cxx.o CMakeFiles/dpo.dir/src/detailed_orient.cxx.o /usr/bin/ranlib dpo.a cd /builddir/build/BUILD/openroad/src/dpo && /builddir/build/BUILD/openroad/etc/find_messages.py > /builddir/build/BUILD/openroad/src/dpo/messages.txt gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [ 54%] Built target dpo /usr/bin/gmake -f src/grt/CMakeFiles/grt_lib.dir/build.make src/grt/CMakeFiles/grt_lib.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src/grt /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src/grt /builddir/build/BUILD/openroad/build/src/grt/CMakeFiles/grt_lib.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' /usr/bin/gmake -f src/grt/CMakeFiles/grt_lib.dir/build.make src/grt/CMakeFiles/grt_lib.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 54%] Building CXX object src/grt/CMakeFiles/grt_lib.dir/src/Grid.cpp.o cd /builddir/build/BUILD/openroad/build/src/grt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dpl/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/rsz/src/../include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/grt/CMakeFiles/grt_lib.dir/src/Grid.cpp.o -MF CMakeFiles/grt_lib.dir/src/Grid.cpp.o.d -o CMakeFiles/grt_lib.dir/src/Grid.cpp.o -c /builddir/build/BUILD/openroad/src/grt/src/Grid.cpp [ 55%] Building CXX object src/grt/CMakeFiles/grt_lib.dir/src/Net.cpp.o cd /builddir/build/BUILD/openroad/build/src/grt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dpl/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/rsz/src/../include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/grt/CMakeFiles/grt_lib.dir/src/Net.cpp.o -MF CMakeFiles/grt_lib.dir/src/Net.cpp.o.d -o CMakeFiles/grt_lib.dir/src/Net.cpp.o -c /builddir/build/BUILD/openroad/src/grt/src/Net.cpp [ 55%] Building CXX object src/grt/CMakeFiles/grt_lib.dir/src/Pin.cpp.o cd /builddir/build/BUILD/openroad/build/src/grt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dpl/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/rsz/src/../include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/grt/CMakeFiles/grt_lib.dir/src/Pin.cpp.o -MF CMakeFiles/grt_lib.dir/src/Pin.cpp.o.d -o CMakeFiles/grt_lib.dir/src/Pin.cpp.o -c /builddir/build/BUILD/openroad/src/grt/src/Pin.cpp [ 55%] Building CXX object src/gui/CMakeFiles/gui.dir/src/heatMap.cpp.o cd /builddir/build/BUILD/openroad/build/src/gui && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/builddir/build/BUILD/openroad/build/src/gui -I/builddir/build/BUILD/openroad/src/gui -I/builddir/build/BUILD/openroad/build/src/gui/gui_autogen/include -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/gui/include -I/usr/share/opensta/include -I/builddir/build/BUILD/openroad/src/dbSta/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -fPIC -MD -MT src/gui/CMakeFiles/gui.dir/src/heatMap.cpp.o -MF CMakeFiles/gui.dir/src/heatMap.cpp.o.d -o CMakeFiles/gui.dir/src/heatMap.cpp.o -c /builddir/build/BUILD/openroad/src/gui/src/heatMap.cpp [ 55%] Building CXX object src/grt/CMakeFiles/grt_lib.dir/src/MakeWireParasitics.cpp.o cd /builddir/build/BUILD/openroad/build/src/grt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dpl/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/rsz/src/../include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/grt/CMakeFiles/grt_lib.dir/src/MakeWireParasitics.cpp.o -MF CMakeFiles/grt_lib.dir/src/MakeWireParasitics.cpp.o.d -o CMakeFiles/grt_lib.dir/src/MakeWireParasitics.cpp.o -c /builddir/build/BUILD/openroad/src/grt/src/MakeWireParasitics.cpp [ 55%] Building CXX object src/gui/CMakeFiles/gui.dir/src/heatMapSetup.cpp.o cd /builddir/build/BUILD/openroad/build/src/gui && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/builddir/build/BUILD/openroad/build/src/gui -I/builddir/build/BUILD/openroad/src/gui -I/builddir/build/BUILD/openroad/build/src/gui/gui_autogen/include -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/gui/include -I/usr/share/opensta/include -I/builddir/build/BUILD/openroad/src/dbSta/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -fPIC -MD -MT src/gui/CMakeFiles/gui.dir/src/heatMapSetup.cpp.o -MF CMakeFiles/gui.dir/src/heatMapSetup.cpp.o.d -o CMakeFiles/gui.dir/src/heatMapSetup.cpp.o -c /builddir/build/BUILD/openroad/src/gui/src/heatMapSetup.cpp [ 55%] Building CXX object src/gui/CMakeFiles/gui.dir/src/heatMapPlacementDensity.cpp.o cd /builddir/build/BUILD/openroad/build/src/gui && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/builddir/build/BUILD/openroad/build/src/gui -I/builddir/build/BUILD/openroad/src/gui -I/builddir/build/BUILD/openroad/build/src/gui/gui_autogen/include -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/gui/include -I/usr/share/opensta/include -I/builddir/build/BUILD/openroad/src/dbSta/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -fPIC -MD -MT src/gui/CMakeFiles/gui.dir/src/heatMapPlacementDensity.cpp.o -MF CMakeFiles/gui.dir/src/heatMapPlacementDensity.cpp.o.d -o CMakeFiles/gui.dir/src/heatMapPlacementDensity.cpp.o -c /builddir/build/BUILD/openroad/src/gui/src/heatMapPlacementDensity.cpp [ 55%] Building CXX object src/grt/CMakeFiles/grt_lib.dir/src/RepairAntennas.cpp.o cd /builddir/build/BUILD/openroad/build/src/grt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dpl/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/rsz/src/../include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/grt/CMakeFiles/grt_lib.dir/src/RepairAntennas.cpp.o -MF CMakeFiles/grt_lib.dir/src/RepairAntennas.cpp.o.d -o CMakeFiles/grt_lib.dir/src/RepairAntennas.cpp.o -c /builddir/build/BUILD/openroad/src/grt/src/RepairAntennas.cpp [ 55%] Building CXX object src/gui/CMakeFiles/gui.dir/src/heatMapRUDY.cpp.o cd /builddir/build/BUILD/openroad/build/src/gui && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/builddir/build/BUILD/openroad/build/src/gui -I/builddir/build/BUILD/openroad/src/gui -I/builddir/build/BUILD/openroad/build/src/gui/gui_autogen/include -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/gui/include -I/usr/share/opensta/include -I/builddir/build/BUILD/openroad/src/dbSta/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -fPIC -MD -MT src/gui/CMakeFiles/gui.dir/src/heatMapRUDY.cpp.o -MF CMakeFiles/gui.dir/src/heatMapRUDY.cpp.o.d -o CMakeFiles/gui.dir/src/heatMapRUDY.cpp.o -c /builddir/build/BUILD/openroad/src/gui/src/heatMapRUDY.cpp [ 55%] Building CXX object src/gui/CMakeFiles/gui.dir/src/browserWidget.cpp.o cd /builddir/build/BUILD/openroad/build/src/gui && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/builddir/build/BUILD/openroad/build/src/gui -I/builddir/build/BUILD/openroad/src/gui -I/builddir/build/BUILD/openroad/build/src/gui/gui_autogen/include -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/gui/include -I/usr/share/opensta/include -I/builddir/build/BUILD/openroad/src/dbSta/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -fPIC -MD -MT src/gui/CMakeFiles/gui.dir/src/browserWidget.cpp.o -MF CMakeFiles/gui.dir/src/browserWidget.cpp.o.d -o CMakeFiles/gui.dir/src/browserWidget.cpp.o -c /builddir/build/BUILD/openroad/src/gui/src/browserWidget.cpp [ 55%] Building CXX object src/grt/CMakeFiles/grt_lib.dir/src/GlobalRouter.cpp.o cd /builddir/build/BUILD/openroad/build/src/grt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dpl/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/rsz/src/../include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/grt/CMakeFiles/grt_lib.dir/src/GlobalRouter.cpp.o -MF CMakeFiles/grt_lib.dir/src/GlobalRouter.cpp.o.d -o CMakeFiles/grt_lib.dir/src/GlobalRouter.cpp.o -c /builddir/build/BUILD/openroad/src/grt/src/GlobalRouter.cpp gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [ 55%] Built target odb_py-bin /usr/bin/gmake -f src/dpo/CMakeFiles/dpo_py.dir/build.make src/dpo/CMakeFiles/dpo_py.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 55%] Swig compile src/Optdp-py.i for python cd /builddir/build/BUILD/openroad/build/src/dpo && /usr/bin/cmake -E make_directory /builddir/build/BUILD/openroad/build/src/dpo/CMakeFiles/dpo_py.dir /builddir/build/BUILD/openroad/build/src/dpo /builddir/build/BUILD/openroad/build/src/dpo/CMakeFiles/dpo_py.dir cd /builddir/build/BUILD/openroad/build/src/dpo && /usr/bin/cmake -E env SWIG_LIB=/usr/share/swig/4.0.2 /usr/bin/swig -python -I/builddir/build/BUILD/openroad/include/dpo -I/builddir/build/BUILD/openroad/src/odb/src/swig/common -I/builddir/build/BUILD/openroad/src/odb/src/swig/python -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/usr/include/python3.11 -I/builddir/build/BUILD/openroad/src/dpo/include -I/builddir/build/BUILD/openroad/src/dpo/include/dpo -I/usr/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -Werror -w317,325,378,401,402,467,472,503,509 -outdir /builddir/build/BUILD/openroad/build/src/dpo -c++ -module dpo_py -interface _dpo_py -MF /builddir/build/BUILD/openroad/build/src/dpo/CMakeFiles/dpo_py.dir/Optdp-py.i.d -MD -I/usr/include/sta -I/usr/include/abc -o /builddir/build/BUILD/openroad/build/src/dpo/CMakeFiles/dpo_py.dir/Optdp-pyPYTHON_wrap.cxx /builddir/build/BUILD/openroad/src/dpo/src/Optdp-py.i [ 55%] Generating dpo_py-pythonInitVar.cc cd /builddir/build/BUILD/openroad/src/dpo && /usr/share/opensta/etc/TclEncode.tcl /builddir/build/BUILD/openroad/build/src/dpo/dpo_py-pythonInitVar.cc dpo_py_python_inits /builddir/build/BUILD/openroad/build/src/dpo/dpo_py.py cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src/dpo /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src/dpo /builddir/build/BUILD/openroad/build/src/dpo/CMakeFiles/dpo_py.dir/DependInfo.cmake "--color=" Dependencies file "src/dpo/CMakeFiles/dpo_py.dir/Optdp-py.i.d" is newer than depends file "/builddir/build/BUILD/openroad/build/src/dpo/CMakeFiles/dpo_py.dir/compiler_depend.internal". Consolidate compiler generated dependencies of target dpo_py gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' /usr/bin/gmake -f src/dpo/CMakeFiles/dpo_py.dir/build.make src/dpo/CMakeFiles/dpo_py.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 55%] Building CXX object src/dpo/CMakeFiles/dpo_py.dir/CMakeFiles/dpo_py.dir/Optdp-pyPYTHON_wrap.cxx.o cd /builddir/build/BUILD/openroad/build/src/dpo && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/dpo/include -I/builddir/build/BUILD/openroad/src/dpo/include/dpo -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -isystem /usr/include/python3.11 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -Wno-cast-qual -Wno-missing-braces -MD -MT src/dpo/CMakeFiles/dpo_py.dir/CMakeFiles/dpo_py.dir/Optdp-pyPYTHON_wrap.cxx.o -MF CMakeFiles/dpo_py.dir/CMakeFiles/dpo_py.dir/Optdp-pyPYTHON_wrap.cxx.o.d -o CMakeFiles/dpo_py.dir/CMakeFiles/dpo_py.dir/Optdp-pyPYTHON_wrap.cxx.o -c /builddir/build/BUILD/openroad/build/src/dpo/CMakeFiles/dpo_py.dir/Optdp-pyPYTHON_wrap.cxx [ 56%] Building CXX object src/dpo/CMakeFiles/dpo_py.dir/dpo_py-pythonInitVar.cc.o cd /builddir/build/BUILD/openroad/build/src/dpo && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/dpo/include -I/builddir/build/BUILD/openroad/src/dpo/include/dpo -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -isystem /usr/include/python3.11 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/dpo/CMakeFiles/dpo_py.dir/dpo_py-pythonInitVar.cc.o -MF CMakeFiles/dpo_py.dir/dpo_py-pythonInitVar.cc.o.d -o CMakeFiles/dpo_py.dir/dpo_py-pythonInitVar.cc.o -c /builddir/build/BUILD/openroad/build/src/dpo/dpo_py-pythonInitVar.cc [ 56%] Linking CXX static library _dpo_py.a cd /builddir/build/BUILD/openroad/build/src/dpo && /usr/bin/cmake -P CMakeFiles/dpo_py.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/openroad/build/src/dpo && /usr/bin/cmake -E cmake_link_script CMakeFiles/dpo_py.dir/link.txt --verbose=1 /usr/bin/ar qc _dpo_py.a "CMakeFiles/dpo_py.dir/CMakeFiles/dpo_py.dir/Optdp-pyPYTHON_wrap.cxx.o" "CMakeFiles/dpo_py.dir/dpo_py-pythonInitVar.cc.o" /usr/bin/ranlib _dpo_py.a gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [ 56%] Built target dpo_py /usr/bin/gmake -f src/dft/src/cells/CMakeFiles/dft_base_scan_cell_lib.dir/build.make src/dft/src/cells/CMakeFiles/dft_base_scan_cell_lib.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src/dft/src/cells /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src/dft/src/cells /builddir/build/BUILD/openroad/build/src/dft/src/cells/CMakeFiles/dft_base_scan_cell_lib.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' /usr/bin/gmake -f src/dft/src/cells/CMakeFiles/dft_base_scan_cell_lib.dir/build.make src/dft/src/cells/CMakeFiles/dft_base_scan_cell_lib.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 56%] Building CXX object src/dft/src/cells/CMakeFiles/dft_base_scan_cell_lib.dir/ScanCell.cpp.o cd /builddir/build/BUILD/openroad/build/src/dft/src/cells && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/dft/src/cells -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dft/src/clock_domain -I/builddir/build/BUILD/openroad/src/dft/src/config -I/builddir/build/BUILD/openroad/src/dft/src/utils -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/dft/src/cells/CMakeFiles/dft_base_scan_cell_lib.dir/ScanCell.cpp.o -MF CMakeFiles/dft_base_scan_cell_lib.dir/ScanCell.cpp.o.d -o CMakeFiles/dft_base_scan_cell_lib.dir/ScanCell.cpp.o -c /builddir/build/BUILD/openroad/src/dft/src/cells/ScanCell.cpp [ 57%] Building CXX object src/gui/CMakeFiles/gui.dir/src/globalConnectDialog.cpp.o cd /builddir/build/BUILD/openroad/build/src/gui && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/builddir/build/BUILD/openroad/build/src/gui -I/builddir/build/BUILD/openroad/src/gui -I/builddir/build/BUILD/openroad/build/src/gui/gui_autogen/include -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/gui/include -I/usr/share/opensta/include -I/builddir/build/BUILD/openroad/src/dbSta/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -fPIC -MD -MT src/gui/CMakeFiles/gui.dir/src/globalConnectDialog.cpp.o -MF CMakeFiles/gui.dir/src/globalConnectDialog.cpp.o.d -o CMakeFiles/gui.dir/src/globalConnectDialog.cpp.o -c /builddir/build/BUILD/openroad/src/gui/src/globalConnectDialog.cpp [ 57%] Linking CXX static library libdft_base_scan_cell_lib.a cd /builddir/build/BUILD/openroad/build/src/dft/src/cells && /usr/bin/cmake -P CMakeFiles/dft_base_scan_cell_lib.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/openroad/build/src/dft/src/cells && /usr/bin/cmake -E cmake_link_script CMakeFiles/dft_base_scan_cell_lib.dir/link.txt --verbose=1 /usr/bin/ar qc libdft_base_scan_cell_lib.a CMakeFiles/dft_base_scan_cell_lib.dir/ScanCell.cpp.o /usr/bin/ranlib libdft_base_scan_cell_lib.a gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [ 57%] Built target dft_base_scan_cell_lib /usr/bin/gmake -f src/dft/src/architect/CMakeFiles/dft_architect_lib.dir/build.make src/dft/src/architect/CMakeFiles/dft_architect_lib.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src/dft/src/architect /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src/dft/src/architect /builddir/build/BUILD/openroad/build/src/dft/src/architect/CMakeFiles/dft_architect_lib.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' /usr/bin/gmake -f src/dft/src/architect/CMakeFiles/dft_architect_lib.dir/build.make src/dft/src/architect/CMakeFiles/dft_architect_lib.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 57%] Building CXX object src/dft/src/architect/CMakeFiles/dft_architect_lib.dir/ScanArchitect.cpp.o cd /builddir/build/BUILD/openroad/build/src/dft/src/architect && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/dft/src/architect -I/builddir/build/BUILD/openroad/src/dft/src/cells -I/builddir/build/BUILD/openroad/src/dft/src/clock_domain -I/builddir/build/BUILD/openroad/src/dft/src/config -I/builddir/build/BUILD/openroad/src/dft/src/utils -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/dft/src/architect/CMakeFiles/dft_architect_lib.dir/ScanArchitect.cpp.o -MF CMakeFiles/dft_architect_lib.dir/ScanArchitect.cpp.o.d -o CMakeFiles/dft_architect_lib.dir/ScanArchitect.cpp.o -c /builddir/build/BUILD/openroad/src/dft/src/architect/ScanArchitect.cpp [ 57%] Building CXX object src/dft/src/architect/CMakeFiles/dft_architect_lib.dir/ScanArchitectHeuristic.cpp.o cd /builddir/build/BUILD/openroad/build/src/dft/src/architect && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/dft/src/architect -I/builddir/build/BUILD/openroad/src/dft/src/cells -I/builddir/build/BUILD/openroad/src/dft/src/clock_domain -I/builddir/build/BUILD/openroad/src/dft/src/config -I/builddir/build/BUILD/openroad/src/dft/src/utils -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/dft/src/architect/CMakeFiles/dft_architect_lib.dir/ScanArchitectHeuristic.cpp.o -MF CMakeFiles/dft_architect_lib.dir/ScanArchitectHeuristic.cpp.o.d -o CMakeFiles/dft_architect_lib.dir/ScanArchitectHeuristic.cpp.o -c /builddir/build/BUILD/openroad/src/dft/src/architect/ScanArchitectHeuristic.cpp [ 57%] Building CXX object src/gui/CMakeFiles/gui.dir/src/clockWidget.cpp.o cd /builddir/build/BUILD/openroad/build/src/gui && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/builddir/build/BUILD/openroad/build/src/gui -I/builddir/build/BUILD/openroad/src/gui -I/builddir/build/BUILD/openroad/build/src/gui/gui_autogen/include -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/gui/include -I/usr/share/opensta/include -I/builddir/build/BUILD/openroad/src/dbSta/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -fPIC -MD -MT src/gui/CMakeFiles/gui.dir/src/clockWidget.cpp.o -MF CMakeFiles/gui.dir/src/clockWidget.cpp.o.d -o CMakeFiles/gui.dir/src/clockWidget.cpp.o -c /builddir/build/BUILD/openroad/src/gui/src/clockWidget.cpp [ 57%] Building CXX object src/dft/src/architect/CMakeFiles/dft_architect_lib.dir/ScanChain.cpp.o cd /builddir/build/BUILD/openroad/build/src/dft/src/architect && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/dft/src/architect -I/builddir/build/BUILD/openroad/src/dft/src/cells -I/builddir/build/BUILD/openroad/src/dft/src/clock_domain -I/builddir/build/BUILD/openroad/src/dft/src/config -I/builddir/build/BUILD/openroad/src/dft/src/utils -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/dft/src/architect/CMakeFiles/dft_architect_lib.dir/ScanChain.cpp.o -MF CMakeFiles/dft_architect_lib.dir/ScanChain.cpp.o.d -o CMakeFiles/dft_architect_lib.dir/ScanChain.cpp.o -c /builddir/build/BUILD/openroad/src/dft/src/architect/ScanChain.cpp [ 58%] Linking CXX static library libdft_architect_lib.a cd /builddir/build/BUILD/openroad/build/src/dft/src/architect && /usr/bin/cmake -P CMakeFiles/dft_architect_lib.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/openroad/build/src/dft/src/architect && /usr/bin/cmake -E cmake_link_script CMakeFiles/dft_architect_lib.dir/link.txt --verbose=1 /usr/bin/ar qc libdft_architect_lib.a CMakeFiles/dft_architect_lib.dir/ScanArchitect.cpp.o CMakeFiles/dft_architect_lib.dir/ScanArchitectHeuristic.cpp.o CMakeFiles/dft_architect_lib.dir/ScanChain.cpp.o /usr/bin/ranlib libdft_architect_lib.a gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [ 58%] Built target dft_architect_lib [ 58%] Building CXX object src/gui/CMakeFiles/gui.dir/src/gui_utils.cpp.o cd /builddir/build/BUILD/openroad/build/src/gui && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/builddir/build/BUILD/openroad/build/src/gui -I/builddir/build/BUILD/openroad/src/gui -I/builddir/build/BUILD/openroad/build/src/gui/gui_autogen/include -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/gui/include -I/usr/share/opensta/include -I/builddir/build/BUILD/openroad/src/dbSta/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -fPIC -MD -MT src/gui/CMakeFiles/gui.dir/src/gui_utils.cpp.o -MF CMakeFiles/gui.dir/src/gui_utils.cpp.o.d -o CMakeFiles/gui.dir/src/gui_utils.cpp.o -c /builddir/build/BUILD/openroad/src/gui/src/gui_utils.cpp [ 58%] Building CXX object src/gui/CMakeFiles/gui.dir/src/colorGenerator.cpp.o cd /builddir/build/BUILD/openroad/build/src/gui && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/builddir/build/BUILD/openroad/build/src/gui -I/builddir/build/BUILD/openroad/src/gui -I/builddir/build/BUILD/openroad/build/src/gui/gui_autogen/include -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/gui/include -I/usr/share/opensta/include -I/builddir/build/BUILD/openroad/src/dbSta/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -fPIC -MD -MT src/gui/CMakeFiles/gui.dir/src/colorGenerator.cpp.o -MF CMakeFiles/gui.dir/src/colorGenerator.cpp.o.d -o CMakeFiles/gui.dir/src/colorGenerator.cpp.o -c /builddir/build/BUILD/openroad/src/gui/src/colorGenerator.cpp [ 58%] Linking CXX static library libgrt_lib.a cd /builddir/build/BUILD/openroad/build/src/grt && /usr/bin/cmake -P CMakeFiles/grt_lib.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/openroad/build/src/grt && /usr/bin/cmake -E cmake_link_script CMakeFiles/grt_lib.dir/link.txt --verbose=1 /usr/bin/ar qc libgrt_lib.a CMakeFiles/grt_lib.dir/src/Grid.cpp.o CMakeFiles/grt_lib.dir/src/Net.cpp.o CMakeFiles/grt_lib.dir/src/Pin.cpp.o CMakeFiles/grt_lib.dir/src/MakeWireParasitics.cpp.o CMakeFiles/grt_lib.dir/src/RepairAntennas.cpp.o CMakeFiles/grt_lib.dir/src/GlobalRouter.cpp.o /usr/bin/ranlib libgrt_lib.a gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [ 58%] Built target grt_lib [ 58%] Building CXX object src/gui/CMakeFiles/gui.dir/src/bufferTreeDescriptor.cpp.o cd /builddir/build/BUILD/openroad/build/src/gui && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/builddir/build/BUILD/openroad/build/src/gui -I/builddir/build/BUILD/openroad/src/gui -I/builddir/build/BUILD/openroad/build/src/gui/gui_autogen/include -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/gui/include -I/usr/share/opensta/include -I/builddir/build/BUILD/openroad/src/dbSta/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -fPIC -MD -MT src/gui/CMakeFiles/gui.dir/src/bufferTreeDescriptor.cpp.o -MF CMakeFiles/gui.dir/src/bufferTreeDescriptor.cpp.o.d -o CMakeFiles/gui.dir/src/bufferTreeDescriptor.cpp.o -c /builddir/build/BUILD/openroad/src/gui/src/bufferTreeDescriptor.cpp [ 58%] Building CXX object src/gui/CMakeFiles/gui.dir/gui_autogen/3YJK5W5UP7/qrc_resource.cpp.o cd /builddir/build/BUILD/openroad/build/src/gui && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/builddir/build/BUILD/openroad/build/src/gui -I/builddir/build/BUILD/openroad/src/gui -I/builddir/build/BUILD/openroad/build/src/gui/gui_autogen/include -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/gui/include -I/usr/share/opensta/include -I/builddir/build/BUILD/openroad/src/dbSta/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -fPIC -MD -MT src/gui/CMakeFiles/gui.dir/gui_autogen/3YJK5W5UP7/qrc_resource.cpp.o -MF CMakeFiles/gui.dir/gui_autogen/3YJK5W5UP7/qrc_resource.cpp.o.d -o CMakeFiles/gui.dir/gui_autogen/3YJK5W5UP7/qrc_resource.cpp.o -c /builddir/build/BUILD/openroad/build/src/gui/gui_autogen/3YJK5W5UP7/qrc_resource.cpp /usr/bin/gmake -f src/rsz/src/CMakeFiles/rsz_lib.dir/build.make src/rsz/src/CMakeFiles/rsz_lib.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src/rsz/src /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src/rsz/src /builddir/build/BUILD/openroad/build/src/rsz/src/CMakeFiles/rsz_lib.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' /usr/bin/gmake -f src/rsz/src/CMakeFiles/rsz_lib.dir/build.make src/rsz/src/CMakeFiles/rsz_lib.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 58%] Building CXX object src/rsz/src/CMakeFiles/rsz_lib.dir/BufferedNet.cc.o cd /builddir/build/BUILD/openroad/build/src/rsz/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/rsz/src/../include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/dpl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/rsz/src/CMakeFiles/rsz_lib.dir/BufferedNet.cc.o -MF CMakeFiles/rsz_lib.dir/BufferedNet.cc.o.d -o CMakeFiles/rsz_lib.dir/BufferedNet.cc.o -c /builddir/build/BUILD/openroad/src/rsz/src/BufferedNet.cc [ 58%] Building CXX object src/rsz/src/CMakeFiles/rsz_lib.dir/PreChecks.cc.o cd /builddir/build/BUILD/openroad/build/src/rsz/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/rsz/src/../include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/dpl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/rsz/src/CMakeFiles/rsz_lib.dir/PreChecks.cc.o -MF CMakeFiles/rsz_lib.dir/PreChecks.cc.o.d -o CMakeFiles/rsz_lib.dir/PreChecks.cc.o -c /builddir/build/BUILD/openroad/src/rsz/src/PreChecks.cc [ 58%] Linking CXX static library gui.a cd /builddir/build/BUILD/openroad/build/src/gui && /usr/bin/cmake -P CMakeFiles/gui.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/openroad/build/src/gui && /usr/bin/cmake -E cmake_link_script CMakeFiles/gui.dir/link.txt --verbose=1 /usr/bin/ar qc gui.a CMakeFiles/gui.dir/gui_autogen/mocs_compilation.cpp.o CMakeFiles/gui.dir/CMakeFiles/gui.dir/guiTCL_wrap.cxx.o "CMakeFiles/gui.dir/gui-tclInitVar.cc.o" CMakeFiles/gui.dir/src/layoutViewer.cpp.o CMakeFiles/gui.dir/src/layoutTabs.cpp.o CMakeFiles/gui.dir/src/renderThread.cpp.o CMakeFiles/gui.dir/src/painter.cpp.o CMakeFiles/gui.dir/src/mainWindow.cpp.o CMakeFiles/gui.dir/src/scriptWidget.cpp.o CMakeFiles/gui.dir/src/cmdInputWidget.cpp.o CMakeFiles/gui.dir/src/tclCmdInputWidget.cpp.o CMakeFiles/gui.dir/src/tclCmdHighlighter.cpp.o CMakeFiles/gui.dir/src/displayControls.cpp.o CMakeFiles/gui.dir/src/gui.cpp.o CMakeFiles/gui.dir/src/search.cpp.o CMakeFiles/gui.dir/src/findDialog.cpp.o CMakeFiles/gui.dir/src/gotoDialog.cpp.o CMakeFiles/gui.dir/src/inspector.cpp.o CMakeFiles/gui.dir/src/dbDescriptors.cpp.o CMakeFiles/gui.dir/src/highlightGroupDialog.cpp.o CMakeFiles/gui.dir/src/selectHighlightWindow.cpp.o CMakeFiles/gui.dir/src/staGui.cpp.o CMakeFiles/gui.dir/src/staGuiInterface.cpp.o CMakeFiles/gui.dir/src/timingWidget.cpp.o CMakeFiles/gui.dir/src/drcWidget.cpp.o CMakeFiles/gui.dir/src/ruler.cpp.o CMakeFiles/gui.dir/src/heatMap.cpp.o CMakeFiles/gui.dir/src/heatMapSetup.cpp.o CMakeFiles/gui.dir/src/heatMapPlacementDensity.cpp.o CMakeFiles/gui.dir/src/heatMapRUDY.cpp.o CMakeFiles/gui.dir/src/browserWidget.cpp.o CMakeFiles/gui.dir/src/globalConnectDialog.cpp.o CMakeFiles/gui.dir/src/clockWidget.cpp.o CMakeFiles/gui.dir/src/gui_utils.cpp.o CMakeFiles/gui.dir/src/colorGenerator.cpp.o CMakeFiles/gui.dir/src/bufferTreeDescriptor.cpp.o CMakeFiles/gui.dir/gui_autogen/3YJK5W5UP7/qrc_resource.cpp.o /usr/bin/ranlib gui.a cd /builddir/build/BUILD/openroad/src/gui && /builddir/build/BUILD/openroad/etc/find_messages.py > /builddir/build/BUILD/openroad/src/gui/messages.txt gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [ 58%] Built target gui /usr/bin/gmake -f src/dbSta/src/CMakeFiles/dbSta.dir/build.make src/dbSta/src/CMakeFiles/dbSta.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 58%] Generating dbSta-tclInitVar.cc cd /builddir/build/BUILD/openroad/src/dbSta/src && /usr/share/opensta/etc/TclEncode.tcl /builddir/build/BUILD/openroad/build/src/dbSta/src/dbSta-tclInitVar.cc dbSta_tcl_inits /usr/share/opensta/tcl/Graph.tcl /usr/share/opensta/tcl/Liberty.tcl /usr/share/opensta/tcl/CmdArgs.tcl /usr/share/opensta/tcl/CmdUtil.tcl /usr/share/opensta/tcl/Property.tcl /usr/share/opensta/tcl/WritePathSpice.tcl /usr/share/opensta/tcl/Network.tcl /usr/share/opensta/tcl/NetworkEdit.tcl /usr/share/opensta/tcl/Sdc.tcl /usr/share/opensta/tcl/Search.tcl /usr/share/opensta/tcl/Variables.tcl /usr/share/opensta/tcl/Sta.tcl /usr/share/opensta/tcl/Splash.tcl /usr/share/opensta/dcalc/DelayCalc.tcl /usr/share/opensta/parasitics/Parasitics.tcl /usr/share/opensta/power/Power.tcl /usr/share/opensta/sdf/Sdf.tcl /builddir/build/BUILD/openroad/src/dbSta/src/dbReadVerilog.tcl /builddir/build/BUILD/openroad/src/dbSta/src/dbSta.tcl [ 58%] Swig compile dbSta.i for tcl cd /builddir/build/BUILD/openroad/build/src/dbSta/src && /usr/bin/cmake -E make_directory /builddir/build/BUILD/openroad/build/src/dbSta/src/CMakeFiles/dbSta.dir /builddir/build/BUILD/openroad/build/src/dbSta/src /builddir/build/BUILD/openroad/build/src/dbSta/src/CMakeFiles/dbSta.dir cd /builddir/build/BUILD/openroad/build/src/dbSta/src && /usr/bin/cmake -E env SWIG_LIB=/usr/share/swig/4.0.2 /usr/bin/swig -tcl -I/usr/share/opensta -I/builddir/build/BUILD/openroad/src/odb/src/swig/common -I/builddir/build/BUILD/openroad/src/odb/src/swig/tcl -I/builddir/build/BUILD/openroad/src/odb/include -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/usr/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/usr/share/opensta/include/sta -I/usr/share/opensta -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/gui/include -namespace -prefix sta -Werror -w317,325,378,401,402,467,472,503,509 -outdir /builddir/build/BUILD/openroad/build/src/dbSta/src -c++ -module dbSta -MF /builddir/build/BUILD/openroad/build/src/dbSta/src/CMakeFiles/dbSta.dir/dbSta.i.d -MD -I/usr/include/sta -I/usr/include/abc -o /builddir/build/BUILD/openroad/build/src/dbSta/src/CMakeFiles/dbSta.dir/dbStaTCL_wrap.cxx /builddir/build/BUILD/openroad/src/dbSta/src/dbSta.i cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src/dbSta/src /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src/dbSta/src /builddir/build/BUILD/openroad/build/src/dbSta/src/CMakeFiles/dbSta.dir/DependInfo.cmake "--color=" Dependencies file "src/dbSta/src/CMakeFiles/dbSta.dir/dbSta.i.d" is newer than depends file "/builddir/build/BUILD/openroad/build/src/dbSta/src/CMakeFiles/dbSta.dir/compiler_depend.internal". Consolidate compiler generated dependencies of target dbSta gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' /usr/bin/gmake -f src/dbSta/src/CMakeFiles/dbSta.dir/build.make src/dbSta/src/CMakeFiles/dbSta.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 58%] Building CXX object src/dbSta/src/CMakeFiles/dbSta.dir/CMakeFiles/dbSta.dir/dbStaTCL_wrap.cxx.o cd /builddir/build/BUILD/openroad/build/src/dbSta/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/usr/share/opensta/include/sta -I/usr/share/opensta -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/gui/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -Wno-cast-qual -Wno-missing-braces -MD -MT src/dbSta/src/CMakeFiles/dbSta.dir/CMakeFiles/dbSta.dir/dbStaTCL_wrap.cxx.o -MF CMakeFiles/dbSta.dir/CMakeFiles/dbSta.dir/dbStaTCL_wrap.cxx.o.d -o CMakeFiles/dbSta.dir/CMakeFiles/dbSta.dir/dbStaTCL_wrap.cxx.o -c /builddir/build/BUILD/openroad/build/src/dbSta/src/CMakeFiles/dbSta.dir/dbStaTCL_wrap.cxx gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [ 58%] Built target odbtcl-bin /usr/bin/gmake -f src/pad/CMakeFiles/pad.dir/build.make src/pad/CMakeFiles/pad.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 58%] Generating pad-tclInitVar.cc cd /builddir/build/BUILD/openroad/src/pad && /usr/share/opensta/etc/TclEncode.tcl /builddir/build/BUILD/openroad/build/src/pad/pad-tclInitVar.cc pad_tcl_inits src/pad.tcl src/PdnGen.tcl src/ICeWall.tcl [ 58%] Swig compile src/pad.i for tcl cd /builddir/build/BUILD/openroad/build/src/pad && /usr/bin/cmake -E make_directory /builddir/build/BUILD/openroad/build/src/pad/CMakeFiles/pad.dir /builddir/build/BUILD/openroad/build/src/pad /builddir/build/BUILD/openroad/build/src/pad/CMakeFiles/pad.dir cd /builddir/build/BUILD/openroad/build/src/pad && /usr/bin/cmake -E env SWIG_LIB=/usr/share/swig/4.0.2 /usr/bin/swig -tcl -I/builddir/build/BUILD/openroad/src/odb/src/swig/common -I/builddir/build/BUILD/openroad/src/odb/src/swig/tcl -I/builddir/build/BUILD/openroad/src/odb/include -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/usr/include -I/builddir/build/BUILD/openroad/src/pad/include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/gui/include -namespace -prefix pad -Werror -w317,325,378,401,402,467,472,503,509 -outdir /builddir/build/BUILD/openroad/build/src/pad -c++ -module pad -MF /builddir/build/BUILD/openroad/build/src/pad/CMakeFiles/pad.dir/pad.i.d -MD -I/usr/include/sta -I/usr/include/abc -o /builddir/build/BUILD/openroad/build/src/pad/CMakeFiles/pad.dir/padTCL_wrap.cxx /builddir/build/BUILD/openroad/src/pad/src/pad.i cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src/pad /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src/pad /builddir/build/BUILD/openroad/build/src/pad/CMakeFiles/pad.dir/DependInfo.cmake "--color=" Dependencies file "src/pad/CMakeFiles/pad.dir/pad.i.d" is newer than depends file "/builddir/build/BUILD/openroad/build/src/pad/CMakeFiles/pad.dir/compiler_depend.internal". Consolidate compiler generated dependencies of target pad gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' /usr/bin/gmake -f src/pad/CMakeFiles/pad.dir/build.make src/pad/CMakeFiles/pad.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 58%] Building CXX object src/pad/CMakeFiles/pad.dir/CMakeFiles/pad.dir/padTCL_wrap.cxx.o cd /builddir/build/BUILD/openroad/build/src/pad && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/pad/include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/gui/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -Wno-cast-qual -Wno-missing-braces -MD -MT src/pad/CMakeFiles/pad.dir/CMakeFiles/pad.dir/padTCL_wrap.cxx.o -MF CMakeFiles/pad.dir/CMakeFiles/pad.dir/padTCL_wrap.cxx.o.d -o CMakeFiles/pad.dir/CMakeFiles/pad.dir/padTCL_wrap.cxx.o -c /builddir/build/BUILD/openroad/build/src/pad/CMakeFiles/pad.dir/padTCL_wrap.cxx [ 58%] Building CXX object src/rsz/src/CMakeFiles/rsz_lib.dir/RecoverPower.cc.o cd /builddir/build/BUILD/openroad/build/src/rsz/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/rsz/src/../include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/dpl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/rsz/src/CMakeFiles/rsz_lib.dir/RecoverPower.cc.o -MF CMakeFiles/rsz_lib.dir/RecoverPower.cc.o.d -o CMakeFiles/rsz_lib.dir/RecoverPower.cc.o -c /builddir/build/BUILD/openroad/src/rsz/src/RecoverPower.cc [ 58%] Building CXX object src/rsz/src/CMakeFiles/rsz_lib.dir/RepairDesign.cc.o cd /builddir/build/BUILD/openroad/build/src/rsz/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/rsz/src/../include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/dpl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/rsz/src/CMakeFiles/rsz_lib.dir/RepairDesign.cc.o -MF CMakeFiles/rsz_lib.dir/RepairDesign.cc.o.d -o CMakeFiles/rsz_lib.dir/RepairDesign.cc.o -c /builddir/build/BUILD/openroad/src/rsz/src/RepairDesign.cc [ 58%] Building CXX object src/pad/CMakeFiles/pad.dir/pad-tclInitVar.cc.o cd /builddir/build/BUILD/openroad/build/src/pad && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/pad/include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/gui/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/pad/CMakeFiles/pad.dir/pad-tclInitVar.cc.o -MF CMakeFiles/pad.dir/pad-tclInitVar.cc.o.d -o CMakeFiles/pad.dir/pad-tclInitVar.cc.o -c /builddir/build/BUILD/openroad/build/src/pad/pad-tclInitVar.cc [ 58%] Building CXX object src/pad/CMakeFiles/pad.dir/src/MakeICeWall.cpp.o cd /builddir/build/BUILD/openroad/build/src/pad && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/pad/include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/gui/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/pad/CMakeFiles/pad.dir/src/MakeICeWall.cpp.o -MF CMakeFiles/pad.dir/src/MakeICeWall.cpp.o.d -o CMakeFiles/pad.dir/src/MakeICeWall.cpp.o -c /builddir/build/BUILD/openroad/src/pad/src/MakeICeWall.cpp [ 58%] Building CXX object src/pad/CMakeFiles/pad.dir/src/ICeWall.cpp.o cd /builddir/build/BUILD/openroad/build/src/pad && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/pad/include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/gui/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/pad/CMakeFiles/pad.dir/src/ICeWall.cpp.o -MF CMakeFiles/pad.dir/src/ICeWall.cpp.o.d -o CMakeFiles/pad.dir/src/ICeWall.cpp.o -c /builddir/build/BUILD/openroad/src/pad/src/ICeWall.cpp [ 58%] Building CXX object src/rsz/src/CMakeFiles/rsz_lib.dir/RepairHold.cc.o cd /builddir/build/BUILD/openroad/build/src/rsz/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/rsz/src/../include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/dpl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/rsz/src/CMakeFiles/rsz_lib.dir/RepairHold.cc.o -MF CMakeFiles/rsz_lib.dir/RepairHold.cc.o.d -o CMakeFiles/rsz_lib.dir/RepairHold.cc.o -c /builddir/build/BUILD/openroad/src/rsz/src/RepairHold.cc [ 58%] Building CXX object src/rsz/src/CMakeFiles/rsz_lib.dir/RepairSetup.cc.o cd /builddir/build/BUILD/openroad/build/src/rsz/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/rsz/src/../include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/dpl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/rsz/src/CMakeFiles/rsz_lib.dir/RepairSetup.cc.o -MF CMakeFiles/rsz_lib.dir/RepairSetup.cc.o.d -o CMakeFiles/rsz_lib.dir/RepairSetup.cc.o -c /builddir/build/BUILD/openroad/src/rsz/src/RepairSetup.cc [ 58%] Building CXX object src/dbSta/src/CMakeFiles/dbSta.dir/dbSta-tclInitVar.cc.o cd /builddir/build/BUILD/openroad/build/src/dbSta/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/usr/share/opensta/include/sta -I/usr/share/opensta -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/gui/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/dbSta/src/CMakeFiles/dbSta.dir/dbSta-tclInitVar.cc.o -MF CMakeFiles/dbSta.dir/dbSta-tclInitVar.cc.o.d -o CMakeFiles/dbSta.dir/dbSta-tclInitVar.cc.o -c /builddir/build/BUILD/openroad/build/src/dbSta/src/dbSta-tclInitVar.cc [ 58%] Building CXX object src/dbSta/src/CMakeFiles/dbSta.dir/MakeDbSta.cc.o cd /builddir/build/BUILD/openroad/build/src/dbSta/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/usr/share/opensta/include/sta -I/usr/share/opensta -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/gui/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/dbSta/src/CMakeFiles/dbSta.dir/MakeDbSta.cc.o -MF CMakeFiles/dbSta.dir/MakeDbSta.cc.o.d -o CMakeFiles/dbSta.dir/MakeDbSta.cc.o -c /builddir/build/BUILD/openroad/src/dbSta/src/MakeDbSta.cc [ 59%] Building CXX object src/dbSta/src/CMakeFiles/dbSta.dir/heatMap.cpp.o cd /builddir/build/BUILD/openroad/build/src/dbSta/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/usr/share/opensta/include/sta -I/usr/share/opensta -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/gui/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/dbSta/src/CMakeFiles/dbSta.dir/heatMap.cpp.o -MF CMakeFiles/dbSta.dir/heatMap.cpp.o.d -o CMakeFiles/dbSta.dir/heatMap.cpp.o -c /builddir/build/BUILD/openroad/src/dbSta/src/heatMap.cpp [ 59%] Building CXX object src/pad/CMakeFiles/pad.dir/src/RDLRouter.cpp.o cd /builddir/build/BUILD/openroad/build/src/pad && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/pad/include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/gui/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/pad/CMakeFiles/pad.dir/src/RDLRouter.cpp.o -MF CMakeFiles/pad.dir/src/RDLRouter.cpp.o.d -o CMakeFiles/pad.dir/src/RDLRouter.cpp.o -c /builddir/build/BUILD/openroad/src/pad/src/RDLRouter.cpp [ 59%] Building CXX object src/rsz/src/CMakeFiles/rsz_lib.dir/Rebuffer.cc.o cd /builddir/build/BUILD/openroad/build/src/rsz/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/rsz/src/../include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/dpl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/rsz/src/CMakeFiles/rsz_lib.dir/Rebuffer.cc.o -MF CMakeFiles/rsz_lib.dir/Rebuffer.cc.o.d -o CMakeFiles/rsz_lib.dir/Rebuffer.cc.o -c /builddir/build/BUILD/openroad/src/rsz/src/Rebuffer.cc [ 59%] Building CXX object src/dbSta/src/CMakeFiles/dbSta.dir/PathRenderer.cc.o cd /builddir/build/BUILD/openroad/build/src/dbSta/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/usr/share/opensta/include/sta -I/usr/share/opensta -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/gui/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/dbSta/src/CMakeFiles/dbSta.dir/PathRenderer.cc.o -MF CMakeFiles/dbSta.dir/PathRenderer.cc.o.d -o CMakeFiles/dbSta.dir/PathRenderer.cc.o -c /builddir/build/BUILD/openroad/src/dbSta/src/PathRenderer.cc [ 59%] Linking CXX static library dbSta.a cd /builddir/build/BUILD/openroad/build/src/dbSta/src && /usr/bin/cmake -P CMakeFiles/dbSta.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/openroad/build/src/dbSta/src && /usr/bin/cmake -E cmake_link_script CMakeFiles/dbSta.dir/link.txt --verbose=1 /usr/bin/ar qc dbSta.a CMakeFiles/dbSta.dir/CMakeFiles/dbSta.dir/dbStaTCL_wrap.cxx.o "CMakeFiles/dbSta.dir/dbSta-tclInitVar.cc.o" CMakeFiles/dbSta.dir/MakeDbSta.cc.o CMakeFiles/dbSta.dir/heatMap.cpp.o CMakeFiles/dbSta.dir/PathRenderer.cc.o /usr/bin/ranlib dbSta.a cd /builddir/build/BUILD/openroad/src/dbSta && /builddir/build/BUILD/openroad/etc/find_messages.py > /builddir/build/BUILD/openroad/src/dbSta/src/../messages.txt gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [ 59%] Built target dbSta /usr/bin/gmake -f src/stt/CMakeFiles/stt.dir/build.make src/stt/CMakeFiles/stt.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 59%] Generating stt-tclInitVar.cc cd /builddir/build/BUILD/openroad/src/stt && /usr/share/opensta/etc/TclEncode.tcl /builddir/build/BUILD/openroad/build/src/stt/stt-tclInitVar.cc stt_tcl_inits src/SteinerTreeBuilder.tcl [ 59%] Swig compile src/SteinerTreeBuilder.i for tcl cd /builddir/build/BUILD/openroad/build/src/stt && /usr/bin/cmake -E make_directory /builddir/build/BUILD/openroad/build/src/stt/CMakeFiles/stt.dir /builddir/build/BUILD/openroad/build/src/stt /builddir/build/BUILD/openroad/build/src/stt/CMakeFiles/stt.dir cd /builddir/build/BUILD/openroad/build/src/stt && /usr/bin/cmake -E env SWIG_LIB=/usr/share/swig/4.0.2 /usr/bin/swig -tcl -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/usr/include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/stt/src -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/gui/include -namespace -prefix stt -Werror -w317,325,378,401,402,467,472,503,509 -outdir /builddir/build/BUILD/openroad/build/src/stt -c++ -module stt -MF /builddir/build/BUILD/openroad/build/src/stt/CMakeFiles/stt.dir/SteinerTreeBuilder.i.d -MD -I/usr/include/sta -I/usr/include/abc -o /builddir/build/BUILD/openroad/build/src/stt/CMakeFiles/stt.dir/SteinerTreeBuilderTCL_wrap.cxx /builddir/build/BUILD/openroad/src/stt/src/SteinerTreeBuilder.i cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src/stt /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src/stt /builddir/build/BUILD/openroad/build/src/stt/CMakeFiles/stt.dir/DependInfo.cmake "--color=" Dependencies file "src/stt/CMakeFiles/stt.dir/SteinerTreeBuilder.i.d" is newer than depends file "/builddir/build/BUILD/openroad/build/src/stt/CMakeFiles/stt.dir/compiler_depend.internal". Consolidate compiler generated dependencies of target stt gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' /usr/bin/gmake -f src/stt/CMakeFiles/stt.dir/build.make src/stt/CMakeFiles/stt.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 59%] Building CXX object src/stt/CMakeFiles/stt.dir/CMakeFiles/stt.dir/SteinerTreeBuilderTCL_wrap.cxx.o cd /builddir/build/BUILD/openroad/build/src/stt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/stt/src -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/gui/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -Wno-cast-qual -Wno-missing-braces -MD -MT src/stt/CMakeFiles/stt.dir/CMakeFiles/stt.dir/SteinerTreeBuilderTCL_wrap.cxx.o -MF CMakeFiles/stt.dir/CMakeFiles/stt.dir/SteinerTreeBuilderTCL_wrap.cxx.o.d -o CMakeFiles/stt.dir/CMakeFiles/stt.dir/SteinerTreeBuilderTCL_wrap.cxx.o -c /builddir/build/BUILD/openroad/build/src/stt/CMakeFiles/stt.dir/SteinerTreeBuilderTCL_wrap.cxx [ 60%] Building CXX object src/rsz/src/CMakeFiles/rsz_lib.dir/SteinerTree.cc.o cd /builddir/build/BUILD/openroad/build/src/rsz/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/rsz/src/../include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/dpl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/rsz/src/CMakeFiles/rsz_lib.dir/SteinerTree.cc.o -MF CMakeFiles/rsz_lib.dir/SteinerTree.cc.o.d -o CMakeFiles/rsz_lib.dir/SteinerTree.cc.o -c /builddir/build/BUILD/openroad/src/rsz/src/SteinerTree.cc [ 60%] Building CXX object src/stt/CMakeFiles/stt.dir/stt-tclInitVar.cc.o cd /builddir/build/BUILD/openroad/build/src/stt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/stt/src -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/gui/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/stt/CMakeFiles/stt.dir/stt-tclInitVar.cc.o -MF CMakeFiles/stt.dir/stt-tclInitVar.cc.o.d -o CMakeFiles/stt.dir/stt-tclInitVar.cc.o -c /builddir/build/BUILD/openroad/build/src/stt/stt-tclInitVar.cc [ 60%] Building CXX object src/stt/CMakeFiles/stt.dir/src/MakeSteinerTreeBuilder.cpp.o cd /builddir/build/BUILD/openroad/build/src/stt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/stt/src -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/gui/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/stt/CMakeFiles/stt.dir/src/MakeSteinerTreeBuilder.cpp.o -MF CMakeFiles/stt.dir/src/MakeSteinerTreeBuilder.cpp.o.d -o CMakeFiles/stt.dir/src/MakeSteinerTreeBuilder.cpp.o -c /builddir/build/BUILD/openroad/src/stt/src/MakeSteinerTreeBuilder.cpp [ 61%] Building CXX object src/stt/CMakeFiles/stt.dir/src/LinesRenderer.cpp.o cd /builddir/build/BUILD/openroad/build/src/stt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/stt/src -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/gui/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/stt/CMakeFiles/stt.dir/src/LinesRenderer.cpp.o -MF CMakeFiles/stt.dir/src/LinesRenderer.cpp.o.d -o CMakeFiles/stt.dir/src/LinesRenderer.cpp.o -c /builddir/build/BUILD/openroad/src/stt/src/LinesRenderer.cpp [ 61%] Linking CXX static library stt.a cd /builddir/build/BUILD/openroad/build/src/stt && /usr/bin/cmake -P CMakeFiles/stt.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/openroad/build/src/stt && /usr/bin/cmake -E cmake_link_script CMakeFiles/stt.dir/link.txt --verbose=1 /usr/bin/ar qc stt.a CMakeFiles/stt.dir/CMakeFiles/stt.dir/SteinerTreeBuilderTCL_wrap.cxx.o "CMakeFiles/stt.dir/stt-tclInitVar.cc.o" CMakeFiles/stt.dir/src/MakeSteinerTreeBuilder.cpp.o CMakeFiles/stt.dir/src/LinesRenderer.cpp.o /usr/bin/ranlib stt.a cd /builddir/build/BUILD/openroad/src/stt && /builddir/build/BUILD/openroad/etc/find_messages.py > /builddir/build/BUILD/openroad/src/stt/messages.txt gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [ 61%] Built target stt /usr/bin/gmake -f src/dpl/CMakeFiles/dpl.dir/build.make src/dpl/CMakeFiles/dpl.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 62%] Generating dpl-tclInitVar.cc cd /builddir/build/BUILD/openroad/src/dpl && /usr/share/opensta/etc/TclEncode.tcl /builddir/build/BUILD/openroad/build/src/dpl/dpl-tclInitVar.cc dpl_tcl_inits src/Opendp.tcl [ 62%] Swig compile src/Opendp.i for tcl cd /builddir/build/BUILD/openroad/build/src/dpl && /usr/bin/cmake -E make_directory /builddir/build/BUILD/openroad/build/src/dpl/CMakeFiles/dpl.dir /builddir/build/BUILD/openroad/build/src/dpl /builddir/build/BUILD/openroad/build/src/dpl/CMakeFiles/dpl.dir cd /builddir/build/BUILD/openroad/build/src/dpl && /usr/bin/cmake -E env SWIG_LIB=/usr/share/swig/4.0.2 /usr/bin/swig -tcl -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/usr/include -I/builddir/build/BUILD/openroad/src/dpl/include -I/builddir/build/BUILD/openroad/src/dpl/src -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/gui/include -namespace -prefix dpl -Werror -w317,325,378,401,402,467,472,503,509 -outdir /builddir/build/BUILD/openroad/build/src/dpl -c++ -module dpl -MF /builddir/build/BUILD/openroad/build/src/dpl/CMakeFiles/dpl.dir/Opendp.i.d -MD -I/usr/include/sta -I/usr/include/abc -o /builddir/build/BUILD/openroad/build/src/dpl/CMakeFiles/dpl.dir/OpendpTCL_wrap.cxx /builddir/build/BUILD/openroad/src/dpl/src/Opendp.i cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src/dpl /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src/dpl /builddir/build/BUILD/openroad/build/src/dpl/CMakeFiles/dpl.dir/DependInfo.cmake "--color=" Dependencies file "src/dpl/CMakeFiles/dpl.dir/Opendp.i.d" is newer than depends file "/builddir/build/BUILD/openroad/build/src/dpl/CMakeFiles/dpl.dir/compiler_depend.internal". Consolidate compiler generated dependencies of target dpl gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' /usr/bin/gmake -f src/dpl/CMakeFiles/dpl.dir/build.make src/dpl/CMakeFiles/dpl.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 62%] Building CXX object src/dpl/CMakeFiles/dpl.dir/CMakeFiles/dpl.dir/OpendpTCL_wrap.cxx.o cd /builddir/build/BUILD/openroad/build/src/dpl && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/dpl/include -I/builddir/build/BUILD/openroad/src/dpl/src -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/gui/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -Wno-cast-qual -Wno-missing-braces -MD -MT src/dpl/CMakeFiles/dpl.dir/CMakeFiles/dpl.dir/OpendpTCL_wrap.cxx.o -MF CMakeFiles/dpl.dir/CMakeFiles/dpl.dir/OpendpTCL_wrap.cxx.o.d -o CMakeFiles/dpl.dir/CMakeFiles/dpl.dir/OpendpTCL_wrap.cxx.o -c /builddir/build/BUILD/openroad/build/src/dpl/CMakeFiles/dpl.dir/OpendpTCL_wrap.cxx [ 62%] Building CXX object src/rsz/src/CMakeFiles/rsz_lib.dir/EstimateWireParasitics.cc.o cd /builddir/build/BUILD/openroad/build/src/rsz/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/rsz/src/../include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/dpl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/rsz/src/CMakeFiles/rsz_lib.dir/EstimateWireParasitics.cc.o -MF CMakeFiles/rsz_lib.dir/EstimateWireParasitics.cc.o.d -o CMakeFiles/rsz_lib.dir/EstimateWireParasitics.cc.o -c /builddir/build/BUILD/openroad/src/rsz/src/EstimateWireParasitics.cc [ 62%] Building CXX object src/dpl/CMakeFiles/dpl.dir/dpl-tclInitVar.cc.o cd /builddir/build/BUILD/openroad/build/src/dpl && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/dpl/include -I/builddir/build/BUILD/openroad/src/dpl/src -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/gui/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/dpl/CMakeFiles/dpl.dir/dpl-tclInitVar.cc.o -MF CMakeFiles/dpl.dir/dpl-tclInitVar.cc.o.d -o CMakeFiles/dpl.dir/dpl-tclInitVar.cc.o -c /builddir/build/BUILD/openroad/build/src/dpl/dpl-tclInitVar.cc [ 62%] Building CXX object src/rsz/src/CMakeFiles/rsz_lib.dir/Resizer.cc.o cd /builddir/build/BUILD/openroad/build/src/rsz/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/rsz/src/../include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/dpl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/rsz/src/CMakeFiles/rsz_lib.dir/Resizer.cc.o -MF CMakeFiles/rsz_lib.dir/Resizer.cc.o.d -o CMakeFiles/rsz_lib.dir/Resizer.cc.o -c /builddir/build/BUILD/openroad/src/rsz/src/Resizer.cc [ 62%] Building CXX object src/dpl/CMakeFiles/dpl.dir/src/MakeOpendp.cpp.o cd /builddir/build/BUILD/openroad/build/src/dpl && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/dpl/include -I/builddir/build/BUILD/openroad/src/dpl/src -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/gui/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/dpl/CMakeFiles/dpl.dir/src/MakeOpendp.cpp.o -MF CMakeFiles/dpl.dir/src/MakeOpendp.cpp.o.d -o CMakeFiles/dpl.dir/src/MakeOpendp.cpp.o -c /builddir/build/BUILD/openroad/src/dpl/src/MakeOpendp.cpp [ 62%] Building CXX object src/pad/CMakeFiles/pad.dir/src/Utilities.cpp.o cd /builddir/build/BUILD/openroad/build/src/pad && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/pad/include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/gui/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/pad/CMakeFiles/pad.dir/src/Utilities.cpp.o -MF CMakeFiles/pad.dir/src/Utilities.cpp.o.d -o CMakeFiles/pad.dir/src/Utilities.cpp.o -c /builddir/build/BUILD/openroad/src/pad/src/Utilities.cpp [ 63%] Linking CXX static library pad.a cd /builddir/build/BUILD/openroad/build/src/pad && /usr/bin/cmake -P CMakeFiles/pad.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/openroad/build/src/pad && /usr/bin/cmake -E cmake_link_script CMakeFiles/pad.dir/link.txt --verbose=1 /usr/bin/ar qc pad.a CMakeFiles/pad.dir/CMakeFiles/pad.dir/padTCL_wrap.cxx.o "CMakeFiles/pad.dir/pad-tclInitVar.cc.o" CMakeFiles/pad.dir/src/MakeICeWall.cpp.o CMakeFiles/pad.dir/src/ICeWall.cpp.o CMakeFiles/pad.dir/src/RDLRouter.cpp.o CMakeFiles/pad.dir/src/Utilities.cpp.o /usr/bin/ranlib pad.a cd /builddir/build/BUILD/openroad/src/pad && /builddir/build/BUILD/openroad/etc/find_messages.py > /builddir/build/BUILD/openroad/src/pad/messages.txt gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [ 63%] Built target pad /usr/bin/gmake -f src/dpl/CMakeFiles/dpl_py.dir/build.make src/dpl/CMakeFiles/dpl_py.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 63%] Swig compile src/Opendp-py.i for python cd /builddir/build/BUILD/openroad/build/src/dpl && /usr/bin/cmake -E make_directory /builddir/build/BUILD/openroad/build/src/dpl/CMakeFiles/dpl_py.dir /builddir/build/BUILD/openroad/build/src/dpl /builddir/build/BUILD/openroad/build/src/dpl/CMakeFiles/dpl_py.dir cd /builddir/build/BUILD/openroad/build/src/dpl && /usr/bin/cmake -E env SWIG_LIB=/usr/share/swig/4.0.2 /usr/bin/swig -python -I/builddir/build/BUILD/openroad/include/dpl -I/builddir/build/BUILD/openroad/src/odb/src/swig/common -I/builddir/build/BUILD/openroad/src/odb/src/swig/python -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/usr/include/python3.11 -I/builddir/build/BUILD/openroad/src/dpl/include -I/builddir/build/BUILD/openroad/src/odb/include -I/usr/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/gui/include -Werror -w317,325,378,401,402,467,472,503,509 -outdir /builddir/build/BUILD/openroad/build/src/dpl -c++ -module dpl_py -interface _dpl_py -MF /builddir/build/BUILD/openroad/build/src/dpl/CMakeFiles/dpl_py.dir/Opendp-py.i.d -MD -I/usr/include/sta -I/usr/include/abc -o /builddir/build/BUILD/openroad/build/src/dpl/CMakeFiles/dpl_py.dir/Opendp-pyPYTHON_wrap.cxx /builddir/build/BUILD/openroad/src/dpl/src/Opendp-py.i [ 63%] Generating dpl_py-pythonInitVar.cc cd /builddir/build/BUILD/openroad/src/dpl && /usr/share/opensta/etc/TclEncode.tcl /builddir/build/BUILD/openroad/build/src/dpl/dpl_py-pythonInitVar.cc dpl_py_python_inits /builddir/build/BUILD/openroad/build/src/dpl/dpl_py.py cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src/dpl /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src/dpl /builddir/build/BUILD/openroad/build/src/dpl/CMakeFiles/dpl_py.dir/DependInfo.cmake "--color=" Dependencies file "src/dpl/CMakeFiles/dpl_py.dir/Opendp-py.i.d" is newer than depends file "/builddir/build/BUILD/openroad/build/src/dpl/CMakeFiles/dpl_py.dir/compiler_depend.internal". Consolidate compiler generated dependencies of target dpl_py gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' /usr/bin/gmake -f src/dpl/CMakeFiles/dpl_py.dir/build.make src/dpl/CMakeFiles/dpl_py.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 63%] Building CXX object src/dpl/CMakeFiles/dpl_py.dir/CMakeFiles/dpl_py.dir/Opendp-pyPYTHON_wrap.cxx.o cd /builddir/build/BUILD/openroad/build/src/dpl && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/dpl/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/gui/include -isystem /usr/include/python3.11 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -Wno-cast-qual -Wno-missing-braces -MD -MT src/dpl/CMakeFiles/dpl_py.dir/CMakeFiles/dpl_py.dir/Opendp-pyPYTHON_wrap.cxx.o -MF CMakeFiles/dpl_py.dir/CMakeFiles/dpl_py.dir/Opendp-pyPYTHON_wrap.cxx.o.d -o CMakeFiles/dpl_py.dir/CMakeFiles/dpl_py.dir/Opendp-pyPYTHON_wrap.cxx.o -c /builddir/build/BUILD/openroad/build/src/dpl/CMakeFiles/dpl_py.dir/Opendp-pyPYTHON_wrap.cxx [ 63%] Building CXX object src/dpl/CMakeFiles/dpl_py.dir/dpl_py-pythonInitVar.cc.o cd /builddir/build/BUILD/openroad/build/src/dpl && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/dpl/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/gui/include -isystem /usr/include/python3.11 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/dpl/CMakeFiles/dpl_py.dir/dpl_py-pythonInitVar.cc.o -MF CMakeFiles/dpl_py.dir/dpl_py-pythonInitVar.cc.o.d -o CMakeFiles/dpl_py.dir/dpl_py-pythonInitVar.cc.o -c /builddir/build/BUILD/openroad/build/src/dpl/dpl_py-pythonInitVar.cc /usr/bin/gmake -f src/fin/CMakeFiles/fin.dir/build.make src/fin/CMakeFiles/fin.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 63%] Generating fin-tclInitVar.cc cd /builddir/build/BUILD/openroad/src/fin && /usr/share/opensta/etc/TclEncode.tcl /builddir/build/BUILD/openroad/build/src/fin/fin-tclInitVar.cc fin_tcl_inits src/finale.tcl [ 63%] Swig compile src/finale.i for tcl cd /builddir/build/BUILD/openroad/build/src/fin && /usr/bin/cmake -E make_directory /builddir/build/BUILD/openroad/build/src/fin/CMakeFiles/fin.dir /builddir/build/BUILD/openroad/build/src/fin /builddir/build/BUILD/openroad/build/src/fin/CMakeFiles/fin.dir cd /builddir/build/BUILD/openroad/build/src/fin && /usr/bin/cmake -E env SWIG_LIB=/usr/share/swig/4.0.2 /usr/bin/swig -tcl -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/usr/include -I/builddir/build/BUILD/openroad/src/fin/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/gui/include -namespace -prefix fin -Werror -w317,325,378,401,402,467,472,503,509 -outdir /builddir/build/BUILD/openroad/build/src/fin -c++ -module fin -MF /builddir/build/BUILD/openroad/build/src/fin/CMakeFiles/fin.dir/finale.i.d -MD -I/usr/include/sta -I/usr/include/abc -o /builddir/build/BUILD/openroad/build/src/fin/CMakeFiles/fin.dir/finaleTCL_wrap.cxx /builddir/build/BUILD/openroad/src/fin/src/finale.i cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src/fin /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src/fin /builddir/build/BUILD/openroad/build/src/fin/CMakeFiles/fin.dir/DependInfo.cmake "--color=" Dependencies file "src/fin/CMakeFiles/fin.dir/finale.i.d" is newer than depends file "/builddir/build/BUILD/openroad/build/src/fin/CMakeFiles/fin.dir/compiler_depend.internal". Consolidate compiler generated dependencies of target fin gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' /usr/bin/gmake -f src/fin/CMakeFiles/fin.dir/build.make src/fin/CMakeFiles/fin.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 63%] Building CXX object src/fin/CMakeFiles/fin.dir/CMakeFiles/fin.dir/finaleTCL_wrap.cxx.o cd /builddir/build/BUILD/openroad/build/src/fin && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/fin/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/gui/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -Wno-cast-qual -Wno-missing-braces -MD -MT src/fin/CMakeFiles/fin.dir/CMakeFiles/fin.dir/finaleTCL_wrap.cxx.o -MF CMakeFiles/fin.dir/CMakeFiles/fin.dir/finaleTCL_wrap.cxx.o.d -o CMakeFiles/fin.dir/CMakeFiles/fin.dir/finaleTCL_wrap.cxx.o -c /builddir/build/BUILD/openroad/build/src/fin/CMakeFiles/fin.dir/finaleTCL_wrap.cxx [ 63%] Building CXX object src/dpl/CMakeFiles/dpl.dir/src/Graphics.cpp.o cd /builddir/build/BUILD/openroad/build/src/dpl && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/dpl/include -I/builddir/build/BUILD/openroad/src/dpl/src -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/gui/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/dpl/CMakeFiles/dpl.dir/src/Graphics.cpp.o -MF CMakeFiles/dpl.dir/src/Graphics.cpp.o.d -o CMakeFiles/dpl.dir/src/Graphics.cpp.o -c /builddir/build/BUILD/openroad/src/dpl/src/Graphics.cpp [ 63%] Building CXX object src/fin/CMakeFiles/fin.dir/fin-tclInitVar.cc.o cd /builddir/build/BUILD/openroad/build/src/fin && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/fin/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/gui/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/fin/CMakeFiles/fin.dir/fin-tclInitVar.cc.o -MF CMakeFiles/fin.dir/fin-tclInitVar.cc.o.d -o CMakeFiles/fin.dir/fin-tclInitVar.cc.o -c /builddir/build/BUILD/openroad/build/src/fin/fin-tclInitVar.cc [ 64%] Building CXX object src/fin/CMakeFiles/fin.dir/src/Finale.cpp.o cd /builddir/build/BUILD/openroad/build/src/fin && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/fin/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/gui/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/fin/CMakeFiles/fin.dir/src/Finale.cpp.o -MF CMakeFiles/fin.dir/src/Finale.cpp.o.d -o CMakeFiles/fin.dir/src/Finale.cpp.o -c /builddir/build/BUILD/openroad/src/fin/src/Finale.cpp [ 64%] Building CXX object src/fin/CMakeFiles/fin.dir/src/MakeFinale.cpp.o cd /builddir/build/BUILD/openroad/build/src/fin && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/fin/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/gui/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/fin/CMakeFiles/fin.dir/src/MakeFinale.cpp.o -MF CMakeFiles/fin.dir/src/MakeFinale.cpp.o.d -o CMakeFiles/fin.dir/src/MakeFinale.cpp.o -c /builddir/build/BUILD/openroad/src/fin/src/MakeFinale.cpp [ 64%] Building CXX object src/fin/CMakeFiles/fin.dir/src/DensityFill.cpp.o cd /builddir/build/BUILD/openroad/build/src/fin && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/fin/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/gui/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/fin/CMakeFiles/fin.dir/src/DensityFill.cpp.o -MF CMakeFiles/fin.dir/src/DensityFill.cpp.o.d -o CMakeFiles/fin.dir/src/DensityFill.cpp.o -c /builddir/build/BUILD/openroad/src/fin/src/DensityFill.cpp [ 64%] Linking CXX static library dpl.a cd /builddir/build/BUILD/openroad/build/src/dpl && /usr/bin/cmake -P CMakeFiles/dpl.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/openroad/build/src/dpl && /usr/bin/cmake -E cmake_link_script CMakeFiles/dpl.dir/link.txt --verbose=1 /usr/bin/ar qc dpl.a CMakeFiles/dpl.dir/CMakeFiles/dpl.dir/OpendpTCL_wrap.cxx.o "CMakeFiles/dpl.dir/dpl-tclInitVar.cc.o" CMakeFiles/dpl.dir/src/MakeOpendp.cpp.o CMakeFiles/dpl.dir/src/Graphics.cpp.o /usr/bin/ranlib dpl.a cd /builddir/build/BUILD/openroad/src/dpl && /builddir/build/BUILD/openroad/etc/find_messages.py > /builddir/build/BUILD/openroad/src/dpl/messages.txt gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [ 64%] Built target dpl /usr/bin/gmake -f src/ppl/CMakeFiles/ppl.dir/build.make src/ppl/CMakeFiles/ppl.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 64%] Generating ppl-tclInitVar.cc cd /builddir/build/BUILD/openroad/src/ppl && /usr/share/opensta/etc/TclEncode.tcl /builddir/build/BUILD/openroad/build/src/ppl/ppl-tclInitVar.cc ppl_tcl_inits src/IOPlacer.tcl [ 64%] Swig compile src/IOPlacer.i for tcl cd /builddir/build/BUILD/openroad/build/src/ppl && /usr/bin/cmake -E make_directory /builddir/build/BUILD/openroad/build/src/ppl/CMakeFiles/ppl.dir /builddir/build/BUILD/openroad/build/src/ppl /builddir/build/BUILD/openroad/build/src/ppl/CMakeFiles/ppl.dir cd /builddir/build/BUILD/openroad/build/src/ppl && /usr/bin/cmake -E env SWIG_LIB=/usr/share/swig/4.0.2 /usr/bin/swig -tcl -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/usr/include -I/builddir/build/BUILD/openroad/src/ppl/include -I/builddir/build/BUILD/openroad/src/ppl/src -I/builddir/build/BUILD/openroad/src/ppl/src/munkres/src -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/gui/include -namespace -prefix ppl -Werror -w317,325,378,401,402,467,472,503,509 -outdir /builddir/build/BUILD/openroad/build/src/ppl -c++ -module ppl -MF /builddir/build/BUILD/openroad/build/src/ppl/CMakeFiles/ppl.dir/IOPlacer.i.d -MD -I/usr/include/sta -I/usr/include/abc -o /builddir/build/BUILD/openroad/build/src/ppl/CMakeFiles/ppl.dir/IOPlacerTCL_wrap.cxx /builddir/build/BUILD/openroad/src/ppl/src/IOPlacer.i cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src/ppl /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src/ppl /builddir/build/BUILD/openroad/build/src/ppl/CMakeFiles/ppl.dir/DependInfo.cmake "--color=" Dependencies file "src/ppl/CMakeFiles/ppl.dir/IOPlacer.i.d" is newer than depends file "/builddir/build/BUILD/openroad/build/src/ppl/CMakeFiles/ppl.dir/compiler_depend.internal". Consolidate compiler generated dependencies of target ppl gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' /usr/bin/gmake -f src/ppl/CMakeFiles/ppl.dir/build.make src/ppl/CMakeFiles/ppl.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 65%] Building CXX object src/ppl/CMakeFiles/ppl.dir/CMakeFiles/ppl.dir/IOPlacerTCL_wrap.cxx.o cd /builddir/build/BUILD/openroad/build/src/ppl && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/ppl/include -I/builddir/build/BUILD/openroad/src/ppl/src -I/builddir/build/BUILD/openroad/src/ppl/src/munkres/src -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/gui/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -Wno-cast-qual -Wno-missing-braces -MD -MT src/ppl/CMakeFiles/ppl.dir/CMakeFiles/ppl.dir/IOPlacerTCL_wrap.cxx.o -MF CMakeFiles/ppl.dir/CMakeFiles/ppl.dir/IOPlacerTCL_wrap.cxx.o.d -o CMakeFiles/ppl.dir/CMakeFiles/ppl.dir/IOPlacerTCL_wrap.cxx.o -c /builddir/build/BUILD/openroad/build/src/ppl/CMakeFiles/ppl.dir/IOPlacerTCL_wrap.cxx /builddir/build/BUILD/openroad/src/fin/src/DensityFill.cpp: In instantiation of 'fin::DensityFill::readAndExpandLayers(odb::dbTech*, boost::property_tree::ptree&):: [with auto:28 = std::pair, boost::property_tree::basic_ptree, std::__cxx11::basic_string > >; auto:29 = std::pair, boost::property_tree::basic_ptree, std::__cxx11::basic_string > >]': /usr/include/c++/12/bits/stl_algo.h:4303:25: required from '_OIter std::transform(_IIter1, _IIter1, _IIter2, _OIter, _BinaryOperation) [with _IIter1 = boost::property_tree::basic_ptree<__cxx11::basic_string, __cxx11::basic_string >::iterator; _IIter2 = boost::property_tree::basic_ptree<__cxx11::basic_string, __cxx11::basic_string >::iterator; _OIter = back_insert_iterator > >; _BinaryOperation = fin::DensityFill::readAndExpandLayers(odb::dbTech*, boost::property_tree::ptree&)::]' /builddir/build/BUILD/openroad/src/fin/src/DensityFill.cpp:135:21: required from here /builddir/build/BUILD/openroad/src/fin/src/DensityFill.cpp:141:70: note: parameter passing for argument of type 'std::pair' when C++17 is enabled changed to match C++14 in GCC 10.1 141 | getValue(h.second) * dbu); | ^ [ 66%] Linking CXX static library _dpl_py.a cd /builddir/build/BUILD/openroad/build/src/dpl && /usr/bin/cmake -P CMakeFiles/dpl_py.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/openroad/build/src/dpl && /usr/bin/cmake -E cmake_link_script CMakeFiles/dpl_py.dir/link.txt --verbose=1 /usr/bin/ar qc _dpl_py.a "CMakeFiles/dpl_py.dir/CMakeFiles/dpl_py.dir/Opendp-pyPYTHON_wrap.cxx.o" "CMakeFiles/dpl_py.dir/dpl_py-pythonInitVar.cc.o" /usr/bin/ranlib _dpl_py.a gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [ 66%] Built target dpl_py /usr/bin/gmake -f src/grt/CMakeFiles/grt_py.dir/build.make src/grt/CMakeFiles/grt_py.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 66%] Swig compile src/GlobalRouter-py.i for python cd /builddir/build/BUILD/openroad/build/src/grt && /usr/bin/cmake -E make_directory /builddir/build/BUILD/openroad/build/src/grt/CMakeFiles/grt_py.dir /builddir/build/BUILD/openroad/build/src/grt /builddir/build/BUILD/openroad/build/src/grt/CMakeFiles/grt_py.dir cd /builddir/build/BUILD/openroad/build/src/grt && /usr/bin/cmake -E env SWIG_LIB=/usr/share/swig/4.0.2 /usr/bin/swig -python -I/builddir/build/BUILD/openroad/src/grt/include/grt -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/usr/include/python3.11 -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/utl/include -I/usr/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dpl/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/stt/include -Werror -w317,325,378,401,402,467,472,503,509 -outdir /builddir/build/BUILD/openroad/build/src/grt -c++ -module grt_py -interface _grt_py -MF /builddir/build/BUILD/openroad/build/src/grt/CMakeFiles/grt_py.dir/GlobalRouter-py.i.d -MD -I/usr/include/sta -I/usr/include/abc -o /builddir/build/BUILD/openroad/build/src/grt/CMakeFiles/grt_py.dir/GlobalRouter-pyPYTHON_wrap.cxx /builddir/build/BUILD/openroad/src/grt/src/GlobalRouter-py.i [ 66%] Generating grt_py-pythonInitVar.cc cd /builddir/build/BUILD/openroad/src/grt && /usr/share/opensta/etc/TclEncode.tcl /builddir/build/BUILD/openroad/build/src/grt/grt_py-pythonInitVar.cc grt_py_python_inits /builddir/build/BUILD/openroad/build/src/grt/grt_py.py cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src/grt /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src/grt /builddir/build/BUILD/openroad/build/src/grt/CMakeFiles/grt_py.dir/DependInfo.cmake "--color=" Dependencies file "src/grt/CMakeFiles/grt_py.dir/GlobalRouter-py.i.d" is newer than depends file "/builddir/build/BUILD/openroad/build/src/grt/CMakeFiles/grt_py.dir/compiler_depend.internal". Consolidate compiler generated dependencies of target grt_py gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' /usr/bin/gmake -f src/grt/CMakeFiles/grt_py.dir/build.make src/grt/CMakeFiles/grt_py.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 66%] Building CXX object src/grt/CMakeFiles/grt_py.dir/CMakeFiles/grt_py.dir/GlobalRouter-pyPYTHON_wrap.cxx.o cd /builddir/build/BUILD/openroad/build/src/grt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dpl/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/stt/include -isystem /usr/include/python3.11 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -Wno-cast-qual -Wno-missing-braces -MD -MT src/grt/CMakeFiles/grt_py.dir/CMakeFiles/grt_py.dir/GlobalRouter-pyPYTHON_wrap.cxx.o -MF CMakeFiles/grt_py.dir/CMakeFiles/grt_py.dir/GlobalRouter-pyPYTHON_wrap.cxx.o.d -o CMakeFiles/grt_py.dir/CMakeFiles/grt_py.dir/GlobalRouter-pyPYTHON_wrap.cxx.o -c /builddir/build/BUILD/openroad/build/src/grt/CMakeFiles/grt_py.dir/GlobalRouter-pyPYTHON_wrap.cxx [ 66%] Building CXX object src/ppl/CMakeFiles/ppl.dir/ppl-tclInitVar.cc.o cd /builddir/build/BUILD/openroad/build/src/ppl && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/ppl/include -I/builddir/build/BUILD/openroad/src/ppl/src -I/builddir/build/BUILD/openroad/src/ppl/src/munkres/src -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/gui/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/ppl/CMakeFiles/ppl.dir/ppl-tclInitVar.cc.o -MF CMakeFiles/ppl.dir/ppl-tclInitVar.cc.o.d -o CMakeFiles/ppl.dir/ppl-tclInitVar.cc.o -c /builddir/build/BUILD/openroad/build/src/ppl/ppl-tclInitVar.cc [ 66%] Building CXX object src/ppl/CMakeFiles/ppl.dir/src/Core.cpp.o cd /builddir/build/BUILD/openroad/build/src/ppl && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/ppl/include -I/builddir/build/BUILD/openroad/src/ppl/src -I/builddir/build/BUILD/openroad/src/ppl/src/munkres/src -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/gui/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/ppl/CMakeFiles/ppl.dir/src/Core.cpp.o -MF CMakeFiles/ppl.dir/src/Core.cpp.o.d -o CMakeFiles/ppl.dir/src/Core.cpp.o -c /builddir/build/BUILD/openroad/src/ppl/src/Core.cpp [ 66%] Building CXX object src/ppl/CMakeFiles/ppl.dir/src/HungarianMatching.cpp.o cd /builddir/build/BUILD/openroad/build/src/ppl && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/ppl/include -I/builddir/build/BUILD/openroad/src/ppl/src -I/builddir/build/BUILD/openroad/src/ppl/src/munkres/src -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/gui/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/ppl/CMakeFiles/ppl.dir/src/HungarianMatching.cpp.o -MF CMakeFiles/ppl.dir/src/HungarianMatching.cpp.o.d -o CMakeFiles/ppl.dir/src/HungarianMatching.cpp.o -c /builddir/build/BUILD/openroad/src/ppl/src/HungarianMatching.cpp [ 66%] Linking CXX static library librsz_lib.a cd /builddir/build/BUILD/openroad/build/src/rsz/src && /usr/bin/cmake -P CMakeFiles/rsz_lib.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/openroad/build/src/rsz/src && /usr/bin/cmake -E cmake_link_script CMakeFiles/rsz_lib.dir/link.txt --verbose=1 /usr/bin/ar qc librsz_lib.a CMakeFiles/rsz_lib.dir/BufferedNet.cc.o CMakeFiles/rsz_lib.dir/PreChecks.cc.o CMakeFiles/rsz_lib.dir/RecoverPower.cc.o CMakeFiles/rsz_lib.dir/RepairDesign.cc.o CMakeFiles/rsz_lib.dir/RepairHold.cc.o CMakeFiles/rsz_lib.dir/RepairSetup.cc.o CMakeFiles/rsz_lib.dir/Rebuffer.cc.o CMakeFiles/rsz_lib.dir/SteinerTree.cc.o CMakeFiles/rsz_lib.dir/EstimateWireParasitics.cc.o CMakeFiles/rsz_lib.dir/Resizer.cc.o /usr/bin/ranlib librsz_lib.a gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [ 66%] Built target rsz_lib /usr/bin/gmake -f src/mpl/CMakeFiles/mpl.dir/build.make src/mpl/CMakeFiles/mpl.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 66%] Generating mpl-tclInitVar.cc cd /builddir/build/BUILD/openroad/src/mpl && /usr/share/opensta/etc/TclEncode.tcl /builddir/build/BUILD/openroad/build/src/mpl/mpl-tclInitVar.cc mpl_tcl_inits src/MacroPlacer.tcl [ 66%] Swig compile src/MacroPlacer.i for tcl cd /builddir/build/BUILD/openroad/build/src/mpl && /usr/bin/cmake -E make_directory /builddir/build/BUILD/openroad/build/src/mpl/CMakeFiles/mpl.dir /builddir/build/BUILD/openroad/build/src/mpl /builddir/build/BUILD/openroad/build/src/mpl/CMakeFiles/mpl.dir [ 67%] Building CXX object src/grt/CMakeFiles/grt_py.dir/grt_py-pythonInitVar.cc.o cd /builddir/build/BUILD/openroad/build/src/grt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dpl/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/stt/include -isystem /usr/include/python3.11 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/grt/CMakeFiles/grt_py.dir/grt_py-pythonInitVar.cc.o -MF CMakeFiles/grt_py.dir/grt_py-pythonInitVar.cc.o.d -o CMakeFiles/grt_py.dir/grt_py-pythonInitVar.cc.o -c /builddir/build/BUILD/openroad/build/src/grt/grt_py-pythonInitVar.cc cd /builddir/build/BUILD/openroad/build/src/mpl && /usr/bin/cmake -E env SWIG_LIB=/usr/share/swig/4.0.2 /usr/bin/swig -tcl -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/usr/include -I/builddir/build/BUILD/openroad/src/mpl/include -I/builddir/build/BUILD/openroad/src/mpl/src/ParquetFP/src -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/gui/include -namespace -prefix mpl -Werror -w317,325,378,401,402,467,472,503,509 -outdir /builddir/build/BUILD/openroad/build/src/mpl -c++ -module mpl -MF /builddir/build/BUILD/openroad/build/src/mpl/CMakeFiles/mpl.dir/MacroPlacer.i.d -MD -I/usr/include/sta -I/usr/include/abc -o /builddir/build/BUILD/openroad/build/src/mpl/CMakeFiles/mpl.dir/MacroPlacerTCL_wrap.cxx /builddir/build/BUILD/openroad/src/mpl/src/MacroPlacer.i [ 67%] Linking CXX static library _grt_py.a cd /builddir/build/BUILD/openroad/build/src/grt && /usr/bin/cmake -P CMakeFiles/grt_py.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/openroad/build/src/grt && /usr/bin/cmake -E cmake_link_script CMakeFiles/grt_py.dir/link.txt --verbose=1 /usr/bin/ar qc _grt_py.a "CMakeFiles/grt_py.dir/CMakeFiles/grt_py.dir/GlobalRouter-pyPYTHON_wrap.cxx.o" "CMakeFiles/grt_py.dir/grt_py-pythonInitVar.cc.o" /usr/bin/ranlib _grt_py.a cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src/mpl /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src/mpl /builddir/build/BUILD/openroad/build/src/mpl/CMakeFiles/mpl.dir/DependInfo.cmake "--color=" Dependencies file "src/mpl/CMakeFiles/mpl.dir/MacroPlacer.i.d" is newer than depends file "/builddir/build/BUILD/openroad/build/src/mpl/CMakeFiles/mpl.dir/compiler_depend.internal". Consolidate compiler generated dependencies of target mpl gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' /usr/bin/gmake -f src/mpl/CMakeFiles/mpl.dir/build.make src/mpl/CMakeFiles/mpl.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [ 67%] Building CXX object src/mpl/CMakeFiles/mpl.dir/CMakeFiles/mpl.dir/MacroPlacerTCL_wrap.cxx.o cd /builddir/build/BUILD/openroad/build/src/mpl && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/mpl/include -I/builddir/build/BUILD/openroad/src/mpl/src/ParquetFP/src -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/gui/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -Wno-cast-qual -Wno-missing-braces -MD -MT src/mpl/CMakeFiles/mpl.dir/CMakeFiles/mpl.dir/MacroPlacerTCL_wrap.cxx.o -MF CMakeFiles/mpl.dir/CMakeFiles/mpl.dir/MacroPlacerTCL_wrap.cxx.o.d -o CMakeFiles/mpl.dir/CMakeFiles/mpl.dir/MacroPlacerTCL_wrap.cxx.o -c /builddir/build/BUILD/openroad/build/src/mpl/CMakeFiles/mpl.dir/MacroPlacerTCL_wrap.cxx [ 67%] Built target grt_py [ 68%] Building CXX object src/mpl/CMakeFiles/mpl.dir/mpl-tclInitVar.cc.o cd /builddir/build/BUILD/openroad/build/src/mpl && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/mpl/include -I/builddir/build/BUILD/openroad/src/mpl/src/ParquetFP/src -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/gui/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/mpl/CMakeFiles/mpl.dir/mpl-tclInitVar.cc.o -MF CMakeFiles/mpl.dir/mpl-tclInitVar.cc.o.d -o CMakeFiles/mpl.dir/mpl-tclInitVar.cc.o -c /builddir/build/BUILD/openroad/build/src/mpl/mpl-tclInitVar.cc [ 68%] Building CXX object src/mpl/CMakeFiles/mpl.dir/src/Partition.cpp.o cd /builddir/build/BUILD/openroad/build/src/mpl && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/mpl/include -I/builddir/build/BUILD/openroad/src/mpl/src/ParquetFP/src -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/gui/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/mpl/CMakeFiles/mpl.dir/src/Partition.cpp.o -MF CMakeFiles/mpl.dir/src/Partition.cpp.o.d -o CMakeFiles/mpl.dir/src/Partition.cpp.o -c /builddir/build/BUILD/openroad/src/mpl/src/Partition.cpp [ 68%] Building CXX object src/mpl/CMakeFiles/mpl.dir/src/MacroPlacer.cpp.o cd /builddir/build/BUILD/openroad/build/src/mpl && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/mpl/include -I/builddir/build/BUILD/openroad/src/mpl/src/ParquetFP/src -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/gui/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/mpl/CMakeFiles/mpl.dir/src/MacroPlacer.cpp.o -MF CMakeFiles/mpl.dir/src/MacroPlacer.cpp.o.d -o CMakeFiles/mpl.dir/src/MacroPlacer.cpp.o -c /builddir/build/BUILD/openroad/src/mpl/src/MacroPlacer.cpp [ 68%] Building CXX object src/ppl/CMakeFiles/ppl.dir/src/IOPlacer.cpp.o cd /builddir/build/BUILD/openroad/build/src/ppl && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/ppl/include -I/builddir/build/BUILD/openroad/src/ppl/src -I/builddir/build/BUILD/openroad/src/ppl/src/munkres/src -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/gui/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/ppl/CMakeFiles/ppl.dir/src/IOPlacer.cpp.o -MF CMakeFiles/ppl.dir/src/IOPlacer.cpp.o.d -o CMakeFiles/ppl.dir/src/IOPlacer.cpp.o -c /builddir/build/BUILD/openroad/src/ppl/src/IOPlacer.cpp [ 68%] Building CXX object src/mpl/CMakeFiles/mpl.dir/src/MakeMacroPlacer.cpp.o cd /builddir/build/BUILD/openroad/build/src/mpl && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/mpl/include -I/builddir/build/BUILD/openroad/src/mpl/src/ParquetFP/src -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/gui/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/mpl/CMakeFiles/mpl.dir/src/MakeMacroPlacer.cpp.o -MF CMakeFiles/mpl.dir/src/MakeMacroPlacer.cpp.o.d -o CMakeFiles/mpl.dir/src/MakeMacroPlacer.cpp.o -c /builddir/build/BUILD/openroad/src/mpl/src/MakeMacroPlacer.cpp [ 68%] Building CXX object src/mpl/CMakeFiles/mpl.dir/src/graphics.cpp.o cd /builddir/build/BUILD/openroad/build/src/mpl && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/mpl/include -I/builddir/build/BUILD/openroad/src/mpl/src/ParquetFP/src -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/gui/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/mpl/CMakeFiles/mpl.dir/src/graphics.cpp.o -MF CMakeFiles/mpl.dir/src/graphics.cpp.o.d -o CMakeFiles/mpl.dir/src/graphics.cpp.o -c /builddir/build/BUILD/openroad/src/mpl/src/graphics.cpp [ 68%] Building CXX object src/ppl/CMakeFiles/ppl.dir/src/IOPlacerRenderer.cpp.o cd /builddir/build/BUILD/openroad/build/src/ppl && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/ppl/include -I/builddir/build/BUILD/openroad/src/ppl/src -I/builddir/build/BUILD/openroad/src/ppl/src/munkres/src -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/gui/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/ppl/CMakeFiles/ppl.dir/src/IOPlacerRenderer.cpp.o -MF CMakeFiles/ppl.dir/src/IOPlacerRenderer.cpp.o.d -o CMakeFiles/ppl.dir/src/IOPlacerRenderer.cpp.o -c /builddir/build/BUILD/openroad/src/ppl/src/IOPlacerRenderer.cpp [ 68%] Building CXX object src/fin/CMakeFiles/fin.dir/src/graphics.cpp.o cd /builddir/build/BUILD/openroad/build/src/fin && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/fin/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/gui/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/fin/CMakeFiles/fin.dir/src/graphics.cpp.o -MF CMakeFiles/fin.dir/src/graphics.cpp.o.d -o CMakeFiles/fin.dir/src/graphics.cpp.o -c /builddir/build/BUILD/openroad/src/fin/src/graphics.cpp [ 68%] Linking CXX static library mpl.a cd /builddir/build/BUILD/openroad/build/src/mpl && /usr/bin/cmake -P CMakeFiles/mpl.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/openroad/build/src/mpl && /usr/bin/cmake -E cmake_link_script CMakeFiles/mpl.dir/link.txt --verbose=1 /usr/bin/ar qc mpl.a CMakeFiles/mpl.dir/CMakeFiles/mpl.dir/MacroPlacerTCL_wrap.cxx.o "CMakeFiles/mpl.dir/mpl-tclInitVar.cc.o" CMakeFiles/mpl.dir/src/Partition.cpp.o CMakeFiles/mpl.dir/src/MacroPlacer.cpp.o CMakeFiles/mpl.dir/src/MakeMacroPlacer.cpp.o CMakeFiles/mpl.dir/src/graphics.cpp.o /usr/bin/ranlib mpl.a [ 68%] Building CXX object src/ppl/CMakeFiles/ppl.dir/src/MakeIoplacer.cpp.o cd /builddir/build/BUILD/openroad/build/src/ppl && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/ppl/include -I/builddir/build/BUILD/openroad/src/ppl/src -I/builddir/build/BUILD/openroad/src/ppl/src/munkres/src -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/gui/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/ppl/CMakeFiles/ppl.dir/src/MakeIoplacer.cpp.o -MF CMakeFiles/ppl.dir/src/MakeIoplacer.cpp.o.d -o CMakeFiles/ppl.dir/src/MakeIoplacer.cpp.o -c /builddir/build/BUILD/openroad/src/ppl/src/MakeIoplacer.cpp cd /builddir/build/BUILD/openroad/src/mpl && /builddir/build/BUILD/openroad/etc/find_messages.py > /builddir/build/BUILD/openroad/src/mpl/messages.txt gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [ 68%] Built target mpl /usr/bin/gmake -f src/mpl2/CMakeFiles/mpl2.dir/build.make src/mpl2/CMakeFiles/mpl2.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 68%] Generating mpl2-tclInitVar.cc cd /builddir/build/BUILD/openroad/src/mpl2 && /usr/share/opensta/etc/TclEncode.tcl /builddir/build/BUILD/openroad/build/src/mpl2/mpl2-tclInitVar.cc mpl2_tcl_inits src/mpl.tcl [ 68%] Swig compile src/mpl.i for tcl cd /builddir/build/BUILD/openroad/build/src/mpl2 && /usr/bin/cmake -E make_directory /builddir/build/BUILD/openroad/build/src/mpl2/CMakeFiles/mpl2.dir /builddir/build/BUILD/openroad/build/src/mpl2 /builddir/build/BUILD/openroad/build/src/mpl2/CMakeFiles/mpl2.dir cd /builddir/build/BUILD/openroad/build/src/mpl2 && /usr/bin/cmake -E env SWIG_LIB=/usr/share/swig/4.0.2 /usr/bin/swig -tcl -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/usr/include -I/builddir/build/BUILD/openroad/src/mpl2/include -I/builddir/build/BUILD/openroad/src/mpl2/src -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/par/include -I/builddir/build/BUILD/openroad/src/gui/include -namespace -prefix mpl2 -Werror -w317,325,378,401,402,467,472,503,509 -outdir /builddir/build/BUILD/openroad/build/src/mpl2 -c++ -module mpl2 -MF /builddir/build/BUILD/openroad/build/src/mpl2/CMakeFiles/mpl2.dir/mpl.i.d -MD -I/usr/include/sta -I/usr/include/abc -o /builddir/build/BUILD/openroad/build/src/mpl2/CMakeFiles/mpl2.dir/mplTCL_wrap.cxx /builddir/build/BUILD/openroad/src/mpl2/src/mpl.i cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src/mpl2 /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src/mpl2 /builddir/build/BUILD/openroad/build/src/mpl2/CMakeFiles/mpl2.dir/DependInfo.cmake "--color=" Dependencies file "src/mpl2/CMakeFiles/mpl2.dir/mpl.i.d" is newer than depends file "/builddir/build/BUILD/openroad/build/src/mpl2/CMakeFiles/mpl2.dir/compiler_depend.internal". Consolidate compiler generated dependencies of target mpl2 gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' /usr/bin/gmake -f src/mpl2/CMakeFiles/mpl2.dir/build.make src/mpl2/CMakeFiles/mpl2.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 68%] Building CXX object src/mpl2/CMakeFiles/mpl2.dir/CMakeFiles/mpl2.dir/mplTCL_wrap.cxx.o cd /builddir/build/BUILD/openroad/build/src/mpl2 && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DOR_TOOLS_AS_DYNAMIC_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -DUSE_BOP -DUSE_CBC -DUSE_CLP -DUSE_GLOP -DUSE_GLPK -DUSE_LP_PARSER -DUSE_PDLP -DUSE_SCIP -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/mpl2/include -I/builddir/build/BUILD/openroad/src/mpl2/src -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/par/include -I/builddir/build/BUILD/openroad/src/gui/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -fwrapv -Wno-cast-qual -Wno-missing-braces -MD -MT src/mpl2/CMakeFiles/mpl2.dir/CMakeFiles/mpl2.dir/mplTCL_wrap.cxx.o -MF CMakeFiles/mpl2.dir/CMakeFiles/mpl2.dir/mplTCL_wrap.cxx.o.d -o CMakeFiles/mpl2.dir/CMakeFiles/mpl2.dir/mplTCL_wrap.cxx.o -c /builddir/build/BUILD/openroad/build/src/mpl2/CMakeFiles/mpl2.dir/mplTCL_wrap.cxx [ 68%] Building CXX object src/ppl/CMakeFiles/ppl.dir/src/Netlist.cpp.o cd /builddir/build/BUILD/openroad/build/src/ppl && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/ppl/include -I/builddir/build/BUILD/openroad/src/ppl/src -I/builddir/build/BUILD/openroad/src/ppl/src/munkres/src -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/gui/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/ppl/CMakeFiles/ppl.dir/src/Netlist.cpp.o -MF CMakeFiles/ppl.dir/src/Netlist.cpp.o.d -o CMakeFiles/ppl.dir/src/Netlist.cpp.o -c /builddir/build/BUILD/openroad/src/ppl/src/Netlist.cpp [ 68%] Linking CXX static library fin.a cd /builddir/build/BUILD/openroad/build/src/fin && /usr/bin/cmake -P CMakeFiles/fin.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/openroad/build/src/fin && /usr/bin/cmake -E cmake_link_script CMakeFiles/fin.dir/link.txt --verbose=1 /usr/bin/ar qc fin.a CMakeFiles/fin.dir/CMakeFiles/fin.dir/finaleTCL_wrap.cxx.o "CMakeFiles/fin.dir/fin-tclInitVar.cc.o" CMakeFiles/fin.dir/src/Finale.cpp.o CMakeFiles/fin.dir/src/MakeFinale.cpp.o CMakeFiles/fin.dir/src/DensityFill.cpp.o CMakeFiles/fin.dir/src/graphics.cpp.o [ 69%] Building CXX object src/mpl2/CMakeFiles/mpl2.dir/mpl2-tclInitVar.cc.o cd /builddir/build/BUILD/openroad/build/src/mpl2 && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DOR_TOOLS_AS_DYNAMIC_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -DUSE_BOP -DUSE_CBC -DUSE_CLP -DUSE_GLOP -DUSE_GLPK -DUSE_LP_PARSER -DUSE_PDLP -DUSE_SCIP -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/mpl2/include -I/builddir/build/BUILD/openroad/src/mpl2/src -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/par/include -I/builddir/build/BUILD/openroad/src/gui/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -fwrapv -MD -MT src/mpl2/CMakeFiles/mpl2.dir/mpl2-tclInitVar.cc.o -MF CMakeFiles/mpl2.dir/mpl2-tclInitVar.cc.o.d -o CMakeFiles/mpl2.dir/mpl2-tclInitVar.cc.o -c /builddir/build/BUILD/openroad/build/src/mpl2/mpl2-tclInitVar.cc [ 69%] Building CXX object src/mpl2/CMakeFiles/mpl2.dir/src/MakeMacroPlacer.cpp.o cd /builddir/build/BUILD/openroad/build/src/mpl2 && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DOR_TOOLS_AS_DYNAMIC_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -DUSE_BOP -DUSE_CBC -DUSE_CLP -DUSE_GLOP -DUSE_GLPK -DUSE_LP_PARSER -DUSE_PDLP -DUSE_SCIP -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/mpl2/include -I/builddir/build/BUILD/openroad/src/mpl2/src -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/par/include -I/builddir/build/BUILD/openroad/src/gui/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -fwrapv -MD -MT src/mpl2/CMakeFiles/mpl2.dir/src/MakeMacroPlacer.cpp.o -MF CMakeFiles/mpl2.dir/src/MakeMacroPlacer.cpp.o.d -o CMakeFiles/mpl2.dir/src/MakeMacroPlacer.cpp.o -c /builddir/build/BUILD/openroad/src/mpl2/src/MakeMacroPlacer.cpp /usr/bin/ranlib fin.a cd /builddir/build/BUILD/openroad/src/fin && /builddir/build/BUILD/openroad/etc/find_messages.py > /builddir/build/BUILD/openroad/src/fin/messages.txt gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [ 69%] Built target fin /usr/bin/gmake -f src/psm/src/CMakeFiles/psm.dir/build.make src/psm/src/CMakeFiles/psm.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 69%] Generating psm-tclInitVar.cc cd /builddir/build/BUILD/openroad/src/psm/src && /usr/share/opensta/etc/TclEncode.tcl /builddir/build/BUILD/openroad/build/src/psm/src/psm-tclInitVar.cc psm_tcl_inits pdnsim.tcl [ 69%] Swig compile pdnsim.i for tcl cd /builddir/build/BUILD/openroad/build/src/psm/src && /usr/bin/cmake -E make_directory /builddir/build/BUILD/openroad/build/src/psm/src/CMakeFiles/psm.dir /builddir/build/BUILD/openroad/build/src/psm/src /builddir/build/BUILD/openroad/build/src/psm/src/CMakeFiles/psm.dir cd /builddir/build/BUILD/openroad/build/src/psm/src && /usr/bin/cmake -E env SWIG_LIB=/usr/share/swig/4.0.2 /usr/bin/swig -tcl -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/usr/include -I/builddir/build/BUILD/openroad/src/psm/src/../include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/rsz/src/../include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/dpl/include -I/usr/include/eigen3 -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/pad/include -namespace -prefix psm -Werror -w317,325,378,401,402,467,472,503,509 -outdir /builddir/build/BUILD/openroad/build/src/psm/src -c++ -module psm -MF /builddir/build/BUILD/openroad/build/src/psm/src/CMakeFiles/psm.dir/pdnsim.i.d -MD -I/usr/include/sta -I/usr/include/abc -o /builddir/build/BUILD/openroad/build/src/psm/src/CMakeFiles/psm.dir/pdnsimTCL_wrap.cxx /builddir/build/BUILD/openroad/src/psm/src/pdnsim.i cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src/psm/src /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src/psm/src /builddir/build/BUILD/openroad/build/src/psm/src/CMakeFiles/psm.dir/DependInfo.cmake "--color=" Dependencies file "src/psm/src/CMakeFiles/psm.dir/pdnsim.i.d" is newer than depends file "/builddir/build/BUILD/openroad/build/src/psm/src/CMakeFiles/psm.dir/compiler_depend.internal". Consolidate compiler generated dependencies of target psm gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' /usr/bin/gmake -f src/psm/src/CMakeFiles/psm.dir/build.make src/psm/src/CMakeFiles/psm.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 70%] Building CXX object src/psm/src/CMakeFiles/psm.dir/CMakeFiles/psm.dir/pdnsimTCL_wrap.cxx.o cd /builddir/build/BUILD/openroad/build/src/psm/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/psm/src/../include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/rsz/src/../include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/dpl/include -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/pad/include -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -Wno-cast-qual -Wno-missing-braces -MD -MT src/psm/src/CMakeFiles/psm.dir/CMakeFiles/psm.dir/pdnsimTCL_wrap.cxx.o -MF CMakeFiles/psm.dir/CMakeFiles/psm.dir/pdnsimTCL_wrap.cxx.o.d -o CMakeFiles/psm.dir/CMakeFiles/psm.dir/pdnsimTCL_wrap.cxx.o -c /builddir/build/BUILD/openroad/build/src/psm/src/CMakeFiles/psm.dir/pdnsimTCL_wrap.cxx [ 70%] Building CXX object src/ppl/CMakeFiles/ppl.dir/src/SimulatedAnnealing.cpp.o cd /builddir/build/BUILD/openroad/build/src/ppl && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/ppl/include -I/builddir/build/BUILD/openroad/src/ppl/src -I/builddir/build/BUILD/openroad/src/ppl/src/munkres/src -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/gui/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/ppl/CMakeFiles/ppl.dir/src/SimulatedAnnealing.cpp.o -MF CMakeFiles/ppl.dir/src/SimulatedAnnealing.cpp.o.d -o CMakeFiles/ppl.dir/src/SimulatedAnnealing.cpp.o -c /builddir/build/BUILD/openroad/src/ppl/src/SimulatedAnnealing.cpp [ 70%] Building CXX object src/mpl2/CMakeFiles/mpl2.dir/src/graphics.cpp.o cd /builddir/build/BUILD/openroad/build/src/mpl2 && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DOR_TOOLS_AS_DYNAMIC_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -DUSE_BOP -DUSE_CBC -DUSE_CLP -DUSE_GLOP -DUSE_GLPK -DUSE_LP_PARSER -DUSE_PDLP -DUSE_SCIP -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/mpl2/include -I/builddir/build/BUILD/openroad/src/mpl2/src -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/par/include -I/builddir/build/BUILD/openroad/src/gui/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -fwrapv -MD -MT src/mpl2/CMakeFiles/mpl2.dir/src/graphics.cpp.o -MF CMakeFiles/mpl2.dir/src/graphics.cpp.o.d -o CMakeFiles/mpl2.dir/src/graphics.cpp.o -c /builddir/build/BUILD/openroad/src/mpl2/src/graphics.cpp [ 70%] Building CXX object src/psm/src/CMakeFiles/psm.dir/psm-tclInitVar.cc.o cd /builddir/build/BUILD/openroad/build/src/psm/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/psm/src/../include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/rsz/src/../include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/dpl/include -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/pad/include -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/psm/src/CMakeFiles/psm.dir/psm-tclInitVar.cc.o -MF CMakeFiles/psm.dir/psm-tclInitVar.cc.o.d -o CMakeFiles/psm.dir/psm-tclInitVar.cc.o -c /builddir/build/BUILD/openroad/build/src/psm/src/psm-tclInitVar.cc [ 70%] Building CXX object src/psm/src/CMakeFiles/psm.dir/node.cpp.o cd /builddir/build/BUILD/openroad/build/src/psm/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/psm/src/../include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/rsz/src/../include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/dpl/include -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/pad/include -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/psm/src/CMakeFiles/psm.dir/node.cpp.o -MF CMakeFiles/psm.dir/node.cpp.o.d -o CMakeFiles/psm.dir/node.cpp.o -c /builddir/build/BUILD/openroad/src/psm/src/node.cpp [ 71%] Building CXX object src/ppl/CMakeFiles/ppl.dir/src/Slots.cpp.o cd /builddir/build/BUILD/openroad/build/src/ppl && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/ppl/include -I/builddir/build/BUILD/openroad/src/ppl/src -I/builddir/build/BUILD/openroad/src/ppl/src/munkres/src -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/gui/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/ppl/CMakeFiles/ppl.dir/src/Slots.cpp.o -MF CMakeFiles/ppl.dir/src/Slots.cpp.o.d -o CMakeFiles/ppl.dir/src/Slots.cpp.o -c /builddir/build/BUILD/openroad/src/ppl/src/Slots.cpp [ 71%] Building CXX object src/psm/src/CMakeFiles/psm.dir/gmat.cpp.o cd /builddir/build/BUILD/openroad/build/src/psm/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/psm/src/../include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/rsz/src/../include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/dpl/include -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/pad/include -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/psm/src/CMakeFiles/psm.dir/gmat.cpp.o -MF CMakeFiles/psm.dir/gmat.cpp.o.d -o CMakeFiles/psm.dir/gmat.cpp.o -c /builddir/build/BUILD/openroad/src/psm/src/gmat.cpp [ 71%] Building CXX object src/psm/src/CMakeFiles/psm.dir/ir_solver.cpp.o cd /builddir/build/BUILD/openroad/build/src/psm/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/psm/src/../include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/rsz/src/../include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/dpl/include -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/pad/include -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/psm/src/CMakeFiles/psm.dir/ir_solver.cpp.o -MF CMakeFiles/psm.dir/ir_solver.cpp.o.d -o CMakeFiles/psm.dir/ir_solver.cpp.o -c /builddir/build/BUILD/openroad/src/psm/src/ir_solver.cpp [ 71%] Linking CXX static library mpl2.a cd /builddir/build/BUILD/openroad/build/src/mpl2 && /usr/bin/cmake -P CMakeFiles/mpl2.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/openroad/build/src/mpl2 && /usr/bin/cmake -E cmake_link_script CMakeFiles/mpl2.dir/link.txt --verbose=1 /usr/bin/ar qc mpl2.a CMakeFiles/mpl2.dir/CMakeFiles/mpl2.dir/mplTCL_wrap.cxx.o "CMakeFiles/mpl2.dir/mpl2-tclInitVar.cc.o" CMakeFiles/mpl2.dir/src/MakeMacroPlacer.cpp.o CMakeFiles/mpl2.dir/src/graphics.cpp.o /usr/bin/ranlib mpl2.a cd /builddir/build/BUILD/openroad/src/mpl2 && /builddir/build/BUILD/openroad/etc/find_messages.py > /builddir/build/BUILD/openroad/src/mpl2/messages.txt gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [ 71%] Built target mpl2 /usr/bin/gmake -f src/ant/src/CMakeFiles/ant.dir/build.make src/ant/src/CMakeFiles/ant.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 71%] Generating ant-tclInitVar.cc cd /builddir/build/BUILD/openroad/src/ant/src && /usr/share/opensta/etc/TclEncode.tcl /builddir/build/BUILD/openroad/build/src/ant/src/ant-tclInitVar.cc ant_tcl_inits AntennaChecker.tcl [ 71%] Swig compile AntennaChecker.i for tcl cd /builddir/build/BUILD/openroad/build/src/ant/src && /usr/bin/cmake -E make_directory /builddir/build/BUILD/openroad/build/src/ant/src/CMakeFiles/ant.dir /builddir/build/BUILD/openroad/build/src/ant/src /builddir/build/BUILD/openroad/build/src/ant/src/CMakeFiles/ant.dir cd /builddir/build/BUILD/openroad/build/src/ant/src && /usr/bin/cmake -E env SWIG_LIB=/usr/share/swig/4.0.2 /usr/bin/swig -tcl -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/usr/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/dpl/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/rsz/src/../include -namespace -prefix ant -Werror -w317,325,378,401,402,467,472,503,509 -outdir /builddir/build/BUILD/openroad/build/src/ant/src -c++ -module ant -MF /builddir/build/BUILD/openroad/build/src/ant/src/CMakeFiles/ant.dir/AntennaChecker.i.d -MD -I/usr/include/sta -I/usr/include/abc -o /builddir/build/BUILD/openroad/build/src/ant/src/CMakeFiles/ant.dir/AntennaCheckerTCL_wrap.cxx /builddir/build/BUILD/openroad/src/ant/src/AntennaChecker.i cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src/ant/src /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src/ant/src /builddir/build/BUILD/openroad/build/src/ant/src/CMakeFiles/ant.dir/DependInfo.cmake "--color=" Dependencies file "src/ant/src/CMakeFiles/ant.dir/AntennaChecker.i.d" is newer than depends file "/builddir/build/BUILD/openroad/build/src/ant/src/CMakeFiles/ant.dir/compiler_depend.internal". Consolidate compiler generated dependencies of target ant gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' /usr/bin/gmake -f src/ant/src/CMakeFiles/ant.dir/build.make src/ant/src/CMakeFiles/ant.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 71%] Building CXX object src/ant/src/CMakeFiles/ant.dir/CMakeFiles/ant.dir/AntennaCheckerTCL_wrap.cxx.o cd /builddir/build/BUILD/openroad/build/src/ant/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/dpl/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/rsz/src/../include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -Wno-cast-qual -Wno-missing-braces -MD -MT src/ant/src/CMakeFiles/ant.dir/CMakeFiles/ant.dir/AntennaCheckerTCL_wrap.cxx.o -MF CMakeFiles/ant.dir/CMakeFiles/ant.dir/AntennaCheckerTCL_wrap.cxx.o.d -o CMakeFiles/ant.dir/CMakeFiles/ant.dir/AntennaCheckerTCL_wrap.cxx.o -c /builddir/build/BUILD/openroad/build/src/ant/src/CMakeFiles/ant.dir/AntennaCheckerTCL_wrap.cxx [ 71%] Linking CXX static library ppl.a cd /builddir/build/BUILD/openroad/build/src/ppl && /usr/bin/cmake -P CMakeFiles/ppl.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/openroad/build/src/ppl && /usr/bin/cmake -E cmake_link_script CMakeFiles/ppl.dir/link.txt --verbose=1 /usr/bin/ar qc ppl.a CMakeFiles/ppl.dir/CMakeFiles/ppl.dir/IOPlacerTCL_wrap.cxx.o "CMakeFiles/ppl.dir/ppl-tclInitVar.cc.o" CMakeFiles/ppl.dir/src/Core.cpp.o CMakeFiles/ppl.dir/src/HungarianMatching.cpp.o CMakeFiles/ppl.dir/src/IOPlacer.cpp.o CMakeFiles/ppl.dir/src/IOPlacerRenderer.cpp.o CMakeFiles/ppl.dir/src/MakeIoplacer.cpp.o CMakeFiles/ppl.dir/src/Netlist.cpp.o CMakeFiles/ppl.dir/src/SimulatedAnnealing.cpp.o CMakeFiles/ppl.dir/src/Slots.cpp.o /usr/bin/ranlib ppl.a cd /builddir/build/BUILD/openroad/src/ppl && /builddir/build/BUILD/openroad/etc/find_messages.py > /builddir/build/BUILD/openroad/src/ppl/messages.txt gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [ 71%] Built target ppl /usr/bin/gmake -f src/drt/CMakeFiles/drt.dir/build.make src/drt/CMakeFiles/drt.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 71%] Generating drt-tclInitVar.cc cd /builddir/build/BUILD/openroad/src/drt && /usr/share/opensta/etc/TclEncode.tcl /builddir/build/BUILD/openroad/build/src/drt/drt-tclInitVar.cc drt_tcl_inits src/TritonRoute.tcl [ 71%] Swig compile src/TritonRoute.i for tcl cd /builddir/build/BUILD/openroad/build/src/drt && /usr/bin/cmake -E make_directory /builddir/build/BUILD/openroad/build/src/drt/CMakeFiles/drt.dir /builddir/build/BUILD/openroad/build/src/drt /builddir/build/BUILD/openroad/build/src/drt/CMakeFiles/drt.dir cd /builddir/build/BUILD/openroad/build/src/drt && /usr/bin/cmake -E env SWIG_LIB=/usr/share/swig/4.0.2 /usr/bin/swig -tcl -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/usr/include -I/builddir/build/BUILD/openroad/src/drt/include -I/builddir/build/BUILD/openroad/src/drt/src -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -namespace -prefix drt -Werror -w317,325,378,401,402,467,472,503,509 -outdir /builddir/build/BUILD/openroad/build/src/drt -c++ -module drt -MF /builddir/build/BUILD/openroad/build/src/drt/CMakeFiles/drt.dir/TritonRoute.i.d -MD -I/usr/include/sta -I/usr/include/abc -o /builddir/build/BUILD/openroad/build/src/drt/CMakeFiles/drt.dir/TritonRouteTCL_wrap.cxx /builddir/build/BUILD/openroad/src/drt/src/TritonRoute.i cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src/drt /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src/drt /builddir/build/BUILD/openroad/build/src/drt/CMakeFiles/drt.dir/DependInfo.cmake "--color=" Dependencies file "src/drt/CMakeFiles/drt.dir/TritonRoute.i.d" is newer than depends file "/builddir/build/BUILD/openroad/build/src/drt/CMakeFiles/drt.dir/compiler_depend.internal". Consolidate compiler generated dependencies of target drt gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' /usr/bin/gmake -f src/drt/CMakeFiles/drt.dir/build.make src/drt/CMakeFiles/drt.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 71%] Building CXX object src/drt/CMakeFiles/drt.dir/CMakeFiles/drt.dir/TritonRouteTCL_wrap.cxx.o cd /builddir/build/BUILD/openroad/build/src/drt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DBOOST_SERIALIZATION_DYN_LINK -DBOOST_SERIALIZATION_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/drt/include -I/builddir/build/BUILD/openroad/src/drt/src -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -pedantic -Wcast-qual -fopenmp -Wno-cast-qual -Wno-missing-braces -MD -MT src/drt/CMakeFiles/drt.dir/CMakeFiles/drt.dir/TritonRouteTCL_wrap.cxx.o -MF CMakeFiles/drt.dir/CMakeFiles/drt.dir/TritonRouteTCL_wrap.cxx.o.d -o CMakeFiles/drt.dir/CMakeFiles/drt.dir/TritonRouteTCL_wrap.cxx.o -c /builddir/build/BUILD/openroad/build/src/drt/CMakeFiles/drt.dir/TritonRouteTCL_wrap.cxx [ 71%] Building CXX object src/drt/CMakeFiles/drt.dir/drt-tclInitVar.cc.o cd /builddir/build/BUILD/openroad/build/src/drt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DBOOST_SERIALIZATION_DYN_LINK -DBOOST_SERIALIZATION_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/drt/include -I/builddir/build/BUILD/openroad/src/drt/src -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -pedantic -Wcast-qual -fopenmp -MD -MT src/drt/CMakeFiles/drt.dir/drt-tclInitVar.cc.o -MF CMakeFiles/drt.dir/drt-tclInitVar.cc.o.d -o CMakeFiles/drt.dir/drt-tclInitVar.cc.o -c /builddir/build/BUILD/openroad/build/src/drt/drt-tclInitVar.cc [ 71%] Building CXX object src/drt/CMakeFiles/drt.dir/src/gr/FlexGRCMap.cpp.o cd /builddir/build/BUILD/openroad/build/src/drt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DBOOST_SERIALIZATION_DYN_LINK -DBOOST_SERIALIZATION_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/drt/include -I/builddir/build/BUILD/openroad/src/drt/src -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -pedantic -Wcast-qual -fopenmp -MD -MT src/drt/CMakeFiles/drt.dir/src/gr/FlexGRCMap.cpp.o -MF CMakeFiles/drt.dir/src/gr/FlexGRCMap.cpp.o.d -o CMakeFiles/drt.dir/src/gr/FlexGRCMap.cpp.o -c /builddir/build/BUILD/openroad/src/drt/src/gr/FlexGRCMap.cpp [ 71%] Building CXX object src/psm/src/CMakeFiles/psm.dir/pdnsim.cpp.o cd /builddir/build/BUILD/openroad/build/src/psm/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/psm/src/../include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/rsz/src/../include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/dpl/include -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/pad/include -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/psm/src/CMakeFiles/psm.dir/pdnsim.cpp.o -MF CMakeFiles/psm.dir/pdnsim.cpp.o.d -o CMakeFiles/psm.dir/pdnsim.cpp.o -c /builddir/build/BUILD/openroad/src/psm/src/pdnsim.cpp [ 71%] Building CXX object src/ant/src/CMakeFiles/ant.dir/ant-tclInitVar.cc.o cd /builddir/build/BUILD/openroad/build/src/ant/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/dpl/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/rsz/src/../include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/ant/src/CMakeFiles/ant.dir/ant-tclInitVar.cc.o -MF CMakeFiles/ant.dir/ant-tclInitVar.cc.o.d -o CMakeFiles/ant.dir/ant-tclInitVar.cc.o -c /builddir/build/BUILD/openroad/build/src/ant/src/ant-tclInitVar.cc [ 71%] Building CXX object src/ant/src/CMakeFiles/ant.dir/MakeAntennaChecker.cc.o cd /builddir/build/BUILD/openroad/build/src/ant/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/dpl/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/rsz/src/../include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/ant/src/CMakeFiles/ant.dir/MakeAntennaChecker.cc.o -MF CMakeFiles/ant.dir/MakeAntennaChecker.cc.o.d -o CMakeFiles/ant.dir/MakeAntennaChecker.cc.o -c /builddir/build/BUILD/openroad/src/ant/src/MakeAntennaChecker.cc [ 71%] Linking CXX static library ant.a cd /builddir/build/BUILD/openroad/build/src/ant/src && /usr/bin/cmake -P CMakeFiles/ant.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/openroad/build/src/ant/src && /usr/bin/cmake -E cmake_link_script CMakeFiles/ant.dir/link.txt --verbose=1 /usr/bin/ar qc ant.a CMakeFiles/ant.dir/CMakeFiles/ant.dir/AntennaCheckerTCL_wrap.cxx.o "CMakeFiles/ant.dir/ant-tclInitVar.cc.o" CMakeFiles/ant.dir/MakeAntennaChecker.cc.o /usr/bin/ranlib ant.a cd /builddir/build/BUILD/openroad/src/ant && /builddir/build/BUILD/openroad/etc/find_messages.py > /builddir/build/BUILD/openroad/src/ant/src/../messages.txt gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [ 71%] Built target ant /usr/bin/gmake -f src/pdn/src/CMakeFiles/pdn.dir/build.make src/pdn/src/CMakeFiles/pdn.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 71%] Generating pdn-tclInitVar.cc cd /builddir/build/BUILD/openroad/src/pdn/src && /usr/share/opensta/etc/TclEncode.tcl /builddir/build/BUILD/openroad/build/src/pdn/src/pdn-tclInitVar.cc pdn_tcl_inits pdn.tcl [ 72%] Swig compile PdnGen.i for tcl cd /builddir/build/BUILD/openroad/build/src/pdn/src && /usr/bin/cmake -E make_directory /builddir/build/BUILD/openroad/build/src/pdn/src/CMakeFiles/pdn.dir /builddir/build/BUILD/openroad/build/src/pdn/src /builddir/build/BUILD/openroad/build/src/pdn/src/CMakeFiles/pdn.dir cd /builddir/build/BUILD/openroad/build/src/pdn/src && /usr/bin/cmake -E env SWIG_LIB=/usr/share/swig/4.0.2 /usr/bin/swig -tcl -I/builddir/build/BUILD/openroad/src/odb/src/swig/common -I/builddir/build/BUILD/openroad/src/odb/src/swig/tcl -I/builddir/build/BUILD/openroad/src/odb/include -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/usr/include -I/builddir/build/BUILD/openroad/src/pdn/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/gui/include -namespace -prefix pdn -Werror -w317,325,378,401,402,467,472,503,509 -outdir /builddir/build/BUILD/openroad/build/src/pdn/src -c++ -module pdn -MF /builddir/build/BUILD/openroad/build/src/pdn/src/CMakeFiles/pdn.dir/PdnGen.i.d -MD -I/usr/include/sta -I/usr/include/abc -o /builddir/build/BUILD/openroad/build/src/pdn/src/CMakeFiles/pdn.dir/PdnGenTCL_wrap.cxx /builddir/build/BUILD/openroad/src/pdn/src/PdnGen.i cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src/pdn/src /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src/pdn/src /builddir/build/BUILD/openroad/build/src/pdn/src/CMakeFiles/pdn.dir/DependInfo.cmake "--color=" Dependencies file "src/pdn/src/CMakeFiles/pdn.dir/PdnGen.i.d" is newer than depends file "/builddir/build/BUILD/openroad/build/src/pdn/src/CMakeFiles/pdn.dir/compiler_depend.internal". Consolidate compiler generated dependencies of target pdn gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' /usr/bin/gmake -f src/pdn/src/CMakeFiles/pdn.dir/build.make src/pdn/src/CMakeFiles/pdn.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 72%] Building CXX object src/pdn/src/CMakeFiles/pdn.dir/CMakeFiles/pdn.dir/PdnGenTCL_wrap.cxx.o cd /builddir/build/BUILD/openroad/build/src/pdn/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/pdn/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/gui/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -Wno-cast-qual -Wno-missing-braces -MD -MT src/pdn/src/CMakeFiles/pdn.dir/CMakeFiles/pdn.dir/PdnGenTCL_wrap.cxx.o -MF CMakeFiles/pdn.dir/CMakeFiles/pdn.dir/PdnGenTCL_wrap.cxx.o.d -o CMakeFiles/pdn.dir/CMakeFiles/pdn.dir/PdnGenTCL_wrap.cxx.o -c /builddir/build/BUILD/openroad/build/src/pdn/src/CMakeFiles/pdn.dir/PdnGenTCL_wrap.cxx [ 72%] Building CXX object src/pdn/src/CMakeFiles/pdn.dir/pdn-tclInitVar.cc.o cd /builddir/build/BUILD/openroad/build/src/pdn/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/pdn/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/gui/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/pdn/src/CMakeFiles/pdn.dir/pdn-tclInitVar.cc.o -MF CMakeFiles/pdn.dir/pdn-tclInitVar.cc.o.d -o CMakeFiles/pdn.dir/pdn-tclInitVar.cc.o -c /builddir/build/BUILD/openroad/build/src/pdn/src/pdn-tclInitVar.cc [ 72%] Building CXX object src/pdn/src/CMakeFiles/pdn.dir/PdnGen.cc.o cd /builddir/build/BUILD/openroad/build/src/pdn/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/pdn/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/gui/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/pdn/src/CMakeFiles/pdn.dir/PdnGen.cc.o -MF CMakeFiles/pdn.dir/PdnGen.cc.o.d -o CMakeFiles/pdn.dir/PdnGen.cc.o -c /builddir/build/BUILD/openroad/src/pdn/src/PdnGen.cc [ 72%] Building CXX object src/psm/src/CMakeFiles/psm.dir/MakePDNSim.cpp.o cd /builddir/build/BUILD/openroad/build/src/psm/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/psm/src/../include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/rsz/src/../include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/dpl/include -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/pad/include -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/psm/src/CMakeFiles/psm.dir/MakePDNSim.cpp.o -MF CMakeFiles/psm.dir/MakePDNSim.cpp.o.d -o CMakeFiles/psm.dir/MakePDNSim.cpp.o -c /builddir/build/BUILD/openroad/src/psm/src/MakePDNSim.cpp [ 72%] Building CXX object src/psm/src/CMakeFiles/psm.dir/heatMap.cpp.o cd /builddir/build/BUILD/openroad/build/src/psm/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/psm/src/../include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/rsz/src/../include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/dpl/include -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/pad/include -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/psm/src/CMakeFiles/psm.dir/heatMap.cpp.o -MF CMakeFiles/psm.dir/heatMap.cpp.o.d -o CMakeFiles/psm.dir/heatMap.cpp.o -c /builddir/build/BUILD/openroad/src/psm/src/heatMap.cpp [ 72%] Building CXX object src/drt/CMakeFiles/drt.dir/src/gr/FlexGR.cpp.o cd /builddir/build/BUILD/openroad/build/src/drt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DBOOST_SERIALIZATION_DYN_LINK -DBOOST_SERIALIZATION_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/drt/include -I/builddir/build/BUILD/openroad/src/drt/src -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -pedantic -Wcast-qual -fopenmp -MD -MT src/drt/CMakeFiles/drt.dir/src/gr/FlexGR.cpp.o -MF CMakeFiles/drt.dir/src/gr/FlexGR.cpp.o.d -o CMakeFiles/drt.dir/src/gr/FlexGR.cpp.o -c /builddir/build/BUILD/openroad/src/drt/src/gr/FlexGR.cpp [ 72%] Building CXX object src/psm/src/CMakeFiles/psm.dir/debug_gui.cpp.o cd /builddir/build/BUILD/openroad/build/src/psm/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/psm/src/../include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/rsz/src/../include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/dpl/include -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/pad/include -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/psm/src/CMakeFiles/psm.dir/debug_gui.cpp.o -MF CMakeFiles/psm.dir/debug_gui.cpp.o.d -o CMakeFiles/psm.dir/debug_gui.cpp.o -c /builddir/build/BUILD/openroad/src/psm/src/debug_gui.cpp [ 72%] Building CXX object src/drt/CMakeFiles/drt.dir/src/gr/FlexGR_end.cpp.o cd /builddir/build/BUILD/openroad/build/src/drt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DBOOST_SERIALIZATION_DYN_LINK -DBOOST_SERIALIZATION_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/drt/include -I/builddir/build/BUILD/openroad/src/drt/src -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -pedantic -Wcast-qual -fopenmp -MD -MT src/drt/CMakeFiles/drt.dir/src/gr/FlexGR_end.cpp.o -MF CMakeFiles/drt.dir/src/gr/FlexGR_end.cpp.o.d -o CMakeFiles/drt.dir/src/gr/FlexGR_end.cpp.o -c /builddir/build/BUILD/openroad/src/drt/src/gr/FlexGR_end.cpp [ 73%] Linking CXX static library psm.a cd /builddir/build/BUILD/openroad/build/src/psm/src && /usr/bin/cmake -P CMakeFiles/psm.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/openroad/build/src/psm/src && /usr/bin/cmake -E cmake_link_script CMakeFiles/psm.dir/link.txt --verbose=1 /usr/bin/ar qc psm.a CMakeFiles/psm.dir/CMakeFiles/psm.dir/pdnsimTCL_wrap.cxx.o "CMakeFiles/psm.dir/psm-tclInitVar.cc.o" CMakeFiles/psm.dir/node.cpp.o CMakeFiles/psm.dir/gmat.cpp.o CMakeFiles/psm.dir/ir_solver.cpp.o CMakeFiles/psm.dir/pdnsim.cpp.o CMakeFiles/psm.dir/MakePDNSim.cpp.o CMakeFiles/psm.dir/heatMap.cpp.o CMakeFiles/psm.dir/debug_gui.cpp.o /usr/bin/ranlib psm.a cd /builddir/build/BUILD/openroad/src/psm && /builddir/build/BUILD/openroad/etc/find_messages.py > /builddir/build/BUILD/openroad/src/psm/src/../messages.txt gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [ 73%] Built target psm /usr/bin/gmake -f src/dft/src/utils/CMakeFiles/dft_utils_lib.dir/build.make src/dft/src/utils/CMakeFiles/dft_utils_lib.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src/dft/src/utils /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src/dft/src/utils /builddir/build/BUILD/openroad/build/src/dft/src/utils/CMakeFiles/dft_utils_lib.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' /usr/bin/gmake -f src/dft/src/utils/CMakeFiles/dft_utils_lib.dir/build.make src/dft/src/utils/CMakeFiles/dft_utils_lib.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 73%] Building CXX object src/dft/src/utils/CMakeFiles/dft_utils_lib.dir/Utils.cpp.o cd /builddir/build/BUILD/openroad/build/src/dft/src/utils && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/dft/src/utils -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/dft/src/utils/CMakeFiles/dft_utils_lib.dir/Utils.cpp.o -MF CMakeFiles/dft_utils_lib.dir/Utils.cpp.o.d -o CMakeFiles/dft_utils_lib.dir/Utils.cpp.o -c /builddir/build/BUILD/openroad/src/dft/src/utils/Utils.cpp [ 73%] Building CXX object src/pdn/src/CMakeFiles/pdn.dir/MakePdnGen.cc.o cd /builddir/build/BUILD/openroad/build/src/pdn/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/pdn/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/gui/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/pdn/src/CMakeFiles/pdn.dir/MakePdnGen.cc.o -MF CMakeFiles/pdn.dir/MakePdnGen.cc.o.d -o CMakeFiles/pdn.dir/MakePdnGen.cc.o -c /builddir/build/BUILD/openroad/src/pdn/src/MakePdnGen.cc [ 73%] Linking CXX static library libdft_utils_lib.a cd /builddir/build/BUILD/openroad/build/src/dft/src/utils && /usr/bin/cmake -P CMakeFiles/dft_utils_lib.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/openroad/build/src/dft/src/utils && /usr/bin/cmake -E cmake_link_script CMakeFiles/dft_utils_lib.dir/link.txt --verbose=1 /usr/bin/ar qc libdft_utils_lib.a CMakeFiles/dft_utils_lib.dir/Utils.cpp.o /usr/bin/ranlib libdft_utils_lib.a gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [ 73%] Built target dft_utils_lib /usr/bin/gmake -f src/CMakeFiles/openroad_swig.dir/build.make src/CMakeFiles/openroad_swig.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 73%] Generating openroad_swig-tclInitVar.cc cd /builddir/build/BUILD/openroad/src && /usr/share/opensta/etc/TclEncode.tcl /builddir/build/BUILD/openroad/build/src/openroad_swig-tclInitVar.cc openroad_swig_tcl_inits /usr/share/opensta/tcl/Util.tcl OpenRoad.tcl Metrics.tcl /builddir/build/BUILD/openroad/src/odb/src/db/odb.tcl [ 74%] Swig compile OpenRoad.i for tcl cd /builddir/build/BUILD/openroad/build/src && /usr/bin/cmake -E make_directory /builddir/build/BUILD/openroad/build/src/CMakeFiles/openroad_swig.dir /builddir/build/BUILD/openroad/build/src /builddir/build/BUILD/openroad/build/src/CMakeFiles/openroad_swig.dir cd /builddir/build/BUILD/openroad/build/src && /usr/bin/cmake -E env SWIG_LIB=/usr/share/swig/4.0.2 /usr/bin/swig -tcl -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/usr/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -namespace -prefix ord -Werror -w317,325,378,401,402,467,472,503,509 -outdir /builddir/build/BUILD/openroad/build/src -c++ -module openroad_swig -MF /builddir/build/BUILD/openroad/build/src/CMakeFiles/openroad_swig.dir/OpenRoad.i.d -MD -I/usr/include/sta -I/usr/include/abc -o /builddir/build/BUILD/openroad/build/src/CMakeFiles/openroad_swig.dir/OpenRoadTCL_wrap.cxx /builddir/build/BUILD/openroad/src/OpenRoad.i cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src /builddir/build/BUILD/openroad/build/src/CMakeFiles/openroad_swig.dir/DependInfo.cmake "--color=" Dependencies file "src/CMakeFiles/openroad_swig.dir/OpenRoad.i.d" is newer than depends file "/builddir/build/BUILD/openroad/build/src/CMakeFiles/openroad_swig.dir/compiler_depend.internal". Consolidate compiler generated dependencies of target openroad_swig gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' /usr/bin/gmake -f src/CMakeFiles/openroad_swig.dir/build.make src/CMakeFiles/openroad_swig.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 74%] Building CXX object src/CMakeFiles/openroad_swig.dir/CMakeFiles/openroad_swig.dir/OpenRoadTCL_wrap.cxx.o cd /builddir/build/BUILD/openroad/build/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -Wno-cast-qual -Wno-missing-braces -MD -MT src/CMakeFiles/openroad_swig.dir/CMakeFiles/openroad_swig.dir/OpenRoadTCL_wrap.cxx.o -MF CMakeFiles/openroad_swig.dir/CMakeFiles/openroad_swig.dir/OpenRoadTCL_wrap.cxx.o.d -o CMakeFiles/openroad_swig.dir/CMakeFiles/openroad_swig.dir/OpenRoadTCL_wrap.cxx.o -c /builddir/build/BUILD/openroad/build/src/CMakeFiles/openroad_swig.dir/OpenRoadTCL_wrap.cxx [ 74%] Building CXX object src/pdn/src/CMakeFiles/pdn.dir/techlayer.cpp.o cd /builddir/build/BUILD/openroad/build/src/pdn/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/pdn/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/gui/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/pdn/src/CMakeFiles/pdn.dir/techlayer.cpp.o -MF CMakeFiles/pdn.dir/techlayer.cpp.o.d -o CMakeFiles/pdn.dir/techlayer.cpp.o -c /builddir/build/BUILD/openroad/src/pdn/src/techlayer.cpp [ 74%] Building CXX object src/CMakeFiles/openroad_swig.dir/openroad_swig-tclInitVar.cc.o cd /builddir/build/BUILD/openroad/build/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/CMakeFiles/openroad_swig.dir/openroad_swig-tclInitVar.cc.o -MF CMakeFiles/openroad_swig.dir/openroad_swig-tclInitVar.cc.o.d -o CMakeFiles/openroad_swig.dir/openroad_swig-tclInitVar.cc.o -c /builddir/build/BUILD/openroad/build/src/openroad_swig-tclInitVar.cc [ 74%] Linking CXX static library openroad_swig.a cd /builddir/build/BUILD/openroad/build/src && /usr/bin/cmake -P CMakeFiles/openroad_swig.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/openroad/build/src && /usr/bin/cmake -E cmake_link_script CMakeFiles/openroad_swig.dir/link.txt --verbose=1 /usr/bin/ar qc openroad_swig.a CMakeFiles/openroad_swig.dir/CMakeFiles/openroad_swig.dir/OpenRoadTCL_wrap.cxx.o "CMakeFiles/openroad_swig.dir/openroad_swig-tclInitVar.cc.o" /usr/bin/ranlib openroad_swig.a gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [ 74%] Built target openroad_swig /usr/bin/gmake -f src/CMakeFiles/openroad_swig_py.dir/build.make src/CMakeFiles/openroad_swig_py.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 74%] Swig compile OpenRoad-py.i for python cd /builddir/build/BUILD/openroad/build/src && /usr/bin/cmake -E make_directory /builddir/build/BUILD/openroad/build/src/CMakeFiles/openroad_swig_py.dir /builddir/build/BUILD/openroad/build/src /builddir/build/BUILD/openroad/build/src/CMakeFiles/openroad_swig_py.dir cd /builddir/build/BUILD/openroad/build/src && /usr/bin/cmake -E env SWIG_LIB=/usr/share/swig/4.0.2 /usr/bin/swig -python -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/usr/include/python3.11 -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/usr/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -Werror -w317,325,378,401,402,467,472,503,509 -outdir /builddir/build/BUILD/openroad/build/src -c++ -module openroad_swig_py -interface _openroad_swig_py -MF /builddir/build/BUILD/openroad/build/src/CMakeFiles/openroad_swig_py.dir/OpenRoad-py.i.d -MD -I/usr/include/sta -I/usr/include/abc -o /builddir/build/BUILD/openroad/build/src/CMakeFiles/openroad_swig_py.dir/OpenRoad-pyPYTHON_wrap.cxx /builddir/build/BUILD/openroad/src/OpenRoad-py.i [ 74%] Generating openroad_swig_py-pythonInitVar.cc cd /builddir/build/BUILD/openroad/src && /usr/share/opensta/etc/TclEncode.tcl /builddir/build/BUILD/openroad/build/src/openroad_swig_py-pythonInitVar.cc openroad_swig_py_python_inits /builddir/build/BUILD/openroad/build/src/openroad_swig_py.py cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src /builddir/build/BUILD/openroad/build/src/CMakeFiles/openroad_swig_py.dir/DependInfo.cmake "--color=" Dependencies file "src/CMakeFiles/openroad_swig_py.dir/OpenRoad-py.i.d" is newer than depends file "/builddir/build/BUILD/openroad/build/src/CMakeFiles/openroad_swig_py.dir/compiler_depend.internal". Consolidate compiler generated dependencies of target openroad_swig_py gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' /usr/bin/gmake -f src/CMakeFiles/openroad_swig_py.dir/build.make src/CMakeFiles/openroad_swig_py.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 74%] Building CXX object src/CMakeFiles/openroad_swig_py.dir/CMakeFiles/openroad_swig_py.dir/OpenRoad-pyPYTHON_wrap.cxx.o cd /builddir/build/BUILD/openroad/build/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -isystem /usr/include/python3.11 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -Wno-cast-qual -Wno-missing-braces -MD -MT src/CMakeFiles/openroad_swig_py.dir/CMakeFiles/openroad_swig_py.dir/OpenRoad-pyPYTHON_wrap.cxx.o -MF CMakeFiles/openroad_swig_py.dir/CMakeFiles/openroad_swig_py.dir/OpenRoad-pyPYTHON_wrap.cxx.o.d -o CMakeFiles/openroad_swig_py.dir/CMakeFiles/openroad_swig_py.dir/OpenRoad-pyPYTHON_wrap.cxx.o -c /builddir/build/BUILD/openroad/build/src/CMakeFiles/openroad_swig_py.dir/OpenRoad-pyPYTHON_wrap.cxx [ 75%] Building CXX object src/drt/CMakeFiles/drt.dir/src/gr/FlexGRGridGraph.cpp.o cd /builddir/build/BUILD/openroad/build/src/drt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DBOOST_SERIALIZATION_DYN_LINK -DBOOST_SERIALIZATION_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/drt/include -I/builddir/build/BUILD/openroad/src/drt/src -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -pedantic -Wcast-qual -fopenmp -MD -MT src/drt/CMakeFiles/drt.dir/src/gr/FlexGRGridGraph.cpp.o -MF CMakeFiles/drt.dir/src/gr/FlexGRGridGraph.cpp.o.d -o CMakeFiles/drt.dir/src/gr/FlexGRGridGraph.cpp.o -c /builddir/build/BUILD/openroad/src/drt/src/gr/FlexGRGridGraph.cpp [ 75%] Building CXX object src/drt/CMakeFiles/drt.dir/src/gr/FlexGRGridGraph_maze.cpp.o cd /builddir/build/BUILD/openroad/build/src/drt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DBOOST_SERIALIZATION_DYN_LINK -DBOOST_SERIALIZATION_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/drt/include -I/builddir/build/BUILD/openroad/src/drt/src -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -pedantic -Wcast-qual -fopenmp -MD -MT src/drt/CMakeFiles/drt.dir/src/gr/FlexGRGridGraph_maze.cpp.o -MF CMakeFiles/drt.dir/src/gr/FlexGRGridGraph_maze.cpp.o.d -o CMakeFiles/drt.dir/src/gr/FlexGRGridGraph_maze.cpp.o -c /builddir/build/BUILD/openroad/src/drt/src/gr/FlexGRGridGraph_maze.cpp [ 75%] Building CXX object src/pdn/src/CMakeFiles/pdn.dir/domain.cpp.o cd /builddir/build/BUILD/openroad/build/src/pdn/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/pdn/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/gui/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/pdn/src/CMakeFiles/pdn.dir/domain.cpp.o -MF CMakeFiles/pdn.dir/domain.cpp.o.d -o CMakeFiles/pdn.dir/domain.cpp.o -c /builddir/build/BUILD/openroad/src/pdn/src/domain.cpp [ 75%] Building CXX object src/CMakeFiles/openroad_swig_py.dir/openroad_swig_py-pythonInitVar.cc.o cd /builddir/build/BUILD/openroad/build/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -isystem /usr/include/python3.11 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/CMakeFiles/openroad_swig_py.dir/openroad_swig_py-pythonInitVar.cc.o -MF CMakeFiles/openroad_swig_py.dir/openroad_swig_py-pythonInitVar.cc.o.d -o CMakeFiles/openroad_swig_py.dir/openroad_swig_py-pythonInitVar.cc.o -c /builddir/build/BUILD/openroad/build/src/openroad_swig_py-pythonInitVar.cc [ 76%] Linking CXX static library _openroad_swig_py.a cd /builddir/build/BUILD/openroad/build/src && /usr/bin/cmake -P CMakeFiles/openroad_swig_py.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/openroad/build/src && /usr/bin/cmake -E cmake_link_script CMakeFiles/openroad_swig_py.dir/link.txt --verbose=1 /usr/bin/ar qc _openroad_swig_py.a "CMakeFiles/openroad_swig_py.dir/CMakeFiles/openroad_swig_py.dir/OpenRoad-pyPYTHON_wrap.cxx.o" "CMakeFiles/openroad_swig_py.dir/openroad_swig_py-pythonInitVar.cc.o" /usr/bin/ranlib _openroad_swig_py.a gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [ 76%] Built target openroad_swig_py /usr/bin/gmake -f src/upf/src/CMakeFiles/upf_lib.dir/build.make src/upf/src/CMakeFiles/upf_lib.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src/upf/src /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src/upf/src /builddir/build/BUILD/openroad/build/src/upf/src/CMakeFiles/upf_lib.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' /usr/bin/gmake -f src/upf/src/CMakeFiles/upf_lib.dir/build.make src/upf/src/CMakeFiles/upf_lib.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 76%] Building CXX object src/upf/src/CMakeFiles/upf_lib.dir/upf.cpp.o cd /builddir/build/BUILD/openroad/build/src/upf/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/upf/src/../include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/upf/src/CMakeFiles/upf_lib.dir/upf.cpp.o -MF CMakeFiles/upf_lib.dir/upf.cpp.o.d -o CMakeFiles/upf_lib.dir/upf.cpp.o -c /builddir/build/BUILD/openroad/src/upf/src/upf.cpp [ 76%] Building CXX object src/pdn/src/CMakeFiles/pdn.dir/power_cells.cpp.o cd /builddir/build/BUILD/openroad/build/src/pdn/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/pdn/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/gui/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/pdn/src/CMakeFiles/pdn.dir/power_cells.cpp.o -MF CMakeFiles/pdn.dir/power_cells.cpp.o.d -o CMakeFiles/pdn.dir/power_cells.cpp.o -c /builddir/build/BUILD/openroad/src/pdn/src/power_cells.cpp [ 76%] Building CXX object src/drt/CMakeFiles/drt.dir/src/gr/FlexGR_init.cpp.o cd /builddir/build/BUILD/openroad/build/src/drt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DBOOST_SERIALIZATION_DYN_LINK -DBOOST_SERIALIZATION_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/drt/include -I/builddir/build/BUILD/openroad/src/drt/src -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -pedantic -Wcast-qual -fopenmp -MD -MT src/drt/CMakeFiles/drt.dir/src/gr/FlexGR_init.cpp.o -MF CMakeFiles/drt.dir/src/gr/FlexGR_init.cpp.o.d -o CMakeFiles/drt.dir/src/gr/FlexGR_init.cpp.o -c /builddir/build/BUILD/openroad/src/drt/src/gr/FlexGR_init.cpp [ 76%] Building CXX object src/drt/CMakeFiles/drt.dir/src/gr/FlexGR_maze.cpp.o cd /builddir/build/BUILD/openroad/build/src/drt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DBOOST_SERIALIZATION_DYN_LINK -DBOOST_SERIALIZATION_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/drt/include -I/builddir/build/BUILD/openroad/src/drt/src -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -pedantic -Wcast-qual -fopenmp -MD -MT src/drt/CMakeFiles/drt.dir/src/gr/FlexGR_maze.cpp.o -MF CMakeFiles/drt.dir/src/gr/FlexGR_maze.cpp.o.d -o CMakeFiles/drt.dir/src/gr/FlexGR_maze.cpp.o -c /builddir/build/BUILD/openroad/src/drt/src/gr/FlexGR_maze.cpp [ 76%] Linking CXX static library libupf_lib.a cd /builddir/build/BUILD/openroad/build/src/upf/src && /usr/bin/cmake -P CMakeFiles/upf_lib.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/openroad/build/src/upf/src && /usr/bin/cmake -E cmake_link_script CMakeFiles/upf_lib.dir/link.txt --verbose=1 /usr/bin/ar qc libupf_lib.a CMakeFiles/upf_lib.dir/upf.cpp.o /usr/bin/ranlib libupf_lib.a gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [ 76%] Built target upf_lib /usr/bin/gmake -f src/grt/CMakeFiles/grt.dir/build.make src/grt/CMakeFiles/grt.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 77%] Generating grt-tclInitVar.cc cd /builddir/build/BUILD/openroad/src/grt && /usr/share/opensta/etc/TclEncode.tcl /builddir/build/BUILD/openroad/build/src/grt/grt-tclInitVar.cc grt_tcl_inits src/GlobalRouter.tcl [ 77%] Swig compile src/GlobalRouter.i for tcl cd /builddir/build/BUILD/openroad/build/src/grt && /usr/bin/cmake -E make_directory /builddir/build/BUILD/openroad/build/src/grt/CMakeFiles/grt.dir /builddir/build/BUILD/openroad/build/src/grt /builddir/build/BUILD/openroad/build/src/grt/CMakeFiles/grt.dir cd /builddir/build/BUILD/openroad/build/src/grt && /usr/bin/cmake -E env SWIG_LIB=/usr/share/swig/4.0.2 /usr/bin/swig -tcl -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/usr/include -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dpl/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/rsz/src/../include -I/builddir/build/BUILD/openroad/src/gui/include -namespace -prefix grt -Werror -w317,325,378,401,402,467,472,503,509 -outdir /builddir/build/BUILD/openroad/build/src/grt -c++ -module grt -MF /builddir/build/BUILD/openroad/build/src/grt/CMakeFiles/grt.dir/GlobalRouter.i.d -MD -I/usr/include/sta -I/usr/include/abc -o /builddir/build/BUILD/openroad/build/src/grt/CMakeFiles/grt.dir/GlobalRouterTCL_wrap.cxx /builddir/build/BUILD/openroad/src/grt/src/GlobalRouter.i cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src/grt /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src/grt /builddir/build/BUILD/openroad/build/src/grt/CMakeFiles/grt.dir/DependInfo.cmake "--color=" Dependencies file "src/grt/CMakeFiles/grt.dir/GlobalRouter.i.d" is newer than depends file "/builddir/build/BUILD/openroad/build/src/grt/CMakeFiles/grt.dir/compiler_depend.internal". Consolidate compiler generated dependencies of target grt gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' /usr/bin/gmake -f src/grt/CMakeFiles/grt.dir/build.make src/grt/CMakeFiles/grt.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 77%] Building CXX object src/grt/CMakeFiles/grt.dir/CMakeFiles/grt.dir/GlobalRouterTCL_wrap.cxx.o cd /builddir/build/BUILD/openroad/build/src/grt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dpl/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/rsz/src/../include -I/builddir/build/BUILD/openroad/src/gui/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -Wno-cast-qual -Wno-missing-braces -MD -MT src/grt/CMakeFiles/grt.dir/CMakeFiles/grt.dir/GlobalRouterTCL_wrap.cxx.o -MF CMakeFiles/grt.dir/CMakeFiles/grt.dir/GlobalRouterTCL_wrap.cxx.o.d -o CMakeFiles/grt.dir/CMakeFiles/grt.dir/GlobalRouterTCL_wrap.cxx.o -c /builddir/build/BUILD/openroad/build/src/grt/CMakeFiles/grt.dir/GlobalRouterTCL_wrap.cxx [ 77%] Building CXX object src/grt/CMakeFiles/grt.dir/grt-tclInitVar.cc.o cd /builddir/build/BUILD/openroad/build/src/grt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dpl/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/rsz/src/../include -I/builddir/build/BUILD/openroad/src/gui/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/grt/CMakeFiles/grt.dir/grt-tclInitVar.cc.o -MF CMakeFiles/grt.dir/grt-tclInitVar.cc.o.d -o CMakeFiles/grt.dir/grt-tclInitVar.cc.o -c /builddir/build/BUILD/openroad/build/src/grt/grt-tclInitVar.cc [ 77%] Building CXX object src/grt/CMakeFiles/grt.dir/src/MakeGlobalRouter.cpp.o cd /builddir/build/BUILD/openroad/build/src/grt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dpl/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/rsz/src/../include -I/builddir/build/BUILD/openroad/src/gui/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/grt/CMakeFiles/grt.dir/src/MakeGlobalRouter.cpp.o -MF CMakeFiles/grt.dir/src/MakeGlobalRouter.cpp.o.d -o CMakeFiles/grt.dir/src/MakeGlobalRouter.cpp.o -c /builddir/build/BUILD/openroad/src/grt/src/MakeGlobalRouter.cpp [ 77%] Building CXX object src/grt/CMakeFiles/grt.dir/src/heatMap.cpp.o cd /builddir/build/BUILD/openroad/build/src/grt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dpl/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/rsz/src/../include -I/builddir/build/BUILD/openroad/src/gui/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/grt/CMakeFiles/grt.dir/src/heatMap.cpp.o -MF CMakeFiles/grt.dir/src/heatMap.cpp.o.d -o CMakeFiles/grt.dir/src/heatMap.cpp.o -c /builddir/build/BUILD/openroad/src/grt/src/heatMap.cpp [ 77%] Building CXX object src/grt/CMakeFiles/grt.dir/src/GrouteRenderer.cpp.o cd /builddir/build/BUILD/openroad/build/src/grt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dpl/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/rsz/src/../include -I/builddir/build/BUILD/openroad/src/gui/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/grt/CMakeFiles/grt.dir/src/GrouteRenderer.cpp.o -MF CMakeFiles/grt.dir/src/GrouteRenderer.cpp.o.d -o CMakeFiles/grt.dir/src/GrouteRenderer.cpp.o -c /builddir/build/BUILD/openroad/src/grt/src/GrouteRenderer.cpp [ 77%] Building CXX object src/drt/CMakeFiles/drt.dir/src/gr/FlexGR_rq.cpp.o cd /builddir/build/BUILD/openroad/build/src/drt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DBOOST_SERIALIZATION_DYN_LINK -DBOOST_SERIALIZATION_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/drt/include -I/builddir/build/BUILD/openroad/src/drt/src -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -pedantic -Wcast-qual -fopenmp -MD -MT src/drt/CMakeFiles/drt.dir/src/gr/FlexGR_rq.cpp.o -MF CMakeFiles/drt.dir/src/gr/FlexGR_rq.cpp.o.d -o CMakeFiles/drt.dir/src/gr/FlexGR_rq.cpp.o -c /builddir/build/BUILD/openroad/src/drt/src/gr/FlexGR_rq.cpp [ 77%] Building CXX object src/grt/CMakeFiles/grt.dir/src/fastroute/src/FastRouteRenderer.cpp.o cd /builddir/build/BUILD/openroad/build/src/grt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dpl/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/rsz/src/../include -I/builddir/build/BUILD/openroad/src/gui/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/grt/CMakeFiles/grt.dir/src/fastroute/src/FastRouteRenderer.cpp.o -MF CMakeFiles/grt.dir/src/fastroute/src/FastRouteRenderer.cpp.o.d -o CMakeFiles/grt.dir/src/fastroute/src/FastRouteRenderer.cpp.o -c /builddir/build/BUILD/openroad/src/grt/src/fastroute/src/FastRouteRenderer.cpp [ 78%] Building CXX object src/pdn/src/CMakeFiles/pdn.dir/grid.cpp.o cd /builddir/build/BUILD/openroad/build/src/pdn/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/pdn/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/gui/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/pdn/src/CMakeFiles/pdn.dir/grid.cpp.o -MF CMakeFiles/pdn.dir/grid.cpp.o.d -o CMakeFiles/pdn.dir/grid.cpp.o -c /builddir/build/BUILD/openroad/src/pdn/src/grid.cpp [ 78%] Building CXX object src/drt/CMakeFiles/drt.dir/src/gr/FlexGR_topo.cpp.o cd /builddir/build/BUILD/openroad/build/src/drt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DBOOST_SERIALIZATION_DYN_LINK -DBOOST_SERIALIZATION_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/drt/include -I/builddir/build/BUILD/openroad/src/drt/src -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -pedantic -Wcast-qual -fopenmp -MD -MT src/drt/CMakeFiles/drt.dir/src/gr/FlexGR_topo.cpp.o -MF CMakeFiles/drt.dir/src/gr/FlexGR_topo.cpp.o.d -o CMakeFiles/drt.dir/src/gr/FlexGR_topo.cpp.o -c /builddir/build/BUILD/openroad/src/drt/src/gr/FlexGR_topo.cpp [ 78%] Linking CXX static library grt.a cd /builddir/build/BUILD/openroad/build/src/grt && /usr/bin/cmake -P CMakeFiles/grt.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/openroad/build/src/grt && /usr/bin/cmake -E cmake_link_script CMakeFiles/grt.dir/link.txt --verbose=1 /usr/bin/ar qc grt.a CMakeFiles/grt.dir/CMakeFiles/grt.dir/GlobalRouterTCL_wrap.cxx.o "CMakeFiles/grt.dir/grt-tclInitVar.cc.o" CMakeFiles/grt.dir/src/MakeGlobalRouter.cpp.o CMakeFiles/grt.dir/src/heatMap.cpp.o CMakeFiles/grt.dir/src/GrouteRenderer.cpp.o CMakeFiles/grt.dir/src/fastroute/src/FastRouteRenderer.cpp.o /usr/bin/ranlib grt.a cd /builddir/build/BUILD/openroad/src/grt && /builddir/build/BUILD/openroad/etc/find_messages.py > /builddir/build/BUILD/openroad/src/grt/messages.txt gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [ 78%] Built target grt /usr/bin/gmake -f src/stt/CMakeFiles/stt_py.dir/build.make src/stt/CMakeFiles/stt_py.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 78%] Swig compile src/SteinerTreeBuilder-py.i for python cd /builddir/build/BUILD/openroad/build/src/stt && /usr/bin/cmake -E make_directory /builddir/build/BUILD/openroad/build/src/stt/CMakeFiles/stt_py.dir /builddir/build/BUILD/openroad/build/src/stt /builddir/build/BUILD/openroad/build/src/stt/CMakeFiles/stt_py.dir cd /builddir/build/BUILD/openroad/build/src/stt && /usr/bin/cmake -E env SWIG_LIB=/usr/share/swig/4.0.2 /usr/bin/swig -python -I/builddir/build/BUILD/openroad/include/stt -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/usr/include/python3.11 -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/utl/include -I/usr/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/gui/include -Werror -w317,325,378,401,402,467,472,503,509 -outdir /builddir/build/BUILD/openroad/build/src/stt -c++ -module stt_py -interface _stt_py -MF /builddir/build/BUILD/openroad/build/src/stt/CMakeFiles/stt_py.dir/SteinerTreeBuilder-py.i.d -MD -I/usr/include/sta -I/usr/include/abc -o /builddir/build/BUILD/openroad/build/src/stt/CMakeFiles/stt_py.dir/SteinerTreeBuilder-pyPYTHON_wrap.cxx /builddir/build/BUILD/openroad/src/stt/src/SteinerTreeBuilder-py.i [ 78%] Generating stt_py-pythonInitVar.cc cd /builddir/build/BUILD/openroad/src/stt && /usr/share/opensta/etc/TclEncode.tcl /builddir/build/BUILD/openroad/build/src/stt/stt_py-pythonInitVar.cc stt_py_python_inits /builddir/build/BUILD/openroad/build/src/stt/stt_py.py cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src/stt /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src/stt /builddir/build/BUILD/openroad/build/src/stt/CMakeFiles/stt_py.dir/DependInfo.cmake "--color=" Dependencies file "src/stt/CMakeFiles/stt_py.dir/SteinerTreeBuilder-py.i.d" is newer than depends file "/builddir/build/BUILD/openroad/build/src/stt/CMakeFiles/stt_py.dir/compiler_depend.internal". Consolidate compiler generated dependencies of target stt_py gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' /usr/bin/gmake -f src/stt/CMakeFiles/stt_py.dir/build.make src/stt/CMakeFiles/stt_py.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 78%] Building CXX object src/stt/CMakeFiles/stt_py.dir/CMakeFiles/stt_py.dir/SteinerTreeBuilder-pyPYTHON_wrap.cxx.o cd /builddir/build/BUILD/openroad/build/src/stt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/gui/include -isystem /usr/include/python3.11 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -Wno-cast-qual -Wno-missing-braces -MD -MT src/stt/CMakeFiles/stt_py.dir/CMakeFiles/stt_py.dir/SteinerTreeBuilder-pyPYTHON_wrap.cxx.o -MF CMakeFiles/stt_py.dir/CMakeFiles/stt_py.dir/SteinerTreeBuilder-pyPYTHON_wrap.cxx.o.d -o CMakeFiles/stt_py.dir/CMakeFiles/stt_py.dir/SteinerTreeBuilder-pyPYTHON_wrap.cxx.o -c /builddir/build/BUILD/openroad/build/src/stt/CMakeFiles/stt_py.dir/SteinerTreeBuilder-pyPYTHON_wrap.cxx [ 78%] Building CXX object src/stt/CMakeFiles/stt_py.dir/stt_py-pythonInitVar.cc.o cd /builddir/build/BUILD/openroad/build/src/stt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/gui/include -isystem /usr/include/python3.11 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/stt/CMakeFiles/stt_py.dir/stt_py-pythonInitVar.cc.o -MF CMakeFiles/stt_py.dir/stt_py-pythonInitVar.cc.o.d -o CMakeFiles/stt_py.dir/stt_py-pythonInitVar.cc.o -c /builddir/build/BUILD/openroad/build/src/stt/stt_py-pythonInitVar.cc [ 78%] Linking CXX static library _stt_py.a cd /builddir/build/BUILD/openroad/build/src/stt && /usr/bin/cmake -P CMakeFiles/stt_py.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/openroad/build/src/stt && /usr/bin/cmake -E cmake_link_script CMakeFiles/stt_py.dir/link.txt --verbose=1 /usr/bin/ar qc _stt_py.a "CMakeFiles/stt_py.dir/CMakeFiles/stt_py.dir/SteinerTreeBuilder-pyPYTHON_wrap.cxx.o" "CMakeFiles/stt_py.dir/stt_py-pythonInitVar.cc.o" /usr/bin/ranlib _stt_py.a gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [ 78%] Built target stt_py /usr/bin/gmake -f src/fin/CMakeFiles/fin_py.dir/build.make src/fin/CMakeFiles/fin_py.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 78%] Swig compile src/finale-py.i for python cd /builddir/build/BUILD/openroad/build/src/fin && /usr/bin/cmake -E make_directory /builddir/build/BUILD/openroad/build/src/fin/CMakeFiles/fin_py.dir /builddir/build/BUILD/openroad/build/src/fin /builddir/build/BUILD/openroad/build/src/fin/CMakeFiles/fin_py.dir cd /builddir/build/BUILD/openroad/build/src/fin && /usr/bin/cmake -E env SWIG_LIB=/usr/share/swig/4.0.2 /usr/bin/swig -python -I/builddir/build/BUILD/openroad/include/fin -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/usr/include/python3.11 -I/builddir/build/BUILD/openroad/src/fin/include -I/builddir/build/BUILD/openroad/src/odb/include -I/usr/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -Werror -w317,325,378,401,402,467,472,503,509 -outdir /builddir/build/BUILD/openroad/build/src/fin -c++ -module fin_py -interface _fin_py -MF /builddir/build/BUILD/openroad/build/src/fin/CMakeFiles/fin_py.dir/finale-py.i.d -MD -I/usr/include/sta -I/usr/include/abc -o /builddir/build/BUILD/openroad/build/src/fin/CMakeFiles/fin_py.dir/finale-pyPYTHON_wrap.cxx /builddir/build/BUILD/openroad/src/fin/src/finale-py.i [ 78%] Generating fin_py-pythonInitVar.cc cd /builddir/build/BUILD/openroad/src/fin && /usr/share/opensta/etc/TclEncode.tcl /builddir/build/BUILD/openroad/build/src/fin/fin_py-pythonInitVar.cc fin_py_python_inits /builddir/build/BUILD/openroad/build/src/fin/fin_py.py cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src/fin /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src/fin /builddir/build/BUILD/openroad/build/src/fin/CMakeFiles/fin_py.dir/DependInfo.cmake "--color=" Dependencies file "src/fin/CMakeFiles/fin_py.dir/finale-py.i.d" is newer than depends file "/builddir/build/BUILD/openroad/build/src/fin/CMakeFiles/fin_py.dir/compiler_depend.internal". Consolidate compiler generated dependencies of target fin_py gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' /usr/bin/gmake -f src/fin/CMakeFiles/fin_py.dir/build.make src/fin/CMakeFiles/fin_py.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 78%] Building CXX object src/fin/CMakeFiles/fin_py.dir/CMakeFiles/fin_py.dir/finale-pyPYTHON_wrap.cxx.o cd /builddir/build/BUILD/openroad/build/src/fin && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/fin/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -isystem /usr/include/python3.11 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -Wno-cast-qual -Wno-missing-braces -MD -MT src/fin/CMakeFiles/fin_py.dir/CMakeFiles/fin_py.dir/finale-pyPYTHON_wrap.cxx.o -MF CMakeFiles/fin_py.dir/CMakeFiles/fin_py.dir/finale-pyPYTHON_wrap.cxx.o.d -o CMakeFiles/fin_py.dir/CMakeFiles/fin_py.dir/finale-pyPYTHON_wrap.cxx.o -c /builddir/build/BUILD/openroad/build/src/fin/CMakeFiles/fin_py.dir/finale-pyPYTHON_wrap.cxx [ 78%] Building CXX object src/fin/CMakeFiles/fin_py.dir/fin_py-pythonInitVar.cc.o cd /builddir/build/BUILD/openroad/build/src/fin && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/fin/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -isystem /usr/include/python3.11 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/fin/CMakeFiles/fin_py.dir/fin_py-pythonInitVar.cc.o -MF CMakeFiles/fin_py.dir/fin_py-pythonInitVar.cc.o.d -o CMakeFiles/fin_py.dir/fin_py-pythonInitVar.cc.o -c /builddir/build/BUILD/openroad/build/src/fin/fin_py-pythonInitVar.cc [ 79%] Linking CXX static library _fin_py.a cd /builddir/build/BUILD/openroad/build/src/fin && /usr/bin/cmake -P CMakeFiles/fin_py.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/openroad/build/src/fin && /usr/bin/cmake -E cmake_link_script CMakeFiles/fin_py.dir/link.txt --verbose=1 /usr/bin/ar qc _fin_py.a "CMakeFiles/fin_py.dir/CMakeFiles/fin_py.dir/finale-pyPYTHON_wrap.cxx.o" "CMakeFiles/fin_py.dir/fin_py-pythonInitVar.cc.o" /usr/bin/ranlib _fin_py.a gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [ 79%] Built target fin_py /usr/bin/gmake -f src/ppl/CMakeFiles/ppl_py.dir/build.make src/ppl/CMakeFiles/ppl_py.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 79%] Swig compile src/IOPlacer-py.i for python cd /builddir/build/BUILD/openroad/build/src/ppl && /usr/bin/cmake -E make_directory /builddir/build/BUILD/openroad/build/src/ppl/CMakeFiles/ppl_py.dir /builddir/build/BUILD/openroad/build/src/ppl /builddir/build/BUILD/openroad/build/src/ppl/CMakeFiles/ppl_py.dir cd /builddir/build/BUILD/openroad/build/src/ppl && /usr/bin/cmake -E env SWIG_LIB=/usr/share/swig/4.0.2 /usr/bin/swig -python -I/builddir/build/BUILD/openroad/src/ppl/include/ppl -I/builddir/build/BUILD/openroad/src/odb/src/swig/common -I/builddir/build/BUILD/openroad/src/odb/src/swig/python -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/usr/include/python3.11 -I/builddir/build/BUILD/openroad/src/ppl/include -I/builddir/build/BUILD/openroad/src/ppl/src/munkres/src -I/builddir/build/BUILD/openroad/src/odb/include -I/usr/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/gui/include -Werror -w317,325,378,401,402,467,472,503,509 -outdir /builddir/build/BUILD/openroad/build/src/ppl -c++ -module ppl_py -interface _ppl_py -MF /builddir/build/BUILD/openroad/build/src/ppl/CMakeFiles/ppl_py.dir/IOPlacer-py.i.d -MD -I/usr/include/sta -I/usr/include/abc -o /builddir/build/BUILD/openroad/build/src/ppl/CMakeFiles/ppl_py.dir/IOPlacer-pyPYTHON_wrap.cxx /builddir/build/BUILD/openroad/src/ppl/src/IOPlacer-py.i [ 79%] Building CXX object src/drt/CMakeFiles/drt.dir/src/dr/FlexDR_conn.cpp.o cd /builddir/build/BUILD/openroad/build/src/drt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DBOOST_SERIALIZATION_DYN_LINK -DBOOST_SERIALIZATION_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/drt/include -I/builddir/build/BUILD/openroad/src/drt/src -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -pedantic -Wcast-qual -fopenmp -MD -MT src/drt/CMakeFiles/drt.dir/src/dr/FlexDR_conn.cpp.o -MF CMakeFiles/drt.dir/src/dr/FlexDR_conn.cpp.o.d -o CMakeFiles/drt.dir/src/dr/FlexDR_conn.cpp.o -c /builddir/build/BUILD/openroad/src/drt/src/dr/FlexDR_conn.cpp [ 79%] Generating ppl_py-pythonInitVar.cc cd /builddir/build/BUILD/openroad/src/ppl && /usr/share/opensta/etc/TclEncode.tcl /builddir/build/BUILD/openroad/build/src/ppl/ppl_py-pythonInitVar.cc ppl_py_python_inits /builddir/build/BUILD/openroad/build/src/ppl/ppl_py.py cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src/ppl /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src/ppl /builddir/build/BUILD/openroad/build/src/ppl/CMakeFiles/ppl_py.dir/DependInfo.cmake "--color=" Dependencies file "src/ppl/CMakeFiles/ppl_py.dir/IOPlacer-py.i.d" is newer than depends file "/builddir/build/BUILD/openroad/build/src/ppl/CMakeFiles/ppl_py.dir/compiler_depend.internal". Consolidate compiler generated dependencies of target ppl_py gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' /usr/bin/gmake -f src/ppl/CMakeFiles/ppl_py.dir/build.make src/ppl/CMakeFiles/ppl_py.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 79%] Building CXX object src/ppl/CMakeFiles/ppl_py.dir/CMakeFiles/ppl_py.dir/IOPlacer-pyPYTHON_wrap.cxx.o cd /builddir/build/BUILD/openroad/build/src/ppl && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/ppl/include -I/builddir/build/BUILD/openroad/src/ppl/src/munkres/src -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/gui/include -isystem /usr/include/python3.11 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -Wno-cast-qual -Wno-missing-braces -MD -MT src/ppl/CMakeFiles/ppl_py.dir/CMakeFiles/ppl_py.dir/IOPlacer-pyPYTHON_wrap.cxx.o -MF CMakeFiles/ppl_py.dir/CMakeFiles/ppl_py.dir/IOPlacer-pyPYTHON_wrap.cxx.o.d -o CMakeFiles/ppl_py.dir/CMakeFiles/ppl_py.dir/IOPlacer-pyPYTHON_wrap.cxx.o -c /builddir/build/BUILD/openroad/build/src/ppl/CMakeFiles/ppl_py.dir/IOPlacer-pyPYTHON_wrap.cxx [ 79%] Building CXX object src/drt/CMakeFiles/drt.dir/src/dr/FlexDR_init.cpp.o cd /builddir/build/BUILD/openroad/build/src/drt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DBOOST_SERIALIZATION_DYN_LINK -DBOOST_SERIALIZATION_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/drt/include -I/builddir/build/BUILD/openroad/src/drt/src -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -pedantic -Wcast-qual -fopenmp -MD -MT src/drt/CMakeFiles/drt.dir/src/dr/FlexDR_init.cpp.o -MF CMakeFiles/drt.dir/src/dr/FlexDR_init.cpp.o.d -o CMakeFiles/drt.dir/src/dr/FlexDR_init.cpp.o -c /builddir/build/BUILD/openroad/src/drt/src/dr/FlexDR_init.cpp [ 79%] Building CXX object src/ppl/CMakeFiles/ppl_py.dir/ppl_py-pythonInitVar.cc.o cd /builddir/build/BUILD/openroad/build/src/ppl && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/ppl/include -I/builddir/build/BUILD/openroad/src/ppl/src/munkres/src -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/gui/include -isystem /usr/include/python3.11 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/ppl/CMakeFiles/ppl_py.dir/ppl_py-pythonInitVar.cc.o -MF CMakeFiles/ppl_py.dir/ppl_py-pythonInitVar.cc.o.d -o CMakeFiles/ppl_py.dir/ppl_py-pythonInitVar.cc.o -c /builddir/build/BUILD/openroad/build/src/ppl/ppl_py-pythonInitVar.cc [ 79%] Linking CXX static library _ppl_py.a cd /builddir/build/BUILD/openroad/build/src/ppl && /usr/bin/cmake -P CMakeFiles/ppl_py.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/openroad/build/src/ppl && /usr/bin/cmake -E cmake_link_script CMakeFiles/ppl_py.dir/link.txt --verbose=1 /usr/bin/ar qc _ppl_py.a "CMakeFiles/ppl_py.dir/CMakeFiles/ppl_py.dir/IOPlacer-pyPYTHON_wrap.cxx.o" "CMakeFiles/ppl_py.dir/ppl_py-pythonInitVar.cc.o" /usr/bin/ranlib _ppl_py.a gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [ 79%] Built target ppl_py /usr/bin/gmake -f src/cts/src/CMakeFiles/cts_lib.dir/build.make src/cts/src/CMakeFiles/cts_lib.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src/cts/src /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src/cts/src /builddir/build/BUILD/openroad/build/src/cts/src/CMakeFiles/cts_lib.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' /usr/bin/gmake -f src/cts/src/CMakeFiles/cts_lib.dir/build.make src/cts/src/CMakeFiles/cts_lib.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 79%] Building CXX object src/cts/src/CMakeFiles/cts_lib.dir/Clock.cpp.o cd /builddir/build/BUILD/openroad/build/src/cts/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/cts/src/../include -I/builddir/build/BUILD/openroad/src/cts/src/. -I/builddir/build/BUILD/openroad/src/rsz/src/../include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/dpl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/cts/src/CMakeFiles/cts_lib.dir/Clock.cpp.o -MF CMakeFiles/cts_lib.dir/Clock.cpp.o.d -o CMakeFiles/cts_lib.dir/Clock.cpp.o -c /builddir/build/BUILD/openroad/src/cts/src/Clock.cpp [ 79%] Building CXX object src/pdn/src/CMakeFiles/pdn.dir/grid_component.cpp.o cd /builddir/build/BUILD/openroad/build/src/pdn/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/pdn/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/gui/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/pdn/src/CMakeFiles/pdn.dir/grid_component.cpp.o -MF CMakeFiles/pdn.dir/grid_component.cpp.o.d -o CMakeFiles/pdn.dir/grid_component.cpp.o -c /builddir/build/BUILD/openroad/src/pdn/src/grid_component.cpp [ 79%] Building CXX object src/cts/src/CMakeFiles/cts_lib.dir/TreeBuilder.cpp.o cd /builddir/build/BUILD/openroad/build/src/cts/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/cts/src/../include -I/builddir/build/BUILD/openroad/src/cts/src/. -I/builddir/build/BUILD/openroad/src/rsz/src/../include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/dpl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/cts/src/CMakeFiles/cts_lib.dir/TreeBuilder.cpp.o -MF CMakeFiles/cts_lib.dir/TreeBuilder.cpp.o.d -o CMakeFiles/cts_lib.dir/TreeBuilder.cpp.o -c /builddir/build/BUILD/openroad/src/cts/src/TreeBuilder.cpp In file included from /usr/include/c++/12/tuple:38, from /usr/include/c++/12/functional:54, from /usr/include/boost/container_hash/hash.hpp:20, from /usr/include/boost/functional/hash.hpp:6, from /builddir/build/BUILD/openroad/src/cts/src/TreeBuilder.h:38, from /builddir/build/BUILD/openroad/src/cts/src/TreeBuilder.cpp:36: /usr/include/c++/12/bits/stl_pair.h: In instantiation of 'constexpr std::pair::type>::__type, typename std::__strip_reference_wrapper::type>::__type> std::make_pair(_T1&&, _T2&&) [with _T1 = double; _T2 = double; typename __strip_reference_wrapper::type>::__type = double; typename decay<_Tp2>::type = double; typename __strip_reference_wrapper::type>::__type = double; typename decay<_Tp>::type = double]': /builddir/build/BUILD/openroad/src/cts/src/TreeBuilder.h:62:25: required from here /usr/include/c++/12/bits/stl_pair.h:741:5: note: parameter passing for argument of type 'std::pair' when C++17 is enabled changed to match C++14 in GCC 10.1 741 | make_pair(_T1&& __x, _T2&& __y) | ^~~~~~~~~ [ 79%] Building CXX object src/drt/CMakeFiles/drt.dir/src/dr/FlexDR.cpp.o [ 79%] Building CXX object src/cts/src/CMakeFiles/cts_lib.dir/HTreeBuilder.cpp.o cd /builddir/build/BUILD/openroad/build/src/drt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DBOOST_SERIALIZATION_DYN_LINK -DBOOST_SERIALIZATION_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/drt/include -I/builddir/build/BUILD/openroad/src/drt/src -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -pedantic -Wcast-qual -fopenmp -MD -MT src/drt/CMakeFiles/drt.dir/src/dr/FlexDR.cpp.o -MF CMakeFiles/drt.dir/src/dr/FlexDR.cpp.o.d -o CMakeFiles/drt.dir/src/dr/FlexDR.cpp.o -c /builddir/build/BUILD/openroad/src/drt/src/dr/FlexDR.cpp cd /builddir/build/BUILD/openroad/build/src/cts/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/cts/src/../include -I/builddir/build/BUILD/openroad/src/cts/src/. -I/builddir/build/BUILD/openroad/src/rsz/src/../include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/dpl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/cts/src/CMakeFiles/cts_lib.dir/HTreeBuilder.cpp.o -MF CMakeFiles/cts_lib.dir/HTreeBuilder.cpp.o.d -o CMakeFiles/cts_lib.dir/HTreeBuilder.cpp.o -c /builddir/build/BUILD/openroad/src/cts/src/HTreeBuilder.cpp [ 79%] Building CXX object src/pdn/src/CMakeFiles/pdn.dir/rings.cpp.o cd /builddir/build/BUILD/openroad/build/src/pdn/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/pdn/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/gui/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/pdn/src/CMakeFiles/pdn.dir/rings.cpp.o -MF CMakeFiles/pdn.dir/rings.cpp.o.d -o CMakeFiles/pdn.dir/rings.cpp.o -c /builddir/build/BUILD/openroad/src/pdn/src/rings.cpp In file included from /usr/include/c++/12/bits/stl_algobase.h:64, from /usr/include/c++/12/bits/specfun.h:45, from /usr/include/c++/12/cmath:1935, from /builddir/build/BUILD/openroad/src/cts/src/HTreeBuilder.h:38, from /builddir/build/BUILD/openroad/src/cts/src/HTreeBuilder.cpp:36: /usr/include/c++/12/bits/stl_pair.h: In instantiation of 'constexpr std::pair::type>::__type, typename std::__strip_reference_wrapper::type>::__type> std::make_pair(_T1&&, _T2&&) [with _T1 = double; _T2 = double; typename __strip_reference_wrapper::type>::__type = double; typename decay<_Tp2>::type = double; typename __strip_reference_wrapper::type>::__type = double; typename decay<_Tp>::type = double]': /builddir/build/BUILD/openroad/src/cts/src/TreeBuilder.h:62:25: required from here /usr/include/c++/12/bits/stl_pair.h:741:5: note: parameter passing for argument of type 'std::pair' when C++17 is enabled changed to match C++14 in GCC 10.1 741 | make_pair(_T1&& __x, _T2&& __y) | ^~~~~~~~~ [ 80%] Building CXX object src/drt/CMakeFiles/drt.dir/src/db/drObj/drNet.cpp.o cd /builddir/build/BUILD/openroad/build/src/drt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DBOOST_SERIALIZATION_DYN_LINK -DBOOST_SERIALIZATION_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/drt/include -I/builddir/build/BUILD/openroad/src/drt/src -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -pedantic -Wcast-qual -fopenmp -MD -MT src/drt/CMakeFiles/drt.dir/src/db/drObj/drNet.cpp.o -MF CMakeFiles/drt.dir/src/db/drObj/drNet.cpp.o.d -o CMakeFiles/drt.dir/src/db/drObj/drNet.cpp.o -c /builddir/build/BUILD/openroad/src/drt/src/db/drObj/drNet.cpp [ 80%] Building CXX object src/pdn/src/CMakeFiles/pdn.dir/straps.cpp.o cd /builddir/build/BUILD/openroad/build/src/pdn/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/pdn/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/gui/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/pdn/src/CMakeFiles/pdn.dir/straps.cpp.o -MF CMakeFiles/pdn.dir/straps.cpp.o.d -o CMakeFiles/pdn.dir/straps.cpp.o -c /builddir/build/BUILD/openroad/src/pdn/src/straps.cpp [ 81%] Building CXX object src/cts/src/CMakeFiles/cts_lib.dir/SinkClustering.cpp.o cd /builddir/build/BUILD/openroad/build/src/cts/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/cts/src/../include -I/builddir/build/BUILD/openroad/src/cts/src/. -I/builddir/build/BUILD/openroad/src/rsz/src/../include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/dpl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/cts/src/CMakeFiles/cts_lib.dir/SinkClustering.cpp.o -MF CMakeFiles/cts_lib.dir/SinkClustering.cpp.o.d -o CMakeFiles/cts_lib.dir/SinkClustering.cpp.o -c /builddir/build/BUILD/openroad/src/cts/src/SinkClustering.cpp [ 81%] Building CXX object src/drt/CMakeFiles/drt.dir/src/dr/FlexDR_maze.cpp.o cd /builddir/build/BUILD/openroad/build/src/drt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DBOOST_SERIALIZATION_DYN_LINK -DBOOST_SERIALIZATION_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/drt/include -I/builddir/build/BUILD/openroad/src/drt/src -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -pedantic -Wcast-qual -fopenmp -MD -MT src/drt/CMakeFiles/drt.dir/src/dr/FlexDR_maze.cpp.o -MF CMakeFiles/drt.dir/src/dr/FlexDR_maze.cpp.o.d -o CMakeFiles/drt.dir/src/dr/FlexDR_maze.cpp.o -c /builddir/build/BUILD/openroad/src/drt/src/dr/FlexDR_maze.cpp In file included from /usr/include/c++/12/bits/stl_algobase.h:64, from /usr/include/c++/12/bits/stl_tree.h:63, from /usr/include/c++/12/map:60, from /builddir/build/BUILD/openroad/src/cts/src/SinkClustering.h:39, from /builddir/build/BUILD/openroad/src/cts/src/SinkClustering.cpp:36: /usr/include/c++/12/bits/stl_pair.h: In instantiation of 'constexpr std::pair::type>::__type, typename std::__strip_reference_wrapper::type>::__type> std::make_pair(_T1&&, _T2&&) [with _T1 = double; _T2 = double; typename __strip_reference_wrapper::type>::__type = double; typename decay<_Tp2>::type = double; typename __strip_reference_wrapper::type>::__type = double; typename decay<_Tp>::type = double]': /builddir/build/BUILD/openroad/src/cts/src/TreeBuilder.h:62:25: required from here /usr/include/c++/12/bits/stl_pair.h:741:5: note: parameter passing for argument of type 'std::pair' when C++17 is enabled changed to match C++14 in GCC 10.1 741 | make_pair(_T1&& __x, _T2&& __y) | ^~~~~~~~~ [ 81%] Building CXX object src/pdn/src/CMakeFiles/pdn.dir/shape.cpp.o cd /builddir/build/BUILD/openroad/build/src/pdn/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/pdn/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/gui/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/pdn/src/CMakeFiles/pdn.dir/shape.cpp.o -MF CMakeFiles/pdn.dir/shape.cpp.o.d -o CMakeFiles/pdn.dir/shape.cpp.o -c /builddir/build/BUILD/openroad/src/pdn/src/shape.cpp [ 81%] Building CXX object src/cts/src/CMakeFiles/cts_lib.dir/TechChar.cpp.o cd /builddir/build/BUILD/openroad/build/src/cts/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/cts/src/../include -I/builddir/build/BUILD/openroad/src/cts/src/. -I/builddir/build/BUILD/openroad/src/rsz/src/../include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/dpl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/cts/src/CMakeFiles/cts_lib.dir/TechChar.cpp.o -MF CMakeFiles/cts_lib.dir/TechChar.cpp.o.d -o CMakeFiles/cts_lib.dir/TechChar.cpp.o -c /builddir/build/BUILD/openroad/src/cts/src/TechChar.cpp [ 81%] Building CXX object src/drt/CMakeFiles/drt.dir/src/dr/FlexGridGraph_maze.cpp.o cd /builddir/build/BUILD/openroad/build/src/drt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DBOOST_SERIALIZATION_DYN_LINK -DBOOST_SERIALIZATION_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/drt/include -I/builddir/build/BUILD/openroad/src/drt/src -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -pedantic -Wcast-qual -fopenmp -MD -MT src/drt/CMakeFiles/drt.dir/src/dr/FlexGridGraph_maze.cpp.o -MF CMakeFiles/drt.dir/src/dr/FlexGridGraph_maze.cpp.o.d -o CMakeFiles/drt.dir/src/dr/FlexGridGraph_maze.cpp.o -c /builddir/build/BUILD/openroad/src/drt/src/dr/FlexGridGraph_maze.cpp [ 81%] Building CXX object src/cts/src/CMakeFiles/cts_lib.dir/TritonCTS.cpp.o cd /builddir/build/BUILD/openroad/build/src/cts/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/cts/src/../include -I/builddir/build/BUILD/openroad/src/cts/src/. -I/builddir/build/BUILD/openroad/src/rsz/src/../include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/dpl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/cts/src/CMakeFiles/cts_lib.dir/TritonCTS.cpp.o -MF CMakeFiles/cts_lib.dir/TritonCTS.cpp.o.d -o CMakeFiles/cts_lib.dir/TritonCTS.cpp.o -c /builddir/build/BUILD/openroad/src/cts/src/TritonCTS.cpp [ 81%] Building CXX object src/pdn/src/CMakeFiles/pdn.dir/via.cpp.o cd /builddir/build/BUILD/openroad/build/src/pdn/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/pdn/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/gui/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/pdn/src/CMakeFiles/pdn.dir/via.cpp.o -MF CMakeFiles/pdn.dir/via.cpp.o.d -o CMakeFiles/pdn.dir/via.cpp.o -c /builddir/build/BUILD/openroad/src/pdn/src/via.cpp [ 81%] Building CXX object src/cts/src/CMakeFiles/cts_lib.dir/Clustering.cpp.o cd /builddir/build/BUILD/openroad/build/src/cts/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/cts/src/../include -I/builddir/build/BUILD/openroad/src/cts/src/. -I/builddir/build/BUILD/openroad/src/rsz/src/../include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/dpl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/cts/src/CMakeFiles/cts_lib.dir/Clustering.cpp.o -MF CMakeFiles/cts_lib.dir/Clustering.cpp.o.d -o CMakeFiles/cts_lib.dir/Clustering.cpp.o -c /builddir/build/BUILD/openroad/src/cts/src/Clustering.cpp In file included from /usr/include/c++/12/tuple:38, from /usr/include/c++/12/functional:54, from /builddir/build/BUILD/openroad/src/cts/src/../include/cts/TritonCTS.h:38, from /builddir/build/BUILD/openroad/src/cts/src/TritonCTS.cpp:36: /usr/include/c++/12/bits/stl_pair.h: In instantiation of 'constexpr std::pair::type>::__type, typename std::__strip_reference_wrapper::type>::__type> std::make_pair(_T1&&, _T2&&) [with _T1 = double; _T2 = double; typename __strip_reference_wrapper::type>::__type = double; typename decay<_Tp2>::type = double; typename __strip_reference_wrapper::type>::__type = double; typename decay<_Tp>::type = double]': /builddir/build/BUILD/openroad/src/cts/src/TreeBuilder.h:62:25: required from here /usr/include/c++/12/bits/stl_pair.h:741:5: note: parameter passing for argument of type 'std::pair' when C++17 is enabled changed to match C++14 in GCC 10.1 741 | make_pair(_T1&& __x, _T2&& __y) | ^~~~~~~~~ In file included from /usr/include/c++/12/bits/stl_algobase.h:64, from /usr/include/c++/12/bits/specfun.h:45, from /usr/include/c++/12/cmath:1935, from /builddir/build/BUILD/openroad/src/cts/src/Clustering.h:36, from /builddir/build/BUILD/openroad/src/cts/src/Clustering.cpp:36: /usr/include/c++/12/bits/stl_pair.h: In instantiation of 'constexpr std::pair::type>::__type, typename std::__strip_reference_wrapper::type>::__type> std::make_pair(_T1&&, _T2&&) [with _T1 = float&; _T2 = float&; typename __strip_reference_wrapper::type>::__type = float; typename decay<_Tp2>::type = float; typename __strip_reference_wrapper::type>::__type = float; typename decay<_Tp>::type = float]': /builddir/build/BUILD/openroad/src/cts/src/Clustering.cpp:200:31: required from here /usr/include/c++/12/bits/stl_pair.h:741:5: note: parameter passing for argument of type 'std::pair' when C++17 is enabled changed to match C++14 in GCC 10.1 741 | make_pair(_T1&& __x, _T2&& __y) | ^~~~~~~~~ [ 81%] Building CXX object src/drt/CMakeFiles/drt.dir/src/dr/FlexGridGraph.cpp.o cd /builddir/build/BUILD/openroad/build/src/drt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DBOOST_SERIALIZATION_DYN_LINK -DBOOST_SERIALIZATION_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/drt/include -I/builddir/build/BUILD/openroad/src/drt/src -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -pedantic -Wcast-qual -fopenmp -MD -MT src/drt/CMakeFiles/drt.dir/src/dr/FlexGridGraph.cpp.o -MF CMakeFiles/drt.dir/src/dr/FlexGridGraph.cpp.o.d -o CMakeFiles/drt.dir/src/dr/FlexGridGraph.cpp.o -c /builddir/build/BUILD/openroad/src/drt/src/dr/FlexGridGraph.cpp [ 81%] Building CXX object src/cts/src/CMakeFiles/cts_lib.dir/LevelBalancer.cpp.o cd /builddir/build/BUILD/openroad/build/src/cts/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/cts/src/../include -I/builddir/build/BUILD/openroad/src/cts/src/. -I/builddir/build/BUILD/openroad/src/rsz/src/../include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/dpl/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/cts/src/CMakeFiles/cts_lib.dir/LevelBalancer.cpp.o -MF CMakeFiles/cts_lib.dir/LevelBalancer.cpp.o.d -o CMakeFiles/cts_lib.dir/LevelBalancer.cpp.o -c /builddir/build/BUILD/openroad/src/cts/src/LevelBalancer.cpp [ 81%] Building CXX object src/drt/CMakeFiles/drt.dir/src/dr/FlexDR_rq.cpp.o cd /builddir/build/BUILD/openroad/build/src/drt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DBOOST_SERIALIZATION_DYN_LINK -DBOOST_SERIALIZATION_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/drt/include -I/builddir/build/BUILD/openroad/src/drt/src -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -pedantic -Wcast-qual -fopenmp -MD -MT src/drt/CMakeFiles/drt.dir/src/dr/FlexDR_rq.cpp.o -MF CMakeFiles/drt.dir/src/dr/FlexDR_rq.cpp.o.d -o CMakeFiles/drt.dir/src/dr/FlexDR_rq.cpp.o -c /builddir/build/BUILD/openroad/src/drt/src/dr/FlexDR_rq.cpp In file included from /usr/include/c++/12/bits/stl_algobase.h:64, from /usr/include/c++/12/bits/specfun.h:45, from /usr/include/c++/12/cmath:1935, from /builddir/build/BUILD/openroad/src/cts/src/LevelBalancer.h:38, from /builddir/build/BUILD/openroad/src/cts/src/LevelBalancer.cpp:36: /usr/include/c++/12/bits/stl_pair.h: In instantiation of 'constexpr std::pair::type>::__type, typename std::__strip_reference_wrapper::type>::__type> std::make_pair(_T1&&, _T2&&) [with _T1 = double; _T2 = double; typename __strip_reference_wrapper::type>::__type = double; typename decay<_Tp2>::type = double; typename __strip_reference_wrapper::type>::__type = double; typename decay<_Tp>::type = double]': /builddir/build/BUILD/openroad/src/cts/src/TreeBuilder.h:62:25: required from here /usr/include/c++/12/bits/stl_pair.h:741:5: note: parameter passing for argument of type 'std::pair' when C++17 is enabled changed to match C++14 in GCC 10.1 741 | make_pair(_T1&& __x, _T2&& __y) | ^~~~~~~~~ [ 81%] Building CXX object src/drt/CMakeFiles/drt.dir/src/dr/FlexDR_end.cpp.o cd /builddir/build/BUILD/openroad/build/src/drt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DBOOST_SERIALIZATION_DYN_LINK -DBOOST_SERIALIZATION_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/drt/include -I/builddir/build/BUILD/openroad/src/drt/src -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -pedantic -Wcast-qual -fopenmp -MD -MT src/drt/CMakeFiles/drt.dir/src/dr/FlexDR_end.cpp.o -MF CMakeFiles/drt.dir/src/dr/FlexDR_end.cpp.o.d -o CMakeFiles/drt.dir/src/dr/FlexDR_end.cpp.o -c /builddir/build/BUILD/openroad/src/drt/src/dr/FlexDR_end.cpp [ 81%] Linking CXX static library libcts_lib.a cd /builddir/build/BUILD/openroad/build/src/cts/src && /usr/bin/cmake -P CMakeFiles/cts_lib.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/openroad/build/src/cts/src && /usr/bin/cmake -E cmake_link_script CMakeFiles/cts_lib.dir/link.txt --verbose=1 /usr/bin/ar qc libcts_lib.a CMakeFiles/cts_lib.dir/Clock.cpp.o CMakeFiles/cts_lib.dir/TreeBuilder.cpp.o CMakeFiles/cts_lib.dir/HTreeBuilder.cpp.o CMakeFiles/cts_lib.dir/SinkClustering.cpp.o CMakeFiles/cts_lib.dir/TechChar.cpp.o CMakeFiles/cts_lib.dir/TritonCTS.cpp.o CMakeFiles/cts_lib.dir/Clustering.cpp.o CMakeFiles/cts_lib.dir/LevelBalancer.cpp.o [ 81%] Building CXX object src/pdn/src/CMakeFiles/pdn.dir/connect.cpp.o cd /builddir/build/BUILD/openroad/build/src/pdn/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/pdn/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/gui/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/pdn/src/CMakeFiles/pdn.dir/connect.cpp.o -MF CMakeFiles/pdn.dir/connect.cpp.o.d -o CMakeFiles/pdn.dir/connect.cpp.o -c /builddir/build/BUILD/openroad/src/pdn/src/connect.cpp /usr/bin/ranlib libcts_lib.a gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [ 81%] Built target cts_lib /usr/bin/gmake -f src/mpl/CMakeFiles/mpl_py.dir/build.make src/mpl/CMakeFiles/mpl_py.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 81%] Swig compile src/MacroPlacer-py.i for python cd /builddir/build/BUILD/openroad/build/src/mpl && /usr/bin/cmake -E make_directory /builddir/build/BUILD/openroad/build/src/mpl/CMakeFiles/mpl_py.dir /builddir/build/BUILD/openroad/build/src/mpl /builddir/build/BUILD/openroad/build/src/mpl/CMakeFiles/mpl_py.dir cd /builddir/build/BUILD/openroad/build/src/mpl && /usr/bin/cmake -E env SWIG_LIB=/usr/share/swig/4.0.2 /usr/bin/swig -python -I/builddir/build/BUILD/openroad/include/mpl -I/builddir/build/BUILD/openroad/src/odb/src/swig/common -I/builddir/build/BUILD/openroad/src/odb/src/swig/python -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/usr/include/python3.11 -I/builddir/build/BUILD/openroad/src/mpl/include -I/builddir/build/BUILD/openroad/src/odb/include -I/usr/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -Werror -w317,325,378,401,402,467,472,503,509 -outdir /builddir/build/BUILD/openroad/build/src/mpl -c++ -module mpl_py -interface _mpl_py -MF /builddir/build/BUILD/openroad/build/src/mpl/CMakeFiles/mpl_py.dir/MacroPlacer-py.i.d -MD -I/usr/include/sta -I/usr/include/abc -o /builddir/build/BUILD/openroad/build/src/mpl/CMakeFiles/mpl_py.dir/MacroPlacer-pyPYTHON_wrap.cxx /builddir/build/BUILD/openroad/src/mpl/src/MacroPlacer-py.i [ 81%] Generating mpl_py-pythonInitVar.cc cd /builddir/build/BUILD/openroad/src/mpl && /usr/share/opensta/etc/TclEncode.tcl /builddir/build/BUILD/openroad/build/src/mpl/mpl_py-pythonInitVar.cc mpl_py_python_inits /builddir/build/BUILD/openroad/build/src/mpl/mpl_py.py cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src/mpl /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src/mpl /builddir/build/BUILD/openroad/build/src/mpl/CMakeFiles/mpl_py.dir/DependInfo.cmake "--color=" Dependencies file "src/mpl/CMakeFiles/mpl_py.dir/MacroPlacer-py.i.d" is newer than depends file "/builddir/build/BUILD/openroad/build/src/mpl/CMakeFiles/mpl_py.dir/compiler_depend.internal". Consolidate compiler generated dependencies of target mpl_py gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' /usr/bin/gmake -f src/mpl/CMakeFiles/mpl_py.dir/build.make src/mpl/CMakeFiles/mpl_py.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 81%] Building CXX object src/mpl/CMakeFiles/mpl_py.dir/CMakeFiles/mpl_py.dir/MacroPlacer-pyPYTHON_wrap.cxx.o cd /builddir/build/BUILD/openroad/build/src/mpl && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/mpl/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -isystem /usr/include/python3.11 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -Wno-cast-qual -Wno-missing-braces -MD -MT src/mpl/CMakeFiles/mpl_py.dir/CMakeFiles/mpl_py.dir/MacroPlacer-pyPYTHON_wrap.cxx.o -MF CMakeFiles/mpl_py.dir/CMakeFiles/mpl_py.dir/MacroPlacer-pyPYTHON_wrap.cxx.o.d -o CMakeFiles/mpl_py.dir/CMakeFiles/mpl_py.dir/MacroPlacer-pyPYTHON_wrap.cxx.o -c /builddir/build/BUILD/openroad/build/src/mpl/CMakeFiles/mpl_py.dir/MacroPlacer-pyPYTHON_wrap.cxx [ 81%] Building CXX object src/mpl/CMakeFiles/mpl_py.dir/mpl_py-pythonInitVar.cc.o cd /builddir/build/BUILD/openroad/build/src/mpl && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/mpl/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -isystem /usr/include/python3.11 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/mpl/CMakeFiles/mpl_py.dir/mpl_py-pythonInitVar.cc.o -MF CMakeFiles/mpl_py.dir/mpl_py-pythonInitVar.cc.o.d -o CMakeFiles/mpl_py.dir/mpl_py-pythonInitVar.cc.o -c /builddir/build/BUILD/openroad/build/src/mpl/mpl_py-pythonInitVar.cc [ 81%] Linking CXX static library _mpl_py.a cd /builddir/build/BUILD/openroad/build/src/mpl && /usr/bin/cmake -P CMakeFiles/mpl_py.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/openroad/build/src/mpl && /usr/bin/cmake -E cmake_link_script CMakeFiles/mpl_py.dir/link.txt --verbose=1 /usr/bin/ar qc _mpl_py.a "CMakeFiles/mpl_py.dir/CMakeFiles/mpl_py.dir/MacroPlacer-pyPYTHON_wrap.cxx.o" "CMakeFiles/mpl_py.dir/mpl_py-pythonInitVar.cc.o" /usr/bin/ranlib _mpl_py.a gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [ 81%] Built target mpl_py /usr/bin/gmake -f src/psm/src/CMakeFiles/psm_py.dir/build.make src/psm/src/CMakeFiles/psm_py.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 81%] Swig compile pdnsim-py.i for python cd /builddir/build/BUILD/openroad/build/src/psm/src && /usr/bin/cmake -E make_directory /builddir/build/BUILD/openroad/build/src/psm/src/CMakeFiles/psm_py.dir /builddir/build/BUILD/openroad/build/src/psm/src /builddir/build/BUILD/openroad/build/src/psm/src/CMakeFiles/psm_py.dir cd /builddir/build/BUILD/openroad/build/src/psm/src && /usr/bin/cmake -E env SWIG_LIB=/usr/share/swig/4.0.2 /usr/bin/swig -python -I/builddir/build/BUILD/openroad/../include -I/builddir/build/BUILD/openroad/src/odb/src/swig/common -I/builddir/build/BUILD/openroad/src/odb/src/swig/python -I/builddir/build/BUILD/openroad/src/odb/include -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/usr/include/python3.11 -I/builddir/build/BUILD/openroad/src/psm/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/usr/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -Werror -w317,325,378,401,402,467,472,503,509 -outdir /builddir/build/BUILD/openroad/build/src/psm/src -c++ -module psm_py -interface _psm_py -MF /builddir/build/BUILD/openroad/build/src/psm/src/CMakeFiles/psm_py.dir/pdnsim-py.i.d -MD -I/usr/include/sta -I/usr/include/abc -o /builddir/build/BUILD/openroad/build/src/psm/src/CMakeFiles/psm_py.dir/pdnsim-pyPYTHON_wrap.cxx /builddir/build/BUILD/openroad/src/psm/src/pdnsim-py.i [ 81%] Building CXX object src/drt/CMakeFiles/drt.dir/src/dr/FlexDR_graphics.cpp.o cd /builddir/build/BUILD/openroad/build/src/drt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DBOOST_SERIALIZATION_DYN_LINK -DBOOST_SERIALIZATION_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/drt/include -I/builddir/build/BUILD/openroad/src/drt/src -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -pedantic -Wcast-qual -fopenmp -MD -MT src/drt/CMakeFiles/drt.dir/src/dr/FlexDR_graphics.cpp.o -MF CMakeFiles/drt.dir/src/dr/FlexDR_graphics.cpp.o.d -o CMakeFiles/drt.dir/src/dr/FlexDR_graphics.cpp.o -c /builddir/build/BUILD/openroad/src/drt/src/dr/FlexDR_graphics.cpp [ 81%] Generating psm_py-pythonInitVar.cc cd /builddir/build/BUILD/openroad/src/psm/src && /usr/share/opensta/etc/TclEncode.tcl /builddir/build/BUILD/openroad/build/src/psm/src/psm_py-pythonInitVar.cc psm_py_python_inits /builddir/build/BUILD/openroad/build/src/psm/src/psm_py.py cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src/psm/src /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src/psm/src /builddir/build/BUILD/openroad/build/src/psm/src/CMakeFiles/psm_py.dir/DependInfo.cmake "--color=" Dependencies file "src/psm/src/CMakeFiles/psm_py.dir/pdnsim-py.i.d" is newer than depends file "/builddir/build/BUILD/openroad/build/src/psm/src/CMakeFiles/psm_py.dir/compiler_depend.internal". Consolidate compiler generated dependencies of target psm_py gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' /usr/bin/gmake -f src/psm/src/CMakeFiles/psm_py.dir/build.make src/psm/src/CMakeFiles/psm_py.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 81%] Building CXX object src/psm/src/CMakeFiles/psm_py.dir/CMakeFiles/psm_py.dir/pdnsim-pyPYTHON_wrap.cxx.o cd /builddir/build/BUILD/openroad/build/src/psm/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/psm/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -isystem /usr/include/python3.11 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -Wno-cast-qual -Wno-missing-braces -MD -MT src/psm/src/CMakeFiles/psm_py.dir/CMakeFiles/psm_py.dir/pdnsim-pyPYTHON_wrap.cxx.o -MF CMakeFiles/psm_py.dir/CMakeFiles/psm_py.dir/pdnsim-pyPYTHON_wrap.cxx.o.d -o CMakeFiles/psm_py.dir/CMakeFiles/psm_py.dir/pdnsim-pyPYTHON_wrap.cxx.o -c /builddir/build/BUILD/openroad/build/src/psm/src/CMakeFiles/psm_py.dir/pdnsim-pyPYTHON_wrap.cxx [ 81%] Building CXX object src/psm/src/CMakeFiles/psm_py.dir/psm_py-pythonInitVar.cc.o cd /builddir/build/BUILD/openroad/build/src/psm/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/psm/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -isystem /usr/include/python3.11 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/psm/src/CMakeFiles/psm_py.dir/psm_py-pythonInitVar.cc.o -MF CMakeFiles/psm_py.dir/psm_py-pythonInitVar.cc.o.d -o CMakeFiles/psm_py.dir/psm_py-pythonInitVar.cc.o -c /builddir/build/BUILD/openroad/build/src/psm/src/psm_py-pythonInitVar.cc [ 81%] Linking CXX static library _psm_py.a cd /builddir/build/BUILD/openroad/build/src/psm/src && /usr/bin/cmake -P CMakeFiles/psm_py.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/openroad/build/src/psm/src && /usr/bin/cmake -E cmake_link_script CMakeFiles/psm_py.dir/link.txt --verbose=1 /usr/bin/ar qc _psm_py.a "CMakeFiles/psm_py.dir/CMakeFiles/psm_py.dir/pdnsim-pyPYTHON_wrap.cxx.o" "CMakeFiles/psm_py.dir/psm_py-pythonInitVar.cc.o" /usr/bin/ranlib _psm_py.a gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [ 81%] Built target psm_py /usr/bin/gmake -f src/upf/src/CMakeFiles/upf.dir/build.make src/upf/src/CMakeFiles/upf.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 81%] Generating upf-tclInitVar.cc cd /builddir/build/BUILD/openroad/src/upf/src && /usr/share/opensta/etc/TclEncode.tcl /builddir/build/BUILD/openroad/build/src/upf/src/upf-tclInitVar.cc upf_tcl_inits upf.tcl [ 82%] Swig compile upf.i for tcl cd /builddir/build/BUILD/openroad/build/src/upf/src && /usr/bin/cmake -E make_directory /builddir/build/BUILD/openroad/build/src/upf/src/CMakeFiles/upf.dir /builddir/build/BUILD/openroad/build/src/upf/src /builddir/build/BUILD/openroad/build/src/upf/src/CMakeFiles/upf.dir cd /builddir/build/BUILD/openroad/build/src/upf/src && /usr/bin/cmake -E env SWIG_LIB=/usr/share/swig/4.0.2 /usr/bin/swig -tcl -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/usr/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/upf/src/../include -namespace -prefix upf -Werror -w317,325,378,401,402,467,472,503,509 -outdir /builddir/build/BUILD/openroad/build/src/upf/src -c++ -module upf -MF /builddir/build/BUILD/openroad/build/src/upf/src/CMakeFiles/upf.dir/upf.i.d -MD -I/usr/include/sta -I/usr/include/abc -o /builddir/build/BUILD/openroad/build/src/upf/src/CMakeFiles/upf.dir/upfTCL_wrap.cxx /builddir/build/BUILD/openroad/src/upf/src/upf.i cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src/upf/src /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src/upf/src /builddir/build/BUILD/openroad/build/src/upf/src/CMakeFiles/upf.dir/DependInfo.cmake "--color=" Dependencies file "src/upf/src/CMakeFiles/upf.dir/upf.i.d" is newer than depends file "/builddir/build/BUILD/openroad/build/src/upf/src/CMakeFiles/upf.dir/compiler_depend.internal". Consolidate compiler generated dependencies of target upf gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' /usr/bin/gmake -f src/upf/src/CMakeFiles/upf.dir/build.make src/upf/src/CMakeFiles/upf.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 82%] Building CXX object src/upf/src/CMakeFiles/upf.dir/CMakeFiles/upf.dir/upfTCL_wrap.cxx.o cd /builddir/build/BUILD/openroad/build/src/upf/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/upf/src/../include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -Wno-cast-qual -Wno-missing-braces -MD -MT src/upf/src/CMakeFiles/upf.dir/CMakeFiles/upf.dir/upfTCL_wrap.cxx.o -MF CMakeFiles/upf.dir/CMakeFiles/upf.dir/upfTCL_wrap.cxx.o.d -o CMakeFiles/upf.dir/CMakeFiles/upf.dir/upfTCL_wrap.cxx.o -c /builddir/build/BUILD/openroad/build/src/upf/src/CMakeFiles/upf.dir/upfTCL_wrap.cxx [ 82%] Building CXX object src/drt/CMakeFiles/drt.dir/src/ta/FlexTA_end.cpp.o cd /builddir/build/BUILD/openroad/build/src/drt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DBOOST_SERIALIZATION_DYN_LINK -DBOOST_SERIALIZATION_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/drt/include -I/builddir/build/BUILD/openroad/src/drt/src -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -pedantic -Wcast-qual -fopenmp -MD -MT src/drt/CMakeFiles/drt.dir/src/ta/FlexTA_end.cpp.o -MF CMakeFiles/drt.dir/src/ta/FlexTA_end.cpp.o.d -o CMakeFiles/drt.dir/src/ta/FlexTA_end.cpp.o -c /builddir/build/BUILD/openroad/src/drt/src/ta/FlexTA_end.cpp [ 82%] Building CXX object src/upf/src/CMakeFiles/upf.dir/upf-tclInitVar.cc.o cd /builddir/build/BUILD/openroad/build/src/upf/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/upf/src/../include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/upf/src/CMakeFiles/upf.dir/upf-tclInitVar.cc.o -MF CMakeFiles/upf.dir/upf-tclInitVar.cc.o.d -o CMakeFiles/upf.dir/upf-tclInitVar.cc.o -c /builddir/build/BUILD/openroad/build/src/upf/src/upf-tclInitVar.cc [ 82%] Linking CXX static library upf.a cd /builddir/build/BUILD/openroad/build/src/upf/src && /usr/bin/cmake -P CMakeFiles/upf.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/openroad/build/src/upf/src && /usr/bin/cmake -E cmake_link_script CMakeFiles/upf.dir/link.txt --verbose=1 /usr/bin/ar qc upf.a CMakeFiles/upf.dir/CMakeFiles/upf.dir/upfTCL_wrap.cxx.o "CMakeFiles/upf.dir/upf-tclInitVar.cc.o" /usr/bin/ranlib upf.a cd /builddir/build/BUILD/openroad/src/upf && /builddir/build/BUILD/openroad/etc/find_messages.py > /builddir/build/BUILD/openroad/src/upf/src/../messages.txt gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [ 82%] Built target upf /usr/bin/gmake -f src/dft/src/cells/CMakeFiles/dft_cells_lib.dir/build.make src/dft/src/cells/CMakeFiles/dft_cells_lib.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src/dft/src/cells /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src/dft/src/cells /builddir/build/BUILD/openroad/build/src/dft/src/cells/CMakeFiles/dft_cells_lib.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' /usr/bin/gmake -f src/dft/src/cells/CMakeFiles/dft_cells_lib.dir/build.make src/dft/src/cells/CMakeFiles/dft_cells_lib.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 82%] Building CXX object src/dft/src/cells/CMakeFiles/dft_cells_lib.dir/OneBitScanCell.cpp.o cd /builddir/build/BUILD/openroad/build/src/dft/src/cells && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/dft/src/cells -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/dft/src/clock_domain -I/builddir/build/BUILD/openroad/src/dft/src/config -I/builddir/build/BUILD/openroad/src/dft/src/utils -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/dft/src/cells/CMakeFiles/dft_cells_lib.dir/OneBitScanCell.cpp.o -MF CMakeFiles/dft_cells_lib.dir/OneBitScanCell.cpp.o.d -o CMakeFiles/dft_cells_lib.dir/OneBitScanCell.cpp.o -c /builddir/build/BUILD/openroad/src/dft/src/cells/OneBitScanCell.cpp [ 82%] Building CXX object src/dft/src/cells/CMakeFiles/dft_cells_lib.dir/ScanCellFactory.cpp.o cd /builddir/build/BUILD/openroad/build/src/dft/src/cells && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/dft/src/cells -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/dft/src/clock_domain -I/builddir/build/BUILD/openroad/src/dft/src/config -I/builddir/build/BUILD/openroad/src/dft/src/utils -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/dft/src/cells/CMakeFiles/dft_cells_lib.dir/ScanCellFactory.cpp.o -MF CMakeFiles/dft_cells_lib.dir/ScanCellFactory.cpp.o.d -o CMakeFiles/dft_cells_lib.dir/ScanCellFactory.cpp.o -c /builddir/build/BUILD/openroad/src/dft/src/cells/ScanCellFactory.cpp [ 82%] Building CXX object src/drt/CMakeFiles/drt.dir/src/ta/FlexTA_init.cpp.o cd /builddir/build/BUILD/openroad/build/src/drt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DBOOST_SERIALIZATION_DYN_LINK -DBOOST_SERIALIZATION_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/drt/include -I/builddir/build/BUILD/openroad/src/drt/src -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -pedantic -Wcast-qual -fopenmp -MD -MT src/drt/CMakeFiles/drt.dir/src/ta/FlexTA_init.cpp.o -MF CMakeFiles/drt.dir/src/ta/FlexTA_init.cpp.o.d -o CMakeFiles/drt.dir/src/ta/FlexTA_init.cpp.o -c /builddir/build/BUILD/openroad/src/drt/src/ta/FlexTA_init.cpp [ 82%] Building CXX object src/pdn/src/CMakeFiles/pdn.dir/renderer.cpp.o cd /builddir/build/BUILD/openroad/build/src/pdn/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/pdn/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/gui/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/pdn/src/CMakeFiles/pdn.dir/renderer.cpp.o -MF CMakeFiles/pdn.dir/renderer.cpp.o.d -o CMakeFiles/pdn.dir/renderer.cpp.o -c /builddir/build/BUILD/openroad/src/pdn/src/renderer.cpp [ 82%] Linking CXX static library libdft_cells_lib.a cd /builddir/build/BUILD/openroad/build/src/dft/src/cells && /usr/bin/cmake -P CMakeFiles/dft_cells_lib.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/openroad/build/src/dft/src/cells && /usr/bin/cmake -E cmake_link_script CMakeFiles/dft_cells_lib.dir/link.txt --verbose=1 /usr/bin/ar qc libdft_cells_lib.a CMakeFiles/dft_cells_lib.dir/OneBitScanCell.cpp.o CMakeFiles/dft_cells_lib.dir/ScanCellFactory.cpp.o /usr/bin/ranlib libdft_cells_lib.a gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [ 82%] Built target dft_cells_lib /usr/bin/gmake -f src/dft/src/replace/CMakeFiles/dft_replace_lib.dir/build.make src/dft/src/replace/CMakeFiles/dft_replace_lib.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src/dft/src/replace /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src/dft/src/replace /builddir/build/BUILD/openroad/build/src/dft/src/replace/CMakeFiles/dft_replace_lib.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' /usr/bin/gmake -f src/dft/src/replace/CMakeFiles/dft_replace_lib.dir/build.make src/dft/src/replace/CMakeFiles/dft_replace_lib.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 82%] Building CXX object src/dft/src/replace/CMakeFiles/dft_replace_lib.dir/ScanReplace.cpp.o cd /builddir/build/BUILD/openroad/build/src/dft/src/replace && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/dft/src/replace -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/dft/src/utils -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/dft/src/replace/CMakeFiles/dft_replace_lib.dir/ScanReplace.cpp.o -MF CMakeFiles/dft_replace_lib.dir/ScanReplace.cpp.o.d -o CMakeFiles/dft_replace_lib.dir/ScanReplace.cpp.o -c /builddir/build/BUILD/openroad/src/dft/src/replace/ScanReplace.cpp [ 83%] Building CXX object src/drt/CMakeFiles/drt.dir/src/ta/FlexTA_rq.cpp.o cd /builddir/build/BUILD/openroad/build/src/drt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DBOOST_SERIALIZATION_DYN_LINK -DBOOST_SERIALIZATION_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/drt/include -I/builddir/build/BUILD/openroad/src/drt/src -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -pedantic -Wcast-qual -fopenmp -MD -MT src/drt/CMakeFiles/drt.dir/src/ta/FlexTA_rq.cpp.o -MF CMakeFiles/drt.dir/src/ta/FlexTA_rq.cpp.o.d -o CMakeFiles/drt.dir/src/ta/FlexTA_rq.cpp.o -c /builddir/build/BUILD/openroad/src/drt/src/ta/FlexTA_rq.cpp [ 83%] Building CXX object src/drt/CMakeFiles/drt.dir/src/ta/FlexTA_assign.cpp.o cd /builddir/build/BUILD/openroad/build/src/drt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DBOOST_SERIALIZATION_DYN_LINK -DBOOST_SERIALIZATION_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/drt/include -I/builddir/build/BUILD/openroad/src/drt/src -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -pedantic -Wcast-qual -fopenmp -MD -MT src/drt/CMakeFiles/drt.dir/src/ta/FlexTA_assign.cpp.o -MF CMakeFiles/drt.dir/src/ta/FlexTA_assign.cpp.o.d -o CMakeFiles/drt.dir/src/ta/FlexTA_assign.cpp.o -c /builddir/build/BUILD/openroad/src/drt/src/ta/FlexTA_assign.cpp [ 83%] Building CXX object src/pdn/src/CMakeFiles/pdn.dir/sroute.cpp.o cd /builddir/build/BUILD/openroad/build/src/pdn/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/pdn/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/gui/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/pdn/src/CMakeFiles/pdn.dir/sroute.cpp.o -MF CMakeFiles/pdn.dir/sroute.cpp.o.d -o CMakeFiles/pdn.dir/sroute.cpp.o -c /builddir/build/BUILD/openroad/src/pdn/src/sroute.cpp [ 84%] Linking CXX static library libdft_replace_lib.a cd /builddir/build/BUILD/openroad/build/src/dft/src/replace && /usr/bin/cmake -P CMakeFiles/dft_replace_lib.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/openroad/build/src/dft/src/replace && /usr/bin/cmake -E cmake_link_script CMakeFiles/dft_replace_lib.dir/link.txt --verbose=1 /usr/bin/ar qc libdft_replace_lib.a CMakeFiles/dft_replace_lib.dir/ScanReplace.cpp.o /usr/bin/ranlib libdft_replace_lib.a gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [ 84%] Built target dft_replace_lib /usr/bin/gmake -f src/dft/src/stitch/CMakeFiles/dft_stitch_lib.dir/build.make src/dft/src/stitch/CMakeFiles/dft_stitch_lib.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src/dft/src/stitch /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src/dft/src/stitch /builddir/build/BUILD/openroad/build/src/dft/src/stitch/CMakeFiles/dft_stitch_lib.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' /usr/bin/gmake -f src/dft/src/stitch/CMakeFiles/dft_stitch_lib.dir/build.make src/dft/src/stitch/CMakeFiles/dft_stitch_lib.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 84%] Building CXX object src/dft/src/stitch/CMakeFiles/dft_stitch_lib.dir/ScanStitch.cpp.o cd /builddir/build/BUILD/openroad/build/src/dft/src/stitch && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/dft/src/stitch -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/dft/src/cells -I/builddir/build/BUILD/openroad/src/dft/src/architect -I/builddir/build/BUILD/openroad/src/dft/src/utils -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/dft/src/stitch/CMakeFiles/dft_stitch_lib.dir/ScanStitch.cpp.o -MF CMakeFiles/dft_stitch_lib.dir/ScanStitch.cpp.o.d -o CMakeFiles/dft_stitch_lib.dir/ScanStitch.cpp.o -c /builddir/build/BUILD/openroad/src/dft/src/stitch/ScanStitch.cpp [ 84%] Linking CXX static library libdft_stitch_lib.a cd /builddir/build/BUILD/openroad/build/src/dft/src/stitch && /usr/bin/cmake -P CMakeFiles/dft_stitch_lib.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/openroad/build/src/dft/src/stitch && /usr/bin/cmake -E cmake_link_script CMakeFiles/dft_stitch_lib.dir/link.txt --verbose=1 /usr/bin/ar qc libdft_stitch_lib.a CMakeFiles/dft_stitch_lib.dir/ScanStitch.cpp.o /usr/bin/ranlib libdft_stitch_lib.a gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [ 84%] Built target dft_stitch_lib /usr/bin/gmake -f src/ifp/src/CMakeFiles/ifp.dir/build.make src/ifp/src/CMakeFiles/ifp.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 84%] Generating ifp-tclInitVar.cc cd /builddir/build/BUILD/openroad/src/ifp/src && /usr/share/opensta/etc/TclEncode.tcl /builddir/build/BUILD/openroad/build/src/ifp/src/ifp-tclInitVar.cc ifp_tcl_inits InitFloorplan.tcl [ 84%] Swig compile InitFloorplan.i for tcl cd /builddir/build/BUILD/openroad/build/src/ifp/src && /usr/bin/cmake -E make_directory /builddir/build/BUILD/openroad/build/src/ifp/src/CMakeFiles/ifp.dir /builddir/build/BUILD/openroad/build/src/ifp/src /builddir/build/BUILD/openroad/build/src/ifp/src/CMakeFiles/ifp.dir cd /builddir/build/BUILD/openroad/build/src/ifp/src && /usr/bin/cmake -E env SWIG_LIB=/usr/share/swig/4.0.2 /usr/bin/swig -tcl -I/builddir/build/BUILD/openroad/src/odb/src/swig/common -I/builddir/build/BUILD/openroad/src/odb/src/swig/tcl -I/builddir/build/BUILD/openroad/src/odb/include -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/usr/include -I/builddir/build/BUILD/openroad/src/ifp/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/upf/src/../include -namespace -prefix ifp -Werror -w317,325,378,401,402,467,472,503,509 -outdir /builddir/build/BUILD/openroad/build/src/ifp/src -c++ -module ifp -MF /builddir/build/BUILD/openroad/build/src/ifp/src/CMakeFiles/ifp.dir/InitFloorplan.i.d -MD -I/usr/include/sta -I/usr/include/abc -o /builddir/build/BUILD/openroad/build/src/ifp/src/CMakeFiles/ifp.dir/InitFloorplanTCL_wrap.cxx /builddir/build/BUILD/openroad/src/ifp/src/InitFloorplan.i cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src/ifp/src /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src/ifp/src /builddir/build/BUILD/openroad/build/src/ifp/src/CMakeFiles/ifp.dir/DependInfo.cmake "--color=" Dependencies file "src/ifp/src/CMakeFiles/ifp.dir/InitFloorplan.i.d" is newer than depends file "/builddir/build/BUILD/openroad/build/src/ifp/src/CMakeFiles/ifp.dir/compiler_depend.internal". Consolidate compiler generated dependencies of target ifp gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' /usr/bin/gmake -f src/ifp/src/CMakeFiles/ifp.dir/build.make src/ifp/src/CMakeFiles/ifp.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 84%] Building CXX object src/ifp/src/CMakeFiles/ifp.dir/CMakeFiles/ifp.dir/InitFloorplanTCL_wrap.cxx.o cd /builddir/build/BUILD/openroad/build/src/ifp/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/ifp/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/upf/src/../include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -Wno-cast-qual -Wno-missing-braces -MD -MT src/ifp/src/CMakeFiles/ifp.dir/CMakeFiles/ifp.dir/InitFloorplanTCL_wrap.cxx.o -MF CMakeFiles/ifp.dir/CMakeFiles/ifp.dir/InitFloorplanTCL_wrap.cxx.o.d -o CMakeFiles/ifp.dir/CMakeFiles/ifp.dir/InitFloorplanTCL_wrap.cxx.o -c /builddir/build/BUILD/openroad/build/src/ifp/src/CMakeFiles/ifp.dir/InitFloorplanTCL_wrap.cxx [ 84%] Building CXX object src/ifp/src/CMakeFiles/ifp.dir/ifp-tclInitVar.cc.o cd /builddir/build/BUILD/openroad/build/src/ifp/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/ifp/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/upf/src/../include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/ifp/src/CMakeFiles/ifp.dir/ifp-tclInitVar.cc.o -MF CMakeFiles/ifp.dir/ifp-tclInitVar.cc.o.d -o CMakeFiles/ifp.dir/ifp-tclInitVar.cc.o -c /builddir/build/BUILD/openroad/build/src/ifp/src/ifp-tclInitVar.cc [ 84%] Building CXX object src/ifp/src/CMakeFiles/ifp.dir/InitFloorplan.cc.o cd /builddir/build/BUILD/openroad/build/src/ifp/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/ifp/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/upf/src/../include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/ifp/src/CMakeFiles/ifp.dir/InitFloorplan.cc.o -MF CMakeFiles/ifp.dir/InitFloorplan.cc.o.d -o CMakeFiles/ifp.dir/InitFloorplan.cc.o -c /builddir/build/BUILD/openroad/src/ifp/src/InitFloorplan.cc [ 84%] Building CXX object src/drt/CMakeFiles/drt.dir/src/ta/FlexTA.cpp.o cd /builddir/build/BUILD/openroad/build/src/drt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DBOOST_SERIALIZATION_DYN_LINK -DBOOST_SERIALIZATION_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/drt/include -I/builddir/build/BUILD/openroad/src/drt/src -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -pedantic -Wcast-qual -fopenmp -MD -MT src/drt/CMakeFiles/drt.dir/src/ta/FlexTA.cpp.o -MF CMakeFiles/drt.dir/src/ta/FlexTA.cpp.o.d -o CMakeFiles/drt.dir/src/ta/FlexTA.cpp.o -c /builddir/build/BUILD/openroad/src/drt/src/ta/FlexTA.cpp [ 84%] Building CXX object src/drt/CMakeFiles/drt.dir/src/ta/FlexTA_graphics.cpp.o cd /builddir/build/BUILD/openroad/build/src/drt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DBOOST_SERIALIZATION_DYN_LINK -DBOOST_SERIALIZATION_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/drt/include -I/builddir/build/BUILD/openroad/src/drt/src -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -pedantic -Wcast-qual -fopenmp -MD -MT src/drt/CMakeFiles/drt.dir/src/ta/FlexTA_graphics.cpp.o -MF CMakeFiles/drt.dir/src/ta/FlexTA_graphics.cpp.o.d -o CMakeFiles/drt.dir/src/ta/FlexTA_graphics.cpp.o -c /builddir/build/BUILD/openroad/src/drt/src/ta/FlexTA_graphics.cpp [ 85%] Building CXX object src/pdn/src/CMakeFiles/pdn.dir/via_repair.cpp.o cd /builddir/build/BUILD/openroad/build/src/pdn/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/pdn/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/gui/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/pdn/src/CMakeFiles/pdn.dir/via_repair.cpp.o -MF CMakeFiles/pdn.dir/via_repair.cpp.o.d -o CMakeFiles/pdn.dir/via_repair.cpp.o -c /builddir/build/BUILD/openroad/src/pdn/src/via_repair.cpp [ 85%] Building CXX object src/ifp/src/CMakeFiles/ifp.dir/MakeInitFloorplan.cc.o cd /builddir/build/BUILD/openroad/build/src/ifp/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/ifp/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/upf/src/../include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/ifp/src/CMakeFiles/ifp.dir/MakeInitFloorplan.cc.o -MF CMakeFiles/ifp.dir/MakeInitFloorplan.cc.o.d -o CMakeFiles/ifp.dir/MakeInitFloorplan.cc.o -c /builddir/build/BUILD/openroad/src/ifp/src/MakeInitFloorplan.cc [ 85%] Linking CXX static library ifp.a cd /builddir/build/BUILD/openroad/build/src/ifp/src && /usr/bin/cmake -P CMakeFiles/ifp.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/openroad/build/src/ifp/src && /usr/bin/cmake -E cmake_link_script CMakeFiles/ifp.dir/link.txt --verbose=1 /usr/bin/ar qc ifp.a CMakeFiles/ifp.dir/CMakeFiles/ifp.dir/InitFloorplanTCL_wrap.cxx.o "CMakeFiles/ifp.dir/ifp-tclInitVar.cc.o" CMakeFiles/ifp.dir/InitFloorplan.cc.o CMakeFiles/ifp.dir/MakeInitFloorplan.cc.o /usr/bin/ranlib ifp.a cd /builddir/build/BUILD/openroad/src/ifp && /builddir/build/BUILD/openroad/etc/find_messages.py > /builddir/build/BUILD/openroad/src/ifp/src/../messages.txt gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [ 85%] Built target ifp /usr/bin/gmake -f src/rsz/src/CMakeFiles/rsz.dir/build.make src/rsz/src/CMakeFiles/rsz.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 85%] Generating rsz-tclInitVar.cc cd /builddir/build/BUILD/openroad/src/rsz/src && /usr/share/opensta/etc/TclEncode.tcl /builddir/build/BUILD/openroad/build/src/rsz/src/rsz-tclInitVar.cc rsz_tcl_inits Resizer.tcl [ 85%] Swig compile Resizer.i for tcl cd /builddir/build/BUILD/openroad/build/src/rsz/src && /usr/bin/cmake -E make_directory /builddir/build/BUILD/openroad/build/src/rsz/src/CMakeFiles/rsz.dir /builddir/build/BUILD/openroad/build/src/rsz/src /builddir/build/BUILD/openroad/build/src/rsz/src/CMakeFiles/rsz.dir cd /builddir/build/BUILD/openroad/build/src/rsz/src && /usr/bin/cmake -E env SWIG_LIB=/usr/share/swig/4.0.2 /usr/bin/swig -tcl -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/usr/include -I/builddir/build/BUILD/openroad/src/rsz/src/../include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/dpl/include -I/builddir/build/BUILD/openroad/src/gui/include -namespace -prefix rsz -Werror -w317,325,378,401,402,467,472,503,509 -outdir /builddir/build/BUILD/openroad/build/src/rsz/src -c++ -module rsz -MF /builddir/build/BUILD/openroad/build/src/rsz/src/CMakeFiles/rsz.dir/Resizer.i.d -MD -I/usr/include/sta -I/usr/include/abc -o /builddir/build/BUILD/openroad/build/src/rsz/src/CMakeFiles/rsz.dir/ResizerTCL_wrap.cxx /builddir/build/BUILD/openroad/src/rsz/src/Resizer.i cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src/rsz/src /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src/rsz/src /builddir/build/BUILD/openroad/build/src/rsz/src/CMakeFiles/rsz.dir/DependInfo.cmake "--color=" Dependencies file "src/rsz/src/CMakeFiles/rsz.dir/Resizer.i.d" is newer than depends file "/builddir/build/BUILD/openroad/build/src/rsz/src/CMakeFiles/rsz.dir/compiler_depend.internal". Consolidate compiler generated dependencies of target rsz gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' /usr/bin/gmake -f src/rsz/src/CMakeFiles/rsz.dir/build.make src/rsz/src/CMakeFiles/rsz.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 85%] Building CXX object src/rsz/src/CMakeFiles/rsz.dir/CMakeFiles/rsz.dir/ResizerTCL_wrap.cxx.o cd /builddir/build/BUILD/openroad/build/src/rsz/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/rsz/src/../include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/dpl/include -I/builddir/build/BUILD/openroad/src/gui/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -Wno-cast-qual -Wno-missing-braces -MD -MT src/rsz/src/CMakeFiles/rsz.dir/CMakeFiles/rsz.dir/ResizerTCL_wrap.cxx.o -MF CMakeFiles/rsz.dir/CMakeFiles/rsz.dir/ResizerTCL_wrap.cxx.o.d -o CMakeFiles/rsz.dir/CMakeFiles/rsz.dir/ResizerTCL_wrap.cxx.o -c /builddir/build/BUILD/openroad/build/src/rsz/src/CMakeFiles/rsz.dir/ResizerTCL_wrap.cxx [ 85%] Building CXX object src/drt/CMakeFiles/drt.dir/src/global.cpp.o cd /builddir/build/BUILD/openroad/build/src/drt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DBOOST_SERIALIZATION_DYN_LINK -DBOOST_SERIALIZATION_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/drt/include -I/builddir/build/BUILD/openroad/src/drt/src -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -pedantic -Wcast-qual -fopenmp -MD -MT src/drt/CMakeFiles/drt.dir/src/global.cpp.o -MF CMakeFiles/drt.dir/src/global.cpp.o.d -o CMakeFiles/drt.dir/src/global.cpp.o -c /builddir/build/BUILD/openroad/src/drt/src/global.cpp [ 85%] Building CXX object src/drt/CMakeFiles/drt.dir/src/gc/FlexGC_end.cpp.o cd /builddir/build/BUILD/openroad/build/src/drt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DBOOST_SERIALIZATION_DYN_LINK -DBOOST_SERIALIZATION_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/drt/include -I/builddir/build/BUILD/openroad/src/drt/src -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -pedantic -Wcast-qual -fopenmp -MD -MT src/drt/CMakeFiles/drt.dir/src/gc/FlexGC_end.cpp.o -MF CMakeFiles/drt.dir/src/gc/FlexGC_end.cpp.o.d -o CMakeFiles/drt.dir/src/gc/FlexGC_end.cpp.o -c /builddir/build/BUILD/openroad/src/drt/src/gc/FlexGC_end.cpp [ 85%] Building CXX object src/rsz/src/CMakeFiles/rsz.dir/rsz-tclInitVar.cc.o cd /builddir/build/BUILD/openroad/build/src/rsz/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/rsz/src/../include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/dpl/include -I/builddir/build/BUILD/openroad/src/gui/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/rsz/src/CMakeFiles/rsz.dir/rsz-tclInitVar.cc.o -MF CMakeFiles/rsz.dir/rsz-tclInitVar.cc.o.d -o CMakeFiles/rsz.dir/rsz-tclInitVar.cc.o -c /builddir/build/BUILD/openroad/build/src/rsz/src/rsz-tclInitVar.cc [ 85%] Building CXX object src/rsz/src/CMakeFiles/rsz.dir/MakeResizer.cc.o cd /builddir/build/BUILD/openroad/build/src/rsz/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/rsz/src/../include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/dpl/include -I/builddir/build/BUILD/openroad/src/gui/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/rsz/src/CMakeFiles/rsz.dir/MakeResizer.cc.o -MF CMakeFiles/rsz.dir/MakeResizer.cc.o.d -o CMakeFiles/rsz.dir/MakeResizer.cc.o -c /builddir/build/BUILD/openroad/src/rsz/src/MakeResizer.cc [ 85%] Linking CXX static library pdn.a cd /builddir/build/BUILD/openroad/build/src/pdn/src && /usr/bin/cmake -P CMakeFiles/pdn.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/openroad/build/src/pdn/src && /usr/bin/cmake -E cmake_link_script CMakeFiles/pdn.dir/link.txt --verbose=1 /usr/bin/ar qc pdn.a CMakeFiles/pdn.dir/CMakeFiles/pdn.dir/PdnGenTCL_wrap.cxx.o "CMakeFiles/pdn.dir/pdn-tclInitVar.cc.o" CMakeFiles/pdn.dir/PdnGen.cc.o CMakeFiles/pdn.dir/MakePdnGen.cc.o CMakeFiles/pdn.dir/techlayer.cpp.o CMakeFiles/pdn.dir/domain.cpp.o CMakeFiles/pdn.dir/power_cells.cpp.o CMakeFiles/pdn.dir/grid.cpp.o CMakeFiles/pdn.dir/grid_component.cpp.o CMakeFiles/pdn.dir/rings.cpp.o CMakeFiles/pdn.dir/straps.cpp.o CMakeFiles/pdn.dir/shape.cpp.o CMakeFiles/pdn.dir/via.cpp.o CMakeFiles/pdn.dir/connect.cpp.o CMakeFiles/pdn.dir/renderer.cpp.o CMakeFiles/pdn.dir/sroute.cpp.o CMakeFiles/pdn.dir/via_repair.cpp.o [ 85%] Building CXX object src/drt/CMakeFiles/drt.dir/src/gc/FlexGC_rq.cpp.o cd /builddir/build/BUILD/openroad/build/src/drt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DBOOST_SERIALIZATION_DYN_LINK -DBOOST_SERIALIZATION_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/drt/include -I/builddir/build/BUILD/openroad/src/drt/src -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -pedantic -Wcast-qual -fopenmp -MD -MT src/drt/CMakeFiles/drt.dir/src/gc/FlexGC_rq.cpp.o -MF CMakeFiles/drt.dir/src/gc/FlexGC_rq.cpp.o.d -o CMakeFiles/drt.dir/src/gc/FlexGC_rq.cpp.o -c /builddir/build/BUILD/openroad/src/drt/src/gc/FlexGC_rq.cpp /usr/bin/ranlib pdn.a cd /builddir/build/BUILD/openroad/src/pdn && /builddir/build/BUILD/openroad/etc/find_messages.py > /builddir/build/BUILD/openroad/src/pdn/src/../messages.txt gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [ 85%] Built target pdn /usr/bin/gmake -f src/cts/src/CMakeFiles/cts.dir/build.make src/cts/src/CMakeFiles/cts.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 86%] Generating cts-tclInitVar.cc cd /builddir/build/BUILD/openroad/src/cts/src && /usr/share/opensta/etc/TclEncode.tcl /builddir/build/BUILD/openroad/build/src/cts/src/cts-tclInitVar.cc cts_tcl_inits TritonCTS.tcl [ 86%] Swig compile TritonCTS.i for tcl cd /builddir/build/BUILD/openroad/build/src/cts/src && /usr/bin/cmake -E make_directory /builddir/build/BUILD/openroad/build/src/cts/src/CMakeFiles/cts.dir /builddir/build/BUILD/openroad/build/src/cts/src /builddir/build/BUILD/openroad/build/src/cts/src/CMakeFiles/cts.dir cd /builddir/build/BUILD/openroad/build/src/cts/src && /usr/bin/cmake -E env SWIG_LIB=/usr/share/swig/4.0.2 /usr/bin/swig -tcl -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/usr/include -I/builddir/build/BUILD/openroad/src/cts/src/../include -I/builddir/build/BUILD/openroad/src/cts/src/. -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/rsz/src/../include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/dpl/include -I/builddir/build/BUILD/openroad/src/gui/include -namespace -prefix cts -Werror -w317,325,378,401,402,467,472,503,509 -outdir /builddir/build/BUILD/openroad/build/src/cts/src -c++ -module cts -MF /builddir/build/BUILD/openroad/build/src/cts/src/CMakeFiles/cts.dir/TritonCTS.i.d -MD -I/usr/include/sta -I/usr/include/abc -o /builddir/build/BUILD/openroad/build/src/cts/src/CMakeFiles/cts.dir/TritonCTSTCL_wrap.cxx /builddir/build/BUILD/openroad/src/cts/src/TritonCTS.i cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src/cts/src /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src/cts/src /builddir/build/BUILD/openroad/build/src/cts/src/CMakeFiles/cts.dir/DependInfo.cmake "--color=" Dependencies file "src/cts/src/CMakeFiles/cts.dir/TritonCTS.i.d" is newer than depends file "/builddir/build/BUILD/openroad/build/src/cts/src/CMakeFiles/cts.dir/compiler_depend.internal". Consolidate compiler generated dependencies of target cts gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' /usr/bin/gmake -f src/cts/src/CMakeFiles/cts.dir/build.make src/cts/src/CMakeFiles/cts.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 86%] Building CXX object src/cts/src/CMakeFiles/cts.dir/CMakeFiles/cts.dir/TritonCTSTCL_wrap.cxx.o cd /builddir/build/BUILD/openroad/build/src/cts/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/cts/src/../include -I/builddir/build/BUILD/openroad/src/cts/src/. -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/rsz/src/../include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/dpl/include -I/builddir/build/BUILD/openroad/src/gui/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -Wno-cast-qual -Wno-missing-braces -MD -MT src/cts/src/CMakeFiles/cts.dir/CMakeFiles/cts.dir/TritonCTSTCL_wrap.cxx.o -MF CMakeFiles/cts.dir/CMakeFiles/cts.dir/TritonCTSTCL_wrap.cxx.o.d -o CMakeFiles/cts.dir/CMakeFiles/cts.dir/TritonCTSTCL_wrap.cxx.o -c /builddir/build/BUILD/openroad/build/src/cts/src/CMakeFiles/cts.dir/TritonCTSTCL_wrap.cxx [ 87%] Building CXX object src/rsz/src/CMakeFiles/rsz.dir/SteinerRenderer.cc.o cd /builddir/build/BUILD/openroad/build/src/rsz/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/rsz/src/../include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/dpl/include -I/builddir/build/BUILD/openroad/src/gui/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/rsz/src/CMakeFiles/rsz.dir/SteinerRenderer.cc.o -MF CMakeFiles/rsz.dir/SteinerRenderer.cc.o.d -o CMakeFiles/rsz.dir/SteinerRenderer.cc.o -c /builddir/build/BUILD/openroad/src/rsz/src/SteinerRenderer.cc [ 87%] Building CXX object src/drt/CMakeFiles/drt.dir/src/gc/FlexGC.cpp.o cd /builddir/build/BUILD/openroad/build/src/drt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DBOOST_SERIALIZATION_DYN_LINK -DBOOST_SERIALIZATION_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/drt/include -I/builddir/build/BUILD/openroad/src/drt/src -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -pedantic -Wcast-qual -fopenmp -MD -MT src/drt/CMakeFiles/drt.dir/src/gc/FlexGC.cpp.o -MF CMakeFiles/drt.dir/src/gc/FlexGC.cpp.o.d -o CMakeFiles/drt.dir/src/gc/FlexGC.cpp.o -c /builddir/build/BUILD/openroad/src/drt/src/gc/FlexGC.cpp In file included from /usr/include/c++/12/bits/stl_algobase.h:64, from /usr/include/c++/12/string:50, from /usr/include/c++/12/stdexcept:39, from /builddir/build/BUILD/openroad/build/src/cts/src/CMakeFiles/cts.dir/TritonCTSTCL_wrap.cxx:1682: /usr/include/c++/12/bits/stl_pair.h: In instantiation of 'constexpr std::pair::type>::__type, typename std::__strip_reference_wrapper::type>::__type> std::make_pair(_T1&&, _T2&&) [with _T1 = double; _T2 = double; typename __strip_reference_wrapper::type>::__type = double; typename decay<_Tp2>::type = double; typename __strip_reference_wrapper::type>::__type = double; typename decay<_Tp>::type = double]': /builddir/build/BUILD/openroad/src/cts/src/./TreeBuilder.h:62:25: required from here /usr/include/c++/12/bits/stl_pair.h:741:5: note: parameter passing for argument of type 'std::pair' when C++17 is enabled changed to match C++14 in GCC 10.1 741 | make_pair(_T1&& __x, _T2&& __y) | ^~~~~~~~~ [ 87%] Building CXX object src/cts/src/CMakeFiles/cts.dir/cts-tclInitVar.cc.o cd /builddir/build/BUILD/openroad/build/src/cts/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/cts/src/../include -I/builddir/build/BUILD/openroad/src/cts/src/. -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/rsz/src/../include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/dpl/include -I/builddir/build/BUILD/openroad/src/gui/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/cts/src/CMakeFiles/cts.dir/cts-tclInitVar.cc.o -MF CMakeFiles/cts.dir/cts-tclInitVar.cc.o.d -o CMakeFiles/cts.dir/cts-tclInitVar.cc.o -c /builddir/build/BUILD/openroad/build/src/cts/src/cts-tclInitVar.cc [ 87%] Building CXX object src/cts/src/CMakeFiles/cts.dir/MakeTritoncts.cpp.o cd /builddir/build/BUILD/openroad/build/src/cts/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/cts/src/../include -I/builddir/build/BUILD/openroad/src/cts/src/. -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/rsz/src/../include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/dpl/include -I/builddir/build/BUILD/openroad/src/gui/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/cts/src/CMakeFiles/cts.dir/MakeTritoncts.cpp.o -MF CMakeFiles/cts.dir/MakeTritoncts.cpp.o.d -o CMakeFiles/cts.dir/MakeTritoncts.cpp.o -c /builddir/build/BUILD/openroad/src/cts/src/MakeTritoncts.cpp [ 87%] Linking CXX static library rsz.a cd /builddir/build/BUILD/openroad/build/src/rsz/src && /usr/bin/cmake -P CMakeFiles/rsz.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/openroad/build/src/rsz/src && /usr/bin/cmake -E cmake_link_script CMakeFiles/rsz.dir/link.txt --verbose=1 /usr/bin/ar qc rsz.a CMakeFiles/rsz.dir/CMakeFiles/rsz.dir/ResizerTCL_wrap.cxx.o "CMakeFiles/rsz.dir/rsz-tclInitVar.cc.o" CMakeFiles/rsz.dir/MakeResizer.cc.o CMakeFiles/rsz.dir/SteinerRenderer.cc.o /usr/bin/ranlib rsz.a cd /builddir/build/BUILD/openroad/src/rsz && /builddir/build/BUILD/openroad/etc/find_messages.py > /builddir/build/BUILD/openroad/src/rsz/src/../messages.txt gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [ 87%] Built target rsz /usr/bin/gmake -f src/pdn/src/CMakeFiles/pdn_py.dir/build.make src/pdn/src/CMakeFiles/pdn_py.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 87%] Swig compile PdnGen-py.i for python cd /builddir/build/BUILD/openroad/build/src/pdn/src && /usr/bin/cmake -E make_directory /builddir/build/BUILD/openroad/build/src/pdn/src/CMakeFiles/pdn_py.dir /builddir/build/BUILD/openroad/build/src/pdn/src /builddir/build/BUILD/openroad/build/src/pdn/src/CMakeFiles/pdn_py.dir cd /builddir/build/BUILD/openroad/build/src/pdn/src && /usr/bin/cmake -E env SWIG_LIB=/usr/share/swig/4.0.2 /usr/bin/swig -python -I/builddir/build/BUILD/openroad/src/odb/src/swig/common -I/builddir/build/BUILD/openroad/src/odb/src/swig/python -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/../include/pdn -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/usr/include/python3.11 -I/builddir/build/BUILD/openroad/src/pdn/src/. -I/builddir/build/BUILD/openroad/src/pdn/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/usr/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/gui/include -Werror -w317,325,378,401,402,467,472,503,509 -outdir /builddir/build/BUILD/openroad/build/src/pdn/src -c++ -module pdn_py -interface _pdn_py -MF /builddir/build/BUILD/openroad/build/src/pdn/src/CMakeFiles/pdn_py.dir/PdnGen-py.i.d -MD -I/usr/include/sta -I/usr/include/abc -o /builddir/build/BUILD/openroad/build/src/pdn/src/CMakeFiles/pdn_py.dir/PdnGen-pyPYTHON_wrap.cxx /builddir/build/BUILD/openroad/src/pdn/src/PdnGen-py.i [ 87%] Building CXX object src/cts/src/CMakeFiles/cts.dir/CtsGraphics.cpp.o cd /builddir/build/BUILD/openroad/build/src/cts/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/cts/src/../include -I/builddir/build/BUILD/openroad/src/cts/src/. -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/rsz/src/../include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/dpl/include -I/builddir/build/BUILD/openroad/src/gui/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/cts/src/CMakeFiles/cts.dir/CtsGraphics.cpp.o -MF CMakeFiles/cts.dir/CtsGraphics.cpp.o.d -o CMakeFiles/cts.dir/CtsGraphics.cpp.o -c /builddir/build/BUILD/openroad/src/cts/src/CtsGraphics.cpp [ 87%] Generating pdn_py-pythonInitVar.cc cd /builddir/build/BUILD/openroad/src/pdn/src && /usr/share/opensta/etc/TclEncode.tcl /builddir/build/BUILD/openroad/build/src/pdn/src/pdn_py-pythonInitVar.cc pdn_py_python_inits /builddir/build/BUILD/openroad/build/src/pdn/src/pdn_py.py cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src/pdn/src /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src/pdn/src /builddir/build/BUILD/openroad/build/src/pdn/src/CMakeFiles/pdn_py.dir/DependInfo.cmake "--color=" Dependencies file "src/pdn/src/CMakeFiles/pdn_py.dir/PdnGen-py.i.d" is newer than depends file "/builddir/build/BUILD/openroad/build/src/pdn/src/CMakeFiles/pdn_py.dir/compiler_depend.internal". Consolidate compiler generated dependencies of target pdn_py gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' /usr/bin/gmake -f src/pdn/src/CMakeFiles/pdn_py.dir/build.make src/pdn/src/CMakeFiles/pdn_py.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 87%] Building CXX object src/pdn/src/CMakeFiles/pdn_py.dir/CMakeFiles/pdn_py.dir/PdnGen-pyPYTHON_wrap.cxx.o cd /builddir/build/BUILD/openroad/build/src/pdn/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/pdn/src/. -I/builddir/build/BUILD/openroad/src/pdn/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/gui/include -isystem /usr/include/python3.11 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -Wno-cast-qual -Wno-missing-braces -MD -MT src/pdn/src/CMakeFiles/pdn_py.dir/CMakeFiles/pdn_py.dir/PdnGen-pyPYTHON_wrap.cxx.o -MF CMakeFiles/pdn_py.dir/CMakeFiles/pdn_py.dir/PdnGen-pyPYTHON_wrap.cxx.o.d -o CMakeFiles/pdn_py.dir/CMakeFiles/pdn_py.dir/PdnGen-pyPYTHON_wrap.cxx.o -c /builddir/build/BUILD/openroad/build/src/pdn/src/CMakeFiles/pdn_py.dir/PdnGen-pyPYTHON_wrap.cxx In file included from /usr/include/c++/12/bits/stl_algobase.h:64, from /usr/include/c++/12/bits/stl_uninitialized.h:63, from /usr/include/c++/12/memory:65, from /builddir/build/BUILD/openroad/src/cts/src/CtsGraphics.h:38, from /builddir/build/BUILD/openroad/src/cts/src/CtsGraphics.cpp:36: /usr/include/c++/12/bits/stl_pair.h: In instantiation of 'constexpr std::pair::type>::__type, typename std::__strip_reference_wrapper::type>::__type> std::make_pair(_T1&&, _T2&&) [with _T1 = double; _T2 = double; typename __strip_reference_wrapper::type>::__type = double; typename decay<_Tp2>::type = double; typename __strip_reference_wrapper::type>::__type = double; typename decay<_Tp>::type = double]': /builddir/build/BUILD/openroad/src/cts/src/TreeBuilder.h:62:25: required from here /usr/include/c++/12/bits/stl_pair.h:741:5: note: parameter passing for argument of type 'std::pair' when C++17 is enabled changed to match C++14 in GCC 10.1 741 | make_pair(_T1&& __x, _T2&& __y) | ^~~~~~~~~ [ 87%] Building CXX object src/drt/CMakeFiles/drt.dir/src/gc/FlexGC_init.cpp.o cd /builddir/build/BUILD/openroad/build/src/drt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DBOOST_SERIALIZATION_DYN_LINK -DBOOST_SERIALIZATION_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/drt/include -I/builddir/build/BUILD/openroad/src/drt/src -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -pedantic -Wcast-qual -fopenmp -MD -MT src/drt/CMakeFiles/drt.dir/src/gc/FlexGC_init.cpp.o -MF CMakeFiles/drt.dir/src/gc/FlexGC_init.cpp.o.d -o CMakeFiles/drt.dir/src/gc/FlexGC_init.cpp.o -c /builddir/build/BUILD/openroad/src/drt/src/gc/FlexGC_init.cpp [ 87%] Linking CXX static library cts.a cd /builddir/build/BUILD/openroad/build/src/cts/src && /usr/bin/cmake -P CMakeFiles/cts.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/openroad/build/src/cts/src && /usr/bin/cmake -E cmake_link_script CMakeFiles/cts.dir/link.txt --verbose=1 /usr/bin/ar qc cts.a CMakeFiles/cts.dir/CMakeFiles/cts.dir/TritonCTSTCL_wrap.cxx.o "CMakeFiles/cts.dir/cts-tclInitVar.cc.o" CMakeFiles/cts.dir/MakeTritoncts.cpp.o CMakeFiles/cts.dir/CtsGraphics.cpp.o /usr/bin/ranlib cts.a cd /builddir/build/BUILD/openroad/src/cts && /builddir/build/BUILD/openroad/etc/find_messages.py > /builddir/build/BUILD/openroad/src/cts/src/../messages.txt gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [ 87%] Built target cts /usr/bin/gmake -f src/dft/CMakeFiles/dft.dir/build.make src/dft/CMakeFiles/dft.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 88%] Generating dft-tclInitVar.cc cd /builddir/build/BUILD/openroad/src/dft && /usr/share/opensta/etc/TclEncode.tcl /builddir/build/BUILD/openroad/build/src/dft/dft-tclInitVar.cc dft_tcl_inits src/dft.tcl [ 88%] Swig compile src/dft.i for tcl cd /builddir/build/BUILD/openroad/build/src/dft && /usr/bin/cmake -E make_directory /builddir/build/BUILD/openroad/build/src/dft/CMakeFiles/dft.dir /builddir/build/BUILD/openroad/build/src/dft /builddir/build/BUILD/openroad/build/src/dft/CMakeFiles/dft.dir cd /builddir/build/BUILD/openroad/build/src/dft && /usr/bin/cmake -E env SWIG_LIB=/usr/share/swig/4.0.2 /usr/bin/swig -tcl -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/usr/include -I/builddir/build/BUILD/openroad/src/dft/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/dft/src/cells -I/builddir/build/BUILD/openroad/src/dft/src/architect -I/builddir/build/BUILD/openroad/src/dft/src/config -I/builddir/build/BUILD/openroad/src/dft/src/replace -I/builddir/build/BUILD/openroad/src/dft/src/clock_domain -I/builddir/build/BUILD/openroad/src/dft/src/utils -I/builddir/build/BUILD/openroad/src/dft/src/stitch -namespace -prefix dft -Werror -w317,325,378,401,402,467,472,503,509 -outdir /builddir/build/BUILD/openroad/build/src/dft -c++ -module dft -MF /builddir/build/BUILD/openroad/build/src/dft/CMakeFiles/dft.dir/dft.i.d -MD -I/usr/include/sta -I/usr/include/abc -o /builddir/build/BUILD/openroad/build/src/dft/CMakeFiles/dft.dir/dftTCL_wrap.cxx /builddir/build/BUILD/openroad/src/dft/src/dft.i cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src/dft /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src/dft /builddir/build/BUILD/openroad/build/src/dft/CMakeFiles/dft.dir/DependInfo.cmake "--color=" Dependencies file "src/dft/CMakeFiles/dft.dir/dft.i.d" is newer than depends file "/builddir/build/BUILD/openroad/build/src/dft/CMakeFiles/dft.dir/compiler_depend.internal". Consolidate compiler generated dependencies of target dft gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' /usr/bin/gmake -f src/dft/CMakeFiles/dft.dir/build.make src/dft/CMakeFiles/dft.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 88%] Building CXX object src/dft/CMakeFiles/dft.dir/CMakeFiles/dft.dir/dftTCL_wrap.cxx.o cd /builddir/build/BUILD/openroad/build/src/dft && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/dft/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/dft/src/cells -I/builddir/build/BUILD/openroad/src/dft/src/architect -I/builddir/build/BUILD/openroad/src/dft/src/config -I/builddir/build/BUILD/openroad/src/dft/src/replace -I/builddir/build/BUILD/openroad/src/dft/src/clock_domain -I/builddir/build/BUILD/openroad/src/dft/src/utils -I/builddir/build/BUILD/openroad/src/dft/src/stitch -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -Wno-cast-qual -Wno-missing-braces -MD -MT src/dft/CMakeFiles/dft.dir/CMakeFiles/dft.dir/dftTCL_wrap.cxx.o -MF CMakeFiles/dft.dir/CMakeFiles/dft.dir/dftTCL_wrap.cxx.o.d -o CMakeFiles/dft.dir/CMakeFiles/dft.dir/dftTCL_wrap.cxx.o -c /builddir/build/BUILD/openroad/build/src/dft/CMakeFiles/dft.dir/dftTCL_wrap.cxx [ 89%] Building CXX object src/drt/CMakeFiles/drt.dir/src/gc/FlexGC_main.cpp.o cd /builddir/build/BUILD/openroad/build/src/drt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DBOOST_SERIALIZATION_DYN_LINK -DBOOST_SERIALIZATION_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/drt/include -I/builddir/build/BUILD/openroad/src/drt/src -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -pedantic -Wcast-qual -fopenmp -MD -MT src/drt/CMakeFiles/drt.dir/src/gc/FlexGC_main.cpp.o -MF CMakeFiles/drt.dir/src/gc/FlexGC_main.cpp.o.d -o CMakeFiles/drt.dir/src/gc/FlexGC_main.cpp.o -c /builddir/build/BUILD/openroad/src/drt/src/gc/FlexGC_main.cpp [ 89%] Building CXX object src/dft/CMakeFiles/dft.dir/dft-tclInitVar.cc.o cd /builddir/build/BUILD/openroad/build/src/dft && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/dft/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/dft/src/cells -I/builddir/build/BUILD/openroad/src/dft/src/architect -I/builddir/build/BUILD/openroad/src/dft/src/config -I/builddir/build/BUILD/openroad/src/dft/src/replace -I/builddir/build/BUILD/openroad/src/dft/src/clock_domain -I/builddir/build/BUILD/openroad/src/dft/src/utils -I/builddir/build/BUILD/openroad/src/dft/src/stitch -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/dft/CMakeFiles/dft.dir/dft-tclInitVar.cc.o -MF CMakeFiles/dft.dir/dft-tclInitVar.cc.o.d -o CMakeFiles/dft.dir/dft-tclInitVar.cc.o -c /builddir/build/BUILD/openroad/build/src/dft/dft-tclInitVar.cc [ 89%] Building CXX object src/dft/CMakeFiles/dft.dir/src/Dft.cpp.o cd /builddir/build/BUILD/openroad/build/src/dft && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/dft/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/dft/src/cells -I/builddir/build/BUILD/openroad/src/dft/src/architect -I/builddir/build/BUILD/openroad/src/dft/src/config -I/builddir/build/BUILD/openroad/src/dft/src/replace -I/builddir/build/BUILD/openroad/src/dft/src/clock_domain -I/builddir/build/BUILD/openroad/src/dft/src/utils -I/builddir/build/BUILD/openroad/src/dft/src/stitch -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/dft/CMakeFiles/dft.dir/src/Dft.cpp.o -MF CMakeFiles/dft.dir/src/Dft.cpp.o.d -o CMakeFiles/dft.dir/src/Dft.cpp.o -c /builddir/build/BUILD/openroad/src/dft/src/Dft.cpp [ 89%] Building CXX object src/dft/CMakeFiles/dft.dir/src/MakeDft.cpp.o cd /builddir/build/BUILD/openroad/build/src/dft && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/dft/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/dft/src/cells -I/builddir/build/BUILD/openroad/src/dft/src/architect -I/builddir/build/BUILD/openroad/src/dft/src/config -I/builddir/build/BUILD/openroad/src/dft/src/replace -I/builddir/build/BUILD/openroad/src/dft/src/clock_domain -I/builddir/build/BUILD/openroad/src/dft/src/utils -I/builddir/build/BUILD/openroad/src/dft/src/stitch -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/dft/CMakeFiles/dft.dir/src/MakeDft.cpp.o -MF CMakeFiles/dft.dir/src/MakeDft.cpp.o.d -o CMakeFiles/dft.dir/src/MakeDft.cpp.o -c /builddir/build/BUILD/openroad/src/dft/src/MakeDft.cpp [ 89%] Linking CXX static library dft.a cd /builddir/build/BUILD/openroad/build/src/dft && /usr/bin/cmake -P CMakeFiles/dft.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/openroad/build/src/dft && /usr/bin/cmake -E cmake_link_script CMakeFiles/dft.dir/link.txt --verbose=1 /usr/bin/ar qc dft.a CMakeFiles/dft.dir/CMakeFiles/dft.dir/dftTCL_wrap.cxx.o "CMakeFiles/dft.dir/dft-tclInitVar.cc.o" CMakeFiles/dft.dir/src/Dft.cpp.o CMakeFiles/dft.dir/src/MakeDft.cpp.o /usr/bin/ranlib dft.a cd /builddir/build/BUILD/openroad/src/dft && /builddir/build/BUILD/openroad/etc/find_messages.py > /builddir/build/BUILD/openroad/src/dft/messages.txt gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [ 89%] Built target dft /usr/bin/gmake -f src/ifp/src/CMakeFiles/ifp_py.dir/build.make src/ifp/src/CMakeFiles/ifp_py.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 90%] Swig compile InitFloorplan-py.i for python cd /builddir/build/BUILD/openroad/build/src/ifp/src && /usr/bin/cmake -E make_directory /builddir/build/BUILD/openroad/build/src/ifp/src/CMakeFiles/ifp_py.dir /builddir/build/BUILD/openroad/build/src/ifp/src /builddir/build/BUILD/openroad/build/src/ifp/src/CMakeFiles/ifp_py.dir cd /builddir/build/BUILD/openroad/build/src/ifp/src && /usr/bin/cmake -E env SWIG_LIB=/usr/share/swig/4.0.2 /usr/bin/swig -python -I/builddir/build/BUILD/openroad/src/odb/src/swig/common -I/builddir/build/BUILD/openroad/src/odb/src/swig/python -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/usr/include/python3.11 -I/builddir/build/BUILD/openroad/src/ifp/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/usr/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -Werror -w317,325,378,401,402,467,472,503,509 -outdir /builddir/build/BUILD/openroad/build/src/ifp/src -c++ -module ifp_py -interface _ifp_py -MF /builddir/build/BUILD/openroad/build/src/ifp/src/CMakeFiles/ifp_py.dir/InitFloorplan-py.i.d -MD -I/usr/include/sta -I/usr/include/abc -o /builddir/build/BUILD/openroad/build/src/ifp/src/CMakeFiles/ifp_py.dir/InitFloorplan-pyPYTHON_wrap.cxx /builddir/build/BUILD/openroad/src/ifp/src/InitFloorplan-py.i [ 90%] Generating ifp_py-pythonInitVar.cc cd /builddir/build/BUILD/openroad/src/ifp/src && /usr/share/opensta/etc/TclEncode.tcl /builddir/build/BUILD/openroad/build/src/ifp/src/ifp_py-pythonInitVar.cc ifp_py_python_inits /builddir/build/BUILD/openroad/build/src/ifp/src/ifp_py.py cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src/ifp/src /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src/ifp/src /builddir/build/BUILD/openroad/build/src/ifp/src/CMakeFiles/ifp_py.dir/DependInfo.cmake "--color=" Dependencies file "src/ifp/src/CMakeFiles/ifp_py.dir/InitFloorplan-py.i.d" is newer than depends file "/builddir/build/BUILD/openroad/build/src/ifp/src/CMakeFiles/ifp_py.dir/compiler_depend.internal". Consolidate compiler generated dependencies of target ifp_py gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' /usr/bin/gmake -f src/ifp/src/CMakeFiles/ifp_py.dir/build.make src/ifp/src/CMakeFiles/ifp_py.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 90%] Building CXX object src/ifp/src/CMakeFiles/ifp_py.dir/CMakeFiles/ifp_py.dir/InitFloorplan-pyPYTHON_wrap.cxx.o cd /builddir/build/BUILD/openroad/build/src/ifp/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/ifp/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -isystem /usr/include/python3.11 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -Wno-cast-qual -Wno-missing-braces -MD -MT src/ifp/src/CMakeFiles/ifp_py.dir/CMakeFiles/ifp_py.dir/InitFloorplan-pyPYTHON_wrap.cxx.o -MF CMakeFiles/ifp_py.dir/CMakeFiles/ifp_py.dir/InitFloorplan-pyPYTHON_wrap.cxx.o.d -o CMakeFiles/ifp_py.dir/CMakeFiles/ifp_py.dir/InitFloorplan-pyPYTHON_wrap.cxx.o -c /builddir/build/BUILD/openroad/build/src/ifp/src/CMakeFiles/ifp_py.dir/InitFloorplan-pyPYTHON_wrap.cxx [ 90%] Building CXX object src/pdn/src/CMakeFiles/pdn_py.dir/pdn_py-pythonInitVar.cc.o cd /builddir/build/BUILD/openroad/build/src/pdn/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/pdn/src/. -I/builddir/build/BUILD/openroad/src/pdn/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/gui/include -isystem /usr/include/python3.11 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/pdn/src/CMakeFiles/pdn_py.dir/pdn_py-pythonInitVar.cc.o -MF CMakeFiles/pdn_py.dir/pdn_py-pythonInitVar.cc.o.d -o CMakeFiles/pdn_py.dir/pdn_py-pythonInitVar.cc.o -c /builddir/build/BUILD/openroad/build/src/pdn/src/pdn_py-pythonInitVar.cc [ 90%] Linking CXX static library _pdn_py.a cd /builddir/build/BUILD/openroad/build/src/pdn/src && /usr/bin/cmake -P CMakeFiles/pdn_py.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/openroad/build/src/pdn/src && /usr/bin/cmake -E cmake_link_script CMakeFiles/pdn_py.dir/link.txt --verbose=1 /usr/bin/ar qc _pdn_py.a "CMakeFiles/pdn_py.dir/CMakeFiles/pdn_py.dir/PdnGen-pyPYTHON_wrap.cxx.o" "CMakeFiles/pdn_py.dir/pdn_py-pythonInitVar.cc.o" /usr/bin/ranlib _pdn_py.a gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [ 90%] Built target pdn_py /usr/bin/gmake -f src/gpl/CMakeFiles/gpl.dir/build.make src/gpl/CMakeFiles/gpl.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 90%] Generating gpl-tclInitVar.cc cd /builddir/build/BUILD/openroad/src/gpl && /usr/share/opensta/etc/TclEncode.tcl /builddir/build/BUILD/openroad/build/src/gpl/gpl-tclInitVar.cc gpl_tcl_inits src/replace.tcl [ 90%] Building CXX object src/ifp/src/CMakeFiles/ifp_py.dir/ifp_py-pythonInitVar.cc.o cd /builddir/build/BUILD/openroad/build/src/ifp/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/ifp/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -isystem /usr/include/python3.11 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/ifp/src/CMakeFiles/ifp_py.dir/ifp_py-pythonInitVar.cc.o -MF CMakeFiles/ifp_py.dir/ifp_py-pythonInitVar.cc.o.d -o CMakeFiles/ifp_py.dir/ifp_py-pythonInitVar.cc.o -c /builddir/build/BUILD/openroad/build/src/ifp/src/ifp_py-pythonInitVar.cc [ 90%] Linking CXX static library _ifp_py.a cd /builddir/build/BUILD/openroad/build/src/ifp/src && /usr/bin/cmake -P CMakeFiles/ifp_py.dir/cmake_clean_target.cmake [ 90%] Swig compile src/replace.i for tcl cd /builddir/build/BUILD/openroad/build/src/gpl && /usr/bin/cmake -E make_directory /builddir/build/BUILD/openroad/build/src/gpl/CMakeFiles/gpl.dir /builddir/build/BUILD/openroad/build/src/gpl /builddir/build/BUILD/openroad/build/src/gpl/CMakeFiles/gpl.dir cd /builddir/build/BUILD/openroad/build/src/ifp/src && /usr/bin/cmake -E cmake_link_script CMakeFiles/ifp_py.dir/link.txt --verbose=1 cd /builddir/build/BUILD/openroad/build/src/gpl && /usr/bin/cmake -E env SWIG_LIB=/usr/share/swig/4.0.2 /usr/bin/swig -tcl -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/usr/include -I/builddir/build/BUILD/openroad/src/gpl/include -I/builddir/build/BUILD/openroad/src/utl/include -I/usr/include/eigen3 -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/rsz/src/../include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/dpl/include -namespace -prefix gpl -Werror -w317,325,378,401,402,467,472,503,509 -outdir /builddir/build/BUILD/openroad/build/src/gpl -c++ -module gpl -MF /builddir/build/BUILD/openroad/build/src/gpl/CMakeFiles/gpl.dir/replace.i.d -MD -I/usr/include/sta -I/usr/include/abc -o /builddir/build/BUILD/openroad/build/src/gpl/CMakeFiles/gpl.dir/replaceTCL_wrap.cxx /builddir/build/BUILD/openroad/src/gpl/src/replace.i /usr/bin/ar qc _ifp_py.a "CMakeFiles/ifp_py.dir/CMakeFiles/ifp_py.dir/InitFloorplan-pyPYTHON_wrap.cxx.o" "CMakeFiles/ifp_py.dir/ifp_py-pythonInitVar.cc.o" /usr/bin/ranlib _ifp_py.a gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [ 90%] Built target ifp_py /usr/bin/gmake -f src/gpl/CMakeFiles/gpl_py.dir/build.make src/gpl/CMakeFiles/gpl_py.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 90%] Swig compile src/replace-py.i for python cd /builddir/build/BUILD/openroad/build/src/gpl && /usr/bin/cmake -E make_directory /builddir/build/BUILD/openroad/build/src/gpl/CMakeFiles/gpl_py.dir /builddir/build/BUILD/openroad/build/src/gpl /builddir/build/BUILD/openroad/build/src/gpl/CMakeFiles/gpl_py.dir cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src/gpl /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src/gpl /builddir/build/BUILD/openroad/build/src/gpl/CMakeFiles/gpl.dir/DependInfo.cmake "--color=" cd /builddir/build/BUILD/openroad/build/src/gpl && /usr/bin/cmake -E env SWIG_LIB=/usr/share/swig/4.0.2 /usr/bin/swig -python -I/builddir/build/BUILD/openroad/include/gpl -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/usr/include/python3.11 -I/builddir/build/BUILD/openroad/src/gpl/include -I/builddir/build/BUILD/openroad/src/utl/include -I/usr/include -I/usr/include/eigen3 -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/rsz/src/../include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/dpl/include -Werror -w317,325,378,401,402,467,472,503,509 -outdir /builddir/build/BUILD/openroad/build/src/gpl -c++ -module gpl_py -interface _gpl_py -MF /builddir/build/BUILD/openroad/build/src/gpl/CMakeFiles/gpl_py.dir/replace-py.i.d -MD -I/usr/include/sta -I/usr/include/abc -o /builddir/build/BUILD/openroad/build/src/gpl/CMakeFiles/gpl_py.dir/replace-pyPYTHON_wrap.cxx /builddir/build/BUILD/openroad/src/gpl/src/replace-py.i Dependencies file "src/gpl/CMakeFiles/gpl.dir/replace.i.d" is newer than depends file "/builddir/build/BUILD/openroad/build/src/gpl/CMakeFiles/gpl.dir/compiler_depend.internal". Consolidate compiler generated dependencies of target gpl gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' /usr/bin/gmake -f src/gpl/CMakeFiles/gpl.dir/build.make src/gpl/CMakeFiles/gpl.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 90%] Building CXX object src/gpl/CMakeFiles/gpl.dir/CMakeFiles/gpl.dir/replaceTCL_wrap.cxx.o cd /builddir/build/BUILD/openroad/build/src/gpl && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DOR_TOOLS_AS_DYNAMIC_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -DUSE_BOP -DUSE_CBC -DUSE_CLP -DUSE_GLOP -DUSE_GLPK -DUSE_LP_PARSER -DUSE_PDLP -DUSE_SCIP -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/gpl/include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/rsz/src/../include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/dpl/include -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -fwrapv -fopenmp -Wno-cast-qual -Wno-missing-braces -MD -MT src/gpl/CMakeFiles/gpl.dir/CMakeFiles/gpl.dir/replaceTCL_wrap.cxx.o -MF CMakeFiles/gpl.dir/CMakeFiles/gpl.dir/replaceTCL_wrap.cxx.o.d -o CMakeFiles/gpl.dir/CMakeFiles/gpl.dir/replaceTCL_wrap.cxx.o -c /builddir/build/BUILD/openroad/build/src/gpl/CMakeFiles/gpl.dir/replaceTCL_wrap.cxx [ 90%] Generating gpl_py-pythonInitVar.cc cd /builddir/build/BUILD/openroad/src/gpl && /usr/share/opensta/etc/TclEncode.tcl /builddir/build/BUILD/openroad/build/src/gpl/gpl_py-pythonInitVar.cc gpl_py_python_inits /builddir/build/BUILD/openroad/build/src/gpl/gpl_py.py cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src/gpl /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src/gpl /builddir/build/BUILD/openroad/build/src/gpl/CMakeFiles/gpl_py.dir/DependInfo.cmake "--color=" Dependencies file "src/gpl/CMakeFiles/gpl_py.dir/replace-py.i.d" is newer than depends file "/builddir/build/BUILD/openroad/build/src/gpl/CMakeFiles/gpl_py.dir/compiler_depend.internal". Consolidate compiler generated dependencies of target gpl_py gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' /usr/bin/gmake -f src/gpl/CMakeFiles/gpl_py.dir/build.make src/gpl/CMakeFiles/gpl_py.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 90%] Building CXX object src/gpl/CMakeFiles/gpl_py.dir/CMakeFiles/gpl_py.dir/replace-pyPYTHON_wrap.cxx.o cd /builddir/build/BUILD/openroad/build/src/gpl && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/gpl/include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/rsz/src/../include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/dpl/include -isystem /usr/include/python3.11 -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -Wno-cast-qual -Wno-missing-braces -MD -MT src/gpl/CMakeFiles/gpl_py.dir/CMakeFiles/gpl_py.dir/replace-pyPYTHON_wrap.cxx.o -MF CMakeFiles/gpl_py.dir/CMakeFiles/gpl_py.dir/replace-pyPYTHON_wrap.cxx.o.d -o CMakeFiles/gpl_py.dir/CMakeFiles/gpl_py.dir/replace-pyPYTHON_wrap.cxx.o -c /builddir/build/BUILD/openroad/build/src/gpl/CMakeFiles/gpl_py.dir/replace-pyPYTHON_wrap.cxx [ 90%] Building CXX object src/gpl/CMakeFiles/gpl.dir/gpl-tclInitVar.cc.o cd /builddir/build/BUILD/openroad/build/src/gpl && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DOR_TOOLS_AS_DYNAMIC_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -DUSE_BOP -DUSE_CBC -DUSE_CLP -DUSE_GLOP -DUSE_GLPK -DUSE_LP_PARSER -DUSE_PDLP -DUSE_SCIP -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/gpl/include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/rsz/src/../include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/dpl/include -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -fwrapv -fopenmp -MD -MT src/gpl/CMakeFiles/gpl.dir/gpl-tclInitVar.cc.o -MF CMakeFiles/gpl.dir/gpl-tclInitVar.cc.o.d -o CMakeFiles/gpl.dir/gpl-tclInitVar.cc.o -c /builddir/build/BUILD/openroad/build/src/gpl/gpl-tclInitVar.cc [ 90%] Building CXX object src/gpl/CMakeFiles/gpl.dir/src/MakeReplace.cpp.o cd /builddir/build/BUILD/openroad/build/src/gpl && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DOR_TOOLS_AS_DYNAMIC_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -DUSE_BOP -DUSE_CBC -DUSE_CLP -DUSE_GLOP -DUSE_GLPK -DUSE_LP_PARSER -DUSE_PDLP -DUSE_SCIP -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/gpl/include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/rsz/src/../include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/dpl/include -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -fwrapv -fopenmp -MD -MT src/gpl/CMakeFiles/gpl.dir/src/MakeReplace.cpp.o -MF CMakeFiles/gpl.dir/src/MakeReplace.cpp.o.d -o CMakeFiles/gpl.dir/src/MakeReplace.cpp.o -c /builddir/build/BUILD/openroad/src/gpl/src/MakeReplace.cpp [ 90%] Building CXX object src/gpl/CMakeFiles/gpl_py.dir/gpl_py-pythonInitVar.cc.o cd /builddir/build/BUILD/openroad/build/src/gpl && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/gpl/include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/rsz/src/../include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/dpl/include -isystem /usr/include/python3.11 -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/gpl/CMakeFiles/gpl_py.dir/gpl_py-pythonInitVar.cc.o -MF CMakeFiles/gpl_py.dir/gpl_py-pythonInitVar.cc.o.d -o CMakeFiles/gpl_py.dir/gpl_py-pythonInitVar.cc.o -c /builddir/build/BUILD/openroad/build/src/gpl/gpl_py-pythonInitVar.cc [ 90%] Building CXX object src/gpl/CMakeFiles/gpl.dir/src/replace.cpp.o cd /builddir/build/BUILD/openroad/build/src/gpl && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DOR_TOOLS_AS_DYNAMIC_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -DUSE_BOP -DUSE_CBC -DUSE_CLP -DUSE_GLOP -DUSE_GLPK -DUSE_LP_PARSER -DUSE_PDLP -DUSE_SCIP -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/gpl/include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/rsz/src/../include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/dpl/include -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -fwrapv -fopenmp -MD -MT src/gpl/CMakeFiles/gpl.dir/src/replace.cpp.o -MF CMakeFiles/gpl.dir/src/replace.cpp.o.d -o CMakeFiles/gpl.dir/src/replace.cpp.o -c /builddir/build/BUILD/openroad/src/gpl/src/replace.cpp [ 90%] Linking CXX static library _gpl_py.a cd /builddir/build/BUILD/openroad/build/src/gpl && /usr/bin/cmake -P CMakeFiles/gpl_py.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/openroad/build/src/gpl && /usr/bin/cmake -E cmake_link_script CMakeFiles/gpl_py.dir/link.txt --verbose=1 /usr/bin/ar qc _gpl_py.a "CMakeFiles/gpl_py.dir/CMakeFiles/gpl_py.dir/replace-pyPYTHON_wrap.cxx.o" "CMakeFiles/gpl_py.dir/gpl_py-pythonInitVar.cc.o" /usr/bin/ranlib _gpl_py.a gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [ 90%] Built target gpl_py /usr/bin/gmake -f src/rmp/src/CMakeFiles/rmp.dir/build.make src/rmp/src/CMakeFiles/rmp.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 91%] Generating rmp-tclInitVar.cc cd /builddir/build/BUILD/openroad/src/rmp/src && /usr/share/opensta/etc/TclEncode.tcl /builddir/build/BUILD/openroad/build/src/rmp/src/rmp-tclInitVar.cc rmp_tcl_inits rmp.tcl [ 91%] Swig compile rmp.i for tcl cd /builddir/build/BUILD/openroad/build/src/rmp/src && /usr/bin/cmake -E make_directory /builddir/build/BUILD/openroad/build/src/rmp/src/CMakeFiles/rmp.dir /builddir/build/BUILD/openroad/build/src/rmp/src /builddir/build/BUILD/openroad/build/src/rmp/src/CMakeFiles/rmp.dir cd /builddir/build/BUILD/openroad/build/src/rmp/src && /usr/bin/cmake -E env SWIG_LIB=/usr/share/swig/4.0.2 /usr/bin/swig -tcl -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/usr/include -I/builddir/build/BUILD/openroad/src/rmp/src/../include -I/builddir/build/BUILD/openroad/src/rmp/src/. -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/rsz/src/../include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/dpl/include -I/builddir/build/BUILD/openroad/src/gui/include -namespace -prefix rmp -Werror -w317,325,378,401,402,467,472,503,509 -outdir /builddir/build/BUILD/openroad/build/src/rmp/src -c++ -module rmp -MF /builddir/build/BUILD/openroad/build/src/rmp/src/CMakeFiles/rmp.dir/rmp.i.d -MD -I/usr/include/sta -I/usr/include/abc -o /builddir/build/BUILD/openroad/build/src/rmp/src/CMakeFiles/rmp.dir/rmpTCL_wrap.cxx /builddir/build/BUILD/openroad/src/rmp/src/rmp.i cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src/rmp/src /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src/rmp/src /builddir/build/BUILD/openroad/build/src/rmp/src/CMakeFiles/rmp.dir/DependInfo.cmake "--color=" Dependencies file "src/rmp/src/CMakeFiles/rmp.dir/rmp.i.d" is newer than depends file "/builddir/build/BUILD/openroad/build/src/rmp/src/CMakeFiles/rmp.dir/compiler_depend.internal". Consolidate compiler generated dependencies of target rmp gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' /usr/bin/gmake -f src/rmp/src/CMakeFiles/rmp.dir/build.make src/rmp/src/CMakeFiles/rmp.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 91%] Building CXX object src/rmp/src/CMakeFiles/rmp.dir/CMakeFiles/rmp.dir/rmpTCL_wrap.cxx.o cd /builddir/build/BUILD/openroad/build/src/rmp/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/rmp/src/../include -I/builddir/build/BUILD/openroad/src/rmp/src/. -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/rsz/src/../include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/dpl/include -I/builddir/build/BUILD/openroad/src/gui/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -Wno-cast-qual -Wno-missing-braces -MD -MT src/rmp/src/CMakeFiles/rmp.dir/CMakeFiles/rmp.dir/rmpTCL_wrap.cxx.o -MF CMakeFiles/rmp.dir/CMakeFiles/rmp.dir/rmpTCL_wrap.cxx.o.d -o CMakeFiles/rmp.dir/CMakeFiles/rmp.dir/rmpTCL_wrap.cxx.o -c /builddir/build/BUILD/openroad/build/src/rmp/src/CMakeFiles/rmp.dir/rmpTCL_wrap.cxx [ 91%] Building CXX object src/drt/CMakeFiles/drt.dir/src/gc/FlexGC_eol.cpp.o cd /builddir/build/BUILD/openroad/build/src/drt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DBOOST_SERIALIZATION_DYN_LINK -DBOOST_SERIALIZATION_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/drt/include -I/builddir/build/BUILD/openroad/src/drt/src -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -pedantic -Wcast-qual -fopenmp -MD -MT src/drt/CMakeFiles/drt.dir/src/gc/FlexGC_eol.cpp.o -MF CMakeFiles/drt.dir/src/gc/FlexGC_eol.cpp.o.d -o CMakeFiles/drt.dir/src/gc/FlexGC_eol.cpp.o -c /builddir/build/BUILD/openroad/src/drt/src/gc/FlexGC_eol.cpp [ 91%] Building CXX object src/rmp/src/CMakeFiles/rmp.dir/rmp-tclInitVar.cc.o cd /builddir/build/BUILD/openroad/build/src/rmp/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/rmp/src/../include -I/builddir/build/BUILD/openroad/src/rmp/src/. -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/rsz/src/../include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/dpl/include -I/builddir/build/BUILD/openroad/src/gui/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/rmp/src/CMakeFiles/rmp.dir/rmp-tclInitVar.cc.o -MF CMakeFiles/rmp.dir/rmp-tclInitVar.cc.o.d -o CMakeFiles/rmp.dir/rmp-tclInitVar.cc.o -c /builddir/build/BUILD/openroad/build/src/rmp/src/rmp-tclInitVar.cc [ 91%] Building CXX object src/rmp/src/CMakeFiles/rmp.dir/blifParser.cpp.o cd /builddir/build/BUILD/openroad/build/src/rmp/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/rmp/src/../include -I/builddir/build/BUILD/openroad/src/rmp/src/. -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/rsz/src/../include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/dpl/include -I/builddir/build/BUILD/openroad/src/gui/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/rmp/src/CMakeFiles/rmp.dir/blifParser.cpp.o -MF CMakeFiles/rmp.dir/blifParser.cpp.o.d -o CMakeFiles/rmp.dir/blifParser.cpp.o -c /builddir/build/BUILD/openroad/src/rmp/src/blifParser.cpp [ 91%] Building CXX object src/gpl/CMakeFiles/gpl.dir/src/initialPlace.cpp.o cd /builddir/build/BUILD/openroad/build/src/gpl && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DOR_TOOLS_AS_DYNAMIC_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -DUSE_BOP -DUSE_CBC -DUSE_CLP -DUSE_GLOP -DUSE_GLPK -DUSE_LP_PARSER -DUSE_PDLP -DUSE_SCIP -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/gpl/include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/rsz/src/../include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/dpl/include -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -fwrapv -fopenmp -MD -MT src/gpl/CMakeFiles/gpl.dir/src/initialPlace.cpp.o -MF CMakeFiles/gpl.dir/src/initialPlace.cpp.o.d -o CMakeFiles/gpl.dir/src/initialPlace.cpp.o -c /builddir/build/BUILD/openroad/src/gpl/src/initialPlace.cpp [ 91%] Building CXX object src/rmp/src/CMakeFiles/rmp.dir/blif.cpp.o cd /builddir/build/BUILD/openroad/build/src/rmp/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/rmp/src/../include -I/builddir/build/BUILD/openroad/src/rmp/src/. -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/rsz/src/../include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/dpl/include -I/builddir/build/BUILD/openroad/src/gui/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/rmp/src/CMakeFiles/rmp.dir/blif.cpp.o -MF CMakeFiles/rmp.dir/blif.cpp.o.d -o CMakeFiles/rmp.dir/blif.cpp.o -c /builddir/build/BUILD/openroad/src/rmp/src/blif.cpp [ 91%] Building CXX object src/gpl/CMakeFiles/gpl.dir/src/nesterovPlace.cpp.o cd /builddir/build/BUILD/openroad/build/src/gpl && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DOR_TOOLS_AS_DYNAMIC_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -DUSE_BOP -DUSE_CBC -DUSE_CLP -DUSE_GLOP -DUSE_GLPK -DUSE_LP_PARSER -DUSE_PDLP -DUSE_SCIP -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/gpl/include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/rsz/src/../include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/dpl/include -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -fwrapv -fopenmp -MD -MT src/gpl/CMakeFiles/gpl.dir/src/nesterovPlace.cpp.o -MF CMakeFiles/gpl.dir/src/nesterovPlace.cpp.o.d -o CMakeFiles/gpl.dir/src/nesterovPlace.cpp.o -c /builddir/build/BUILD/openroad/src/gpl/src/nesterovPlace.cpp [ 91%] Building CXX object src/drt/CMakeFiles/drt.dir/src/gc/FlexGC_inf.cpp.o cd /builddir/build/BUILD/openroad/build/src/drt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DBOOST_SERIALIZATION_DYN_LINK -DBOOST_SERIALIZATION_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/drt/include -I/builddir/build/BUILD/openroad/src/drt/src -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -pedantic -Wcast-qual -fopenmp -MD -MT src/drt/CMakeFiles/drt.dir/src/gc/FlexGC_inf.cpp.o -MF CMakeFiles/drt.dir/src/gc/FlexGC_inf.cpp.o.d -o CMakeFiles/drt.dir/src/gc/FlexGC_inf.cpp.o -c /builddir/build/BUILD/openroad/src/drt/src/gc/FlexGC_inf.cpp [ 91%] Building CXX object src/drt/CMakeFiles/drt.dir/src/gc/FlexGC_cut.cpp.o cd /builddir/build/BUILD/openroad/build/src/drt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DBOOST_SERIALIZATION_DYN_LINK -DBOOST_SERIALIZATION_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/drt/include -I/builddir/build/BUILD/openroad/src/drt/src -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -pedantic -Wcast-qual -fopenmp -MD -MT src/drt/CMakeFiles/drt.dir/src/gc/FlexGC_cut.cpp.o -MF CMakeFiles/drt.dir/src/gc/FlexGC_cut.cpp.o.d -o CMakeFiles/drt.dir/src/gc/FlexGC_cut.cpp.o -c /builddir/build/BUILD/openroad/src/drt/src/gc/FlexGC_cut.cpp [ 91%] Building CXX object src/rmp/src/CMakeFiles/rmp.dir/Restructure.cpp.o cd /builddir/build/BUILD/openroad/build/src/rmp/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/rmp/src/../include -I/builddir/build/BUILD/openroad/src/rmp/src/. -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/rsz/src/../include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/dpl/include -I/builddir/build/BUILD/openroad/src/gui/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/rmp/src/CMakeFiles/rmp.dir/Restructure.cpp.o -MF CMakeFiles/rmp.dir/Restructure.cpp.o.d -o CMakeFiles/rmp.dir/Restructure.cpp.o -c /builddir/build/BUILD/openroad/src/rmp/src/Restructure.cpp [ 92%] Building CXX object src/gpl/CMakeFiles/gpl.dir/src/placerBase.cpp.o cd /builddir/build/BUILD/openroad/build/src/gpl && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DOR_TOOLS_AS_DYNAMIC_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -DUSE_BOP -DUSE_CBC -DUSE_CLP -DUSE_GLOP -DUSE_GLPK -DUSE_LP_PARSER -DUSE_PDLP -DUSE_SCIP -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/gpl/include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/rsz/src/../include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/dpl/include -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -fwrapv -fopenmp -MD -MT src/gpl/CMakeFiles/gpl.dir/src/placerBase.cpp.o -MF CMakeFiles/gpl.dir/src/placerBase.cpp.o.d -o CMakeFiles/gpl.dir/src/placerBase.cpp.o -c /builddir/build/BUILD/openroad/src/gpl/src/placerBase.cpp [ 92%] Building CXX object src/drt/CMakeFiles/drt.dir/src/db/drObj/drAccessPattern.cpp.o cd /builddir/build/BUILD/openroad/build/src/drt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DBOOST_SERIALIZATION_DYN_LINK -DBOOST_SERIALIZATION_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/drt/include -I/builddir/build/BUILD/openroad/src/drt/src -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -pedantic -Wcast-qual -fopenmp -MD -MT src/drt/CMakeFiles/drt.dir/src/db/drObj/drAccessPattern.cpp.o -MF CMakeFiles/drt.dir/src/db/drObj/drAccessPattern.cpp.o.d -o CMakeFiles/drt.dir/src/db/drObj/drAccessPattern.cpp.o -c /builddir/build/BUILD/openroad/src/drt/src/db/drObj/drAccessPattern.cpp [ 92%] Building CXX object src/gpl/CMakeFiles/gpl.dir/src/nesterovBase.cpp.o cd /builddir/build/BUILD/openroad/build/src/gpl && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DOR_TOOLS_AS_DYNAMIC_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -DUSE_BOP -DUSE_CBC -DUSE_CLP -DUSE_GLOP -DUSE_GLPK -DUSE_LP_PARSER -DUSE_PDLP -DUSE_SCIP -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/gpl/include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/rsz/src/../include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/dpl/include -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -fwrapv -fopenmp -MD -MT src/gpl/CMakeFiles/gpl.dir/src/nesterovBase.cpp.o -MF CMakeFiles/gpl.dir/src/nesterovBase.cpp.o.d -o CMakeFiles/gpl.dir/src/nesterovBase.cpp.o -c /builddir/build/BUILD/openroad/src/gpl/src/nesterovBase.cpp /builddir/build/BUILD/openroad/src/gpl/src/nesterovBase.cpp: In member function 'void gpl::NesterovBase::updateDensityForceBin()': /builddir/build/BUILD/openroad/src/gpl/src/nesterovBase.cpp:2039:61: note: parameter passing for argument of type 'std::pair' when C++17 is enabled changed to match C++14 in GCC 10.1 2039 | auto eForcePair = fft_->getElectroForce(bin.x(), bin.y()); | ^ [ 92%] Building CXX object src/drt/CMakeFiles/drt.dir/src/db/drObj/drPin.cpp.o cd /builddir/build/BUILD/openroad/build/src/drt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DBOOST_SERIALIZATION_DYN_LINK -DBOOST_SERIALIZATION_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/drt/include -I/builddir/build/BUILD/openroad/src/drt/src -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -pedantic -Wcast-qual -fopenmp -MD -MT src/drt/CMakeFiles/drt.dir/src/db/drObj/drPin.cpp.o -MF CMakeFiles/drt.dir/src/db/drObj/drPin.cpp.o.d -o CMakeFiles/drt.dir/src/db/drObj/drPin.cpp.o -c /builddir/build/BUILD/openroad/src/drt/src/db/drObj/drPin.cpp [ 92%] Building CXX object src/rmp/src/CMakeFiles/rmp.dir/MakeRestructure.cpp.o cd /builddir/build/BUILD/openroad/build/src/rmp/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/rmp/src/../include -I/builddir/build/BUILD/openroad/src/rmp/src/. -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/rsz/src/../include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/dpl/include -I/builddir/build/BUILD/openroad/src/gui/include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/rmp/src/CMakeFiles/rmp.dir/MakeRestructure.cpp.o -MF CMakeFiles/rmp.dir/MakeRestructure.cpp.o.d -o CMakeFiles/rmp.dir/MakeRestructure.cpp.o -c /builddir/build/BUILD/openroad/src/rmp/src/MakeRestructure.cpp [ 92%] Building CXX object src/gpl/CMakeFiles/gpl.dir/src/fft.cpp.o cd /builddir/build/BUILD/openroad/build/src/gpl && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DOR_TOOLS_AS_DYNAMIC_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -DUSE_BOP -DUSE_CBC -DUSE_CLP -DUSE_GLOP -DUSE_GLPK -DUSE_LP_PARSER -DUSE_PDLP -DUSE_SCIP -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/gpl/include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/rsz/src/../include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/dpl/include -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -fwrapv -fopenmp -MD -MT src/gpl/CMakeFiles/gpl.dir/src/fft.cpp.o -MF CMakeFiles/gpl.dir/src/fft.cpp.o.d -o CMakeFiles/gpl.dir/src/fft.cpp.o -c /builddir/build/BUILD/openroad/src/gpl/src/fft.cpp [ 92%] Building CXX object src/drt/CMakeFiles/drt.dir/src/db/drObj/drShape.cpp.o cd /builddir/build/BUILD/openroad/build/src/drt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DBOOST_SERIALIZATION_DYN_LINK -DBOOST_SERIALIZATION_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/drt/include -I/builddir/build/BUILD/openroad/src/drt/src -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -pedantic -Wcast-qual -fopenmp -MD -MT src/drt/CMakeFiles/drt.dir/src/db/drObj/drShape.cpp.o -MF CMakeFiles/drt.dir/src/db/drObj/drShape.cpp.o.d -o CMakeFiles/drt.dir/src/db/drObj/drShape.cpp.o -c /builddir/build/BUILD/openroad/src/drt/src/db/drObj/drShape.cpp [ 92%] Linking CXX static library rmp.a cd /builddir/build/BUILD/openroad/build/src/rmp/src && /usr/bin/cmake -P CMakeFiles/rmp.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/openroad/build/src/rmp/src && /usr/bin/cmake -E cmake_link_script CMakeFiles/rmp.dir/link.txt --verbose=1 /usr/bin/ar qc rmp.a CMakeFiles/rmp.dir/CMakeFiles/rmp.dir/rmpTCL_wrap.cxx.o "CMakeFiles/rmp.dir/rmp-tclInitVar.cc.o" CMakeFiles/rmp.dir/blifParser.cpp.o CMakeFiles/rmp.dir/blif.cpp.o CMakeFiles/rmp.dir/Restructure.cpp.o CMakeFiles/rmp.dir/MakeRestructure.cpp.o /builddir/build/BUILD/openroad/src/gpl/src/fft.cpp: In member function 'std::pair gpl::FFT::getElectroForce(int, int) const': /builddir/build/BUILD/openroad/src/gpl/src/fft.cpp:136:60: note: parameter passing for argument of type 'std::pair' when C++17 is enabled changed to match C++14 in GCC 10.1 136 | std::pair FFT::getElectroForce(int x, int y) const | ^~~~~ /usr/bin/ranlib rmp.a cd /builddir/build/BUILD/openroad/src/rmp && /builddir/build/BUILD/openroad/etc/find_messages.py > /builddir/build/BUILD/openroad/src/rmp/src/../messages.txt gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [ 92%] Built target rmp /usr/bin/gmake -f src/cts/src/CMakeFiles/cts_py.dir/build.make src/cts/src/CMakeFiles/cts_py.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 92%] Swig compile TritonCTS-py.i for python cd /builddir/build/BUILD/openroad/build/src/cts/src && /usr/bin/cmake -E make_directory /builddir/build/BUILD/openroad/build/src/cts/src/CMakeFiles/cts_py.dir /builddir/build/BUILD/openroad/build/src/cts/src /builddir/build/BUILD/openroad/build/src/cts/src/CMakeFiles/cts_py.dir cd /builddir/build/BUILD/openroad/build/src/cts/src && /usr/bin/cmake -E env SWIG_LIB=/usr/share/swig/4.0.2 /usr/bin/swig -python -I/builddir/build/BUILD/openroad/../include/cts -I/builddir/build/BUILD/openroad -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/usr/include/python3.11 -I/builddir/build/BUILD/openroad/src/cts/src/. -I/builddir/build/BUILD/openroad/src/cts/src/../include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/usr/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/rsz/src/../include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/dpl/include -I/builddir/build/BUILD/openroad/src/gui/include -Werror -w317,325,378,401,402,467,472,503,509 -outdir /builddir/build/BUILD/openroad/build/src/cts/src -c++ -module cts_py -interface _cts_py -MF /builddir/build/BUILD/openroad/build/src/cts/src/CMakeFiles/cts_py.dir/TritonCTS-py.i.d -MD -I/usr/include/sta -I/usr/include/abc -o /builddir/build/BUILD/openroad/build/src/cts/src/CMakeFiles/cts_py.dir/TritonCTS-pyPYTHON_wrap.cxx /builddir/build/BUILD/openroad/src/cts/src/TritonCTS-py.i [ 92%] Building CXX object src/gpl/CMakeFiles/gpl.dir/src/fftsg.cpp.o cd /builddir/build/BUILD/openroad/build/src/gpl && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DOR_TOOLS_AS_DYNAMIC_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -DUSE_BOP -DUSE_CBC -DUSE_CLP -DUSE_GLOP -DUSE_GLPK -DUSE_LP_PARSER -DUSE_PDLP -DUSE_SCIP -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/gpl/include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/rsz/src/../include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/dpl/include -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -fwrapv -fopenmp -MD -MT src/gpl/CMakeFiles/gpl.dir/src/fftsg.cpp.o -MF CMakeFiles/gpl.dir/src/fftsg.cpp.o.d -o CMakeFiles/gpl.dir/src/fftsg.cpp.o -c /builddir/build/BUILD/openroad/src/gpl/src/fftsg.cpp [ 92%] Generating cts_py-pythonInitVar.cc cd /builddir/build/BUILD/openroad/src/cts/src && /usr/share/opensta/etc/TclEncode.tcl /builddir/build/BUILD/openroad/build/src/cts/src/cts_py-pythonInitVar.cc cts_py_python_inits /builddir/build/BUILD/openroad/build/src/cts/src/cts_py.py cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src/cts/src /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src/cts/src /builddir/build/BUILD/openroad/build/src/cts/src/CMakeFiles/cts_py.dir/DependInfo.cmake "--color=" Dependencies file "src/cts/src/CMakeFiles/cts_py.dir/TritonCTS-py.i.d" is newer than depends file "/builddir/build/BUILD/openroad/build/src/cts/src/CMakeFiles/cts_py.dir/compiler_depend.internal". Consolidate compiler generated dependencies of target cts_py gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' /usr/bin/gmake -f src/cts/src/CMakeFiles/cts_py.dir/build.make src/cts/src/CMakeFiles/cts_py.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 92%] Building CXX object src/cts/src/CMakeFiles/cts_py.dir/CMakeFiles/cts_py.dir/TritonCTS-pyPYTHON_wrap.cxx.o cd /builddir/build/BUILD/openroad/build/src/cts/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/cts/src/. -I/builddir/build/BUILD/openroad/src/cts/src/../include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/rsz/src/../include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/dpl/include -I/builddir/build/BUILD/openroad/src/gui/include -isystem /usr/include/python3.11 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -Wno-cast-qual -Wno-missing-braces -MD -MT src/cts/src/CMakeFiles/cts_py.dir/CMakeFiles/cts_py.dir/TritonCTS-pyPYTHON_wrap.cxx.o -MF CMakeFiles/cts_py.dir/CMakeFiles/cts_py.dir/TritonCTS-pyPYTHON_wrap.cxx.o.d -o CMakeFiles/cts_py.dir/CMakeFiles/cts_py.dir/TritonCTS-pyPYTHON_wrap.cxx.o -c /builddir/build/BUILD/openroad/build/src/cts/src/CMakeFiles/cts_py.dir/TritonCTS-pyPYTHON_wrap.cxx [ 92%] Building CXX object src/gpl/CMakeFiles/gpl.dir/src/fftsg2d.cpp.o cd /builddir/build/BUILD/openroad/build/src/gpl && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DOR_TOOLS_AS_DYNAMIC_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -DUSE_BOP -DUSE_CBC -DUSE_CLP -DUSE_GLOP -DUSE_GLPK -DUSE_LP_PARSER -DUSE_PDLP -DUSE_SCIP -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/gpl/include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/rsz/src/../include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/dpl/include -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -fwrapv -fopenmp -MD -MT src/gpl/CMakeFiles/gpl.dir/src/fftsg2d.cpp.o -MF CMakeFiles/gpl.dir/src/fftsg2d.cpp.o.d -o CMakeFiles/gpl.dir/src/fftsg2d.cpp.o -c /builddir/build/BUILD/openroad/src/gpl/src/fftsg2d.cpp [ 92%] Building CXX object src/gpl/CMakeFiles/gpl.dir/src/point.cpp.o cd /builddir/build/BUILD/openroad/build/src/gpl && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DOR_TOOLS_AS_DYNAMIC_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -DUSE_BOP -DUSE_CBC -DUSE_CLP -DUSE_GLOP -DUSE_GLPK -DUSE_LP_PARSER -DUSE_PDLP -DUSE_SCIP -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/gpl/include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/rsz/src/../include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/dpl/include -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -fwrapv -fopenmp -MD -MT src/gpl/CMakeFiles/gpl.dir/src/point.cpp.o -MF CMakeFiles/gpl.dir/src/point.cpp.o.d -o CMakeFiles/gpl.dir/src/point.cpp.o -c /builddir/build/BUILD/openroad/src/gpl/src/point.cpp [ 92%] Building CXX object src/gpl/CMakeFiles/gpl.dir/src/routeBase.cpp.o cd /builddir/build/BUILD/openroad/build/src/gpl && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DOR_TOOLS_AS_DYNAMIC_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -DUSE_BOP -DUSE_CBC -DUSE_CLP -DUSE_GLOP -DUSE_GLPK -DUSE_LP_PARSER -DUSE_PDLP -DUSE_SCIP -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/gpl/include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/rsz/src/../include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/dpl/include -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -fwrapv -fopenmp -MD -MT src/gpl/CMakeFiles/gpl.dir/src/routeBase.cpp.o -MF CMakeFiles/gpl.dir/src/routeBase.cpp.o.d -o CMakeFiles/gpl.dir/src/routeBase.cpp.o -c /builddir/build/BUILD/openroad/src/gpl/src/routeBase.cpp [ 92%] Building CXX object src/gpl/CMakeFiles/gpl.dir/src/timingBase.cpp.o cd /builddir/build/BUILD/openroad/build/src/gpl && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DOR_TOOLS_AS_DYNAMIC_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -DUSE_BOP -DUSE_CBC -DUSE_CLP -DUSE_GLOP -DUSE_GLPK -DUSE_LP_PARSER -DUSE_PDLP -DUSE_SCIP -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/gpl/include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/rsz/src/../include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/dpl/include -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -fwrapv -fopenmp -MD -MT src/gpl/CMakeFiles/gpl.dir/src/timingBase.cpp.o -MF CMakeFiles/gpl.dir/src/timingBase.cpp.o.d -o CMakeFiles/gpl.dir/src/timingBase.cpp.o -c /builddir/build/BUILD/openroad/src/gpl/src/timingBase.cpp [ 92%] Building CXX object src/drt/CMakeFiles/drt.dir/src/db/drObj/drVia.cpp.o cd /builddir/build/BUILD/openroad/build/src/drt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DBOOST_SERIALIZATION_DYN_LINK -DBOOST_SERIALIZATION_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/drt/include -I/builddir/build/BUILD/openroad/src/drt/src -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -pedantic -Wcast-qual -fopenmp -MD -MT src/drt/CMakeFiles/drt.dir/src/db/drObj/drVia.cpp.o -MF CMakeFiles/drt.dir/src/db/drObj/drVia.cpp.o.d -o CMakeFiles/drt.dir/src/db/drObj/drVia.cpp.o -c /builddir/build/BUILD/openroad/src/drt/src/db/drObj/drVia.cpp [ 92%] Building CXX object src/gpl/CMakeFiles/gpl.dir/src/graphics.cpp.o cd /builddir/build/BUILD/openroad/build/src/gpl && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DOR_TOOLS_AS_DYNAMIC_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -DUSE_BOP -DUSE_CBC -DUSE_CLP -DUSE_GLOP -DUSE_GLPK -DUSE_LP_PARSER -DUSE_PDLP -DUSE_SCIP -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/gpl/include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/rsz/src/../include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/dpl/include -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -fwrapv -fopenmp -MD -MT src/gpl/CMakeFiles/gpl.dir/src/graphics.cpp.o -MF CMakeFiles/gpl.dir/src/graphics.cpp.o.d -o CMakeFiles/gpl.dir/src/graphics.cpp.o -c /builddir/build/BUILD/openroad/src/gpl/src/graphics.cpp [ 93%] Building CXX object src/cts/src/CMakeFiles/cts_py.dir/cts_py-pythonInitVar.cc.o cd /builddir/build/BUILD/openroad/build/src/cts/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/cts/src/. -I/builddir/build/BUILD/openroad/src/cts/src/../include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/rsz/src/../include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/dpl/include -I/builddir/build/BUILD/openroad/src/gui/include -isystem /usr/include/python3.11 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/cts/src/CMakeFiles/cts_py.dir/cts_py-pythonInitVar.cc.o -MF CMakeFiles/cts_py.dir/cts_py-pythonInitVar.cc.o.d -o CMakeFiles/cts_py.dir/cts_py-pythonInitVar.cc.o -c /builddir/build/BUILD/openroad/build/src/cts/src/cts_py-pythonInitVar.cc [ 93%] Linking CXX static library _cts_py.a cd /builddir/build/BUILD/openroad/build/src/cts/src && /usr/bin/cmake -P CMakeFiles/cts_py.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/openroad/build/src/cts/src && /usr/bin/cmake -E cmake_link_script CMakeFiles/cts_py.dir/link.txt --verbose=1 /usr/bin/ar qc _cts_py.a "CMakeFiles/cts_py.dir/CMakeFiles/cts_py.dir/TritonCTS-pyPYTHON_wrap.cxx.o" "CMakeFiles/cts_py.dir/cts_py-pythonInitVar.cc.o" /usr/bin/ranlib _cts_py.a gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [ 93%] Built target cts_py /usr/bin/gmake -f src/ant/src/CMakeFiles/ant_py.dir/build.make src/ant/src/CMakeFiles/ant_py.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 93%] Swig compile AntennaChecker-py.i for python cd /builddir/build/BUILD/openroad/build/src/ant/src && /usr/bin/cmake -E make_directory /builddir/build/BUILD/openroad/build/src/ant/src/CMakeFiles/ant_py.dir /builddir/build/BUILD/openroad/build/src/ant/src /builddir/build/BUILD/openroad/build/src/ant/src/CMakeFiles/ant_py.dir cd /builddir/build/BUILD/openroad/build/src/ant/src && /usr/bin/cmake -E env SWIG_LIB=/usr/share/swig/4.0.2 /usr/bin/swig -python -I/builddir/build/BUILD/openroad/../include -I/builddir/build/BUILD/openroad/src/odb/src/swig/common -I/builddir/build/BUILD/openroad/src/odb/src/swig/python -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/usr/include/python3.11 -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/usr/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/dpl/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/rsz/src/../include -I/builddir/build/BUILD/openroad/src/gui/include -Werror -w317,325,378,401,402,467,472,503,509 -outdir /builddir/build/BUILD/openroad/build/src/ant/src -c++ -module ant_py -interface _ant_py -MF /builddir/build/BUILD/openroad/build/src/ant/src/CMakeFiles/ant_py.dir/AntennaChecker-py.i.d -MD -I/usr/include/sta -I/usr/include/abc -o /builddir/build/BUILD/openroad/build/src/ant/src/CMakeFiles/ant_py.dir/AntennaChecker-pyPYTHON_wrap.cxx /builddir/build/BUILD/openroad/src/ant/src/AntennaChecker-py.i [ 93%] Generating ant_py-pythonInitVar.cc cd /builddir/build/BUILD/openroad/src/ant/src && /usr/share/opensta/etc/TclEncode.tcl /builddir/build/BUILD/openroad/build/src/ant/src/ant_py-pythonInitVar.cc ant_py_python_inits /builddir/build/BUILD/openroad/build/src/ant/src/ant_py.py cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src/ant/src /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src/ant/src /builddir/build/BUILD/openroad/build/src/ant/src/CMakeFiles/ant_py.dir/DependInfo.cmake "--color=" Dependencies file "src/ant/src/CMakeFiles/ant_py.dir/AntennaChecker-py.i.d" is newer than depends file "/builddir/build/BUILD/openroad/build/src/ant/src/CMakeFiles/ant_py.dir/compiler_depend.internal". Consolidate compiler generated dependencies of target ant_py gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' /usr/bin/gmake -f src/ant/src/CMakeFiles/ant_py.dir/build.make src/ant/src/CMakeFiles/ant_py.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 93%] Building CXX object src/ant/src/CMakeFiles/ant_py.dir/CMakeFiles/ant_py.dir/AntennaChecker-pyPYTHON_wrap.cxx.o cd /builddir/build/BUILD/openroad/build/src/ant/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/dpl/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/rsz/src/../include -I/builddir/build/BUILD/openroad/src/gui/include -isystem /usr/include/python3.11 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -Wno-cast-qual -Wno-missing-braces -MD -MT src/ant/src/CMakeFiles/ant_py.dir/CMakeFiles/ant_py.dir/AntennaChecker-pyPYTHON_wrap.cxx.o -MF CMakeFiles/ant_py.dir/CMakeFiles/ant_py.dir/AntennaChecker-pyPYTHON_wrap.cxx.o.d -o CMakeFiles/ant_py.dir/CMakeFiles/ant_py.dir/AntennaChecker-pyPYTHON_wrap.cxx.o -c /builddir/build/BUILD/openroad/build/src/ant/src/CMakeFiles/ant_py.dir/AntennaChecker-pyPYTHON_wrap.cxx [ 94%] Building CXX object src/gpl/CMakeFiles/gpl.dir/src/solver.cpp.o cd /builddir/build/BUILD/openroad/build/src/gpl && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DOR_TOOLS_AS_DYNAMIC_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -DUSE_BOP -DUSE_CBC -DUSE_CLP -DUSE_GLOP -DUSE_GLPK -DUSE_LP_PARSER -DUSE_PDLP -DUSE_SCIP -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/gpl/include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/rsz/src/../include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/dpl/include -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -fwrapv -fopenmp -MD -MT src/gpl/CMakeFiles/gpl.dir/src/solver.cpp.o -MF CMakeFiles/gpl.dir/src/solver.cpp.o.d -o CMakeFiles/gpl.dir/src/solver.cpp.o -c /builddir/build/BUILD/openroad/src/gpl/src/solver.cpp [ 94%] Building CXX object src/gpl/CMakeFiles/gpl.dir/src/mbff.cpp.o cd /builddir/build/BUILD/openroad/build/src/gpl && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DOR_TOOLS_AS_DYNAMIC_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -DUSE_BOP -DUSE_CBC -DUSE_CLP -DUSE_GLOP -DUSE_GLPK -DUSE_LP_PARSER -DUSE_PDLP -DUSE_SCIP -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/gpl/include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/rsz/src/../include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/dpl/include -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIC -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -fwrapv -fopenmp -MD -MT src/gpl/CMakeFiles/gpl.dir/src/mbff.cpp.o -MF CMakeFiles/gpl.dir/src/mbff.cpp.o.d -o CMakeFiles/gpl.dir/src/mbff.cpp.o -c /builddir/build/BUILD/openroad/src/gpl/src/mbff.cpp [ 94%] Building CXX object src/drt/CMakeFiles/drt.dir/src/db/infra/frTime_helper.cpp.o cd /builddir/build/BUILD/openroad/build/src/drt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DBOOST_SERIALIZATION_DYN_LINK -DBOOST_SERIALIZATION_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/drt/include -I/builddir/build/BUILD/openroad/src/drt/src -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -pedantic -Wcast-qual -fopenmp -MD -MT src/drt/CMakeFiles/drt.dir/src/db/infra/frTime_helper.cpp.o -MF CMakeFiles/drt.dir/src/db/infra/frTime_helper.cpp.o.d -o CMakeFiles/drt.dir/src/db/infra/frTime_helper.cpp.o -c /builddir/build/BUILD/openroad/src/drt/src/db/infra/frTime_helper.cpp [ 95%] Building CXX object src/drt/CMakeFiles/drt.dir/src/db/infra/frTime.cpp.o cd /builddir/build/BUILD/openroad/build/src/drt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DBOOST_SERIALIZATION_DYN_LINK -DBOOST_SERIALIZATION_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/drt/include -I/builddir/build/BUILD/openroad/src/drt/src -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -pedantic -Wcast-qual -fopenmp -MD -MT src/drt/CMakeFiles/drt.dir/src/db/infra/frTime.cpp.o -MF CMakeFiles/drt.dir/src/db/infra/frTime.cpp.o.d -o CMakeFiles/drt.dir/src/db/infra/frTime.cpp.o -c /builddir/build/BUILD/openroad/src/drt/src/db/infra/frTime.cpp [ 95%] Building CXX object src/drt/CMakeFiles/drt.dir/src/db/taObj/taShape.cpp.o cd /builddir/build/BUILD/openroad/build/src/drt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DBOOST_SERIALIZATION_DYN_LINK -DBOOST_SERIALIZATION_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/drt/include -I/builddir/build/BUILD/openroad/src/drt/src -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -pedantic -Wcast-qual -fopenmp -MD -MT src/drt/CMakeFiles/drt.dir/src/db/taObj/taShape.cpp.o -MF CMakeFiles/drt.dir/src/db/taObj/taShape.cpp.o.d -o CMakeFiles/drt.dir/src/db/taObj/taShape.cpp.o -c /builddir/build/BUILD/openroad/src/drt/src/db/taObj/taShape.cpp [ 95%] Building CXX object src/ant/src/CMakeFiles/ant_py.dir/ant_py-pythonInitVar.cc.o cd /builddir/build/BUILD/openroad/build/src/ant/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/dpl/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/rsz/src/../include -I/builddir/build/BUILD/openroad/src/gui/include -isystem /usr/include/python3.11 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/ant/src/CMakeFiles/ant_py.dir/ant_py-pythonInitVar.cc.o -MF CMakeFiles/ant_py.dir/ant_py-pythonInitVar.cc.o.d -o CMakeFiles/ant_py.dir/ant_py-pythonInitVar.cc.o -c /builddir/build/BUILD/openroad/build/src/ant/src/ant_py-pythonInitVar.cc [ 95%] Linking CXX static library _ant_py.a cd /builddir/build/BUILD/openroad/build/src/ant/src && /usr/bin/cmake -P CMakeFiles/ant_py.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/openroad/build/src/ant/src && /usr/bin/cmake -E cmake_link_script CMakeFiles/ant_py.dir/link.txt --verbose=1 /usr/bin/ar qc _ant_py.a "CMakeFiles/ant_py.dir/CMakeFiles/ant_py.dir/AntennaChecker-pyPYTHON_wrap.cxx.o" "CMakeFiles/ant_py.dir/ant_py-pythonInitVar.cc.o" /usr/bin/ranlib _ant_py.a gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [ 95%] Built target ant_py /usr/bin/gmake -f src/dft/CMakeFiles/dft_py.dir/build.make src/dft/CMakeFiles/dft_py.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 95%] Swig compile src/DftGen-py.i for python cd /builddir/build/BUILD/openroad/build/src/dft && /usr/bin/cmake -E make_directory /builddir/build/BUILD/openroad/build/src/dft/CMakeFiles/dft_py.dir /builddir/build/BUILD/openroad/build/src/dft /builddir/build/BUILD/openroad/build/src/dft/CMakeFiles/dft_py.dir cd /builddir/build/BUILD/openroad/build/src/dft && /usr/bin/cmake -E env SWIG_LIB=/usr/share/swig/4.0.2 /usr/bin/swig -python -I/builddir/build/BUILD/openroad/src/odb/src/swig/common -I/builddir/build/BUILD/openroad/src/odb/src/swig/python -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/dft/include -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/usr/include/python3.11 -I/builddir/build/BUILD/openroad/src/dft/../include -I/builddir/build/BUILD/openroad/src/dft/include -I/builddir/build/BUILD/openroad/src/odb/include -I/usr/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -Werror -w317,325,378,401,402,467,472,503,509 -outdir /builddir/build/BUILD/openroad/build/src/dft -c++ -module dft_py -interface _dft_py -MF /builddir/build/BUILD/openroad/build/src/dft/CMakeFiles/dft_py.dir/DftGen-py.i.d -MD -I/usr/include/sta -I/usr/include/abc -o /builddir/build/BUILD/openroad/build/src/dft/CMakeFiles/dft_py.dir/DftGen-pyPYTHON_wrap.cxx /builddir/build/BUILD/openroad/src/dft/src/DftGen-py.i [ 95%] Generating dft_py-pythonInitVar.cc cd /builddir/build/BUILD/openroad/src/dft && /usr/share/opensta/etc/TclEncode.tcl /builddir/build/BUILD/openroad/build/src/dft/dft_py-pythonInitVar.cc dft_py_python_inits /builddir/build/BUILD/openroad/build/src/dft/dft_py.py cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src/dft /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src/dft /builddir/build/BUILD/openroad/build/src/dft/CMakeFiles/dft_py.dir/DependInfo.cmake "--color=" Dependencies file "src/dft/CMakeFiles/dft_py.dir/DftGen-py.i.d" is newer than depends file "/builddir/build/BUILD/openroad/build/src/dft/CMakeFiles/dft_py.dir/compiler_depend.internal". Consolidate compiler generated dependencies of target dft_py gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' /usr/bin/gmake -f src/dft/CMakeFiles/dft_py.dir/build.make src/dft/CMakeFiles/dft_py.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 95%] Building CXX object src/dft/CMakeFiles/dft_py.dir/CMakeFiles/dft_py.dir/DftGen-pyPYTHON_wrap.cxx.o cd /builddir/build/BUILD/openroad/build/src/dft && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/dft/../include -I/builddir/build/BUILD/openroad/src/dft/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -isystem /usr/include/python3.11 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -Wno-cast-qual -Wno-missing-braces -MD -MT src/dft/CMakeFiles/dft_py.dir/CMakeFiles/dft_py.dir/DftGen-pyPYTHON_wrap.cxx.o -MF CMakeFiles/dft_py.dir/CMakeFiles/dft_py.dir/DftGen-pyPYTHON_wrap.cxx.o.d -o CMakeFiles/dft_py.dir/CMakeFiles/dft_py.dir/DftGen-pyPYTHON_wrap.cxx.o -c /builddir/build/BUILD/openroad/build/src/dft/CMakeFiles/dft_py.dir/DftGen-pyPYTHON_wrap.cxx [ 95%] Building CXX object src/dft/CMakeFiles/dft_py.dir/dft_py-pythonInitVar.cc.o cd /builddir/build/BUILD/openroad/build/src/dft && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/dft/../include -I/builddir/build/BUILD/openroad/src/dft/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -isystem /usr/include/python3.11 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/dft/CMakeFiles/dft_py.dir/dft_py-pythonInitVar.cc.o -MF CMakeFiles/dft_py.dir/dft_py-pythonInitVar.cc.o.d -o CMakeFiles/dft_py.dir/dft_py-pythonInitVar.cc.o -c /builddir/build/BUILD/openroad/build/src/dft/dft_py-pythonInitVar.cc [ 95%] Linking CXX static library _dft_py.a cd /builddir/build/BUILD/openroad/build/src/dft && /usr/bin/cmake -P CMakeFiles/dft_py.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/openroad/build/src/dft && /usr/bin/cmake -E cmake_link_script CMakeFiles/dft_py.dir/link.txt --verbose=1 /usr/bin/ar qc _dft_py.a "CMakeFiles/dft_py.dir/CMakeFiles/dft_py.dir/DftGen-pyPYTHON_wrap.cxx.o" "CMakeFiles/dft_py.dir/dft_py-pythonInitVar.cc.o" /usr/bin/ranlib _dft_py.a gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [ 95%] Built target dft_py /usr/bin/gmake -f src/rmp/src/CMakeFiles/rmp_py.dir/build.make src/rmp/src/CMakeFiles/rmp_py.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 95%] Swig compile rmp-py.i for python cd /builddir/build/BUILD/openroad/build/src/rmp/src && /usr/bin/cmake -E make_directory /builddir/build/BUILD/openroad/build/src/rmp/src/CMakeFiles/rmp_py.dir /builddir/build/BUILD/openroad/build/src/rmp/src /builddir/build/BUILD/openroad/build/src/rmp/src/CMakeFiles/rmp_py.dir cd /builddir/build/BUILD/openroad/build/src/rmp/src && /usr/bin/cmake -E env SWIG_LIB=/usr/share/swig/4.0.2 /usr/bin/swig -python -I/builddir/build/BUILD/openroad/src/rmp/src/../include -I/builddir/build/BUILD/openroad/src/odb/src/swig/common -I/builddir/build/BUILD/openroad/src/odb/src/swig/python -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/usr/include/python3.11 -I/builddir/build/BUILD/openroad/src/rmp/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/usr/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/rsz/src/../include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/dpl/include -I/builddir/build/BUILD/openroad/src/gui/include -Werror -w317,325,378,401,402,467,472,503,509 -outdir /builddir/build/BUILD/openroad/build/src/rmp/src -c++ -module rmp_py -interface _rmp_py -MF /builddir/build/BUILD/openroad/build/src/rmp/src/CMakeFiles/rmp_py.dir/rmp-py.i.d -MD -I/usr/include/sta -I/usr/include/abc -o /builddir/build/BUILD/openroad/build/src/rmp/src/CMakeFiles/rmp_py.dir/rmp-pyPYTHON_wrap.cxx /builddir/build/BUILD/openroad/src/rmp/src/rmp-py.i [ 96%] Generating rmp_py-pythonInitVar.cc cd /builddir/build/BUILD/openroad/src/rmp/src && /usr/share/opensta/etc/TclEncode.tcl /builddir/build/BUILD/openroad/build/src/rmp/src/rmp_py-pythonInitVar.cc rmp_py_python_inits /builddir/build/BUILD/openroad/build/src/rmp/src/rmp_py.py cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src/rmp/src /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src/rmp/src /builddir/build/BUILD/openroad/build/src/rmp/src/CMakeFiles/rmp_py.dir/DependInfo.cmake "--color=" Dependencies file "src/rmp/src/CMakeFiles/rmp_py.dir/rmp-py.i.d" is newer than depends file "/builddir/build/BUILD/openroad/build/src/rmp/src/CMakeFiles/rmp_py.dir/compiler_depend.internal". Consolidate compiler generated dependencies of target rmp_py gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' /usr/bin/gmake -f src/rmp/src/CMakeFiles/rmp_py.dir/build.make src/rmp/src/CMakeFiles/rmp_py.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 96%] Building CXX object src/rmp/src/CMakeFiles/rmp_py.dir/CMakeFiles/rmp_py.dir/rmp-pyPYTHON_wrap.cxx.o cd /builddir/build/BUILD/openroad/build/src/rmp/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/rmp/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/rsz/src/../include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/dpl/include -I/builddir/build/BUILD/openroad/src/gui/include -isystem /usr/include/python3.11 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -Wno-cast-qual -Wno-missing-braces -MD -MT src/rmp/src/CMakeFiles/rmp_py.dir/CMakeFiles/rmp_py.dir/rmp-pyPYTHON_wrap.cxx.o -MF CMakeFiles/rmp_py.dir/CMakeFiles/rmp_py.dir/rmp-pyPYTHON_wrap.cxx.o.d -o CMakeFiles/rmp_py.dir/CMakeFiles/rmp_py.dir/rmp-pyPYTHON_wrap.cxx.o -c /builddir/build/BUILD/openroad/build/src/rmp/src/CMakeFiles/rmp_py.dir/rmp-pyPYTHON_wrap.cxx [ 96%] Building CXX object src/drt/CMakeFiles/drt.dir/src/db/obj/frShape.cpp.o cd /builddir/build/BUILD/openroad/build/src/drt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DBOOST_SERIALIZATION_DYN_LINK -DBOOST_SERIALIZATION_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/drt/include -I/builddir/build/BUILD/openroad/src/drt/src -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -pedantic -Wcast-qual -fopenmp -MD -MT src/drt/CMakeFiles/drt.dir/src/db/obj/frShape.cpp.o -MF CMakeFiles/drt.dir/src/db/obj/frShape.cpp.o.d -o CMakeFiles/drt.dir/src/db/obj/frShape.cpp.o -c /builddir/build/BUILD/openroad/src/drt/src/db/obj/frShape.cpp [ 96%] Building CXX object src/drt/CMakeFiles/drt.dir/src/db/obj/frInst.cpp.o cd /builddir/build/BUILD/openroad/build/src/drt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DBOOST_SERIALIZATION_DYN_LINK -DBOOST_SERIALIZATION_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/drt/include -I/builddir/build/BUILD/openroad/src/drt/src -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -pedantic -Wcast-qual -fopenmp -MD -MT src/drt/CMakeFiles/drt.dir/src/db/obj/frInst.cpp.o -MF CMakeFiles/drt.dir/src/db/obj/frInst.cpp.o.d -o CMakeFiles/drt.dir/src/db/obj/frInst.cpp.o -c /builddir/build/BUILD/openroad/src/drt/src/db/obj/frInst.cpp [ 96%] Building CXX object src/rmp/src/CMakeFiles/rmp_py.dir/rmp_py-pythonInitVar.cc.o cd /builddir/build/BUILD/openroad/build/src/rmp/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/rmp/src/../include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/src/rsz/src/../include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/dpl/include -I/builddir/build/BUILD/openroad/src/gui/include -isystem /usr/include/python3.11 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/rmp/src/CMakeFiles/rmp_py.dir/rmp_py-pythonInitVar.cc.o -MF CMakeFiles/rmp_py.dir/rmp_py-pythonInitVar.cc.o.d -o CMakeFiles/rmp_py.dir/rmp_py-pythonInitVar.cc.o -c /builddir/build/BUILD/openroad/build/src/rmp/src/rmp_py-pythonInitVar.cc [ 96%] Linking CXX static library _rmp_py.a cd /builddir/build/BUILD/openroad/build/src/rmp/src && /usr/bin/cmake -P CMakeFiles/rmp_py.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/openroad/build/src/rmp/src && /usr/bin/cmake -E cmake_link_script CMakeFiles/rmp_py.dir/link.txt --verbose=1 /usr/bin/ar qc _rmp_py.a "CMakeFiles/rmp_py.dir/CMakeFiles/rmp_py.dir/rmp-pyPYTHON_wrap.cxx.o" "CMakeFiles/rmp_py.dir/rmp_py-pythonInitVar.cc.o" /usr/bin/ranlib _rmp_py.a gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [ 96%] Built target rmp_py [ 96%] Building CXX object src/drt/CMakeFiles/drt.dir/src/db/obj/frVia.cpp.o cd /builddir/build/BUILD/openroad/build/src/drt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DBOOST_SERIALIZATION_DYN_LINK -DBOOST_SERIALIZATION_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/drt/include -I/builddir/build/BUILD/openroad/src/drt/src -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -pedantic -Wcast-qual -fopenmp -MD -MT src/drt/CMakeFiles/drt.dir/src/db/obj/frVia.cpp.o -MF CMakeFiles/drt.dir/src/db/obj/frVia.cpp.o.d -o CMakeFiles/drt.dir/src/db/obj/frVia.cpp.o -c /builddir/build/BUILD/openroad/src/drt/src/db/obj/frVia.cpp [ 96%] Building CXX object src/drt/CMakeFiles/drt.dir/src/db/obj/frAccess.cpp.o cd /builddir/build/BUILD/openroad/build/src/drt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DBOOST_SERIALIZATION_DYN_LINK -DBOOST_SERIALIZATION_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/drt/include -I/builddir/build/BUILD/openroad/src/drt/src -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -pedantic -Wcast-qual -fopenmp -MD -MT src/drt/CMakeFiles/drt.dir/src/db/obj/frAccess.cpp.o -MF CMakeFiles/drt.dir/src/db/obj/frAccess.cpp.o.d -o CMakeFiles/drt.dir/src/db/obj/frAccess.cpp.o -c /builddir/build/BUILD/openroad/src/drt/src/db/obj/frAccess.cpp [ 96%] Linking CXX static library gpl.a cd /builddir/build/BUILD/openroad/build/src/gpl && /usr/bin/cmake -P CMakeFiles/gpl.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/openroad/build/src/gpl && /usr/bin/cmake -E cmake_link_script CMakeFiles/gpl.dir/link.txt --verbose=1 /usr/bin/ar qc gpl.a CMakeFiles/gpl.dir/CMakeFiles/gpl.dir/replaceTCL_wrap.cxx.o "CMakeFiles/gpl.dir/gpl-tclInitVar.cc.o" CMakeFiles/gpl.dir/src/MakeReplace.cpp.o CMakeFiles/gpl.dir/src/replace.cpp.o CMakeFiles/gpl.dir/src/initialPlace.cpp.o CMakeFiles/gpl.dir/src/nesterovPlace.cpp.o CMakeFiles/gpl.dir/src/placerBase.cpp.o CMakeFiles/gpl.dir/src/nesterovBase.cpp.o CMakeFiles/gpl.dir/src/fft.cpp.o CMakeFiles/gpl.dir/src/fftsg.cpp.o CMakeFiles/gpl.dir/src/fftsg2d.cpp.o CMakeFiles/gpl.dir/src/point.cpp.o CMakeFiles/gpl.dir/src/routeBase.cpp.o CMakeFiles/gpl.dir/src/timingBase.cpp.o CMakeFiles/gpl.dir/src/graphics.cpp.o CMakeFiles/gpl.dir/src/solver.cpp.o CMakeFiles/gpl.dir/src/mbff.cpp.o /usr/bin/ranlib gpl.a cd /builddir/build/BUILD/openroad/src/gpl && /builddir/build/BUILD/openroad/etc/find_messages.py > /builddir/build/BUILD/openroad/src/gpl/messages.txt gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [ 96%] Built target gpl [ 96%] Building CXX object src/drt/CMakeFiles/drt.dir/src/db/obj/frRPin.cpp.o cd /builddir/build/BUILD/openroad/build/src/drt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DBOOST_SERIALIZATION_DYN_LINK -DBOOST_SERIALIZATION_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/drt/include -I/builddir/build/BUILD/openroad/src/drt/src -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -pedantic -Wcast-qual -fopenmp -MD -MT src/drt/CMakeFiles/drt.dir/src/db/obj/frRPin.cpp.o -MF CMakeFiles/drt.dir/src/db/obj/frRPin.cpp.o.d -o CMakeFiles/drt.dir/src/db/obj/frRPin.cpp.o -c /builddir/build/BUILD/openroad/src/drt/src/db/obj/frRPin.cpp [ 96%] Building CXX object src/drt/CMakeFiles/drt.dir/src/db/obj/frNode.cpp.o cd /builddir/build/BUILD/openroad/build/src/drt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DBOOST_SERIALIZATION_DYN_LINK -DBOOST_SERIALIZATION_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/drt/include -I/builddir/build/BUILD/openroad/src/drt/src -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -pedantic -Wcast-qual -fopenmp -MD -MT src/drt/CMakeFiles/drt.dir/src/db/obj/frNode.cpp.o -MF CMakeFiles/drt.dir/src/db/obj/frNode.cpp.o.d -o CMakeFiles/drt.dir/src/db/obj/frNode.cpp.o -c /builddir/build/BUILD/openroad/src/drt/src/db/obj/frNode.cpp [ 96%] Building CXX object src/drt/CMakeFiles/drt.dir/src/db/obj/frInstTerm.cpp.o cd /builddir/build/BUILD/openroad/build/src/drt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DBOOST_SERIALIZATION_DYN_LINK -DBOOST_SERIALIZATION_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/drt/include -I/builddir/build/BUILD/openroad/src/drt/src -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -pedantic -Wcast-qual -fopenmp -MD -MT src/drt/CMakeFiles/drt.dir/src/db/obj/frInstTerm.cpp.o -MF CMakeFiles/drt.dir/src/db/obj/frInstTerm.cpp.o.d -o CMakeFiles/drt.dir/src/db/obj/frInstTerm.cpp.o -c /builddir/build/BUILD/openroad/src/drt/src/db/obj/frInstTerm.cpp [ 97%] Building CXX object src/drt/CMakeFiles/drt.dir/src/db/tech/frConstraint.cc.o cd /builddir/build/BUILD/openroad/build/src/drt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DBOOST_SERIALIZATION_DYN_LINK -DBOOST_SERIALIZATION_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/drt/include -I/builddir/build/BUILD/openroad/src/drt/src -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -pedantic -Wcast-qual -fopenmp -MD -MT src/drt/CMakeFiles/drt.dir/src/db/tech/frConstraint.cc.o -MF CMakeFiles/drt.dir/src/db/tech/frConstraint.cc.o.d -o CMakeFiles/drt.dir/src/db/tech/frConstraint.cc.o -c /builddir/build/BUILD/openroad/src/drt/src/db/tech/frConstraint.cc [ 97%] Building CXX object src/drt/CMakeFiles/drt.dir/src/db/obj/frMarker.cpp.o cd /builddir/build/BUILD/openroad/build/src/drt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DBOOST_SERIALIZATION_DYN_LINK -DBOOST_SERIALIZATION_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/drt/include -I/builddir/build/BUILD/openroad/src/drt/src -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -pedantic -Wcast-qual -fopenmp -MD -MT src/drt/CMakeFiles/drt.dir/src/db/obj/frMarker.cpp.o -MF CMakeFiles/drt.dir/src/db/obj/frMarker.cpp.o.d -o CMakeFiles/drt.dir/src/db/obj/frMarker.cpp.o -c /builddir/build/BUILD/openroad/src/drt/src/db/obj/frMarker.cpp [ 97%] Building CXX object src/drt/CMakeFiles/drt.dir/src/db/tech/frLayer.cc.o cd /builddir/build/BUILD/openroad/build/src/drt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DBOOST_SERIALIZATION_DYN_LINK -DBOOST_SERIALIZATION_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/drt/include -I/builddir/build/BUILD/openroad/src/drt/src -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -pedantic -Wcast-qual -fopenmp -MD -MT src/drt/CMakeFiles/drt.dir/src/db/tech/frLayer.cc.o -MF CMakeFiles/drt.dir/src/db/tech/frLayer.cc.o.d -o CMakeFiles/drt.dir/src/db/tech/frLayer.cc.o -c /builddir/build/BUILD/openroad/src/drt/src/db/tech/frLayer.cc [ 97%] Building CXX object src/drt/CMakeFiles/drt.dir/src/frRegionQuery.cpp.o cd /builddir/build/BUILD/openroad/build/src/drt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DBOOST_SERIALIZATION_DYN_LINK -DBOOST_SERIALIZATION_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/drt/include -I/builddir/build/BUILD/openroad/src/drt/src -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -pedantic -Wcast-qual -fopenmp -MD -MT src/drt/CMakeFiles/drt.dir/src/frRegionQuery.cpp.o -MF CMakeFiles/drt.dir/src/frRegionQuery.cpp.o.d -o CMakeFiles/drt.dir/src/frRegionQuery.cpp.o -c /builddir/build/BUILD/openroad/src/drt/src/frRegionQuery.cpp [ 97%] Building CXX object src/drt/CMakeFiles/drt.dir/src/io/io_pin.cpp.o cd /builddir/build/BUILD/openroad/build/src/drt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DBOOST_SERIALIZATION_DYN_LINK -DBOOST_SERIALIZATION_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/drt/include -I/builddir/build/BUILD/openroad/src/drt/src -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -pedantic -Wcast-qual -fopenmp -MD -MT src/drt/CMakeFiles/drt.dir/src/io/io_pin.cpp.o -MF CMakeFiles/drt.dir/src/io/io_pin.cpp.o.d -o CMakeFiles/drt.dir/src/io/io_pin.cpp.o -c /builddir/build/BUILD/openroad/src/drt/src/io/io_pin.cpp [ 97%] Building CXX object src/drt/CMakeFiles/drt.dir/src/io/io.cpp.o cd /builddir/build/BUILD/openroad/build/src/drt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DBOOST_SERIALIZATION_DYN_LINK -DBOOST_SERIALIZATION_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/drt/include -I/builddir/build/BUILD/openroad/src/drt/src -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -pedantic -Wcast-qual -fopenmp -MD -MT src/drt/CMakeFiles/drt.dir/src/io/io.cpp.o -MF CMakeFiles/drt.dir/src/io/io.cpp.o.d -o CMakeFiles/drt.dir/src/io/io.cpp.o -c /builddir/build/BUILD/openroad/src/drt/src/io/io.cpp [ 97%] Building CXX object src/drt/CMakeFiles/drt.dir/src/io/io_guide.cpp.o cd /builddir/build/BUILD/openroad/build/src/drt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DBOOST_SERIALIZATION_DYN_LINK -DBOOST_SERIALIZATION_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/drt/include -I/builddir/build/BUILD/openroad/src/drt/src -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -pedantic -Wcast-qual -fopenmp -MD -MT src/drt/CMakeFiles/drt.dir/src/io/io_guide.cpp.o -MF CMakeFiles/drt.dir/src/io/io_guide.cpp.o.d -o CMakeFiles/drt.dir/src/io/io_guide.cpp.o -c /builddir/build/BUILD/openroad/src/drt/src/io/io_guide.cpp [ 97%] Building CXX object src/drt/CMakeFiles/drt.dir/src/io/io_parser_helper.cpp.o cd /builddir/build/BUILD/openroad/build/src/drt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DBOOST_SERIALIZATION_DYN_LINK -DBOOST_SERIALIZATION_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/drt/include -I/builddir/build/BUILD/openroad/src/drt/src -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -pedantic -Wcast-qual -fopenmp -MD -MT src/drt/CMakeFiles/drt.dir/src/io/io_parser_helper.cpp.o -MF CMakeFiles/drt.dir/src/io/io_parser_helper.cpp.o.d -o CMakeFiles/drt.dir/src/io/io_parser_helper.cpp.o -c /builddir/build/BUILD/openroad/src/drt/src/io/io_parser_helper.cpp [ 97%] Building CXX object src/drt/CMakeFiles/drt.dir/src/pa/FlexPA_init.cpp.o cd /builddir/build/BUILD/openroad/build/src/drt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DBOOST_SERIALIZATION_DYN_LINK -DBOOST_SERIALIZATION_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/drt/include -I/builddir/build/BUILD/openroad/src/drt/src -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -pedantic -Wcast-qual -fopenmp -MD -MT src/drt/CMakeFiles/drt.dir/src/pa/FlexPA_init.cpp.o -MF CMakeFiles/drt.dir/src/pa/FlexPA_init.cpp.o.d -o CMakeFiles/drt.dir/src/pa/FlexPA_init.cpp.o -c /builddir/build/BUILD/openroad/src/drt/src/pa/FlexPA_init.cpp [ 98%] Building CXX object src/drt/CMakeFiles/drt.dir/src/pa/FlexPA.cpp.o cd /builddir/build/BUILD/openroad/build/src/drt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DBOOST_SERIALIZATION_DYN_LINK -DBOOST_SERIALIZATION_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/drt/include -I/builddir/build/BUILD/openroad/src/drt/src -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -pedantic -Wcast-qual -fopenmp -MD -MT src/drt/CMakeFiles/drt.dir/src/pa/FlexPA.cpp.o -MF CMakeFiles/drt.dir/src/pa/FlexPA.cpp.o.d -o CMakeFiles/drt.dir/src/pa/FlexPA.cpp.o -c /builddir/build/BUILD/openroad/src/drt/src/pa/FlexPA.cpp [ 98%] Building CXX object src/drt/CMakeFiles/drt.dir/src/pa/FlexPA_prep.cpp.o cd /builddir/build/BUILD/openroad/build/src/drt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DBOOST_SERIALIZATION_DYN_LINK -DBOOST_SERIALIZATION_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/drt/include -I/builddir/build/BUILD/openroad/src/drt/src -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -pedantic -Wcast-qual -fopenmp -MD -MT src/drt/CMakeFiles/drt.dir/src/pa/FlexPA_prep.cpp.o -MF CMakeFiles/drt.dir/src/pa/FlexPA_prep.cpp.o.d -o CMakeFiles/drt.dir/src/pa/FlexPA_prep.cpp.o -c /builddir/build/BUILD/openroad/src/drt/src/pa/FlexPA_prep.cpp [ 98%] Building CXX object src/drt/CMakeFiles/drt.dir/src/pa/FlexPA_unique.cpp.o cd /builddir/build/BUILD/openroad/build/src/drt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DBOOST_SERIALIZATION_DYN_LINK -DBOOST_SERIALIZATION_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/drt/include -I/builddir/build/BUILD/openroad/src/drt/src -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -pedantic -Wcast-qual -fopenmp -MD -MT src/drt/CMakeFiles/drt.dir/src/pa/FlexPA_unique.cpp.o -MF CMakeFiles/drt.dir/src/pa/FlexPA_unique.cpp.o.d -o CMakeFiles/drt.dir/src/pa/FlexPA_unique.cpp.o -c /builddir/build/BUILD/openroad/src/drt/src/pa/FlexPA_unique.cpp [ 98%] Building CXX object src/drt/CMakeFiles/drt.dir/src/pa/FlexPA_graphics.cpp.o cd /builddir/build/BUILD/openroad/build/src/drt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DBOOST_SERIALIZATION_DYN_LINK -DBOOST_SERIALIZATION_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/drt/include -I/builddir/build/BUILD/openroad/src/drt/src -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -pedantic -Wcast-qual -fopenmp -MD -MT src/drt/CMakeFiles/drt.dir/src/pa/FlexPA_graphics.cpp.o -MF CMakeFiles/drt.dir/src/pa/FlexPA_graphics.cpp.o.d -o CMakeFiles/drt.dir/src/pa/FlexPA_graphics.cpp.o -c /builddir/build/BUILD/openroad/src/drt/src/pa/FlexPA_graphics.cpp [ 98%] Building CXX object src/drt/CMakeFiles/drt.dir/src/rp/FlexRP_init.cpp.o cd /builddir/build/BUILD/openroad/build/src/drt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DBOOST_SERIALIZATION_DYN_LINK -DBOOST_SERIALIZATION_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/drt/include -I/builddir/build/BUILD/openroad/src/drt/src -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -pedantic -Wcast-qual -fopenmp -MD -MT src/drt/CMakeFiles/drt.dir/src/rp/FlexRP_init.cpp.o -MF CMakeFiles/drt.dir/src/rp/FlexRP_init.cpp.o.d -o CMakeFiles/drt.dir/src/rp/FlexRP_init.cpp.o -c /builddir/build/BUILD/openroad/src/drt/src/rp/FlexRP_init.cpp [ 98%] Building CXX object src/drt/CMakeFiles/drt.dir/src/rp/FlexRP.cpp.o cd /builddir/build/BUILD/openroad/build/src/drt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DBOOST_SERIALIZATION_DYN_LINK -DBOOST_SERIALIZATION_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/drt/include -I/builddir/build/BUILD/openroad/src/drt/src -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -pedantic -Wcast-qual -fopenmp -MD -MT src/drt/CMakeFiles/drt.dir/src/rp/FlexRP.cpp.o -MF CMakeFiles/drt.dir/src/rp/FlexRP.cpp.o.d -o CMakeFiles/drt.dir/src/rp/FlexRP.cpp.o -c /builddir/build/BUILD/openroad/src/drt/src/rp/FlexRP.cpp [ 98%] Building CXX object src/drt/CMakeFiles/drt.dir/src/rp/FlexRP_prep.cpp.o cd /builddir/build/BUILD/openroad/build/src/drt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DBOOST_SERIALIZATION_DYN_LINK -DBOOST_SERIALIZATION_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/drt/include -I/builddir/build/BUILD/openroad/src/drt/src -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -pedantic -Wcast-qual -fopenmp -MD -MT src/drt/CMakeFiles/drt.dir/src/rp/FlexRP_prep.cpp.o -MF CMakeFiles/drt.dir/src/rp/FlexRP_prep.cpp.o.d -o CMakeFiles/drt.dir/src/rp/FlexRP_prep.cpp.o -c /builddir/build/BUILD/openroad/src/drt/src/rp/FlexRP_prep.cpp [ 98%] Building CXX object src/drt/CMakeFiles/drt.dir/src/distributed/frArchive.cpp.o cd /builddir/build/BUILD/openroad/build/src/drt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DBOOST_SERIALIZATION_DYN_LINK -DBOOST_SERIALIZATION_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/drt/include -I/builddir/build/BUILD/openroad/src/drt/src -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -pedantic -Wcast-qual -fopenmp -MD -MT src/drt/CMakeFiles/drt.dir/src/distributed/frArchive.cpp.o -MF CMakeFiles/drt.dir/src/distributed/frArchive.cpp.o.d -o CMakeFiles/drt.dir/src/distributed/frArchive.cpp.o -c /builddir/build/BUILD/openroad/src/drt/src/distributed/frArchive.cpp [ 98%] Building CXX object src/drt/CMakeFiles/drt.dir/src/distributed/drUpdate.cpp.o cd /builddir/build/BUILD/openroad/build/src/drt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DBOOST_SERIALIZATION_DYN_LINK -DBOOST_SERIALIZATION_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/drt/include -I/builddir/build/BUILD/openroad/src/drt/src -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -pedantic -Wcast-qual -fopenmp -MD -MT src/drt/CMakeFiles/drt.dir/src/distributed/drUpdate.cpp.o -MF CMakeFiles/drt.dir/src/distributed/drUpdate.cpp.o.d -o CMakeFiles/drt.dir/src/distributed/drUpdate.cpp.o -c /builddir/build/BUILD/openroad/src/drt/src/distributed/drUpdate.cpp [ 99%] Building CXX object src/drt/CMakeFiles/drt.dir/src/distributed/paUpdate.cpp.o cd /builddir/build/BUILD/openroad/build/src/drt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DBOOST_SERIALIZATION_DYN_LINK -DBOOST_SERIALIZATION_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/drt/include -I/builddir/build/BUILD/openroad/src/drt/src -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -pedantic -Wcast-qual -fopenmp -MD -MT src/drt/CMakeFiles/drt.dir/src/distributed/paUpdate.cpp.o -MF CMakeFiles/drt.dir/src/distributed/paUpdate.cpp.o.d -o CMakeFiles/drt.dir/src/distributed/paUpdate.cpp.o -c /builddir/build/BUILD/openroad/src/drt/src/distributed/paUpdate.cpp [ 99%] Building CXX object src/drt/CMakeFiles/drt.dir/src/TritonRoute.cpp.o cd /builddir/build/BUILD/openroad/build/src/drt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DBOOST_SERIALIZATION_DYN_LINK -DBOOST_SERIALIZATION_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/drt/include -I/builddir/build/BUILD/openroad/src/drt/src -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -pedantic -Wcast-qual -fopenmp -MD -MT src/drt/CMakeFiles/drt.dir/src/TritonRoute.cpp.o -MF CMakeFiles/drt.dir/src/TritonRoute.cpp.o.d -o CMakeFiles/drt.dir/src/TritonRoute.cpp.o -c /builddir/build/BUILD/openroad/src/drt/src/TritonRoute.cpp [ 99%] Building CXX object src/drt/CMakeFiles/drt.dir/src/MakeTritonRoute.cpp.o cd /builddir/build/BUILD/openroad/build/src/drt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DBOOST_SERIALIZATION_DYN_LINK -DBOOST_SERIALIZATION_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/drt/include -I/builddir/build/BUILD/openroad/src/drt/src -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -pedantic -Wcast-qual -fopenmp -MD -MT src/drt/CMakeFiles/drt.dir/src/MakeTritonRoute.cpp.o -MF CMakeFiles/drt.dir/src/MakeTritonRoute.cpp.o.d -o CMakeFiles/drt.dir/src/MakeTritonRoute.cpp.o -c /builddir/build/BUILD/openroad/src/drt/src/MakeTritonRoute.cpp [ 99%] Building CXX object src/drt/CMakeFiles/drt.dir/src/frBaseTypes.cpp.o cd /builddir/build/BUILD/openroad/build/src/drt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DBOOST_SERIALIZATION_DYN_LINK -DBOOST_SERIALIZATION_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/drt/include -I/builddir/build/BUILD/openroad/src/drt/src -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -pedantic -Wcast-qual -fopenmp -MD -MT src/drt/CMakeFiles/drt.dir/src/frBaseTypes.cpp.o -MF CMakeFiles/drt.dir/src/frBaseTypes.cpp.o.d -o CMakeFiles/drt.dir/src/frBaseTypes.cpp.o -c /builddir/build/BUILD/openroad/src/drt/src/frBaseTypes.cpp [ 99%] Building CXX object src/drt/CMakeFiles/drt.dir/src/DesignCallBack.cpp.o cd /builddir/build/BUILD/openroad/build/src/drt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DBOOST_SERIALIZATION_DYN_LINK -DBOOST_SERIALIZATION_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/drt/include -I/builddir/build/BUILD/openroad/src/drt/src -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -pedantic -Wcast-qual -fopenmp -MD -MT src/drt/CMakeFiles/drt.dir/src/DesignCallBack.cpp.o -MF CMakeFiles/drt.dir/src/DesignCallBack.cpp.o.d -o CMakeFiles/drt.dir/src/DesignCallBack.cpp.o -c /builddir/build/BUILD/openroad/src/drt/src/DesignCallBack.cpp [ 99%] Linking CXX static library drt.a cd /builddir/build/BUILD/openroad/build/src/drt && /usr/bin/cmake -P CMakeFiles/drt.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/openroad/build/src/drt && /usr/bin/cmake -E cmake_link_script CMakeFiles/drt.dir/link.txt --verbose=1 /usr/bin/ar qc drt.a CMakeFiles/drt.dir/CMakeFiles/drt.dir/TritonRouteTCL_wrap.cxx.o "CMakeFiles/drt.dir/drt-tclInitVar.cc.o" CMakeFiles/drt.dir/src/gr/FlexGRCMap.cpp.o CMakeFiles/drt.dir/src/gr/FlexGR.cpp.o CMakeFiles/drt.dir/src/gr/FlexGR_end.cpp.o CMakeFiles/drt.dir/src/gr/FlexGRGridGraph.cpp.o CMakeFiles/drt.dir/src/gr/FlexGRGridGraph_maze.cpp.o CMakeFiles/drt.dir/src/gr/FlexGR_init.cpp.o CMakeFiles/drt.dir/src/gr/FlexGR_maze.cpp.o CMakeFiles/drt.dir/src/gr/FlexGR_rq.cpp.o CMakeFiles/drt.dir/src/gr/FlexGR_topo.cpp.o CMakeFiles/drt.dir/src/dr/FlexDR_conn.cpp.o CMakeFiles/drt.dir/src/dr/FlexDR_init.cpp.o CMakeFiles/drt.dir/src/dr/FlexDR.cpp.o CMakeFiles/drt.dir/src/db/drObj/drNet.cpp.o CMakeFiles/drt.dir/src/dr/FlexDR_maze.cpp.o CMakeFiles/drt.dir/src/dr/FlexGridGraph_maze.cpp.o CMakeFiles/drt.dir/src/dr/FlexGridGraph.cpp.o CMakeFiles/drt.dir/src/dr/FlexDR_rq.cpp.o CMakeFiles/drt.dir/src/dr/FlexDR_end.cpp.o CMakeFiles/drt.dir/src/dr/FlexDR_graphics.cpp.o CMakeFiles/drt.dir/src/ta/FlexTA_end.cpp.o CMakeFiles/drt.dir/src/ta/FlexTA_init.cpp.o CMakeFiles/drt.dir/src/ta/FlexTA_rq.cpp.o CMakeFiles/drt.dir/src/ta/FlexTA_assign.cpp.o CMakeFiles/drt.dir/src/ta/FlexTA.cpp.o CMakeFiles/drt.dir/src/ta/FlexTA_graphics.cpp.o CMakeFiles/drt.dir/src/global.cpp.o CMakeFiles/drt.dir/src/gc/FlexGC_end.cpp.o CMakeFiles/drt.dir/src/gc/FlexGC_rq.cpp.o CMakeFiles/drt.dir/src/gc/FlexGC.cpp.o CMakeFiles/drt.dir/src/gc/FlexGC_init.cpp.o CMakeFiles/drt.dir/src/gc/FlexGC_main.cpp.o CMakeFiles/drt.dir/src/gc/FlexGC_eol.cpp.o CMakeFiles/drt.dir/src/gc/FlexGC_inf.cpp.o CMakeFiles/drt.dir/src/gc/FlexGC_cut.cpp.o CMakeFiles/drt.dir/src/db/drObj/drAccessPattern.cpp.o CMakeFiles/drt.dir/src/db/drObj/drPin.cpp.o CMakeFiles/drt.dir/src/db/drObj/drShape.cpp.o CMakeFiles/drt.dir/src/db/drObj/drVia.cpp.o CMakeFiles/drt.dir/src/db/infra/frTime_helper.cpp.o CMakeFiles/drt.dir/src/db/infra/frTime.cpp.o CMakeFiles/drt.dir/src/db/taObj/taShape.cpp.o CMakeFiles/drt.dir/src/db/obj/frShape.cpp.o CMakeFiles/drt.dir/src/db/obj/frInst.cpp.o CMakeFiles/drt.dir/src/db/obj/frVia.cpp.o CMakeFiles/drt.dir/src/db/obj/frAccess.cpp.o CMakeFiles/drt.dir/src/db/obj/frRPin.cpp.o CMakeFiles/drt.dir/src/db/obj/frNode.cpp.o CMakeFiles/drt.dir/src/db/obj/frInstTerm.cpp.o CMakeFiles/drt.dir/src/db/tech/frConstraint.cc.o CMakeFiles/drt.dir/src/db/obj/frMarker.cpp.o CMakeFiles/drt.dir/src/db/tech/frLayer.cc.o CMakeFiles/drt.dir/src/frRegionQuery.cpp.o CMakeFiles/drt.dir/src/io/io_pin.cpp.o CMakeFiles/drt.dir/src/io/io.cpp.o CMakeFiles/drt.dir/src/io/io_guide.cpp.o CMakeFiles/drt.dir/src/io/io_parser_helper.cpp.o CMakeFiles/drt.dir/src/pa/FlexPA_init.cpp.o CMakeFiles/drt.dir/src/pa/FlexPA.cpp.o CMakeFiles/drt.dir/src/pa/FlexPA_prep.cpp.o CMakeFiles/drt.dir/src/pa/FlexPA_unique.cpp.o CMakeFiles/drt.dir/src/pa/FlexPA_graphics.cpp.o CMakeFiles/drt.dir/src/rp/FlexRP_init.cpp.o CMakeFiles/drt.dir/src/rp/FlexRP.cpp.o CMakeFiles/drt.dir/src/rp/FlexRP_prep.cpp.o CMakeFiles/drt.dir/src/distributed/frArchive.cpp.o CMakeFiles/drt.dir/src/distributed/drUpdate.cpp.o CMakeFiles/drt.dir/src/distributed/paUpdate.cpp.o CMakeFiles/drt.dir/src/TritonRoute.cpp.o CMakeFiles/drt.dir/src/MakeTritonRoute.cpp.o CMakeFiles/drt.dir/src/frBaseTypes.cpp.o CMakeFiles/drt.dir/src/DesignCallBack.cpp.o /usr/bin/ranlib drt.a cd /builddir/build/BUILD/openroad/src/drt && /builddir/build/BUILD/openroad/etc/find_messages.py > /builddir/build/BUILD/openroad/src/drt/messages.txt gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [ 99%] Built target drt /usr/bin/gmake -f src/drt/CMakeFiles/drt_py.dir/build.make src/drt/CMakeFiles/drt_py.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [ 99%] Swig compile src/TritonRoute-py.i for python cd /builddir/build/BUILD/openroad/build/src/drt && /usr/bin/cmake -E make_directory /builddir/build/BUILD/openroad/build/src/drt/CMakeFiles/drt_py.dir /builddir/build/BUILD/openroad/build/src/drt /builddir/build/BUILD/openroad/build/src/drt/CMakeFiles/drt_py.dir cd /builddir/build/BUILD/openroad/build/src/drt && /usr/bin/cmake -E env SWIG_LIB=/usr/share/swig/4.0.2 /usr/bin/swig -python -I/builddir/build/BUILD/openroad/src/drt/include/drt -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/usr/include/python3.11 -I/builddir/build/BUILD/openroad/src/drt/include -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/usr/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -Werror -w317,325,378,401,402,467,472,503,509 -outdir /builddir/build/BUILD/openroad/build/src/drt -c++ -module drt_py -interface _drt_py -MF /builddir/build/BUILD/openroad/build/src/drt/CMakeFiles/drt_py.dir/TritonRoute-py.i.d -MD -I/usr/include/sta -I/usr/include/abc -o /builddir/build/BUILD/openroad/build/src/drt/CMakeFiles/drt_py.dir/TritonRoute-pyPYTHON_wrap.cxx /builddir/build/BUILD/openroad/src/drt/src/TritonRoute-py.i [ 99%] Generating drt_py-pythonInitVar.cc cd /builddir/build/BUILD/openroad/src/drt && /usr/share/opensta/etc/TclEncode.tcl /builddir/build/BUILD/openroad/build/src/drt/drt_py-pythonInitVar.cc drt_py_python_inits /builddir/build/BUILD/openroad/build/src/drt/drt_py.py cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src/drt /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src/drt /builddir/build/BUILD/openroad/build/src/drt/CMakeFiles/drt_py.dir/DependInfo.cmake "--color=" Dependencies file "src/drt/CMakeFiles/drt_py.dir/TritonRoute-py.i.d" is newer than depends file "/builddir/build/BUILD/openroad/build/src/drt/CMakeFiles/drt_py.dir/compiler_depend.internal". Consolidate compiler generated dependencies of target drt_py gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' /usr/bin/gmake -f src/drt/CMakeFiles/drt_py.dir/build.make src/drt/CMakeFiles/drt_py.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [100%] Building CXX object src/drt/CMakeFiles/drt_py.dir/CMakeFiles/drt_py.dir/TritonRoute-pyPYTHON_wrap.cxx.o [100%] Building CXX object src/drt/CMakeFiles/drt_py.dir/drt_py-pythonInitVar.cc.o cd /builddir/build/BUILD/openroad/build/src/drt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DBOOST_SERIALIZATION_DYN_LINK -DBOOST_SERIALIZATION_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/drt/include -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -isystem /usr/include/python3.11 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -fopenmp -Wno-cast-qual -Wno-missing-braces -MD -MT src/drt/CMakeFiles/drt_py.dir/CMakeFiles/drt_py.dir/TritonRoute-pyPYTHON_wrap.cxx.o -MF CMakeFiles/drt_py.dir/CMakeFiles/drt_py.dir/TritonRoute-pyPYTHON_wrap.cxx.o.d -o CMakeFiles/drt_py.dir/CMakeFiles/drt_py.dir/TritonRoute-pyPYTHON_wrap.cxx.o -c /builddir/build/BUILD/openroad/build/src/drt/CMakeFiles/drt_py.dir/TritonRoute-pyPYTHON_wrap.cxx cd /builddir/build/BUILD/openroad/build/src/drt && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DBOOST_SERIALIZATION_DYN_LINK -DBOOST_SERIALIZATION_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/drt/include -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -isystem /usr/include/python3.11 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -fopenmp -MD -MT src/drt/CMakeFiles/drt_py.dir/drt_py-pythonInitVar.cc.o -MF CMakeFiles/drt_py.dir/drt_py-pythonInitVar.cc.o.d -o CMakeFiles/drt_py.dir/drt_py-pythonInitVar.cc.o -c /builddir/build/BUILD/openroad/build/src/drt/drt_py-pythonInitVar.cc [100%] Linking CXX static library _drt_py.a cd /builddir/build/BUILD/openroad/build/src/drt && /usr/bin/cmake -P CMakeFiles/drt_py.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/openroad/build/src/drt && /usr/bin/cmake -E cmake_link_script CMakeFiles/drt_py.dir/link.txt --verbose=1 /usr/bin/ar qc _drt_py.a "CMakeFiles/drt_py.dir/CMakeFiles/drt_py.dir/TritonRoute-pyPYTHON_wrap.cxx.o" "CMakeFiles/drt_py.dir/drt_py-pythonInitVar.cc.o" /usr/bin/ranlib _drt_py.a gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [100%] Built target drt_py /usr/bin/gmake -f src/CMakeFiles/openroad.dir/build.make src/CMakeFiles/openroad.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' cd /builddir/build/BUILD/openroad/build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/openroad /builddir/build/BUILD/openroad/src /builddir/build/BUILD/openroad/build /builddir/build/BUILD/openroad/build/src /builddir/build/BUILD/openroad/build/src/CMakeFiles/openroad.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' /usr/bin/gmake -f src/CMakeFiles/openroad.dir/build.make src/CMakeFiles/openroad.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/openroad/build' [100%] Building CXX object src/CMakeFiles/openroad.dir/Design.cc.o [100%] Building CXX object src/CMakeFiles/openroad.dir/Tech.cc.o [100%] Building CXX object src/CMakeFiles/openroad.dir/OpenRoad.cc.o [100%] Building CXX object src/CMakeFiles/openroad.dir/Main.cc.o cd /builddir/build/BUILD/openroad/build/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DBOOST_SERIALIZATION_DYN_LINK -DBOOST_SERIALIZATION_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DENABLE_MPL2 -DENABLE_PAR -DENABLE_PYTHON3 -DENABLE_READLINE -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DOR_TOOLS_AS_DYNAMIC_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -DUSE_BOP -DUSE_CBC -DUSE_CLP -DUSE_GLOP -DUSE_GLPK -DUSE_LP_PARSER -DUSE_PDLP -DUSE_SCIP -Dopenroad_EXPORTS -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/../include -I/builddir/build/BUILD/openroad/src/ifp/src/../include -I/builddir/build/BUILD/openroad/src/pad/include -I/builddir/build/BUILD/openroad/src/gpl/include -I/builddir/build/BUILD/openroad/src/dpl/include -I/builddir/build/BUILD/openroad/src/dpo/include -I/builddir/build/BUILD/openroad/src/fin/include -I/builddir/build/BUILD/openroad/src/rsz/src/../include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/ppl/include -I/builddir/build/BUILD/openroad/src/ppl/src/munkres/src -I/builddir/build/BUILD/openroad/src/odb/src/swig/common/. -I/builddir/build/BUILD/openroad/src/rcx/src/../include -I/builddir/build/BUILD/openroad/src/rmp/src/../include -I/builddir/build/BUILD/openroad/src/cts/src/../include -I/builddir/build/BUILD/openroad/src/tap/src/../include -I/builddir/build/BUILD/openroad/src/drt/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/mpl/include -I/builddir/build/BUILD/openroad/src/psm/src/../include -I/builddir/build/BUILD/openroad/src/pdn/src/../include -I/builddir/build/BUILD/openroad/src/dft/include -I/builddir/build/BUILD/openroad/src/mpl2/include -I/builddir/build/BUILD/openroad/src/par/include -I/builddir/build/BUILD/openroad/src/cts/src/. -I/builddir/build/BUILD/openroad/src/dpo/include/dpo -I/builddir/build/BUILD/openroad/src/pdn/src/. -I/builddir/build/BUILD/openroad/src/dft/../include -isystem /usr/include/python3.11 -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIE -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -Wextra -pedantic -Wcast-qual -fopenmp -fwrapv -MD -MT src/CMakeFiles/openroad.dir/OpenRoad.cc.o -MF CMakeFiles/openroad.dir/OpenRoad.cc.o.d -o CMakeFiles/openroad.dir/OpenRoad.cc.o -c /builddir/build/BUILD/openroad/src/OpenRoad.cc cd /builddir/build/BUILD/openroad/build/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DBOOST_SERIALIZATION_DYN_LINK -DBOOST_SERIALIZATION_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DENABLE_MPL2 -DENABLE_PAR -DENABLE_PYTHON3 -DENABLE_READLINE -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DOR_TOOLS_AS_DYNAMIC_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -DUSE_BOP -DUSE_CBC -DUSE_CLP -DUSE_GLOP -DUSE_GLPK -DUSE_LP_PARSER -DUSE_PDLP -DUSE_SCIP -Dopenroad_EXPORTS -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/../include -I/builddir/build/BUILD/openroad/src/ifp/src/../include -I/builddir/build/BUILD/openroad/src/pad/include -I/builddir/build/BUILD/openroad/src/gpl/include -I/builddir/build/BUILD/openroad/src/dpl/include -I/builddir/build/BUILD/openroad/src/dpo/include -I/builddir/build/BUILD/openroad/src/fin/include -I/builddir/build/BUILD/openroad/src/rsz/src/../include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/ppl/include -I/builddir/build/BUILD/openroad/src/ppl/src/munkres/src -I/builddir/build/BUILD/openroad/src/odb/src/swig/common/. -I/builddir/build/BUILD/openroad/src/rcx/src/../include -I/builddir/build/BUILD/openroad/src/rmp/src/../include -I/builddir/build/BUILD/openroad/src/cts/src/../include -I/builddir/build/BUILD/openroad/src/tap/src/../include -I/builddir/build/BUILD/openroad/src/drt/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/mpl/include -I/builddir/build/BUILD/openroad/src/psm/src/../include -I/builddir/build/BUILD/openroad/src/pdn/src/../include -I/builddir/build/BUILD/openroad/src/dft/include -I/builddir/build/BUILD/openroad/src/mpl2/include -I/builddir/build/BUILD/openroad/src/par/include -I/builddir/build/BUILD/openroad/src/cts/src/. -I/builddir/build/BUILD/openroad/src/dpo/include/dpo -I/builddir/build/BUILD/openroad/src/pdn/src/. -I/builddir/build/BUILD/openroad/src/dft/../include -isystem /usr/include/python3.11 -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIE -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -Wextra -pedantic -Wcast-qual -fopenmp -fwrapv -MD -MT src/CMakeFiles/openroad.dir/Tech.cc.o -MF CMakeFiles/openroad.dir/Tech.cc.o.d -o CMakeFiles/openroad.dir/Tech.cc.o -c /builddir/build/BUILD/openroad/src/Tech.cc cd /builddir/build/BUILD/openroad/build/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DBOOST_SERIALIZATION_DYN_LINK -DBOOST_SERIALIZATION_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DENABLE_MPL2 -DENABLE_PAR -DENABLE_PYTHON3 -DENABLE_READLINE -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DOR_TOOLS_AS_DYNAMIC_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -DUSE_BOP -DUSE_CBC -DUSE_CLP -DUSE_GLOP -DUSE_GLPK -DUSE_LP_PARSER -DUSE_PDLP -DUSE_SCIP -Dopenroad_EXPORTS -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/../include -I/builddir/build/BUILD/openroad/src/ifp/src/../include -I/builddir/build/BUILD/openroad/src/pad/include -I/builddir/build/BUILD/openroad/src/gpl/include -I/builddir/build/BUILD/openroad/src/dpl/include -I/builddir/build/BUILD/openroad/src/dpo/include -I/builddir/build/BUILD/openroad/src/fin/include -I/builddir/build/BUILD/openroad/src/rsz/src/../include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/ppl/include -I/builddir/build/BUILD/openroad/src/ppl/src/munkres/src -I/builddir/build/BUILD/openroad/src/odb/src/swig/common/. -I/builddir/build/BUILD/openroad/src/rcx/src/../include -I/builddir/build/BUILD/openroad/src/rmp/src/../include -I/builddir/build/BUILD/openroad/src/cts/src/../include -I/builddir/build/BUILD/openroad/src/tap/src/../include -I/builddir/build/BUILD/openroad/src/drt/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/mpl/include -I/builddir/build/BUILD/openroad/src/psm/src/../include -I/builddir/build/BUILD/openroad/src/pdn/src/../include -I/builddir/build/BUILD/openroad/src/dft/include -I/builddir/build/BUILD/openroad/src/mpl2/include -I/builddir/build/BUILD/openroad/src/par/include -I/builddir/build/BUILD/openroad/src/cts/src/. -I/builddir/build/BUILD/openroad/src/dpo/include/dpo -I/builddir/build/BUILD/openroad/src/pdn/src/. -I/builddir/build/BUILD/openroad/src/dft/../include -isystem /usr/include/python3.11 -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIE -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -Wextra -pedantic -Wcast-qual -fopenmp -fwrapv -MD -MT src/CMakeFiles/openroad.dir/Design.cc.o -MF CMakeFiles/openroad.dir/Design.cc.o.d -o CMakeFiles/openroad.dir/Design.cc.o -c /builddir/build/BUILD/openroad/src/Design.cc cd /builddir/build/BUILD/openroad/build/src && /usr/bin/g++ -DABC_USE_STDINT_H=1 -DBOOST_SERIALIZATION_DYN_LINK -DBOOST_SERIALIZATION_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DENABLE_MPL2 -DENABLE_PAR -DENABLE_PYTHON3 -DENABLE_READLINE -DFMT_DEPRECATED_OSTREAM=1 -DFMT_SHARED -DOR_TOOLS_AS_DYNAMIC_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_SHARED_LIB -DUSE_BOP -DUSE_CBC -DUSE_CLP -DUSE_GLOP -DUSE_GLPK -DUSE_LP_PARSER -DUSE_PDLP -DUSE_SCIP -Dopenroad_EXPORTS -I/usr/include/sta -I/usr/include/abc -I/builddir/build/BUILD/openroad/src/../include -I/builddir/build/BUILD/openroad/src/ifp/src/../include -I/builddir/build/BUILD/openroad/src/pad/include -I/builddir/build/BUILD/openroad/src/gpl/include -I/builddir/build/BUILD/openroad/src/dpl/include -I/builddir/build/BUILD/openroad/src/dpo/include -I/builddir/build/BUILD/openroad/src/fin/include -I/builddir/build/BUILD/openroad/src/rsz/src/../include -I/builddir/build/BUILD/openroad/src/stt/include -I/builddir/build/BUILD/openroad/src/utl/include -I/builddir/build/BUILD/openroad/src/odb/include -I/builddir/build/BUILD/openroad/src/odb/include/odb -I/builddir/build/BUILD/openroad/src/odb/src/def/def -I/builddir/build/BUILD/openroad/src/odb/src/def/defzlib -I/builddir/build/BUILD/openroad/src/odb/src/lef/lef -I/builddir/build/BUILD/openroad/src/odb/src/lef/lefzlib -I/builddir/build/BUILD/openroad/src/dbSta/src/../include -I/builddir/build/BUILD/openroad/include -I/builddir/build/BUILD/openroad/src/grt/include -I/builddir/build/BUILD/openroad/src/grt/src/fastroute/include -I/builddir/build/BUILD/openroad/src/ant/src/../include -I/builddir/build/BUILD/openroad/src/gui/include -I/builddir/build/BUILD/openroad/src/ppl/include -I/builddir/build/BUILD/openroad/src/ppl/src/munkres/src -I/builddir/build/BUILD/openroad/src/odb/src/swig/common/. -I/builddir/build/BUILD/openroad/src/rcx/src/../include -I/builddir/build/BUILD/openroad/src/rmp/src/../include -I/builddir/build/BUILD/openroad/src/cts/src/../include -I/builddir/build/BUILD/openroad/src/tap/src/../include -I/builddir/build/BUILD/openroad/src/drt/include -I/builddir/build/BUILD/openroad/src/dst/include -I/builddir/build/BUILD/openroad/src/mpl/include -I/builddir/build/BUILD/openroad/src/psm/src/../include -I/builddir/build/BUILD/openroad/src/pdn/src/../include -I/builddir/build/BUILD/openroad/src/dft/include -I/builddir/build/BUILD/openroad/src/mpl2/include -I/builddir/build/BUILD/openroad/src/par/include -I/builddir/build/BUILD/openroad/src/cts/src/. -I/builddir/build/BUILD/openroad/src/dpo/include/dpo -I/builddir/build/BUILD/openroad/src/pdn/src/. -I/builddir/build/BUILD/openroad/src/dft/../include -isystem /usr/include/python3.11 -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -std=c++17 -fPIE -Wall -Wmissing-field-initializers -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -Wextra -pedantic -Wcast-qual -fopenmp -fwrapv -MD -MT src/CMakeFiles/openroad.dir/Main.cc.o -MF CMakeFiles/openroad.dir/Main.cc.o.d -o CMakeFiles/openroad.dir/Main.cc.o -c /builddir/build/BUILD/openroad/src/Main.cc [100%] Linking CXX executable openroad cd /builddir/build/BUILD/openroad/build/src && /usr/bin/cmake -E cmake_link_script CMakeFiles/openroad.dir/link.txt --verbose=1 /usr/bin/g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -O2 -g -DNDEBUG -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -Wl,--export-dynamic -rdynamic CMakeFiles/openroad.dir/Design.cc.o CMakeFiles/openroad.dir/Tech.cc.o CMakeFiles/openroad.dir/OpenRoad.cc.o CMakeFiles/openroad.dir/Main.cc.o -o openroad ifp/src/ifp.a pad/pad.a openroad_swig.a gpl/gpl.a dpl/dpl.a dpo/dpo.a fin/fin.a rsz/src/rsz.a ppl/ppl.a stt/stt.a dbSta/src/dbSta.a -lOpenSTA odb/src/swig/tcl/odbtcl.a rcx/src/rcx.a rmp/src/rmp.a cts/src/cts.a grt/grt.a tap/src/tap.a gui/gui.a drt/drt.a dst/dst.a mpl/mpl.a psm/src/psm.a ant/src/ant.a upf/src/upf.a utl/utl.a pdn/src/pdn.a dft/dft.a /usr/lib64/libabc.so -ldl /usr/lib64/libtcl.so mpl2/mpl2.a par/par.a /usr/lib64/libtclreadline.so /usr/lib64/libz.so _openroad_swig_py.a odb/src/swig/python/_odb_py.a ifp/src/_ifp_py.a utl/_utl_py.a ant/src/_ant_py.a grt/_grt_py.a gpl/_gpl_py.a dpl/_dpl_py.a mpl/_mpl_py.a ppl/_ppl_py.a tap/src/_tap_py.a cts/src/_cts_py.a drt/_drt_py.a dpo/_dpo_py.a fin/_fin_py.a rcx/src/_rcx_py.a rmp/src/_rmp_py.a stt/_stt_py.a psm/src/_psm_py.a pdn/src/_pdn_py.a dft/_dft_py.a par/_par_py.a /usr/lib64/librt.a /usr/lib64/libortools.so.9.6.9999 mpl2/libmpl2_lib.a /usr/lib64/libortools.so.9.6.9999 odb/src/swig/common/libodb_swig_common.a ifp/src/ifp.a upf/src/libupf_lib.a mpl/mpl.a mpl/src/ParquetFP/libParquetFP.a ppl/ppl.a ppl/src/munkres/libMunkres.a tap/src/tap.a cts/src/cts.a cts/src/libcts_lib.a drt/drt.a dst/dst.a /usr/lib64/libz.so /usr/lib64/libboost_serialization.so.1.78.0 /usr/lib64/libboost_system.so.1.78.0 /usr/lib64/libboost_thread.so.1.78.0 /usr/lib/gcc/aarch64-redhat-linux/12/libgomp.so /usr/lib64/libpthread.a /usr/lib64/libboost_serialization.so.1.78.0 dpo/dpo.a fin/fin.a rcx/src/librcx_lib.a rmp/src/rmp.a rsz/src/rsz.a grt/grt.a rsz/src/rsz.a grt/grt.a /usr/lib64/libabc.so -ldl stt/stt.a psm/src/psm.a pad/pad.a rsz/src/librsz_lib.a grt/libgrt_lib.a rsz/src/librsz_lib.a grt/libgrt_lib.a dpl/libdpl_lib.a grt/src/fastroute/libFastRoute4.1.a stt/libstt_lib.a ant/src/libant_lib.a pdn/src/pdn.a dft/dft.a dft/src/replace/libdft_replace_lib.a dft/src/stitch/libdft_stitch_lib.a dft/src/cells/libdft_cells_lib.a dft/src/architect/libdft_architect_lib.a dft/src/cells/libdft_base_scan_cell_lib.a dft/src/clock_domain/libdft_clock_domain_lib.a dft/src/config/libdft_config_lib.a dft/src/utils/libdft_utils_scan_pin_lib.a dft/src/utils/libdft_utils_lib.a dbSta/src/dbSta.a gui/gui.a /usr/lib64/libQt5Widgets.so.5.15.10 /usr/lib64/libQt5Gui.so.5.15.10 /usr/lib64/libQt5Core.so.5.15.10 utl/utl.a par/libpar_lib.a dbSta/src/libdbSta_lib.a -lOpenSTA odb/src/cdl/libcdl.a odb/src/defin/libdefin.a odb/src/def/libdef.a odb/src/def/libdefzlib.a odb/src/defout/libdefout.a odb/src/lefin/liblefin.a odb/src/lef/liblef.a odb/src/lef/liblefzlib.a /usr/lib64/libz.so odb/src/lefout/liblefout.a odb/src/db/libdb.a odb/src/zutil/libzutil.a odb/src/db/libdb.a odb/src/zutil/libzutil.a /usr/lib64/libtcl.so utl/libutl_lib.a /usr/lib64/libspdlog.so.1.10.0 /usr/lib64/libortools.so.9.6.9999 /usr/lib64/libz.so.1 /usr/lib64/libabsl_die_if_null.so.2301.0.0 /usr/lib64/libabsl_flags_parse.so.2301.0.0 /usr/lib64/libabsl_flags_usage.so.2301.0.0 /usr/lib64/libabsl_log_flags.so.2301.0.0 /usr/lib64/libabsl_log_initialize.so.2301.0.0 /usr/lib64/libabsl_leak_check.so.2301.0.0 /usr/lib64/libabsl_statusor.so.2301.0.0 /usr/lib64/libprotobuf.so.30 /usr/lib64/libre2.so.9 /usr/lib64/libglpk.so.40 /usr/lib64/libscip.so.8.0 /usr/lib64/libabsl_log_internal_check_op.so.2301.0.0 /usr/lib64/libabsl_log_internal_conditions.so.2301.0.0 /usr/lib64/libabsl_log_internal_message.so.2301.0.0 /usr/lib64/libabsl_log_internal_nullguard.so.2301.0.0 /usr/lib64/libabsl_flags_internal.so.2301.0.0 /usr/lib64/libabsl_flags_marshalling.so.2301.0.0 /usr/lib64/libabsl_flags_reflection.so.2301.0.0 /usr/lib64/libabsl_raw_hash_set.so.2301.0.0 /usr/lib64/libabsl_log_globals.so.2301.0.0 /usr/lib64/libabsl_hash.so.2301.0.0 /usr/lib64/libabsl_random_distributions.so.2301.0.0 /usr/lib64/libabsl_random_internal_pool_urbg.so.2301.0.0 /usr/lib64/libabsl_random_internal_randen.so.2301.0.0 /usr/lib64/libabsl_random_internal_randen_hwaes_impl.so.2301.0.0 /usr/lib64/libabsl_random_internal_randen_slow.so.2301.0.0 /usr/lib64/libabsl_random_internal_seed_material.so.2301.0.0 /usr/lib64/libabsl_status.so.2301.0.0 /usr/lib64/libabsl_synchronization.so.2301.0.0 /usr/lib64/libabsl_time.so.2301.0.0 /usr/lib64/libabsl_str_format_internal.so.2301.0.0 /usr/lib64/libabsl_strings.so.2301.0.0 /usr/lib64/libabsl_int128.so.2301.0.0 /usr/lib64/libabsl_strings_internal.so.2301.0.0 /usr/lib64/libabsl_throw_delegate.so.2301.0.0 /usr/lib64/libCbcSolver.so.3 /usr/lib64/libCbc.so.3 /usr/lib64/libOsiClp.so.1 /usr/lib64/libClp.so.1 /usr/lib64/libCoinUtils.so.3 /usr/lib64/libpython3.11.so In member function 'getLocation': lto1: note: parameter passing for argument of type 'struct pair' when C++17 is enabled changed to match C++14 in GCC 10.1 /usr/include/c++/12/bits/stl_heap.h: In function '__adjust_heap.constprop': /usr/include/c++/12/bits/stl_heap.h:224:5: note: parameter passing for argument of type 'struct pair' when C++17 is enabled changed to match C++14 in GCC 10.1 224 | __adjust_heap(_RandomAccessIterator __first, _Distance __holeIndex, | ^ In function '__make_heap', inlined from '__heap_select' at /usr/include/c++/12/bits/stl_algo.h:1629:23, inlined from '__partial_sort' at /usr/include/c++/12/bits/stl_algo.h:1900:25, inlined from '__introsort_loop' at /usr/include/c++/12/bits/stl_algo.h:1916:27: /usr/include/c++/12/bits/stl_heap.h:356:29: note: parameter passing for argument of type 'struct pair' when C++17 is enabled changed to match C++14 in GCC 10.1 356 | std::__adjust_heap(__first, __parent, __len, _GLIBCXX_MOVE(__value), | ^ In member function 'getLocation': lto1: note: parameter passing for argument of type 'struct pair' when C++17 is enabled changed to match C++14 in GCC 10.1 In member function 'calculateWireArea': lto1: note: parameter passing for argument of type 'struct pair' when C++17 is enabled changed to match C++14 in GCC 10.1 /usr/include/c++/12/bits/stl_heap.h: In function '__adjust_heap': /usr/include/c++/12/bits/stl_heap.h:224: note: parameter passing for argument of type 'struct pair' when C++17 is enabled changed to match C++14 in GCC 10.1 224 | __adjust_heap(_RandomAccessIterator __first, _Distance __holeIndex, | In function 'transform', inlined from 'readAndExpandLayers' at /builddir/build/BUILD/openroad/src/fin/src/DensityFill.cpp:135:21: /usr/include/c++/12/bits/stl_algo.h:4303:32: note: parameter passing for argument of type 'struct pair' when C++17 is enabled changed to match C++14 in GCC 10.1 4303 | *__result = __binary_op(*__first1, *__first2); | ^ In member function 'getElectroForce': lto1: note: parameter passing for argument of type 'struct pair' when C++17 is enabled changed to match C++14 in GCC 10.1 cd /builddir/build/BUILD/openroad/src && /builddir/build/BUILD/openroad/etc/find_messages.py '--local' > /builddir/build/BUILD/openroad/src/../messages.txt cd /builddir/build/BUILD/openroad/src/pad && /builddir/build/BUILD/openroad/etc/find_messages.py > /builddir/build/BUILD/openroad/src/pad/messages.txt gmake[2]: Leaving directory '/builddir/build/BUILD/openroad/build' [100%] Built target openroad gmake[1]: Leaving directory '/builddir/build/BUILD/openroad/build' /usr/bin/cmake -E cmake_progress_start /builddir/build/BUILD/openroad/build/CMakeFiles 0 + popd ~/build/BUILD/openroad + RPM_EC=0 ++ jobs -p + exit 0 Executing(%install): /bin/sh -e /var/tmp/rpm-tmp.mntyph + umask 022 + cd /builddir/build/BUILD + '[' /builddir/build/BUILDROOT/openroad-2.0-20231209.0.git921553ef.fc37.aarch64 '!=' / ']' + rm -rf /builddir/build/BUILDROOT/openroad-2.0-20231209.0.git921553ef.fc37.aarch64 ++ dirname /builddir/build/BUILDROOT/openroad-2.0-20231209.0.git921553ef.fc37.aarch64 + mkdir -p /builddir/build/BUILDROOT + mkdir /builddir/build/BUILDROOT/openroad-2.0-20231209.0.git921553ef.fc37.aarch64 + CFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w' + export CFLAGS ~/build/BUILD/openroad/build ~/build/BUILD/openroad + CXXFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w' + export CXXFLAGS + FFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -I/usr/lib64/gfortran/modules' + export FFLAGS + FCFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -I/usr/lib64/gfortran/modules' + export FCFLAGS + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes' + export LDFLAGS + LT_SYS_LIBRARY_PATH=/usr/lib64: + export LT_SYS_LIBRARY_PATH + CC=gcc + export CC + CXX=g++ + export CXX + cd openroad + pushd build + DESTDIR=/builddir/build/BUILDROOT/openroad-2.0-20231209.0.git921553ef.fc37.aarch64 + /usr/bin/cmake --install . -- Install configuration: "RelWithDebInfo" -- Installing: /builddir/build/BUILDROOT/openroad-2.0-20231209.0.git921553ef.fc37.aarch64/usr/bin/openroad + rm -rf /builddir/build/BUILDROOT/openroad-2.0-20231209.0.git921553ef.fc37.aarch64/usr/lib + rm -rf /builddir/build/BUILDROOT/openroad-2.0-20231209.0.git921553ef.fc37.aarch64//usr/include + popd ~/build/BUILD/openroad + /usr/bin/find-debuginfo -j4 --strict-build-id -m -i --build-id-seed 2.0-20231209.0.git921553ef.fc37 --unique-debug-suffix -2.0-20231209.0.git921553ef.fc37.aarch64 --unique-debug-src-base openroad-2.0-20231209.0.git921553ef.fc37.aarch64 --run-dwz --dwz-low-mem-die-limit 10000000 --dwz-max-die-limit 50000000 -S debugsourcefiles.list /builddir/build/BUILD/openroad extracting debug info from /builddir/build/BUILDROOT/openroad-2.0-20231209.0.git921553ef.fc37.aarch64/usr/bin/openroad original debug info size: 1104948kB, size after compression: 997572kB /usr/bin/sepdebugcrcfix: Updated 1 CRC32s, 0 CRC32s did match. 109336 blocks + /usr/lib/rpm/check-buildroot + /usr/lib/rpm/redhat/brp-ldconfig + /usr/lib/rpm/brp-compress + /usr/lib/rpm/redhat/brp-strip-lto /usr/bin/strip + /usr/lib/rpm/brp-strip-static-archive /usr/bin/strip + /usr/lib/rpm/check-rpaths + /usr/lib/rpm/redhat/brp-mangle-shebangs + /usr/lib/rpm/brp-remove-la-files + /usr/lib/rpm/redhat/brp-python-bytecompile '' 1 0 + /usr/lib/rpm/redhat/brp-python-hardlink Executing(%check): /bin/sh -e /var/tmp/rpm-tmp.UGe4W3 + umask 022 + cd /builddir/build/BUILD + CFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w' + export CFLAGS + CXXFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w' + export CXXFLAGS + FFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -I/usr/lib64/gfortran/modules' + export FFLAGS + FCFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fpermissive -w -I/usr/lib64/gfortran/modules' + export FCFLAGS + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes' + export LDFLAGS + LT_SYS_LIBRARY_PATH=/usr/lib64: + export LT_SYS_LIBRARY_PATH + CC=gcc + export CC + CXX=g++ + export CXX + cd openroad + RPM_EC=0 ++ jobs -p + exit 0 Processing files: openroad-2.0-20231209.0.git921553ef.fc37.aarch64 Executing(%doc): /bin/sh -e /var/tmp/rpm-tmp.zAgEgk + umask 022 + cd /builddir/build/BUILD + cd openroad + DOCDIR=/builddir/build/BUILDROOT/openroad-2.0-20231209.0.git921553ef.fc37.aarch64/usr/share/doc/openroad + export LC_ALL=C + LC_ALL=C + export DOCDIR + /usr/bin/mkdir -p /builddir/build/BUILDROOT/openroad-2.0-20231209.0.git921553ef.fc37.aarch64/usr/share/doc/openroad + cp -pr README.md /builddir/build/BUILDROOT/openroad-2.0-20231209.0.git921553ef.fc37.aarch64/usr/share/doc/openroad + RPM_EC=0 ++ jobs -p + exit 0 Executing(%license): /bin/sh -e /var/tmp/rpm-tmp.tnLS8G + umask 022 + cd /builddir/build/BUILD + cd openroad + LICENSEDIR=/builddir/build/BUILDROOT/openroad-2.0-20231209.0.git921553ef.fc37.aarch64/usr/share/licenses/openroad + export LC_ALL=C + LC_ALL=C + export LICENSEDIR + /usr/bin/mkdir -p /builddir/build/BUILDROOT/openroad-2.0-20231209.0.git921553ef.fc37.aarch64/usr/share/licenses/openroad + cp -pr LICENSE /builddir/build/BUILDROOT/openroad-2.0-20231209.0.git921553ef.fc37.aarch64/usr/share/licenses/openroad + RPM_EC=0 ++ jobs -p + exit 0 Provides: openroad = 2.0-20231209.0.git921553ef.fc37 openroad(aarch-64) = 2.0-20231209.0.git921553ef.fc37 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Requires: ld-linux-aarch64.so.1()(64bit) ld-linux-aarch64.so.1(GLIBC_2.17)(64bit) libOpenSTA.so.2()(64bit) libQt5Core.so.5()(64bit) libQt5Core.so.5(Qt_5)(64bit) libQt5Gui.so.5()(64bit) libQt5Gui.so.5(Qt_5)(64bit) libQt5Widgets.so.5()(64bit) libQt5Widgets.so.5(Qt_5)(64bit) libabc.so.0()(64bit) libabsl_raw_hash_set.so.2301.0.0()(64bit) libboost_serialization.so.1.78.0()(64bit) libboost_thread.so.1.78.0()(64bit) libc.so.6()(64bit) libc.so.6(GLIBC_2.17)(64bit) libc.so.6(GLIBC_2.32)(64bit) libc.so.6(GLIBC_2.33)(64bit) libc.so.6(GLIBC_2.34)(64bit) libgcc_s.so.1()(64bit) libgcc_s.so.1(GCC_3.0)(64bit) libgcc_s.so.1(GCC_3.3)(64bit) libgcc_s.so.1(GCC_3.3.1)(64bit) libgcc_s.so.1(GCC_4.5.0)(64bit) libgomp.so.1()(64bit) libgomp.so.1(GOMP_1.0)(64bit) libgomp.so.1(GOMP_4.0)(64bit) libgomp.so.1(GOMP_4.5)(64bit) libgomp.so.1(OMP_1.0)(64bit) libm.so.6()(64bit) libm.so.6(GLIBC_2.17)(64bit) libm.so.6(GLIBC_2.27)(64bit) libm.so.6(GLIBC_2.29)(64bit) libm.so.6(GLIBC_2.35)(64bit) libortools.so.9()(64bit) libpython3.11.so.1.0()(64bit) libspdlog.so.1()(64bit) libstdc++.so.6()(64bit) libstdc++.so.6(CXXABI_1.3)(64bit) libstdc++.so.6(CXXABI_1.3.11)(64bit) libstdc++.so.6(CXXABI_1.3.13)(64bit) libstdc++.so.6(CXXABI_1.3.3)(64bit) libstdc++.so.6(CXXABI_1.3.5)(64bit) libstdc++.so.6(CXXABI_1.3.8)(64bit) libstdc++.so.6(CXXABI_1.3.9)(64bit) libstdc++.so.6(GLIBCXX_3.4)(64bit) libstdc++.so.6(GLIBCXX_3.4.11)(64bit) libstdc++.so.6(GLIBCXX_3.4.14)(64bit) libstdc++.so.6(GLIBCXX_3.4.15)(64bit) libstdc++.so.6(GLIBCXX_3.4.17)(64bit) libstdc++.so.6(GLIBCXX_3.4.18)(64bit) libstdc++.so.6(GLIBCXX_3.4.19)(64bit) libstdc++.so.6(GLIBCXX_3.4.20)(64bit) libstdc++.so.6(GLIBCXX_3.4.21)(64bit) libstdc++.so.6(GLIBCXX_3.4.22)(64bit) libstdc++.so.6(GLIBCXX_3.4.26)(64bit) libstdc++.so.6(GLIBCXX_3.4.29)(64bit) libstdc++.so.6(GLIBCXX_3.4.30)(64bit) libstdc++.so.6(GLIBCXX_3.4.9)(64bit) libtcl8.6.so()(64bit) libtclreadline-2.1.0.so()(64bit) libz.so.1()(64bit) rtld(GNU_HASH) Processing files: openroad-debugsource-2.0-20231209.0.git921553ef.fc37.aarch64 Provides: openroad-debugsource = 2.0-20231209.0.git921553ef.fc37 openroad-debugsource(aarch-64) = 2.0-20231209.0.git921553ef.fc37 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Processing files: openroad-debuginfo-2.0-20231209.0.git921553ef.fc37.aarch64 Provides: debuginfo(build-id) = a160a6f9f8379d5d35dcd821ac9fa2613a0bcf89 openroad-debuginfo = 2.0-20231209.0.git921553ef.fc37 openroad-debuginfo(aarch-64) = 2.0-20231209.0.git921553ef.fc37 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Recommends: openroad-debugsource(aarch-64) = 2.0-20231209.0.git921553ef.fc37 Checking for unpackaged file(s): /usr/lib/rpm/check-files /builddir/build/BUILDROOT/openroad-2.0-20231209.0.git921553ef.fc37.aarch64 Wrote: /builddir/build/RPMS/openroad-2.0-20231209.0.git921553ef.fc37.aarch64.rpm Wrote: /builddir/build/RPMS/openroad-debugsource-2.0-20231209.0.git921553ef.fc37.aarch64.rpm Wrote: /builddir/build/RPMS/openroad-debuginfo-2.0-20231209.0.git921553ef.fc37.aarch64.rpm Executing(%clean): /bin/sh -e /var/tmp/rpm-tmp.z8dr3h + umask 022 + cd /builddir/build/BUILD + cd openroad + /usr/bin/rm -rf /builddir/build/BUILDROOT/openroad-2.0-20231209.0.git921553ef.fc37.aarch64 + RPM_EC=0 ++ jobs -p + exit 0 Executing(rmbuild): /bin/sh -e /var/tmp/rpm-tmp.WwrMlw + umask 022 + cd /builddir/build/BUILD + rm -rf openroad openroad.gemspec + RPM_EC=0 ++ jobs -p + exit 0 Finish: rpmbuild openroad-2.0-20231209.0.git921553ef.fc37.src.rpm Finish: build phase for openroad-2.0-20231209.0.git921553ef.fc37.src.rpm INFO: chroot_scan: 3 files copied to /var/lib/copr-rpmbuild/results/chroot_scan INFO: /var/lib/mock/fedora-37-aarch64-1702257738.989723/root/var/log/dnf.rpm.log /var/lib/mock/fedora-37-aarch64-1702257738.989723/root/var/log/dnf.librepo.log /var/lib/mock/fedora-37-aarch64-1702257738.989723/root/var/log/dnf.log INFO: Done(/var/lib/copr-rpmbuild/results/openroad-2.0-20231209.0.git921553ef.fc37.src.rpm) Config(child) 31 minutes 38 seconds INFO: Results and/or logs in: /var/lib/copr-rpmbuild/results INFO: Cleaning up build root ('cleanup_on_success=True') Start: clean chroot INFO: unmounting tmpfs. Finish: clean chroot Finish: run Running RPMResults tool Package info: { "packages": [ { "name": "openroad-debugsource", "epoch": null, "version": "2.0", "release": "20231209.0.git921553ef.fc37", "arch": "aarch64" }, { "name": "openroad", "epoch": null, "version": "2.0", "release": "20231209.0.git921553ef.fc37", "arch": "aarch64" }, { "name": "openroad-debuginfo", "epoch": null, "version": "2.0", "release": "20231209.0.git921553ef.fc37", "arch": "aarch64" }, { "name": "openroad", "epoch": null, "version": "2.0", "release": "20231209.0.git921553ef.fc37", "arch": "src" } ] } RPMResults finished