Mock Version: 2.9 ENTER ['do_with_status'](['bash', '--login', '-c', '/usr/bin/rpmbuild -bs --target x86_64 --nodeps /builddir/build/SPECS/python-edalize.spec'], chrootPath='/var/lib/mock/fedora-rawhide-x86_64-1614329166.871709/root'env={'TERM': 'vt100', 'SHELL': '/bin/bash', 'HOME': '/builddir', 'HOSTNAME': 'mock', 'PATH': '/usr/bin:/bin:/usr/sbin:/sbin', 'PROMPT_COMMAND': 'printf "\\033]0;\\007"', 'PS1': ' \\s-\\v\\$ ', 'LANG': 'C.UTF-8'}shell=Falselogger=timeout=0uid=1001gid=135user='mockbuild'nspawn_args=['--capability=cap_ipc_lock', '--rlimit=RLIMIT_NOFILE=10240', '--capability=cap_ipc_lock', '--bind=/tmp/mock-resolv.r_cnimvy:/etc/resolv.conf', '--bind=/dev/btrfs-control', '--bind=/dev/loop-control', '--bind=/dev/loop0', '--bind=/dev/loop1', '--bind=/dev/loop2', '--bind=/dev/loop3', '--bind=/dev/loop4', '--bind=/dev/loop5', '--bind=/dev/loop6', '--bind=/dev/loop7', '--bind=/dev/loop8', '--bind=/dev/loop9', '--bind=/dev/loop10', '--bind=/dev/loop11']unshare_net=TrueprintOutput=True) Using nspawn with args ['--capability=cap_ipc_lock', '--rlimit=RLIMIT_NOFILE=10240', '--capability=cap_ipc_lock', '--bind=/tmp/mock-resolv.r_cnimvy:/etc/resolv.conf', '--bind=/dev/btrfs-control', '--bind=/dev/loop-control', '--bind=/dev/loop0', '--bind=/dev/loop1', '--bind=/dev/loop2', '--bind=/dev/loop3', '--bind=/dev/loop4', '--bind=/dev/loop5', '--bind=/dev/loop6', '--bind=/dev/loop7', '--bind=/dev/loop8', '--bind=/dev/loop9', '--bind=/dev/loop10', '--bind=/dev/loop11'] Executing command: ['/usr/bin/systemd-nspawn', '-q', '-M', '47d6e5a88b65407aa676b8bb0c99b791', '-D', '/var/lib/mock/fedora-rawhide-x86_64-1614329166.871709/root', '-a', '-u', 'mockbuild', '--capability=cap_ipc_lock', '--rlimit=RLIMIT_NOFILE=10240', '--capability=cap_ipc_lock', '--bind=/tmp/mock-resolv.r_cnimvy:/etc/resolv.conf', '--bind=/dev/btrfs-control', '--bind=/dev/loop-control', '--bind=/dev/loop0', '--bind=/dev/loop1', '--bind=/dev/loop2', '--bind=/dev/loop3', '--bind=/dev/loop4', '--bind=/dev/loop5', '--bind=/dev/loop6', '--bind=/dev/loop7', '--bind=/dev/loop8', '--bind=/dev/loop9', '--bind=/dev/loop10', '--bind=/dev/loop11', '--console=pipe', '--setenv=TERM=vt100', '--setenv=SHELL=/bin/bash', '--setenv=HOME=/builddir', '--setenv=HOSTNAME=mock', '--setenv=PATH=/usr/bin:/bin:/usr/sbin:/sbin', '--setenv=PROMPT_COMMAND=printf "\\033]0;\\007"', '--setenv=PS1= \\s-\\v\\$ ', '--setenv=LANG=C.UTF-8', '--resolv-conf=off', 'bash', '--login', '-c', '/usr/bin/rpmbuild -bs --target x86_64 --nodeps /builddir/build/SPECS/python-edalize.spec'] with env {'TERM': 'vt100', 'SHELL': '/bin/bash', 'HOME': '/builddir', 'HOSTNAME': 'mock', 'PATH': '/usr/bin:/bin:/usr/sbin:/sbin', 'PROMPT_COMMAND': 'printf "\\033]0;\\007"', 'PS1': ' \\s-\\v\\$ ', 'LANG': 'C.UTF-8', 'SYSTEMD_NSPAWN_TMPFS_TMP': '0'} and shell False Building target platforms: x86_64 Building for target x86_64 setting SOURCE_DATE_EPOCH=1591228800 Wrote: /builddir/build/SRPMS/python-edalize-0.2.3-1.fc35.src.rpm Child return code was: 0 ENTER ['do_with_status'](['bash', '--login', '-c', '/usr/bin/rpmbuild -bb --target x86_64 --nodeps /builddir/build/SPECS/python-edalize.spec'], chrootPath='/var/lib/mock/fedora-rawhide-x86_64-1614329166.871709/root'env={'TERM': 'vt100', 'SHELL': '/bin/bash', 'HOME': '/builddir', 'HOSTNAME': 'mock', 'PATH': '/usr/bin:/bin:/usr/sbin:/sbin', 'PROMPT_COMMAND': 'printf "\\033]0;\\007"', 'PS1': ' \\s-\\v\\$ ', 'LANG': 'C.UTF-8'}shell=Falselogger=timeout=0uid=1001gid=135user='mockbuild'nspawn_args=['--capability=cap_ipc_lock', '--rlimit=RLIMIT_NOFILE=10240', '--capability=cap_ipc_lock', '--bind=/tmp/mock-resolv.r_cnimvy:/etc/resolv.conf', '--bind=/dev/btrfs-control', '--bind=/dev/loop-control', '--bind=/dev/loop0', '--bind=/dev/loop1', '--bind=/dev/loop2', '--bind=/dev/loop3', '--bind=/dev/loop4', '--bind=/dev/loop5', '--bind=/dev/loop6', '--bind=/dev/loop7', '--bind=/dev/loop8', '--bind=/dev/loop9', '--bind=/dev/loop10', '--bind=/dev/loop11']unshare_net=TrueprintOutput=True) Using nspawn with args ['--capability=cap_ipc_lock', '--rlimit=RLIMIT_NOFILE=10240', '--capability=cap_ipc_lock', '--bind=/tmp/mock-resolv.r_cnimvy:/etc/resolv.conf', '--bind=/dev/btrfs-control', '--bind=/dev/loop-control', '--bind=/dev/loop0', '--bind=/dev/loop1', '--bind=/dev/loop2', '--bind=/dev/loop3', '--bind=/dev/loop4', '--bind=/dev/loop5', '--bind=/dev/loop6', '--bind=/dev/loop7', '--bind=/dev/loop8', '--bind=/dev/loop9', '--bind=/dev/loop10', '--bind=/dev/loop11'] Executing command: ['/usr/bin/systemd-nspawn', '-q', '-M', 'cb6182e569dc4c43b7d5b13396ec04f7', '-D', '/var/lib/mock/fedora-rawhide-x86_64-1614329166.871709/root', '-a', '-u', 'mockbuild', '--capability=cap_ipc_lock', '--rlimit=RLIMIT_NOFILE=10240', '--capability=cap_ipc_lock', '--bind=/tmp/mock-resolv.r_cnimvy:/etc/resolv.conf', '--bind=/dev/btrfs-control', '--bind=/dev/loop-control', '--bind=/dev/loop0', '--bind=/dev/loop1', '--bind=/dev/loop2', '--bind=/dev/loop3', '--bind=/dev/loop4', '--bind=/dev/loop5', '--bind=/dev/loop6', '--bind=/dev/loop7', '--bind=/dev/loop8', '--bind=/dev/loop9', '--bind=/dev/loop10', '--bind=/dev/loop11', '--console=pipe', '--setenv=TERM=vt100', '--setenv=SHELL=/bin/bash', '--setenv=HOME=/builddir', '--setenv=HOSTNAME=mock', '--setenv=PATH=/usr/bin:/bin:/usr/sbin:/sbin', '--setenv=PROMPT_COMMAND=printf "\\033]0;\\007"', '--setenv=PS1= \\s-\\v\\$ ', '--setenv=LANG=C.UTF-8', '--resolv-conf=off', 'bash', '--login', '-c', '/usr/bin/rpmbuild -bb --target x86_64 --nodeps /builddir/build/SPECS/python-edalize.spec'] with env {'TERM': 'vt100', 'SHELL': '/bin/bash', 'HOME': '/builddir', 'HOSTNAME': 'mock', 'PATH': '/usr/bin:/bin:/usr/sbin:/sbin', 'PROMPT_COMMAND': 'printf "\\033]0;\\007"', 'PS1': ' \\s-\\v\\$ ', 'LANG': 'C.UTF-8', 'SYSTEMD_NSPAWN_TMPFS_TMP': '0'} and shell False Building target platforms: x86_64 Building for target x86_64 setting SOURCE_DATE_EPOCH=1591228800 Executing(%prep): /bin/sh -e /var/tmp/rpm-tmp.8wHa1l + umask 022 + cd /builddir/build/BUILD + cd /builddir/build/BUILD + rm -rf edalize-0.2.3 + /usr/bin/gzip -dc /builddir/build/SOURCES/edalize-0.2.3.tar.gz + /usr/bin/tar -xof - + STATUS=0 + '[' 0 -ne 0 ']' + cd edalize-0.2.3 + /usr/bin/chmod -Rf a+rX,u+w,g-w,o-w . + RPM_EC=0 ++ jobs -p + exit 0 Executing(%build): /bin/sh -e /var/tmp/rpm-tmp.vo5vXC + umask 022 + cd /builddir/build/BUILD + cd edalize-0.2.3 + /usr/bin/python3 setup.py build running build running build_py creating build creating build/lib creating build/lib/edalize copying edalize/yosys.py -> build/lib/edalize copying edalize/xsim.py -> build/lib/edalize copying edalize/xcelium.py -> build/lib/edalize copying edalize/vunit_hooks.py -> build/lib/edalize copying edalize/vunit.py -> build/lib/edalize copying edalize/vivado.py -> build/lib/edalize copying edalize/verilator.py -> build/lib/edalize copying edalize/veriblelint.py -> build/lib/edalize copying edalize/veribleformat.py -> build/lib/edalize copying edalize/vcs.py -> build/lib/edalize copying edalize/trellis.py -> build/lib/edalize copying edalize/symbiyosys.py -> build/lib/edalize copying edalize/symbiflow.py -> build/lib/edalize copying edalize/spyglass.py -> build/lib/edalize copying edalize/rivierapro.py -> build/lib/edalize copying edalize/radiant.py -> build/lib/edalize copying edalize/quartus.py -> build/lib/edalize copying edalize/morty.py -> build/lib/edalize copying edalize/modelsim.py -> build/lib/edalize copying edalize/isim.py -> build/lib/edalize copying edalize/ise.py -> build/lib/edalize copying edalize/icestorm.py -> build/lib/edalize copying edalize/icarus.py -> build/lib/edalize copying edalize/ghdl.py -> build/lib/edalize copying edalize/edatool.py -> build/lib/edalize copying edalize/diamond.py -> build/lib/edalize copying edalize/ascentlint.py -> build/lib/edalize copying edalize/__init__.py -> build/lib/edalize creating build/lib/edalize/templates creating build/lib/edalize/templates/yosys copying edalize/templates/yosys/yosys-makefile.j2 -> build/lib/edalize/templates/yosys copying edalize/templates/yosys/yosys-script-tcl.j2 -> build/lib/edalize/templates/yosys creating build/lib/edalize/templates/icestorm copying edalize/templates/icestorm/icestorm-makefile.j2 -> build/lib/edalize/templates/icestorm creating build/lib/edalize/templates/spyglass copying edalize/templates/spyglass/Makefile.j2 -> build/lib/edalize/templates/spyglass copying edalize/templates/spyglass/spyglass-project.prj.j2 -> build/lib/edalize/templates/spyglass copying edalize/templates/spyglass/spyglass-run-goal.tcl.j2 -> build/lib/edalize/templates/spyglass creating build/lib/edalize/templates/vcs copying edalize/templates/vcs/Makefile.j2 -> build/lib/edalize/templates/vcs creating build/lib/edalize/templates/vivado copying edalize/templates/vivado/vivado-makefile.j2 -> build/lib/edalize/templates/vivado copying edalize/templates/vivado/vivado-program.tcl.j2 -> build/lib/edalize/templates/vivado copying edalize/templates/vivado/vivado-project.tcl.j2 -> build/lib/edalize/templates/vivado copying edalize/templates/vivado/vivado-project-yosys.tcl.j2 -> build/lib/edalize/templates/vivado copying edalize/templates/vivado/vivado-run.tcl.j2 -> build/lib/edalize/templates/vivado copying edalize/templates/vivado/vivado-run-yosys.tcl.j2 -> build/lib/edalize/templates/vivado copying edalize/templates/vivado/vivado-synth.tcl.j2 -> build/lib/edalize/templates/vivado creating build/lib/edalize/templates/vunit copying edalize/templates/vunit/run.py.j2 -> build/lib/edalize/templates/vunit creating build/lib/edalize/templates/quartus copying edalize/templates/quartus/quartus-project.tcl.j2 -> build/lib/edalize/templates/quartus copying edalize/templates/quartus/quartus-std-makefile.j2 -> build/lib/edalize/templates/quartus copying edalize/templates/quartus/quartus-pro-makefile.j2 -> build/lib/edalize/templates/quartus creating build/lib/edalize/templates/trellis copying edalize/templates/trellis/trellis-makefile.j2 -> build/lib/edalize/templates/trellis creating build/lib/edalize/templates/ascentlint copying edalize/templates/ascentlint/Makefile.j2 -> build/lib/edalize/templates/ascentlint copying edalize/templates/ascentlint/run-ascentlint.tcl.j2 -> build/lib/edalize/templates/ascentlint creating build/lib/edalize/templates/symbiflow copying edalize/templates/symbiflow/symbiflow-vpr-makefile.j2 -> build/lib/edalize/templates/symbiflow + RPM_EC=0 ++ jobs -p + exit 0 Executing(%install): /bin/sh -e /var/tmp/rpm-tmp.X5zUHY + umask 022 + cd /builddir/build/BUILD + '[' /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64 '!=' / ']' + rm -rf /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64 ++ dirname /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64 + mkdir -p /builddir/build/BUILDROOT + mkdir /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64 + cd edalize-0.2.3 + /usr/bin/python3 setup.py install -O1 --skip-build --root /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64 running install running install_lib creating /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr creating /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib creating /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9 creating /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages creating /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize creating /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize/templates creating /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize/templates/symbiflow copying build/lib/edalize/templates/symbiflow/symbiflow-vpr-makefile.j2 -> /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize/templates/symbiflow creating /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize/templates/ascentlint copying build/lib/edalize/templates/ascentlint/run-ascentlint.tcl.j2 -> /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize/templates/ascentlint copying build/lib/edalize/templates/ascentlint/Makefile.j2 -> /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize/templates/ascentlint creating /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize/templates/trellis copying build/lib/edalize/templates/trellis/trellis-makefile.j2 -> /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize/templates/trellis creating /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize/templates/quartus copying build/lib/edalize/templates/quartus/quartus-pro-makefile.j2 -> /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize/templates/quartus copying build/lib/edalize/templates/quartus/quartus-std-makefile.j2 -> /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize/templates/quartus copying build/lib/edalize/templates/quartus/quartus-project.tcl.j2 -> /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize/templates/quartus creating /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize/templates/vunit copying build/lib/edalize/templates/vunit/run.py.j2 -> /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize/templates/vunit creating /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize/templates/vivado copying build/lib/edalize/templates/vivado/vivado-synth.tcl.j2 -> /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize/templates/vivado copying build/lib/edalize/templates/vivado/vivado-run-yosys.tcl.j2 -> /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize/templates/vivado copying build/lib/edalize/templates/vivado/vivado-run.tcl.j2 -> /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize/templates/vivado copying build/lib/edalize/templates/vivado/vivado-project-yosys.tcl.j2 -> /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize/templates/vivado copying build/lib/edalize/templates/vivado/vivado-project.tcl.j2 -> /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize/templates/vivado copying build/lib/edalize/templates/vivado/vivado-program.tcl.j2 -> /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize/templates/vivado copying build/lib/edalize/templates/vivado/vivado-makefile.j2 -> /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize/templates/vivado creating /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize/templates/vcs copying build/lib/edalize/templates/vcs/Makefile.j2 -> /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize/templates/vcs creating /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize/templates/spyglass copying build/lib/edalize/templates/spyglass/spyglass-run-goal.tcl.j2 -> /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize/templates/spyglass copying build/lib/edalize/templates/spyglass/spyglass-project.prj.j2 -> /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize/templates/spyglass copying build/lib/edalize/templates/spyglass/Makefile.j2 -> /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize/templates/spyglass creating /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize/templates/icestorm copying build/lib/edalize/templates/icestorm/icestorm-makefile.j2 -> /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize/templates/icestorm creating /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize/templates/yosys copying build/lib/edalize/templates/yosys/yosys-script-tcl.j2 -> /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize/templates/yosys copying build/lib/edalize/templates/yosys/yosys-makefile.j2 -> /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize/templates/yosys copying build/lib/edalize/__init__.py -> /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize copying build/lib/edalize/ascentlint.py -> /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize copying build/lib/edalize/diamond.py -> /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize copying build/lib/edalize/edatool.py -> /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize copying build/lib/edalize/ghdl.py -> /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize copying build/lib/edalize/icarus.py -> /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize copying build/lib/edalize/icestorm.py -> /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize copying build/lib/edalize/ise.py -> /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize copying build/lib/edalize/isim.py -> /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize copying build/lib/edalize/modelsim.py -> /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize copying build/lib/edalize/morty.py -> /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize copying build/lib/edalize/quartus.py -> /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize copying build/lib/edalize/radiant.py -> /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize copying build/lib/edalize/rivierapro.py -> /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize copying build/lib/edalize/spyglass.py -> /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize copying build/lib/edalize/symbiflow.py -> /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize copying build/lib/edalize/symbiyosys.py -> /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize copying build/lib/edalize/trellis.py -> /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize copying build/lib/edalize/vcs.py -> /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize copying build/lib/edalize/veribleformat.py -> /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize copying build/lib/edalize/veriblelint.py -> /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize copying build/lib/edalize/verilator.py -> /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize copying build/lib/edalize/vivado.py -> /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize copying build/lib/edalize/vunit.py -> /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize copying build/lib/edalize/vunit_hooks.py -> /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize copying build/lib/edalize/xcelium.py -> /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize copying build/lib/edalize/xsim.py -> /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize copying build/lib/edalize/yosys.py -> /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize byte-compiling /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize/__init__.py to __init__.cpython-39.pyc byte-compiling /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize/ascentlint.py to ascentlint.cpython-39.pyc byte-compiling /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize/diamond.py to diamond.cpython-39.pyc byte-compiling /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize/edatool.py to edatool.cpython-39.pyc byte-compiling /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize/ghdl.py to ghdl.cpython-39.pyc byte-compiling /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize/icarus.py to icarus.cpython-39.pyc byte-compiling /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize/icestorm.py to icestorm.cpython-39.pyc byte-compiling /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize/ise.py to ise.cpython-39.pyc byte-compiling /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize/isim.py to isim.cpython-39.pyc byte-compiling /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize/modelsim.py to modelsim.cpython-39.pyc byte-compiling /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize/morty.py to morty.cpython-39.pyc byte-compiling /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize/quartus.py to quartus.cpython-39.pyc byte-compiling /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize/radiant.py to radiant.cpython-39.pyc byte-compiling /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize/rivierapro.py to rivierapro.cpython-39.pyc byte-compiling /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize/spyglass.py to spyglass.cpython-39.pyc byte-compiling /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize/symbiflow.py to symbiflow.cpython-39.pyc byte-compiling /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize/symbiyosys.py to symbiyosys.cpython-39.pyc byte-compiling /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize/trellis.py to trellis.cpython-39.pyc byte-compiling /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize/vcs.py to vcs.cpython-39.pyc byte-compiling /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize/veribleformat.py to veribleformat.cpython-39.pyc byte-compiling /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize/veriblelint.py to veriblelint.cpython-39.pyc byte-compiling /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize/verilator.py to verilator.cpython-39.pyc byte-compiling /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize/vivado.py to vivado.cpython-39.pyc byte-compiling /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize/vunit.py to vunit.cpython-39.pyc byte-compiling /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize/vunit_hooks.py to vunit_hooks.cpython-39.pyc byte-compiling /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize/xcelium.py to xcelium.cpython-39.pyc byte-compiling /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize/xsim.py to xsim.cpython-39.pyc byte-compiling /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize/yosys.py to yosys.cpython-39.pyc writing byte-compilation script '/tmp/tmp1ud_g_4j.py' /usr/bin/python3 /tmp/tmp1ud_g_4j.py removing /tmp/tmp1ud_g_4j.py running install_egg_info running egg_info writing edalize.egg-info/PKG-INFO writing dependency_links to edalize.egg-info/dependency_links.txt writing requirements to edalize.egg-info/requires.txt writing top-level names to edalize.egg-info/top_level.txt reading manifest file 'edalize.egg-info/SOURCES.txt' writing manifest file 'edalize.egg-info/SOURCES.txt' Copying edalize.egg-info to /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9/site-packages/edalize-0.2.3-py3.9.egg-info running install_scripts + /usr/lib/rpm/find-debuginfo.sh -j2 --strict-build-id -m -i --build-id-seed 0.2.3-1.fc35 --unique-debug-suffix -0.2.3-1.fc35.x86_64 --unique-debug-src-base python-edalize-0.2.3-1.fc35.x86_64 --run-dwz --dwz-low-mem-die-limit 10000000 --dwz-max-die-limit 110000000 -S debugsourcefiles.list /builddir/build/BUILD/edalize-0.2.3 find: 'debug': No such file or directory + /usr/lib/rpm/check-buildroot + /usr/lib/rpm/redhat/brp-ldconfig + /usr/lib/rpm/brp-compress + /usr/lib/rpm/redhat/brp-strip-lto /usr/bin/strip + /usr/lib/rpm/brp-strip-static-archive /usr/bin/strip + /usr/lib/rpm/redhat/brp-python-bytecompile '' 1 0 Bytecompiling .py files below /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/lib/python3.9 using /usr/bin/python3.9 + /usr/lib/rpm/brp-python-hardlink + /usr/lib/rpm/redhat/brp-mangle-shebangs Executing(%check): /bin/sh -e /var/tmp/rpm-tmp.6zpuaq + umask 022 + cd /builddir/build/BUILD + cd edalize-0.2.3 + RPM_EC=0 ++ jobs -p + exit 0 Processing files: python3-edalize-0.2.3-1.fc35.noarch Executing(%doc): /bin/sh -e /var/tmp/rpm-tmp.v6J0h3 + umask 022 + cd /builddir/build/BUILD + cd edalize-0.2.3 + DOCDIR=/builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/share/doc/python3-edalize + export LC_ALL=C + LC_ALL=C + export DOCDIR + /usr/bin/mkdir -p /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/share/doc/python3-edalize + cp -pr README.rst /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/share/doc/python3-edalize + RPM_EC=0 ++ jobs -p + exit 0 Executing(%license): /bin/sh -e /var/tmp/rpm-tmp.4lbWKE + umask 022 + cd /builddir/build/BUILD + cd edalize-0.2.3 + LICENSEDIR=/builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/share/licenses/python3-edalize + export LC_ALL=C + LC_ALL=C + export LICENSEDIR + /usr/bin/mkdir -p /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/share/licenses/python3-edalize + cp -pr LICENSE /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64/usr/share/licenses/python3-edalize + RPM_EC=0 ++ jobs -p + exit 0 Provides: python-edalize = 0.2.3-1.fc35 python3-edalize = 0.2.3-1.fc35 python3.9-edalize = 0.2.3-1.fc35 python3.9dist(edalize) = 0.2.3 python3dist(edalize) = 0.2.3 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PartialHardlinkSets) <= 4.0.4-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Requires: ((python3.9dist(jinja2) < 2.11 or python3.9dist(jinja2) > 2.11) with (python3.9dist(jinja2) < 2.11.1 or python3.9dist(jinja2) > 2.11.1) with python3.9dist(jinja2) >= 2.8) python(abi) = 3.9 Checking for unpackaged file(s): /usr/lib/rpm/check-files /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64 Wrote: /builddir/build/RPMS/python3-edalize-0.2.3-1.fc35.noarch.rpm Executing(%clean): /bin/sh -e /var/tmp/rpm-tmp.xwrvMI + umask 022 + cd /builddir/build/BUILD + cd edalize-0.2.3 + /usr/bin/rm -rf /builddir/build/BUILDROOT/python-edalize-0.2.3-1.fc35.x86_64 + RPM_EC=0 ++ jobs -p + exit 0 Child return code was: 0