Warning: Permanently added '2620:52:3:1:dead:beef:cafe:c1d8' (ED25519) to the list of known hosts.
Running: /usr/bin/copr-rpmbuild --verbose --drop-resultdir --build-id 5285067 --chroot fedora-rawhide-x86_64 --detached
Version: 0.62
PID: 5282
Logging PID: 5283
Task:
{'appstream': False,
 'background': False,
 'build_id': 5285067,
 'buildroot_pkgs': [],
 'chroot': 'fedora-rawhide-x86_64',
 'enable_net': False,
 'fedora_review': False,
 'git_hash': '6088fad502910396aad7fef0cc6bc2be3a15756c',
 'git_repo': 'https://copr-dist-git.fedorainfracloud.org/git/thrnciar/python-packaging/yosys',
 'isolation': 'default',
 'memory_reqs': 2048,
 'package_name': 'yosys',
 'package_version': '0.25-2.20230104git7bac192',
 'project_dirname': 'python-packaging',
 'project_name': 'python-packaging',
 'project_owner': 'thrnciar',
 'repos': [{'baseurl': 'https://download.copr.fedorainfracloud.org/results/thrnciar/python-packaging/fedora-rawhide-x86_64/',
            'id': 'copr_base',
            'name': 'Copr repository'}],
 'sandbox': 'thrnciar/python-packaging--thrnciar',
 'source_json': {},
 'source_type': None,
 'submitter': 'thrnciar',
 'tags': [],
 'task_id': '5285067-fedora-rawhide-x86_64',
 'timeout': 18000,
 'uses_devel_repo': False,
 'with_opts': [],
 'without_opts': []}

Running: git clone https://copr-dist-git.fedorainfracloud.org/git/thrnciar/python-packaging/yosys /var/lib/copr-rpmbuild/workspace/workdir-rqedrfjn/yosys --depth 500 --no-single-branch --recursive

cmd: ['git', 'clone', 'https://copr-dist-git.fedorainfracloud.org/git/thrnciar/python-packaging/yosys', '/var/lib/copr-rpmbuild/workspace/workdir-rqedrfjn/yosys', '--depth', '500', '--no-single-branch', '--recursive']
cwd: .
rc: 0
stdout: 
stderr: Cloning into '/var/lib/copr-rpmbuild/workspace/workdir-rqedrfjn/yosys'...

Running: git checkout 6088fad502910396aad7fef0cc6bc2be3a15756c

cmd: ['git', 'checkout', '6088fad502910396aad7fef0cc6bc2be3a15756c']
cwd: /var/lib/copr-rpmbuild/workspace/workdir-rqedrfjn/yosys
rc: 0
stdout: 
stderr: Note: switching to '6088fad502910396aad7fef0cc6bc2be3a15756c'.

You are in 'detached HEAD' state. You can look around, make experimental
changes and commit them, and you can discard any commits you make in this
state without impacting any branches by switching back to a branch.

If you want to create a new branch to retain commits you create, you may
do so (now or later) by using -c with the switch command. Example:

  git switch -c <new-branch-name>

Or undo this operation with:

  git switch -

Turn off this advice by setting config variable advice.detachedHead to false

HEAD is now at 6088fad automatic import of yosys

Running: copr-distgit-client sources

cmd: ['copr-distgit-client', 'sources']
cwd: /var/lib/copr-rpmbuild/workspace/workdir-rqedrfjn/yosys
rc: 0
stdout: 
/usr/bin/tail: /var/lib/copr-rpmbuild/main.log: file truncated
stderr: INFO: Reading stdout from command: git rev-parse --abbrev-ref HEAD
INFO: Reading stdout from command: git rev-parse HEAD
INFO: Reading sources specification file: sources
INFO: Downloading yosys-7bac192.tar.gz
INFO: Calling: curl -H Pragma: -o yosys-7bac192.tar.gz --location --remote-time --show-error --fail https://copr-dist-git.fedorainfracloud.org/repo/pkgs/thrnciar/python-packaging/yosys/yosys-7bac192.tar.gz/md5/0ca81af4fe2d8bbe9e8b2ff7422c7217/yosys-7bac192.tar.gz
  % Total    % Received % Xferd  Average Speed   Time    Time     Time  Current
                                 Dload  Upload   Total   Spent    Left  Speed
100 2412k  100 2412k    0     0  16.1M      0 --:--:-- --:--:-- --:--:-- 16.1M
INFO: Reading stdout from command: md5sum yosys-7bac192.tar.gz
INFO: Downloading yosys_0.9-1.debian.tar.xz
INFO: Calling: curl -H Pragma: -o yosys_0.9-1.debian.tar.xz --location --remote-time --show-error --fail https://copr-dist-git.fedorainfracloud.org/repo/pkgs/thrnciar/python-packaging/yosys/yosys_0.9-1.debian.tar.xz/md5/cd3e7ea8ea41b6095a23ff309dd873eb/yosys_0.9-1.debian.tar.xz
  % Total    % Received % Xferd  Average Speed   Time    Time     Time  Current
                                 Dload  Upload   Total   Spent    Left  Speed
100 17276  100 17276    0     0   227k      0 --:--:-- --:--:-- --:--:--  231k
INFO: Reading stdout from command: md5sum yosys_0.9-1.debian.tar.xz

Running (timeout=18000): unbuffer mock --buildsrpm --spec /var/lib/copr-rpmbuild/workspace/workdir-rqedrfjn/yosys/yosys.spec --sources /var/lib/copr-rpmbuild/workspace/workdir-rqedrfjn/yosys --resultdir /var/lib/copr-rpmbuild/results --uniqueext 1674478804.654692 -r /var/lib/copr-rpmbuild/results/configs/child.cfg
INFO: mock.py version 3.5 starting (python version = 3.11.0, NVR = mock-3.5-1.fc37)...
Start(bootstrap): init plugins
INFO: tmpfs initialized
INFO: selinux enabled
INFO: chroot_scan: initialized
INFO: compress_logs: initialized
Finish(bootstrap): init plugins
Start: init plugins
INFO: tmpfs initialized
INFO: selinux enabled
INFO: chroot_scan: initialized
INFO: compress_logs: initialized
Finish: init plugins
INFO: Signal handler active
Start: run
INFO: Start(/var/lib/copr-rpmbuild/workspace/workdir-rqedrfjn/yosys/yosys.spec)  Config(fedora-rawhide-x86_64)
Start: clean chroot
Finish: clean chroot
Start(bootstrap): chroot init
INFO: mounting tmpfs at /var/lib/mock/fedora-rawhide-x86_64-bootstrap-1674478804.654692/root.
INFO: calling preinit hooks
INFO: enabled root cache
INFO: enabled package manager cache
Start(bootstrap): cleaning package manager metadata
Finish(bootstrap): cleaning package manager metadata
INFO: enabled HW Info plugin
Mock Version: 3.5
INFO: Mock Version: 3.5
Start(bootstrap): dnf install
No matches found for the following disable plugin patterns: local, spacewalk, versionlock
Updating Subscription Management repositories.
Unable to read consumer identity

This system is not registered with an entitlement server. You can use subscription-manager to register.

Copr repository                                  29 MB/s |  19 MB     00:00    
fedora                                           26 MB/s |  65 MB     00:02    
Last metadata expiration check: 0:00:03 ago on Mon Jan 23 13:00:12 2023.
Dependencies resolved.
================================================================================
 Package                      Arch   Version                    Repo       Size
================================================================================
Installing:
 dnf                          noarch 4.14.0-2.fc38              copr_base 467 k
 dnf-plugins-core             noarch 4.3.1-2.fc38               copr_base  34 k
Installing dependencies:
 alternatives                 x86_64 1.21-1.fc38                fedora     38 k
 audit-libs                   x86_64 3.0.9-3.fc38               copr_base 117 k
 basesystem                   noarch 11-14.fc37                 fedora    7.0 k
 bash                         x86_64 5.2.15-1.fc38              fedora    1.8 M
 bzip2-libs                   x86_64 1.0.8-12.fc37              fedora     41 k
 ca-certificates              noarch 2023.2.60-2.fc38           fedora    845 k
 coreutils                    x86_64 9.1-10.fc38                fedora    1.1 M
 coreutils-common             x86_64 9.1-10.fc38                fedora    2.0 M
 crypto-policies              noarch 20221215-2.gita4c31a3.fc38 copr_base  64 k
 curl                         x86_64 7.87.0-4.fc38              fedora    347 k
 cyrus-sasl-lib               x86_64 2.1.28-8.fc38              fedora    792 k
 dbus-libs                    x86_64 1:1.14.4-1.fc38            fedora    156 k
 dnf-data                     noarch 4.14.0-2.fc38              copr_base  41 k
 elfutils-default-yama-scope  noarch 0.188-3.fc38               fedora     15 k
 elfutils-libelf              x86_64 0.188-3.fc38               fedora    196 k
 elfutils-libs                x86_64 0.188-3.fc38               fedora    257 k
 expat                        x86_64 2.5.0-1.fc38               fedora    110 k
 fedora-gpg-keys              noarch 38-0.3                     fedora    125 k
 fedora-release               noarch 38-0.18                    fedora     12 k
 fedora-release-common        noarch 38-0.18                    fedora     22 k
 fedora-release-identity-basic
                              noarch 38-0.18                    fedora     12 k
 fedora-repos                 noarch 38-0.3                     fedora     10 k
 fedora-repos-rawhide         noarch 38-0.3                     fedora    9.7 k
 file-libs                    x86_64 5.42-5.fc38                copr_base 676 k
 filesystem                   x86_64 3.18-2.fc37                fedora    1.1 M
 findutils                    x86_64 1:4.9.0-2.fc37             fedora    492 k
 gawk                         x86_64 5.1.1-4.fc37               fedora    1.0 M
 gdbm-libs                    x86_64 1:1.23-2.fc37              fedora     56 k
 glib2                        x86_64 2.74.1-3.fc38              copr_base 2.7 M
 glibc                        x86_64 2.36.9000-23.fc38          copr_base 2.1 M
 glibc-common                 x86_64 2.36.9000-23.fc38          copr_base 307 k
 glibc-minimal-langpack       x86_64 2.36.9000-23.fc38          copr_base  34 k
 gmp                          x86_64 1:6.2.1-3.fc37             fedora    315 k
 gnupg2                       x86_64 2.4.0-1.fc38               fedora    2.6 M
 gnutls                       x86_64 3.7.8-11.fc38              copr_base 1.1 M
 gpgme                        x86_64 1.17.1-3.fc38              fedora    210 k
 grep                         x86_64 3.8-2.fc38                 fedora    292 k
 ima-evm-utils                x86_64 1.4-6.fc37                 fedora     63 k
 json-c                       x86_64 0.16-3.fc38                fedora     41 k
 keyutils-libs                x86_64 1.6.1-5.fc37               fedora     31 k
 krb5-libs                    x86_64 1.20.1-7.fc38              copr_base 722 k
 libacl                       x86_64 2.3.1-4.fc37               fedora     23 k
 libarchive                   x86_64 3.6.1-3.fc38               fedora    397 k
 libassuan                    x86_64 2.5.5-5.fc37               fedora     67 k
 libattr                      x86_64 2.5.1-5.fc37               fedora     18 k
 libb2                        x86_64 0.98.1-7.fc37              fedora     25 k
 libblkid                     x86_64 2.38.1-3.fc38              copr_base 107 k
 libbrotli                    x86_64 1.0.9-11.fc38              copr_base 317 k
 libcap                       x86_64 2.48-5.fc37                fedora     67 k
 libcap-ng                    x86_64 0.8.3-5.fc38               copr_base  33 k
 libcom_err                   x86_64 1.46.5-3.fc37              fedora     25 k
 libcomps                     x86_64 0.1.18-5.fc38              copr_base  77 k
 libcurl                      x86_64 7.87.0-4.fc38              fedora    304 k
 libdnf                       x86_64 0.68.0-2.fc38              copr_base 653 k
 libevent                     x86_64 2.1.12-8.fc38              copr_base 258 k
 libffi                       x86_64 3.4.4-1.fc38               fedora     38 k
 libfsverity                  x86_64 1.4-8.fc37                 fedora     19 k
 libgcc                       x86_64 13.0.1-0.1.fc38            fedora     93 k
 libgcrypt                    x86_64 1.10.1-6.fc38              fedora    511 k
 libgomp                      x86_64 13.0.1-0.1.fc38            fedora    300 k
 libgpg-error                 x86_64 1.46-1.fc38                fedora    227 k
 libidn2                      x86_64 2.3.4-1.fc38               fedora    160 k
 libksba                      x86_64 1.6.3-1.fc38               fedora    158 k
 libmodulemd                  x86_64 2.14.0-5.fc38              copr_base 234 k
 libmount                     x86_64 2.38.1-3.fc38              copr_base 136 k
 libnghttp2                   x86_64 1.51.0-2.fc38              copr_base  76 k
 libnsl2                      x86_64 2.0.0-4.fc37               fedora     30 k
 libpsl                       x86_64 0.21.2-2.fc38              copr_base  66 k
 librepo                      x86_64 1.15.1-2.fc38              copr_base  97 k
 libreport-filesystem         noarch 2.17.6-2.fc38              copr_base  14 k
 libselinux                   x86_64 3.5-0.rc1.1.fc38.1         fedora     86 k
 libsemanage                  x86_64 3.5-0.rc1.1.fc38           fedora    119 k
 libsepol                     x86_64 3.5-0.rc1.1.fc38           fedora    322 k
 libsigsegv                   x86_64 2.14-3.fc37                fedora     27 k
 libsmartcols                 x86_64 2.38.1-3.fc38              copr_base  65 k
 libsolv                      x86_64 0.7.22-4.fc38              copr_base 412 k
 libssh                       x86_64 0.10.4-2.fc38              fedora    209 k
 libssh-config                noarch 0.10.4-2.fc38              fedora    8.8 k
 libstdc++                    x86_64 13.0.1-0.1.fc38            fedora    844 k
 libtasn1                     x86_64 4.19.0-1.fc38              fedora     75 k
 libtirpc                     x86_64 1.3.3-0.fc37               fedora     93 k
 libunistring                 x86_64 1.0-2.fc37                 fedora    549 k
 libuuid                      x86_64 2.38.1-3.fc38              copr_base  28 k
 libverto                     x86_64 0.3.2-4.fc37               fedora     21 k
 libxcrypt                    x86_64 4.4.33-5.fc38              fedora    120 k
 libxml2                      x86_64 2.10.3-3.fc38              copr_base 701 k
 libyaml                      x86_64 0.2.5-8.fc37               fedora     60 k
 libzstd                      x86_64 1.5.2-3.fc37               fedora    294 k
 lua-libs                     x86_64 5.4.4-7.fc38               fedora    131 k
 lz4-libs                     x86_64 1.9.4-1.fc38               fedora     67 k
 mpdecimal                    x86_64 2.5.1-4.fc37               fedora    102 k
 mpfr                         x86_64 4.1.1-2.fc38               fedora    598 k
 ncurses-base                 noarch 6.4-2.20230114.fc38        fedora     87 k
 ncurses-libs                 x86_64 6.4-2.20230114.fc38        fedora    333 k
 nettle                       x86_64 3.8-2.fc37                 fedora    414 k
 npth                         x86_64 1.6-10.fc38                fedora     24 k
 openldap                     x86_64 2.6.3-1.fc38               fedora    254 k
 openssl-libs                 x86_64 1:3.0.7-3.fc38             fedora    2.1 M
 p11-kit                      x86_64 0.24.1-5.fc38              fedora    376 k
 p11-kit-trust                x86_64 0.24.1-5.fc38              fedora    137 k
 pcre2                        x86_64 10.42-1.fc38               fedora    234 k
 pcre2-syntax                 noarch 10.42-1.fc38               fedora    144 k
 popt                         x86_64 1.19-1.fc38                fedora     66 k
 publicsuffix-list-dafsa      noarch 20221208-1.fc38            fedora     59 k
 python-pip-wheel             noarch 22.3.1-2.fc38              copr_base 1.4 M
 python-setuptools-wheel      noarch 65.5.1-1.fc38              fedora    715 k
 python3                      x86_64 3.11.1-3.fc38              copr_base  28 k
 python3-dateutil             noarch 1:2.8.2-5.fc38             copr_base 350 k
 python3-dbus                 x86_64 1.3.2-2.fc38               copr_base 147 k
 python3-distro               noarch 1.8.0-2.fc38               copr_base  46 k
 python3-dnf                  noarch 4.14.0-2.fc38              copr_base 564 k
 python3-dnf-plugins-core     noarch 4.3.1-2.fc38               copr_base 263 k
 python3-gpg                  x86_64 1.17.1-3.fc38              fedora    296 k
 python3-hawkey               x86_64 0.68.0-2.fc38              copr_base 108 k
 python3-libcomps             x86_64 0.1.18-5.fc38              copr_base  48 k
 python3-libdnf               x86_64 0.68.0-2.fc38              copr_base 817 k
 python3-libs                 x86_64 3.11.1-3.fc38              copr_base 9.2 M
 python3-rpm                  x86_64 4.18.0-10.fc38             copr_base  73 k
 python3-six                  noarch 1.16.0-9.fc38              copr_base  43 k
 readline                     x86_64 8.2-2.fc38                 fedora    211 k
 rpm                          x86_64 4.18.0-10.fc38             copr_base 541 k
 rpm-build-libs               x86_64 4.18.0-10.fc38             copr_base  95 k
 rpm-libs                     x86_64 4.18.0-10.fc38             copr_base 312 k
 rpm-sequoia                  x86_64 1.2.0-1.fc38               fedora    829 k
 rpm-sign-libs                x86_64 4.18.0-10.fc38             copr_base  27 k
 sed                          x86_64 4.8-11.fc37                fedora    306 k
 setup                        noarch 2.14.3-1.fc38              fedora    152 k
 shadow-utils                 x86_64 2:4.13-3.fc38              fedora    1.3 M
 sqlite-libs                  x86_64 3.40.1-1.fc38              fedora    661 k
 systemd-libs                 x86_64 252.4-598.fc38             fedora    632 k
 tpm2-tss                     x86_64 4.0.0-1.fc38               fedora    711 k
 tzdata                       noarch 2022g-1.fc38               fedora    716 k
 xz-libs                      x86_64 5.2.9-1.fc38               fedora     93 k
 zchunk-libs                  x86_64 1.2.3-1.fc38               fedora     51 k
 zlib                         x86_64 1.2.13-2.fc38              fedora     94 k

Transaction Summary
================================================================================
Install  137 Packages

Total download size: 56 M
Installed size: 200 M
Downloading Packages:
(1/137): crypto-policies-20221215-2.gita4c31a3. 660 kB/s |  64 kB     00:00    
(2/137): audit-libs-3.0.9-3.fc38.x86_64.rpm     1.1 MB/s | 117 kB     00:00    
(3/137): dnf-data-4.14.0-2.fc38.noarch.rpm      3.0 MB/s |  41 kB     00:00    
(4/137): dnf-plugins-core-4.3.1-2.fc38.noarch.r 2.8 MB/s |  34 kB     00:00    
(5/137): dnf-4.14.0-2.fc38.noarch.rpm           3.4 MB/s | 467 kB     00:00    
(6/137): file-libs-5.42-5.fc38.x86_64.rpm        12 MB/s | 676 kB     00:00    
(7/137): glibc-common-2.36.9000-23.fc38.x86_64. 3.4 MB/s | 307 kB     00:00    
(8/137): glibc-minimal-langpack-2.36.9000-23.fc 795 kB/s |  34 kB     00:00    
(9/137): glibc-2.36.9000-23.fc38.x86_64.rpm     9.8 MB/s | 2.1 MB     00:00    
(10/137): glib2-2.74.1-3.fc38.x86_64.rpm         11 MB/s | 2.7 MB     00:00    
(11/137): gnutls-3.7.8-11.fc38.x86_64.rpm        14 MB/s | 1.1 MB     00:00    
(12/137): krb5-libs-1.20.1-7.fc38.x86_64.rpm     17 MB/s | 722 kB     00:00    
(13/137): libblkid-2.38.1-3.fc38.x86_64.rpm     3.6 MB/s | 107 kB     00:00    
(14/137): libbrotli-1.0.9-11.fc38.x86_64.rpm     15 MB/s | 317 kB     00:00    
(15/137): libcap-ng-0.8.3-5.fc38.x86_64.rpm     3.5 MB/s |  33 kB     00:00    
(16/137): libcomps-0.1.18-5.fc38.x86_64.rpm     7.6 MB/s |  77 kB     00:00    
(17/137): libdnf-0.68.0-2.fc38.x86_64.rpm        34 MB/s | 653 kB     00:00    
(18/137): libevent-2.1.12-8.fc38.x86_64.rpm      13 MB/s | 258 kB     00:00    
(19/137): libmodulemd-2.14.0-5.fc38.x86_64.rpm   10 MB/s | 234 kB     00:00    
(20/137): libmount-2.38.1-3.fc38.x86_64.rpm      12 MB/s | 136 kB     00:00    
(21/137): libnghttp2-1.51.0-2.fc38.x86_64.rpm   7.9 MB/s |  76 kB     00:00    
(22/137): libpsl-0.21.2-2.fc38.x86_64.rpm       7.1 MB/s |  66 kB     00:00    
(23/137): librepo-1.15.1-2.fc38.x86_64.rpm       10 MB/s |  97 kB     00:00    
(24/137): libreport-filesystem-2.17.6-2.fc38.no 1.6 MB/s |  14 kB     00:00    
(25/137): libsmartcols-2.38.1-3.fc38.x86_64.rpm 7.0 MB/s |  65 kB     00:00    
(26/137): libsolv-0.7.22-4.fc38.x86_64.rpm       29 MB/s | 412 kB     00:00    
(27/137): libuuid-2.38.1-3.fc38.x86_64.rpm      2.0 MB/s |  28 kB     00:00    
(28/137): libxml2-2.10.3-3.fc38.x86_64.rpm       32 MB/s | 701 kB     00:00    
(29/137): python3-3.11.1-3.fc38.x86_64.rpm      1.6 MB/s |  28 kB     00:00    
(30/137): python-pip-wheel-22.3.1-2.fc38.noarch  45 MB/s | 1.4 MB     00:00    
(31/137): python3-dateutil-2.8.2-5.fc38.noarch.  15 MB/s | 350 kB     00:00    
(32/137): python3-dbus-1.3.2-2.fc38.x86_64.rpm  7.6 MB/s | 147 kB     00:00    
(33/137): python3-distro-1.8.0-2.fc38.noarch.rp 5.0 MB/s |  46 kB     00:00    
(34/137): python3-dnf-4.14.0-2.fc38.noarch.rpm   37 MB/s | 564 kB     00:00    
(35/137): python3-dnf-plugins-core-4.3.1-2.fc38  14 MB/s | 263 kB     00:00    
(36/137): python3-hawkey-0.68.0-2.fc38.x86_64.r 7.3 MB/s | 108 kB     00:00    
(37/137): python3-libcomps-0.1.18-5.fc38.x86_64 5.0 MB/s |  48 kB     00:00    
(38/137): python3-libdnf-0.68.0-2.fc38.x86_64.r  43 MB/s | 817 kB     00:00    
(39/137): python3-rpm-4.18.0-10.fc38.x86_64.rpm 2.3 MB/s |  73 kB     00:00    
(40/137): python3-six-1.16.0-9.fc38.noarch.rpm  1.5 MB/s |  43 kB     00:00    
(41/137): rpm-4.18.0-10.fc38.x86_64.rpm          16 MB/s | 541 kB     00:00    
(42/137): rpm-build-libs-4.18.0-10.fc38.x86_64. 3.4 MB/s |  95 kB     00:00    
(43/137): rpm-sign-libs-4.18.0-10.fc38.x86_64.r 854 kB/s |  27 kB     00:00    
(44/137): rpm-libs-4.18.0-10.fc38.x86_64.rpm    7.7 MB/s | 312 kB     00:00    
(45/137): python3-libs-3.11.1-3.fc38.x86_64.rpm  73 MB/s | 9.2 MB     00:00    
(46/137): basesystem-11-14.fc37.noarch.rpm       42 kB/s | 7.0 kB     00:00    
(47/137): alternatives-1.21-1.fc38.x86_64.rpm   186 kB/s |  38 kB     00:00    
(48/137): bzip2-libs-1.0.8-12.fc37.x86_64.rpm   596 kB/s |  41 kB     00:00    
(49/137): ca-certificates-2023.2.60-2.fc38.noar 4.6 MB/s | 845 kB     00:00    
(50/137): bash-5.2.15-1.fc38.x86_64.rpm         4.6 MB/s | 1.8 MB     00:00    
(51/137): coreutils-9.1-10.fc38.x86_64.rpm      6.2 MB/s | 1.1 MB     00:00    
(52/137): curl-7.87.0-4.fc38.x86_64.rpm         8.6 MB/s | 347 kB     00:00    
(53/137): cyrus-sasl-lib-2.1.28-8.fc38.x86_64.r  15 MB/s | 792 kB     00:00    
(54/137): coreutils-common-9.1-10.fc38.x86_64.r  21 MB/s | 2.0 MB     00:00    
(55/137): dbus-libs-1.14.4-1.fc38.x86_64.rpm    4.4 MB/s | 156 kB     00:00    
(56/137): elfutils-default-yama-scope-0.188-3.f 482 kB/s |  15 kB     00:00    
(57/137): elfutils-libelf-0.188-3.fc38.x86_64.r 5.6 MB/s | 196 kB     00:00    
(58/137): elfutils-libs-0.188-3.fc38.x86_64.rpm 7.1 MB/s | 257 kB     00:00    
(59/137): expat-2.5.0-1.fc38.x86_64.rpm         3.2 MB/s | 110 kB     00:00    
(60/137): fedora-gpg-keys-38-0.3.noarch.rpm     3.6 MB/s | 125 kB     00:00    
(61/137): fedora-release-38-0.18.noarch.rpm     359 kB/s |  12 kB     00:00    
(62/137): fedora-release-common-38-0.18.noarch. 655 kB/s |  22 kB     00:00    
(63/137): fedora-release-identity-basic-38-0.18 379 kB/s |  12 kB     00:00    
(64/137): fedora-repos-38-0.3.noarch.rpm        315 kB/s |  10 kB     00:00    
(65/137): fedora-repos-rawhide-38-0.3.noarch.rp 299 kB/s | 9.7 kB     00:00    
(66/137): filesystem-3.18-2.fc37.x86_64.rpm      21 MB/s | 1.1 MB     00:00    
(67/137): findutils-4.9.0-2.fc37.x86_64.rpm      11 MB/s | 492 kB     00:00    
(68/137): gawk-5.1.1-4.fc37.x86_64.rpm           19 MB/s | 1.0 MB     00:00    
(69/137): gdbm-libs-1.23-2.fc37.x86_64.rpm      1.7 MB/s |  56 kB     00:00    
(70/137): gmp-6.2.1-3.fc37.x86_64.rpm           8.6 MB/s | 315 kB     00:00    
(71/137): gpgme-1.17.1-3.fc38.x86_64.rpm        5.8 MB/s | 210 kB     00:00    
(72/137): grep-3.8-2.fc38.x86_64.rpm            7.7 MB/s | 292 kB     00:00    
(73/137): ima-evm-utils-1.4-6.fc37.x86_64.rpm   1.8 MB/s |  63 kB     00:00    
(74/137): json-c-0.16-3.fc38.x86_64.rpm         1.2 MB/s |  41 kB     00:00    
(75/137): gnupg2-2.4.0-1.fc38.x86_64.rpm         28 MB/s | 2.6 MB     00:00    
(76/137): keyutils-libs-1.6.1-5.fc37.x86_64.rpm 962 kB/s |  31 kB     00:00    
(77/137): libacl-2.3.1-4.fc37.x86_64.rpm        718 kB/s |  23 kB     00:00    
(78/137): libarchive-3.6.1-3.fc38.x86_64.rpm     11 MB/s | 397 kB     00:00    
(79/137): libassuan-2.5.5-5.fc37.x86_64.rpm     2.0 MB/s |  67 kB     00:00    
(80/137): libattr-2.5.1-5.fc37.x86_64.rpm       561 kB/s |  18 kB     00:00    
(81/137): libb2-0.98.1-7.fc37.x86_64.rpm        761 kB/s |  25 kB     00:00    
(82/137): libcap-2.48-5.fc37.x86_64.rpm         2.0 MB/s |  67 kB     00:00    
(83/137): libcom_err-1.46.5-3.fc37.x86_64.rpm   791 kB/s |  25 kB     00:00    
(84/137): libcurl-7.87.0-4.fc38.x86_64.rpm      8.2 MB/s | 304 kB     00:00    
(85/137): libffi-3.4.4-1.fc38.x86_64.rpm        1.1 MB/s |  38 kB     00:00    
(86/137): libfsverity-1.4-8.fc37.x86_64.rpm     590 kB/s |  19 kB     00:00    
(87/137): libgcc-13.0.1-0.1.fc38.x86_64.rpm     2.8 MB/s |  93 kB     00:00    
(88/137): libgcrypt-1.10.1-6.fc38.x86_64.rpm     13 MB/s | 511 kB     00:00    
(89/137): libgomp-13.0.1-0.1.fc38.x86_64.rpm    7.9 MB/s | 300 kB     00:00    
(90/137): libgpg-error-1.46-1.fc38.x86_64.rpm   6.3 MB/s | 227 kB     00:00    
(91/137): libidn2-2.3.4-1.fc38.x86_64.rpm       4.5 MB/s | 160 kB     00:00    
(92/137): libksba-1.6.3-1.fc38.x86_64.rpm       4.4 MB/s | 158 kB     00:00    
(93/137): libnsl2-2.0.0-4.fc37.x86_64.rpm       936 kB/s |  30 kB     00:00    
(94/137): libselinux-3.5-0.rc1.1.fc38.1.x86_64. 2.5 MB/s |  86 kB     00:00    
(95/137): libsemanage-3.5-0.rc1.1.fc38.x86_64.r 3.5 MB/s | 119 kB     00:00    
(96/137): libsepol-3.5-0.rc1.1.fc38.x86_64.rpm  8.8 MB/s | 322 kB     00:00    
(97/137): libsigsegv-2.14-3.fc37.x86_64.rpm     830 kB/s |  27 kB     00:00    
(98/137): libssh-0.10.4-2.fc38.x86_64.rpm       5.9 MB/s | 209 kB     00:00    
(99/137): libssh-config-0.10.4-2.fc38.noarch.rp 272 kB/s | 8.8 kB     00:00    
(100/137): libtasn1-4.19.0-1.fc38.x86_64.rpm    2.2 MB/s |  75 kB     00:00    
(101/137): libstdc++-13.0.1-0.1.fc38.x86_64.rpm  19 MB/s | 844 kB     00:00    
(102/137): libtirpc-1.3.3-0.fc37.x86_64.rpm     2.8 MB/s |  93 kB     00:00    
(103/137): libverto-0.3.2-4.fc37.x86_64.rpm     638 kB/s |  21 kB     00:00    
(104/137): libunistring-1.0-2.fc37.x86_64.rpm    14 MB/s | 549 kB     00:00    
(105/137): libxcrypt-4.4.33-5.fc38.x86_64.rpm   3.5 MB/s | 120 kB     00:00    
(106/137): libyaml-0.2.5-8.fc37.x86_64.rpm      1.8 MB/s |  60 kB     00:00    
(107/137): lua-libs-5.4.4-7.fc38.x86_64.rpm     3.8 MB/s | 131 kB     00:00    
(108/137): libzstd-1.5.2-3.fc37.x86_64.rpm      7.8 MB/s | 294 kB     00:00    
(109/137): lz4-libs-1.9.4-1.fc38.x86_64.rpm     2.0 MB/s |  67 kB     00:00    
(110/137): mpdecimal-2.5.1-4.fc37.x86_64.rpm    3.0 MB/s | 102 kB     00:00    
(111/137): mpfr-4.1.1-2.fc38.x86_64.rpm          15 MB/s | 598 kB     00:00    
(112/137): ncurses-base-6.4-2.20230114.fc38.noa 2.6 MB/s |  87 kB     00:00    
(113/137): ncurses-libs-6.4-2.20230114.fc38.x86 8.9 MB/s | 333 kB     00:00    
(114/137): nettle-3.8-2.fc37.x86_64.rpm          11 MB/s | 414 kB     00:00    
(115/137): npth-1.6-10.fc38.x86_64.rpm          749 kB/s |  24 kB     00:00    
(116/137): openldap-2.6.3-1.fc38.x86_64.rpm     6.9 MB/s | 254 kB     00:00    
(117/137): p11-kit-0.24.1-5.fc38.x86_64.rpm     9.2 MB/s | 376 kB     00:00    
(118/137): p11-kit-trust-0.24.1-5.fc38.x86_64.r 4.0 MB/s | 137 kB     00:00    
(119/137): openssl-libs-3.0.7-3.fc38.x86_64.rpm  27 MB/s | 2.1 MB     00:00    
(120/137): pcre2-10.42-1.fc38.x86_64.rpm        6.5 MB/s | 234 kB     00:00    
(121/137): pcre2-syntax-10.42-1.fc38.noarch.rpm 4.0 MB/s | 144 kB     00:00    
(122/137): popt-1.19-1.fc38.x86_64.rpm          2.0 MB/s |  66 kB     00:00    
(123/137): publicsuffix-list-dafsa-20221208-1.f 1.8 MB/s |  59 kB     00:00    
(124/137): python-setuptools-wheel-65.5.1-1.fc3  17 MB/s | 715 kB     00:00    
(125/137): python3-gpg-1.17.1-3.fc38.x86_64.rpm 8.2 MB/s | 296 kB     00:00    
(126/137): readline-8.2-2.fc38.x86_64.rpm       6.0 MB/s | 211 kB     00:00    
(127/137): rpm-sequoia-1.2.0-1.fc38.x86_64.rpm   20 MB/s | 829 kB     00:00    
(128/137): sed-4.8-11.fc37.x86_64.rpm           8.3 MB/s | 306 kB     00:00    
(129/137): setup-2.14.3-1.fc38.noarch.rpm       4.3 MB/s | 152 kB     00:00    
(130/137): sqlite-libs-3.40.1-1.fc38.x86_64.rpm  13 MB/s | 661 kB     00:00    
(131/137): systemd-libs-252.4-598.fc38.x86_64.r  12 MB/s | 632 kB     00:00    
(132/137): shadow-utils-4.13-3.fc38.x86_64.rpm   19 MB/s | 1.3 MB     00:00    
(133/137): tpm2-tss-4.0.0-1.fc38.x86_64.rpm      16 MB/s | 711 kB     00:00    
(134/137): xz-libs-5.2.9-1.fc38.x86_64.rpm      2.4 MB/s |  93 kB     00:00    
(135/137): tzdata-2022g-1.fc38.noarch.rpm        15 MB/s | 716 kB     00:00    
(136/137): zchunk-libs-1.2.3-1.fc38.x86_64.rpm  1.5 MB/s |  51 kB     00:00    
(137/137): zlib-1.2.13-2.fc38.x86_64.rpm        2.6 MB/s |  94 kB     00:00    
--------------------------------------------------------------------------------
Total                                            26 MB/s |  56 MB     00:02     
fedora                                          1.6 MB/s | 1.6 kB     00:00    
Importing GPG key 0xEB10B464:
 Userid     : "Fedora (38) <fedora-38-primary@fedoraproject.org>"
 Fingerprint: 6A51 BBAB BA3D 5467 B617 1221 809A 8D7C EB10 B464
 From       : /usr/share/distribution-gpg-keys/fedora/RPM-GPG-KEY-fedora-38-primary
Key imported successfully
fedora                                          1.6 MB/s | 1.6 kB     00:00    
GPG key at file:///usr/share/distribution-gpg-keys/fedora/RPM-GPG-KEY-fedora-38-primary (0xEB10B464) is already installed
fedora                                          1.6 MB/s | 1.6 kB     00:00    
Importing GPG key 0x5323552A:
 Userid     : "Fedora (37) <fedora-37-primary@fedoraproject.org>"
 Fingerprint: ACB5 EE4E 831C 74BB 7C16 8D27 F55A D3FB 5323 552A
 From       : /usr/share/distribution-gpg-keys/fedora/RPM-GPG-KEY-fedora-37-primary
Key imported successfully
Running transaction check
Transaction check succeeded.
Running transaction test
Transaction test succeeded.
Running transaction
  Running scriptlet: filesystem-3.18-2.fc37.x86_64                          1/1 
  Preparing        :                                                        1/1 
  Installing       : libgcc-13.0.1-0.1.fc38.x86_64                        1/137 
  Running scriptlet: libgcc-13.0.1-0.1.fc38.x86_64                        1/137 
  Installing       : tzdata-2022g-1.fc38.noarch                           2/137 
  Installing       : crypto-policies-20221215-2.gita4c31a3.fc38.noarc     3/137 
  Running scriptlet: crypto-policies-20221215-2.gita4c31a3.fc38.noarc     3/137 
  Installing       : fedora-release-identity-basic-38-0.18.noarch         4/137 
  Installing       : python-setuptools-wheel-65.5.1-1.fc38.noarch         5/137 
  Installing       : publicsuffix-list-dafsa-20221208-1.fc38.noarch       6/137 
  Installing       : pcre2-syntax-10.42-1.fc38.noarch                     7/137 
  Installing       : ncurses-base-6.4-2.20230114.fc38.noarch              8/137 
  Installing       : libssh-config-0.10.4-2.fc38.noarch                   9/137 
  Installing       : fedora-gpg-keys-38-0.3.noarch                       10/137 
  Installing       : fedora-release-38-0.18.noarch                       11/137 
  Installing       : fedora-release-common-38-0.18.noarch                12/137 
  Installing       : fedora-repos-rawhide-38-0.3.noarch                  13/137 
  Installing       : fedora-repos-38-0.3.noarch                          14/137 
  Installing       : setup-2.14.3-1.fc38.noarch                          15/137 
  Running scriptlet: setup-2.14.3-1.fc38.noarch                          15/137 
  Installing       : filesystem-3.18-2.fc37.x86_64                       16/137 
  Installing       : basesystem-11-14.fc37.noarch                        17/137 
  Installing       : glibc-minimal-langpack-2.36.9000-23.fc38.x86_64     18/137 
  Installing       : glibc-common-2.36.9000-23.fc38.x86_64               19/137 
  Running scriptlet: glibc-2.36.9000-23.fc38.x86_64                      20/137 
  Installing       : glibc-2.36.9000-23.fc38.x86_64                      20/137 
  Running scriptlet: glibc-2.36.9000-23.fc38.x86_64                      20/137 
  Installing       : ncurses-libs-6.4-2.20230114.fc38.x86_64             21/137 
  Installing       : bash-5.2.15-1.fc38.x86_64                           22/137 
  Running scriptlet: bash-5.2.15-1.fc38.x86_64                           22/137 
  Installing       : zlib-1.2.13-2.fc38.x86_64                           23/137 
  Installing       : bzip2-libs-1.0.8-12.fc37.x86_64                     24/137 
  Installing       : xz-libs-5.2.9-1.fc38.x86_64                         25/137 
  Installing       : libzstd-1.5.2-3.fc37.x86_64                         26/137 
  Installing       : sqlite-libs-3.40.1-1.fc38.x86_64                    27/137 
  Installing       : gmp-1:6.2.1-3.fc37.x86_64                           28/137 
  Installing       : libcap-2.48-5.fc37.x86_64                           29/137 
  Installing       : libgpg-error-1.46-1.fc38.x86_64                     30/137 
  Installing       : popt-1.19-1.fc38.x86_64                             31/137 
  Installing       : libxml2-2.10.3-3.fc38.x86_64                        32/137 
  Installing       : libuuid-2.38.1-3.fc38.x86_64                        33/137 
  Installing       : libstdc++-13.0.1-0.1.fc38.x86_64                    34/137 
  Installing       : lua-libs-5.4.4-7.fc38.x86_64                        35/137 
  Installing       : file-libs-5.42-5.fc38.x86_64                        36/137 
  Installing       : elfutils-libelf-0.188-3.fc38.x86_64                 37/137 
  Installing       : readline-8.2-2.fc38.x86_64                          38/137 
  Installing       : libattr-2.5.1-5.fc37.x86_64                         39/137 
  Installing       : libacl-2.3.1-4.fc37.x86_64                          40/137 
  Installing       : libffi-3.4.4-1.fc38.x86_64                          41/137 
  Installing       : p11-kit-0.24.1-5.fc38.x86_64                        42/137 
  Installing       : libunistring-1.0-2.fc37.x86_64                      43/137 
  Installing       : libidn2-2.3.4-1.fc38.x86_64                         44/137 
  Installing       : libxcrypt-4.4.33-5.fc38.x86_64                      45/137 
  Installing       : pcre2-10.42-1.fc38.x86_64                           46/137 
  Installing       : libassuan-2.5.5-5.fc37.x86_64                       47/137 
  Installing       : nettle-3.8-2.fc37.x86_64                            48/137 
  Installing       : rpm-sequoia-1.2.0-1.fc38.x86_64                     49/137 
  Installing       : libsmartcols-2.38.1-3.fc38.x86_64                   50/137 
  Installing       : expat-2.5.0-1.fc38.x86_64                           51/137 
  Installing       : gdbm-libs-1:1.23-2.fc37.x86_64                      52/137 
  Installing       : json-c-0.16-3.fc38.x86_64                           53/137 
  Installing       : keyutils-libs-1.6.1-5.fc37.x86_64                   54/137 
  Installing       : libcom_err-1.46.5-3.fc37.x86_64                     55/137 
  Installing       : libgomp-13.0.1-0.1.fc38.x86_64                      56/137 
  Installing       : libsepol-3.5-0.rc1.1.fc38.x86_64                    57/137 
  Installing       : libselinux-3.5-0.rc1.1.fc38.1.x86_64                58/137 
  Installing       : sed-4.8-11.fc37.x86_64                              59/137 
  Installing       : libtasn1-4.19.0-1.fc38.x86_64                       60/137 
  Installing       : lz4-libs-1.9.4-1.fc38.x86_64                        61/137 
  Installing       : systemd-libs-252.4-598.fc38.x86_64                  62/137 
  Installing       : dbus-libs-1:1.14.4-1.fc38.x86_64                    63/137 
  Installing       : findutils-1:4.9.0-2.fc37.x86_64                     64/137 
  Installing       : libb2-0.98.1-7.fc37.x86_64                          65/137 
  Installing       : cyrus-sasl-lib-2.1.28-8.fc38.x86_64                 66/137 
  Installing       : libcomps-0.1.18-5.fc38.x86_64                       67/137 
  Installing       : grep-3.8-2.fc38.x86_64                              68/137 
  Installing       : libpsl-0.21.2-2.fc38.x86_64                         69/137 
  Installing       : mpdecimal-2.5.1-4.fc37.x86_64                       70/137 
  Installing       : libblkid-2.38.1-3.fc38.x86_64                       71/137 
  Installing       : libmount-2.38.1-3.fc38.x86_64                       72/137 
  Installing       : libgcrypt-1.10.1-6.fc38.x86_64                      73/137 
  Installing       : libksba-1.6.3-1.fc38.x86_64                         74/137 
  Installing       : mpfr-4.1.1-2.fc38.x86_64                            75/137 
  Installing       : elfutils-default-yama-scope-0.188-3.fc38.noarch     76/137 
  Running scriptlet: elfutils-default-yama-scope-0.188-3.fc38.noarch     76/137 
  Installing       : elfutils-libs-0.188-3.fc38.x86_64                   77/137 
  Installing       : libbrotli-1.0.9-11.fc38.x86_64                      78/137 
  Installing       : libcap-ng-0.8.3-5.fc38.x86_64                       79/137 
  Installing       : audit-libs-3.0.9-3.fc38.x86_64                      80/137 
  Installing       : rpm-libs-4.18.0-10.fc38.x86_64                      81/137 
  Installing       : rpm-build-libs-4.18.0-10.fc38.x86_64                82/137 
  Installing       : libsemanage-3.5-0.rc1.1.fc38.x86_64                 83/137 
  Installing       : shadow-utils-2:4.13-3.fc38.x86_64                   84/137 
  Installing       : libnghttp2-1.51.0-2.fc38.x86_64                     85/137 
  Installing       : alternatives-1.21-1.fc38.x86_64                     86/137 
  Installing       : p11-kit-trust-0.24.1-5.fc38.x86_64                  87/137 
  Running scriptlet: p11-kit-trust-0.24.1-5.fc38.x86_64                  87/137 
  Installing       : gnutls-3.7.8-11.fc38.x86_64                         88/137 
  Installing       : glib2-2.74.1-3.fc38.x86_64                          89/137 
  Installing       : libsigsegv-2.14-3.fc37.x86_64                       90/137 
  Installing       : gawk-5.1.1-4.fc37.x86_64                            91/137 
  Installing       : libverto-0.3.2-4.fc37.x86_64                        92/137 
  Installing       : libyaml-0.2.5-8.fc37.x86_64                         93/137 
  Installing       : libmodulemd-2.14.0-5.fc38.x86_64                    94/137 
  Installing       : npth-1.6-10.fc38.x86_64                             95/137 
  Installing       : coreutils-common-9.1-10.fc38.x86_64                 96/137 
  Installing       : openssl-libs-1:3.0.7-3.fc38.x86_64                  97/137 
  Installing       : coreutils-9.1-10.fc38.x86_64                        98/137 
  Running scriptlet: ca-certificates-2023.2.60-2.fc38.noarch             99/137 
  Installing       : ca-certificates-2023.2.60-2.fc38.noarch             99/137 
  Running scriptlet: ca-certificates-2023.2.60-2.fc38.noarch             99/137 
  Installing       : krb5-libs-1.20.1-7.fc38.x86_64                     100/137 
  Installing       : libtirpc-1.3.3-0.fc37.x86_64                       101/137 
  Installing       : libfsverity-1.4-8.fc37.x86_64                      102/137 
  Installing       : zchunk-libs-1.2.3-1.fc38.x86_64                    103/137 
  Installing       : libsolv-0.7.22-4.fc38.x86_64                       104/137 
  Installing       : libnsl2-2.0.0-4.fc37.x86_64                        105/137 
  Installing       : libssh-0.10.4-2.fc38.x86_64                        106/137 
  Installing       : python-pip-wheel-22.3.1-2.fc38.noarch              107/137 
  Installing       : python3-3.11.1-3.fc38.x86_64                       108/137 
  Installing       : python3-libs-3.11.1-3.fc38.x86_64                  109/137 
  Installing       : python3-dbus-1.3.2-2.fc38.x86_64                   110/137 
  Installing       : python3-libcomps-0.1.18-5.fc38.x86_64              111/137 
  Installing       : python3-distro-1.8.0-2.fc38.noarch                 112/137 
  Installing       : python3-six-1.16.0-9.fc38.noarch                   113/137 
  Installing       : python3-dateutil-1:2.8.2-5.fc38.noarch             114/137 
  Installing       : libevent-2.1.12-8.fc38.x86_64                      115/137 
  Installing       : openldap-2.6.3-1.fc38.x86_64                       116/137 
  Installing       : libcurl-7.87.0-4.fc38.x86_64                       117/137 
  Installing       : gnupg2-2.4.0-1.fc38.x86_64                         118/137 
  Installing       : gpgme-1.17.1-3.fc38.x86_64                         119/137 
  Installing       : librepo-1.15.1-2.fc38.x86_64                       120/137 
  Installing       : libdnf-0.68.0-2.fc38.x86_64                        121/137 
  Installing       : python3-libdnf-0.68.0-2.fc38.x86_64                122/137 
  Installing       : python3-hawkey-0.68.0-2.fc38.x86_64                123/137 
  Installing       : python3-gpg-1.17.1-3.fc38.x86_64                   124/137 
  Installing       : curl-7.87.0-4.fc38.x86_64                          125/137 
  Running scriptlet: tpm2-tss-4.0.0-1.fc38.x86_64                       126/137 
useradd: Warning: missing or non-executable shell '/usr/sbin/nologin'

  Installing       : tpm2-tss-4.0.0-1.fc38.x86_64                       126/137 
  Installing       : ima-evm-utils-1.4-6.fc37.x86_64                    127/137 
  Installing       : rpm-sign-libs-4.18.0-10.fc38.x86_64                128/137 
  Installing       : python3-rpm-4.18.0-10.fc38.x86_64                  129/137 
  Installing       : libarchive-3.6.1-3.fc38.x86_64                     130/137 
  Installing       : libreport-filesystem-2.17.6-2.fc38.noarch          131/137 
  Installing       : dnf-data-4.14.0-2.fc38.noarch                      132/137 
warning: /etc/dnf/dnf.conf created as /etc/dnf/dnf.conf.rpmnew

  Installing       : python3-dnf-4.14.0-2.fc38.noarch                   133/137 
  Installing       : python3-dnf-plugins-core-4.3.1-2.fc38.noarch       134/137 
  Installing       : dnf-plugins-core-4.3.1-2.fc38.noarch               135/137 
  Installing       : dnf-4.14.0-2.fc38.noarch                           136/137 
  Running scriptlet: dnf-4.14.0-2.fc38.noarch                           136/137 
  Running scriptlet: rpm-4.18.0-10.fc38.x86_64                          137/137 
  Installing       : rpm-4.18.0-10.fc38.x86_64                          137/137 
  Running scriptlet: filesystem-3.18-2.fc37.x86_64                      137/137 
  Running scriptlet: ca-certificates-2023.2.60-2.fc38.noarch            137/137 
  Running scriptlet: rpm-4.18.0-10.fc38.x86_64                          137/137 
  Verifying        : audit-libs-3.0.9-3.fc38.x86_64                       1/137 
  Verifying        : crypto-policies-20221215-2.gita4c31a3.fc38.noarc     2/137 
  Verifying        : dnf-4.14.0-2.fc38.noarch                             3/137 
  Verifying        : dnf-data-4.14.0-2.fc38.noarch                        4/137 
  Verifying        : dnf-plugins-core-4.3.1-2.fc38.noarch                 5/137 
  Verifying        : file-libs-5.42-5.fc38.x86_64                         6/137 
  Verifying        : glib2-2.74.1-3.fc38.x86_64                           7/137 
  Verifying        : glibc-2.36.9000-23.fc38.x86_64                       8/137 
  Verifying        : glibc-common-2.36.9000-23.fc38.x86_64                9/137 
  Verifying        : glibc-minimal-langpack-2.36.9000-23.fc38.x86_64     10/137 
  Verifying        : gnutls-3.7.8-11.fc38.x86_64                         11/137 
  Verifying        : krb5-libs-1.20.1-7.fc38.x86_64                      12/137 
  Verifying        : libblkid-2.38.1-3.fc38.x86_64                       13/137 
  Verifying        : libbrotli-1.0.9-11.fc38.x86_64                      14/137 
  Verifying        : libcap-ng-0.8.3-5.fc38.x86_64                       15/137 
  Verifying        : libcomps-0.1.18-5.fc38.x86_64                       16/137 
  Verifying        : libdnf-0.68.0-2.fc38.x86_64                         17/137 
  Verifying        : libevent-2.1.12-8.fc38.x86_64                       18/137 
  Verifying        : libmodulemd-2.14.0-5.fc38.x86_64                    19/137 
  Verifying        : libmount-2.38.1-3.fc38.x86_64                       20/137 
  Verifying        : libnghttp2-1.51.0-2.fc38.x86_64                     21/137 
  Verifying        : libpsl-0.21.2-2.fc38.x86_64                         22/137 
  Verifying        : librepo-1.15.1-2.fc38.x86_64                        23/137 
  Verifying        : libreport-filesystem-2.17.6-2.fc38.noarch           24/137 
  Verifying        : libsmartcols-2.38.1-3.fc38.x86_64                   25/137 
  Verifying        : libsolv-0.7.22-4.fc38.x86_64                        26/137 
  Verifying        : libuuid-2.38.1-3.fc38.x86_64                        27/137 
  Verifying        : libxml2-2.10.3-3.fc38.x86_64                        28/137 
  Verifying        : python-pip-wheel-22.3.1-2.fc38.noarch               29/137 
  Verifying        : python3-3.11.1-3.fc38.x86_64                        30/137 
  Verifying        : python3-dateutil-1:2.8.2-5.fc38.noarch              31/137 
  Verifying        : python3-dbus-1.3.2-2.fc38.x86_64                    32/137 
  Verifying        : python3-distro-1.8.0-2.fc38.noarch                  33/137 
  Verifying        : python3-dnf-4.14.0-2.fc38.noarch                    34/137 
  Verifying        : python3-dnf-plugins-core-4.3.1-2.fc38.noarch        35/137 
  Verifying        : python3-hawkey-0.68.0-2.fc38.x86_64                 36/137 
  Verifying        : python3-libcomps-0.1.18-5.fc38.x86_64               37/137 
  Verifying        : python3-libdnf-0.68.0-2.fc38.x86_64                 38/137 
  Verifying        : python3-libs-3.11.1-3.fc38.x86_64                   39/137 
  Verifying        : python3-rpm-4.18.0-10.fc38.x86_64                   40/137 
  Verifying        : python3-six-1.16.0-9.fc38.noarch                    41/137 
  Verifying        : rpm-4.18.0-10.fc38.x86_64                           42/137 
  Verifying        : rpm-build-libs-4.18.0-10.fc38.x86_64                43/137 
  Verifying        : rpm-libs-4.18.0-10.fc38.x86_64                      44/137 
  Verifying        : rpm-sign-libs-4.18.0-10.fc38.x86_64                 45/137 
  Verifying        : alternatives-1.21-1.fc38.x86_64                     46/137 
  Verifying        : basesystem-11-14.fc37.noarch                        47/137 
  Verifying        : bash-5.2.15-1.fc38.x86_64                           48/137 
  Verifying        : bzip2-libs-1.0.8-12.fc37.x86_64                     49/137 
  Verifying        : ca-certificates-2023.2.60-2.fc38.noarch             50/137 
  Verifying        : coreutils-9.1-10.fc38.x86_64                        51/137 
  Verifying        : coreutils-common-9.1-10.fc38.x86_64                 52/137 
  Verifying        : curl-7.87.0-4.fc38.x86_64                           53/137 
  Verifying        : cyrus-sasl-lib-2.1.28-8.fc38.x86_64                 54/137 
  Verifying        : dbus-libs-1:1.14.4-1.fc38.x86_64                    55/137 
  Verifying        : elfutils-default-yama-scope-0.188-3.fc38.noarch     56/137 
  Verifying        : elfutils-libelf-0.188-3.fc38.x86_64                 57/137 
  Verifying        : elfutils-libs-0.188-3.fc38.x86_64                   58/137 
  Verifying        : expat-2.5.0-1.fc38.x86_64                           59/137 
  Verifying        : fedora-gpg-keys-38-0.3.noarch                       60/137 
  Verifying        : fedora-release-38-0.18.noarch                       61/137 
  Verifying        : fedora-release-common-38-0.18.noarch                62/137 
  Verifying        : fedora-release-identity-basic-38-0.18.noarch        63/137 
  Verifying        : fedora-repos-38-0.3.noarch                          64/137 
  Verifying        : fedora-repos-rawhide-38-0.3.noarch                  65/137 
  Verifying        : filesystem-3.18-2.fc37.x86_64                       66/137 
  Verifying        : findutils-1:4.9.0-2.fc37.x86_64                     67/137 
  Verifying        : gawk-5.1.1-4.fc37.x86_64                            68/137 
  Verifying        : gdbm-libs-1:1.23-2.fc37.x86_64                      69/137 
  Verifying        : gmp-1:6.2.1-3.fc37.x86_64                           70/137 
  Verifying        : gnupg2-2.4.0-1.fc38.x86_64                          71/137 
  Verifying        : gpgme-1.17.1-3.fc38.x86_64                          72/137 
  Verifying        : grep-3.8-2.fc38.x86_64                              73/137 
  Verifying        : ima-evm-utils-1.4-6.fc37.x86_64                     74/137 
  Verifying        : json-c-0.16-3.fc38.x86_64                           75/137 
  Verifying        : keyutils-libs-1.6.1-5.fc37.x86_64                   76/137 
  Verifying        : libacl-2.3.1-4.fc37.x86_64                          77/137 
  Verifying        : libarchive-3.6.1-3.fc38.x86_64                      78/137 
  Verifying        : libassuan-2.5.5-5.fc37.x86_64                       79/137 
  Verifying        : libattr-2.5.1-5.fc37.x86_64                         80/137 
  Verifying        : libb2-0.98.1-7.fc37.x86_64                          81/137 
  Verifying        : libcap-2.48-5.fc37.x86_64                           82/137 
  Verifying        : libcom_err-1.46.5-3.fc37.x86_64                     83/137 
  Verifying        : libcurl-7.87.0-4.fc38.x86_64                        84/137 
  Verifying        : libffi-3.4.4-1.fc38.x86_64                          85/137 
  Verifying        : libfsverity-1.4-8.fc37.x86_64                       86/137 
  Verifying        : libgcc-13.0.1-0.1.fc38.x86_64                       87/137 
  Verifying        : libgcrypt-1.10.1-6.fc38.x86_64                      88/137 
  Verifying        : libgomp-13.0.1-0.1.fc38.x86_64                      89/137 
  Verifying        : libgpg-error-1.46-1.fc38.x86_64                     90/137 
  Verifying        : libidn2-2.3.4-1.fc38.x86_64                         91/137 
  Verifying        : libksba-1.6.3-1.fc38.x86_64                         92/137 
  Verifying        : libnsl2-2.0.0-4.fc37.x86_64                         93/137 
  Verifying        : libselinux-3.5-0.rc1.1.fc38.1.x86_64                94/137 
  Verifying        : libsemanage-3.5-0.rc1.1.fc38.x86_64                 95/137 
  Verifying        : libsepol-3.5-0.rc1.1.fc38.x86_64                    96/137 
  Verifying        : libsigsegv-2.14-3.fc37.x86_64                       97/137 
  Verifying        : libssh-0.10.4-2.fc38.x86_64                         98/137 
  Verifying        : libssh-config-0.10.4-2.fc38.noarch                  99/137 
  Verifying        : libstdc++-13.0.1-0.1.fc38.x86_64                   100/137 
  Verifying        : libtasn1-4.19.0-1.fc38.x86_64                      101/137 
  Verifying        : libtirpc-1.3.3-0.fc37.x86_64                       102/137 
  Verifying        : libunistring-1.0-2.fc37.x86_64                     103/137 
  Verifying        : libverto-0.3.2-4.fc37.x86_64                       104/137 
  Verifying        : libxcrypt-4.4.33-5.fc38.x86_64                     105/137 
  Verifying        : libyaml-0.2.5-8.fc37.x86_64                        106/137 
  Verifying        : libzstd-1.5.2-3.fc37.x86_64                        107/137 
  Verifying        : lua-libs-5.4.4-7.fc38.x86_64                       108/137 
  Verifying        : lz4-libs-1.9.4-1.fc38.x86_64                       109/137 
  Verifying        : mpdecimal-2.5.1-4.fc37.x86_64                      110/137 
  Verifying        : mpfr-4.1.1-2.fc38.x86_64                           111/137 
  Verifying        : ncurses-base-6.4-2.20230114.fc38.noarch            112/137 
  Verifying        : ncurses-libs-6.4-2.20230114.fc38.x86_64            113/137 
  Verifying        : nettle-3.8-2.fc37.x86_64                           114/137 
  Verifying        : npth-1.6-10.fc38.x86_64                            115/137 
  Verifying        : openldap-2.6.3-1.fc38.x86_64                       116/137 
  Verifying        : openssl-libs-1:3.0.7-3.fc38.x86_64                 117/137 
  Verifying        : p11-kit-0.24.1-5.fc38.x86_64                       118/137 
  Verifying        : p11-kit-trust-0.24.1-5.fc38.x86_64                 119/137 
  Verifying        : pcre2-10.42-1.fc38.x86_64                          120/137 
  Verifying        : pcre2-syntax-10.42-1.fc38.noarch                   121/137 
  Verifying        : popt-1.19-1.fc38.x86_64                            122/137 
  Verifying        : publicsuffix-list-dafsa-20221208-1.fc38.noarch     123/137 
  Verifying        : python-setuptools-wheel-65.5.1-1.fc38.noarch       124/137 
  Verifying        : python3-gpg-1.17.1-3.fc38.x86_64                   125/137 
  Verifying        : readline-8.2-2.fc38.x86_64                         126/137 
  Verifying        : rpm-sequoia-1.2.0-1.fc38.x86_64                    127/137 
  Verifying        : sed-4.8-11.fc37.x86_64                             128/137 
  Verifying        : setup-2.14.3-1.fc38.noarch                         129/137 
  Verifying        : shadow-utils-2:4.13-3.fc38.x86_64                  130/137 
  Verifying        : sqlite-libs-3.40.1-1.fc38.x86_64                   131/137 
  Verifying        : systemd-libs-252.4-598.fc38.x86_64                 132/137 
  Verifying        : tpm2-tss-4.0.0-1.fc38.x86_64                       133/137 
  Verifying        : tzdata-2022g-1.fc38.noarch                         134/137 
  Verifying        : xz-libs-5.2.9-1.fc38.x86_64                        135/137 
  Verifying        : zchunk-libs-1.2.3-1.fc38.x86_64                    136/137 
  Verifying        : zlib-1.2.13-2.fc38.x86_64                          137/137 
Installed products updated.

Installed:
  alternatives-1.21-1.fc38.x86_64                                               
  audit-libs-3.0.9-3.fc38.x86_64                                                
  basesystem-11-14.fc37.noarch                                                  
  bash-5.2.15-1.fc38.x86_64                                                     
  bzip2-libs-1.0.8-12.fc37.x86_64                                               
  ca-certificates-2023.2.60-2.fc38.noarch                                       
  coreutils-9.1-10.fc38.x86_64                                                  
  coreutils-common-9.1-10.fc38.x86_64                                           
  crypto-policies-20221215-2.gita4c31a3.fc38.noarch                             
  curl-7.87.0-4.fc38.x86_64                                                     
  cyrus-sasl-lib-2.1.28-8.fc38.x86_64                                           
  dbus-libs-1:1.14.4-1.fc38.x86_64                                              
  dnf-4.14.0-2.fc38.noarch                                                      
  dnf-data-4.14.0-2.fc38.noarch                                                 
  dnf-plugins-core-4.3.1-2.fc38.noarch                                          
  elfutils-default-yama-scope-0.188-3.fc38.noarch                               
  elfutils-libelf-0.188-3.fc38.x86_64                                           
  elfutils-libs-0.188-3.fc38.x86_64                                             
  expat-2.5.0-1.fc38.x86_64                                                     
  fedora-gpg-keys-38-0.3.noarch                                                 
  fedora-release-38-0.18.noarch                                                 
  fedora-release-common-38-0.18.noarch                                          
  fedora-release-identity-basic-38-0.18.noarch                                  
  fedora-repos-38-0.3.noarch                                                    
  fedora-repos-rawhide-38-0.3.noarch                                            
  file-libs-5.42-5.fc38.x86_64                                                  
  filesystem-3.18-2.fc37.x86_64                                                 
  findutils-1:4.9.0-2.fc37.x86_64                                               
  gawk-5.1.1-4.fc37.x86_64                                                      
  gdbm-libs-1:1.23-2.fc37.x86_64                                                
  glib2-2.74.1-3.fc38.x86_64                                                    
  glibc-2.36.9000-23.fc38.x86_64                                                
  glibc-common-2.36.9000-23.fc38.x86_64                                         
  glibc-minimal-langpack-2.36.9000-23.fc38.x86_64                               
  gmp-1:6.2.1-3.fc37.x86_64                                                     
  gnupg2-2.4.0-1.fc38.x86_64                                                    
  gnutls-3.7.8-11.fc38.x86_64                                                   
  gpgme-1.17.1-3.fc38.x86_64                                                    
  grep-3.8-2.fc38.x86_64                                                        
  ima-evm-utils-1.4-6.fc37.x86_64                                               
  json-c-0.16-3.fc38.x86_64                                                     
  keyutils-libs-1.6.1-5.fc37.x86_64                                             
  krb5-libs-1.20.1-7.fc38.x86_64                                                
  libacl-2.3.1-4.fc37.x86_64                                                    
  libarchive-3.6.1-3.fc38.x86_64                                                
  libassuan-2.5.5-5.fc37.x86_64                                                 
  libattr-2.5.1-5.fc37.x86_64                                                   
  libb2-0.98.1-7.fc37.x86_64                                                    
  libblkid-2.38.1-3.fc38.x86_64                                                 
  libbrotli-1.0.9-11.fc38.x86_64                                                
  libcap-2.48-5.fc37.x86_64                                                     
  libcap-ng-0.8.3-5.fc38.x86_64                                                 
  libcom_err-1.46.5-3.fc37.x86_64                                               
  libcomps-0.1.18-5.fc38.x86_64                                                 
  libcurl-7.87.0-4.fc38.x86_64                                                  
  libdnf-0.68.0-2.fc38.x86_64                                                   
  libevent-2.1.12-8.fc38.x86_64                                                 
  libffi-3.4.4-1.fc38.x86_64                                                    
  libfsverity-1.4-8.fc37.x86_64                                                 
  libgcc-13.0.1-0.1.fc38.x86_64                                                 
  libgcrypt-1.10.1-6.fc38.x86_64                                                
  libgomp-13.0.1-0.1.fc38.x86_64                                                
  libgpg-error-1.46-1.fc38.x86_64                                               
  libidn2-2.3.4-1.fc38.x86_64                                                   
  libksba-1.6.3-1.fc38.x86_64                                                   
  libmodulemd-2.14.0-5.fc38.x86_64                                              
  libmount-2.38.1-3.fc38.x86_64                                                 
  libnghttp2-1.51.0-2.fc38.x86_64                                               
  libnsl2-2.0.0-4.fc37.x86_64                                                   
  libpsl-0.21.2-2.fc38.x86_64                                                   
  librepo-1.15.1-2.fc38.x86_64                                                  
  libreport-filesystem-2.17.6-2.fc38.noarch                                     
  libselinux-3.5-0.rc1.1.fc38.1.x86_64                                          
  libsemanage-3.5-0.rc1.1.fc38.x86_64                                           
  libsepol-3.5-0.rc1.1.fc38.x86_64                                              
  libsigsegv-2.14-3.fc37.x86_64                                                 
  libsmartcols-2.38.1-3.fc38.x86_64                                             
  libsolv-0.7.22-4.fc38.x86_64                                                  
  libssh-0.10.4-2.fc38.x86_64                                                   
  libssh-config-0.10.4-2.fc38.noarch                                            
  libstdc++-13.0.1-0.1.fc38.x86_64                                              
  libtasn1-4.19.0-1.fc38.x86_64                                                 
  libtirpc-1.3.3-0.fc37.x86_64                                                  
  libunistring-1.0-2.fc37.x86_64                                                
  libuuid-2.38.1-3.fc38.x86_64                                                  
  libverto-0.3.2-4.fc37.x86_64                                                  
  libxcrypt-4.4.33-5.fc38.x86_64                                                
  libxml2-2.10.3-3.fc38.x86_64                                                  
  libyaml-0.2.5-8.fc37.x86_64                                                   
  libzstd-1.5.2-3.fc37.x86_64                                                   
  lua-libs-5.4.4-7.fc38.x86_64                                                  
  lz4-libs-1.9.4-1.fc38.x86_64                                                  
  mpdecimal-2.5.1-4.fc37.x86_64                                                 
  mpfr-4.1.1-2.fc38.x86_64                                                      
  ncurses-base-6.4-2.20230114.fc38.noarch                                       
  ncurses-libs-6.4-2.20230114.fc38.x86_64                                       
  nettle-3.8-2.fc37.x86_64                                                      
  npth-1.6-10.fc38.x86_64                                                       
  openldap-2.6.3-1.fc38.x86_64                                                  
  openssl-libs-1:3.0.7-3.fc38.x86_64                                            
  p11-kit-0.24.1-5.fc38.x86_64                                                  
  p11-kit-trust-0.24.1-5.fc38.x86_64                                            
  pcre2-10.42-1.fc38.x86_64                                                     
  pcre2-syntax-10.42-1.fc38.noarch                                              
  popt-1.19-1.fc38.x86_64                                                       
  publicsuffix-list-dafsa-20221208-1.fc38.noarch                                
  python-pip-wheel-22.3.1-2.fc38.noarch                                         
  python-setuptools-wheel-65.5.1-1.fc38.noarch                                  
  python3-3.11.1-3.fc38.x86_64                                                  
  python3-dateutil-1:2.8.2-5.fc38.noarch                                        
  python3-dbus-1.3.2-2.fc38.x86_64                                              
  python3-distro-1.8.0-2.fc38.noarch                                            
  python3-dnf-4.14.0-2.fc38.noarch                                              
  python3-dnf-plugins-core-4.3.1-2.fc38.noarch                                  
  python3-gpg-1.17.1-3.fc38.x86_64                                              
  python3-hawkey-0.68.0-2.fc38.x86_64                                           
  python3-libcomps-0.1.18-5.fc38.x86_64                                         
  python3-libdnf-0.68.0-2.fc38.x86_64                                           
  python3-libs-3.11.1-3.fc38.x86_64                                             
  python3-rpm-4.18.0-10.fc38.x86_64                                             
  python3-six-1.16.0-9.fc38.noarch                                              
  readline-8.2-2.fc38.x86_64                                                    
  rpm-4.18.0-10.fc38.x86_64                                                     
  rpm-build-libs-4.18.0-10.fc38.x86_64                                          
  rpm-libs-4.18.0-10.fc38.x86_64                                                
  rpm-sequoia-1.2.0-1.fc38.x86_64                                               
  rpm-sign-libs-4.18.0-10.fc38.x86_64                                           
  sed-4.8-11.fc37.x86_64                                                        
  setup-2.14.3-1.fc38.noarch                                                    
  shadow-utils-2:4.13-3.fc38.x86_64                                             
  sqlite-libs-3.40.1-1.fc38.x86_64                                              
  systemd-libs-252.4-598.fc38.x86_64                                            
  tpm2-tss-4.0.0-1.fc38.x86_64                                                  
  tzdata-2022g-1.fc38.noarch                                                    
  xz-libs-5.2.9-1.fc38.x86_64                                                   
  zchunk-libs-1.2.3-1.fc38.x86_64                                               
  zlib-1.2.13-2.fc38.x86_64                                                     

Complete!
Finish(bootstrap): dnf install
Start(bootstrap): creating root cache
Finish(bootstrap): creating root cache
Finish(bootstrap): chroot init
Start: chroot init
INFO: mounting tmpfs at /var/lib/mock/fedora-rawhide-x86_64-1674478804.654692/root.
INFO: calling preinit hooks
INFO: enabled root cache
INFO: enabled package manager cache
Start: cleaning package manager metadata
Finish: cleaning package manager metadata
INFO: enabled HW Info plugin
Mock Version: 3.5
INFO: Mock Version: 3.5
Start: dnf install
No matches found for the following disable plugin patterns: local, spacewalk, versionlock
Copr repository                                  21 MB/s |  19 MB     00:00    
fedora                                           26 MB/s |  65 MB     00:02    
Last metadata expiration check: 0:00:04 ago on Mon Jan 23 13:00:52 2023.
Dependencies resolved.
================================================================================
 Package                      Arch   Version                    Repo       Size
================================================================================
Installing group/module packages:
 bash                         x86_64 5.2.15-1.fc38              fedora    1.8 M
 bzip2                        x86_64 1.0.8-12.fc37              fedora     52 k
 coreutils                    x86_64 9.1-10.fc38                fedora    1.1 M
 cpio                         x86_64 2.13-13.fc38               fedora    276 k
 diffutils                    x86_64 3.9-1.fc38                 fedora    397 k
 fedora-release-common        noarch 38-0.18                    fedora     22 k
 findutils                    x86_64 1:4.9.0-2.fc37             fedora    492 k
 gawk                         x86_64 5.1.1-4.fc37               fedora    1.0 M
 glibc-minimal-langpack       x86_64 2.36.9000-23.fc38          copr_base  34 k
 grep                         x86_64 3.8-2.fc38                 fedora    292 k
 gzip                         x86_64 1.12-2.fc37                fedora    166 k
 info                         x86_64 7.0.1-1.fc38               fedora    181 k
 patch                        x86_64 2.7.6-17.fc37              fedora    124 k
 redhat-rpm-config            noarch 245-1.fc38                 fedora     82 k
 rpm-build                    x86_64 4.18.0-10.fc38             copr_base  70 k
 sed                          x86_64 4.8-11.fc37                fedora    306 k
 shadow-utils                 x86_64 2:4.13-3.fc38              fedora    1.3 M
 tar                          x86_64 2:1.34-5.fc38              fedora    885 k
 unzip                        x86_64 6.0-58.fc38                fedora    182 k
 util-linux                   x86_64 2.38.1-3.fc38              copr_base 2.3 M
 which                        x86_64 2.21-36.fc38               fedora     41 k
 xz                           x86_64 5.2.9-1.fc38               fedora    306 k
Installing dependencies:
 alternatives                 x86_64 1.21-1.fc38                fedora     38 k
 ansible-srpm-macros          noarch 1-8.1.fc38                 fedora    8.6 k
 audit-libs                   x86_64 3.0.9-3.fc38               copr_base 117 k
 authselect                   x86_64 1.4.2-1.fc38               fedora    144 k
 authselect-libs              x86_64 1.4.2-1.fc38               fedora    250 k
 basesystem                   noarch 11-14.fc37                 fedora    7.0 k
 binutils                     x86_64 2.39-6.fc38                fedora    5.5 M
 binutils-gold                x86_64 2.39-6.fc38                fedora    777 k
 bzip2-libs                   x86_64 1.0.8-12.fc37              fedora     41 k
 ca-certificates              noarch 2023.2.60-2.fc38           fedora    845 k
 coreutils-common             x86_64 9.1-10.fc38                fedora    2.0 M
 cracklib                     x86_64 2.9.7-30.fc38              fedora     92 k
 crypto-policies              noarch 20221215-2.gita4c31a3.fc38 copr_base  64 k
 curl                         x86_64 7.87.0-4.fc38              fedora    347 k
 cyrus-sasl-lib               x86_64 2.1.28-8.fc38              fedora    792 k
 debugedit                    x86_64 5.0-5.fc37                 fedora     77 k
 dwz                          x86_64 0.15-1.fc38                fedora    136 k
 ed                           x86_64 1.19-1.fc38                fedora     78 k
 efi-srpm-macros              noarch 5-6.fc37                   fedora     22 k
 elfutils                     x86_64 0.188-3.fc38               fedora    529 k
 elfutils-debuginfod-client   x86_64 0.188-3.fc38               fedora     40 k
 elfutils-default-yama-scope  noarch 0.188-3.fc38               fedora     15 k
 elfutils-libelf              x86_64 0.188-3.fc38               fedora    196 k
 elfutils-libs                x86_64 0.188-3.fc38               fedora    257 k
 fedora-gpg-keys              noarch 38-0.3                     fedora    125 k
 fedora-release               noarch 38-0.18                    fedora     12 k
 fedora-release-identity-basic
                              noarch 38-0.18                    fedora     12 k
 fedora-repos                 noarch 38-0.3                     fedora     10 k
 fedora-repos-rawhide         noarch 38-0.3                     fedora    9.7 k
 file                         x86_64 5.42-5.fc38                copr_base  49 k
 file-libs                    x86_64 5.42-5.fc38                copr_base 676 k
 filesystem                   x86_64 3.18-2.fc37                fedora    1.1 M
 fonts-srpm-macros            noarch 1:2.0.5-10.fc38            fedora     26 k
 fpc-srpm-macros              noarch 1.3-6.fc37                 fedora    7.7 k
 gdb-minimal                  x86_64 12.1-10.fc38               fedora    3.7 M
 gdbm-libs                    x86_64 1:1.23-2.fc37              fedora     56 k
 ghc-srpm-macros              noarch 1.6.0-1.fc38               fedora    8.0 k
 glibc                        x86_64 2.36.9000-23.fc38          copr_base 2.1 M
 glibc-common                 x86_64 2.36.9000-23.fc38          copr_base 307 k
 glibc-gconv-extra            x86_64 2.36.9000-23.fc38          copr_base 1.5 M
 gmp                          x86_64 1:6.2.1-3.fc37             fedora    315 k
 gnat-srpm-macros             noarch 5-1.fc38                   fedora    8.4 k
 go-srpm-macros               noarch 3.2.0-1.fc38               fedora     27 k
 jansson                      x86_64 2.13.1-6.fc38              copr_base  45 k
 kernel-srpm-macros           noarch 1.0-15.fc37                fedora    9.4 k
 keyutils-libs                x86_64 1.6.1-5.fc37               fedora     31 k
 krb5-libs                    x86_64 1.20.1-7.fc38              copr_base 722 k
 libacl                       x86_64 2.3.1-4.fc37               fedora     23 k
 libarchive                   x86_64 3.6.1-3.fc38               fedora    397 k
 libattr                      x86_64 2.5.1-5.fc37               fedora     18 k
 libblkid                     x86_64 2.38.1-3.fc38              copr_base 107 k
 libbrotli                    x86_64 1.0.9-11.fc38              copr_base 317 k
 libcap                       x86_64 2.48-5.fc37                fedora     67 k
 libcap-ng                    x86_64 0.8.3-5.fc38               copr_base  33 k
 libcom_err                   x86_64 1.46.5-3.fc37              fedora     25 k
 libcurl                      x86_64 7.87.0-4.fc38              fedora    304 k
 libdb                        x86_64 5.3.28-54.fc38             fedora    764 k
 libeconf                     x86_64 0.4.0-4.fc37               fedora     27 k
 libevent                     x86_64 2.1.12-8.fc38              copr_base 258 k
 libfdisk                     x86_64 2.38.1-3.fc38              copr_base 161 k
 libffi                       x86_64 3.4.4-1.fc38               fedora     38 k
 libgcc                       x86_64 13.0.1-0.1.fc38            fedora     93 k
 libgomp                      x86_64 13.0.1-0.1.fc38            fedora    300 k
 libidn2                      x86_64 2.3.4-1.fc38               fedora    160 k
 libmount                     x86_64 2.38.1-3.fc38              copr_base 136 k
 libnghttp2                   x86_64 1.51.0-2.fc38              copr_base  76 k
 libnsl2                      x86_64 2.0.0-4.fc37               fedora     30 k
 libpkgconf                   x86_64 1.8.0-3.fc37               fedora     36 k
 libpsl                       x86_64 0.21.2-2.fc38              copr_base  66 k
 libpwquality                 x86_64 1.4.5-2.fc38               copr_base 108 k
 libselinux                   x86_64 3.5-0.rc1.1.fc38.1         fedora     86 k
 libsemanage                  x86_64 3.5-0.rc1.1.fc38           fedora    119 k
 libsepol                     x86_64 3.5-0.rc1.1.fc38           fedora    322 k
 libsigsegv                   x86_64 2.14-3.fc37                fedora     27 k
 libsmartcols                 x86_64 2.38.1-3.fc38              copr_base  65 k
 libssh                       x86_64 0.10.4-2.fc38              fedora    209 k
 libssh-config                noarch 0.10.4-2.fc38              fedora    8.8 k
 libstdc++                    x86_64 13.0.1-0.1.fc38            fedora    844 k
 libtasn1                     x86_64 4.19.0-1.fc38              fedora     75 k
 libtirpc                     x86_64 1.3.3-0.fc37               fedora     93 k
 libunistring                 x86_64 1.0-2.fc37                 fedora    549 k
 libutempter                  x86_64 1.2.1-7.fc37               fedora     26 k
 libuuid                      x86_64 2.38.1-3.fc38              copr_base  28 k
 libverto                     x86_64 0.3.2-4.fc37               fedora     21 k
 libxcrypt                    x86_64 4.4.33-5.fc38              fedora    120 k
 libxml2                      x86_64 2.10.3-3.fc38              copr_base 701 k
 libzstd                      x86_64 1.5.2-3.fc37               fedora    294 k
 lua-libs                     x86_64 5.4.4-7.fc38               fedora    131 k
 lua-srpm-macros              noarch 1-7.fc37                   fedora    8.8 k
 lz4-libs                     x86_64 1.9.4-1.fc38               fedora     67 k
 mpfr                         x86_64 4.1.1-2.fc38               fedora    598 k
 ncurses-base                 noarch 6.4-2.20230114.fc38        fedora     87 k
 ncurses-libs                 x86_64 6.4-2.20230114.fc38        fedora    333 k
 nettle                       x86_64 3.8-2.fc37                 fedora    414 k
 nim-srpm-macros              noarch 3-7.fc37                   fedora    8.4 k
 ocaml-srpm-macros            noarch 7-2.fc37                   fedora     13 k
 openblas-srpm-macros         noarch 2-12.fc37                  fedora    7.5 k
 openldap                     x86_64 2.6.3-1.fc38               fedora    254 k
 openssl-libs                 x86_64 1:3.0.7-3.fc38             fedora    2.1 M
 p11-kit                      x86_64 0.24.1-5.fc38              fedora    376 k
 p11-kit-trust                x86_64 0.24.1-5.fc38              fedora    137 k
 package-notes-srpm-macros    noarch 0.5-6.fc38                 fedora     11 k
 pam                          x86_64 1.5.2-15.fc38              fedora    559 k
 pam-libs                     x86_64 1.5.2-15.fc38              fedora     57 k
 pcre2                        x86_64 10.42-1.fc38               fedora    234 k
 pcre2-syntax                 noarch 10.42-1.fc38               fedora    144 k
 perl-srpm-macros             noarch 1-47.fc38                  fedora    8.2 k
 pkgconf                      x86_64 1.8.0-3.fc37               fedora     41 k
 pkgconf-m4                   noarch 1.8.0-3.fc37               fedora     14 k
 pkgconf-pkg-config           x86_64 1.8.0-3.fc37               fedora     10 k
 popt                         x86_64 1.19-1.fc38                fedora     66 k
 publicsuffix-list-dafsa      noarch 20221208-1.fc38            fedora     59 k
 python-srpm-macros           noarch 3.11-7.fc38                fedora     26 k
 qt5-srpm-macros              noarch 5.15.8-1.fc38              fedora    8.0 k
 readline                     x86_64 8.2-2.fc38                 fedora    211 k
 rpm                          x86_64 4.18.0-10.fc38             copr_base 541 k
 rpm-build-libs               x86_64 4.18.0-10.fc38             copr_base  95 k
 rpm-libs                     x86_64 4.18.0-10.fc38             copr_base 312 k
 rpm-sequoia                  x86_64 1.2.0-1.fc38               fedora    829 k
 rpmautospec-rpm-macros       noarch 0.3.1-2.fc38               copr_base 9.5 k
 rust-srpm-macros             noarch 23-1.fc38                  fedora    9.4 k
 setup                        noarch 2.14.3-1.fc38              fedora    152 k
 sqlite-libs                  x86_64 3.40.1-1.fc38              fedora    661 k
 systemd-libs                 x86_64 252.4-4.fc38               fedora    634 k
 tzdata                       noarch 2022g-1.fc38               fedora    716 k
 util-linux-core              x86_64 2.38.1-3.fc38              copr_base 458 k
 xxhash-libs                  x86_64 0.8.1-3.fc37               fedora     41 k
 xz-libs                      x86_64 5.2.9-1.fc38               fedora     93 k
 zip                          x86_64 3.0-35.fc38                fedora    259 k
 zlib                         x86_64 1.2.13-2.fc38              fedora     94 k
 zstd                         x86_64 1.5.2-3.fc37               fedora    447 k
Installing Groups:
 Buildsystem building group                                                    

Transaction Summary
================================================================================
Install  153 Packages

Total download size: 52 M
Installed size: 181 M
Downloading Packages:
(1/153): crypto-policies-20221215-2.gita4c31a3. 571 kB/s |  64 kB     00:00    
(2/153): file-5.42-5.fc38.x86_64.rpm            422 kB/s |  49 kB     00:00    
(3/153): audit-libs-3.0.9-3.fc38.x86_64.rpm     977 kB/s | 117 kB     00:00    
(4/153): file-libs-5.42-5.fc38.x86_64.rpm        12 MB/s | 676 kB     00:00    
(5/153): glibc-common-2.36.9000-23.fc38.x86_64. 2.4 MB/s | 307 kB     00:00    
(6/153): glibc-minimal-langpack-2.36.9000-23.fc 667 kB/s |  34 kB     00:00    
(7/153): jansson-2.13.1-6.fc38.x86_64.rpm       1.2 MB/s |  45 kB     00:00    
(8/153): glibc-2.36.9000-23.fc38.x86_64.rpm     9.6 MB/s | 2.1 MB     00:00    
(9/153): glibc-gconv-extra-2.36.9000-23.fc38.x8 9.3 MB/s | 1.5 MB     00:00    
(10/153): krb5-libs-1.20.1-7.fc38.x86_64.rpm     20 MB/s | 722 kB     00:00    
(11/153): libblkid-2.38.1-3.fc38.x86_64.rpm     3.0 MB/s | 107 kB     00:00    
(12/153): libbrotli-1.0.9-11.fc38.x86_64.rpm    7.7 MB/s | 317 kB     00:00    
(13/153): libcap-ng-0.8.3-5.fc38.x86_64.rpm     3.3 MB/s |  33 kB     00:00    
(14/153): libevent-2.1.12-8.fc38.x86_64.rpm      18 MB/s | 258 kB     00:00    
(15/153): libfdisk-2.38.1-3.fc38.x86_64.rpm     9.4 MB/s | 161 kB     00:00    
(16/153): libmount-2.38.1-3.fc38.x86_64.rpm     7.4 MB/s | 136 kB     00:00    
(17/153): libnghttp2-1.51.0-2.fc38.x86_64.rpm   5.0 MB/s |  76 kB     00:00    
(18/153): libpsl-0.21.2-2.fc38.x86_64.rpm       6.4 MB/s |  66 kB     00:00    
(19/153): libpwquality-1.4.5-2.fc38.x86_64.rpm   10 MB/s | 108 kB     00:00    
(20/153): libsmartcols-2.38.1-3.fc38.x86_64.rpm 6.2 MB/s |  65 kB     00:00    
(21/153): libuuid-2.38.1-3.fc38.x86_64.rpm      3.0 MB/s |  28 kB     00:00    
(22/153): libxml2-2.10.3-3.fc38.x86_64.rpm       30 MB/s | 701 kB     00:00    
(23/153): rpm-4.18.0-10.fc38.x86_64.rpm          16 MB/s | 541 kB     00:00    
(24/153): rpm-build-4.18.0-10.fc38.x86_64.rpm   2.3 MB/s |  70 kB     00:00    
(25/153): rpm-build-libs-4.18.0-10.fc38.x86_64. 6.0 MB/s |  95 kB     00:00    
(26/153): rpm-libs-4.18.0-10.fc38.x86_64.rpm     22 MB/s | 312 kB     00:00    
(27/153): rpmautospec-rpm-macros-0.3.1-2.fc38.n 732 kB/s | 9.5 kB     00:00    
(28/153): util-linux-core-2.38.1-3.fc38.x86_64. 9.1 MB/s | 458 kB     00:00    
(29/153): util-linux-2.38.1-3.fc38.x86_64.rpm    36 MB/s | 2.3 MB     00:00    
(30/153): ansible-srpm-macros-1-8.1.fc38.noarch  54 kB/s | 8.6 kB     00:00    
(31/153): alternatives-1.21-1.fc38.x86_64.rpm   158 kB/s |  38 kB     00:00    
(32/153): basesystem-11-14.fc37.noarch.rpm       87 kB/s | 7.0 kB     00:00    
(33/153): authselect-1.4.2-1.fc38.x86_64.rpm    361 kB/s | 144 kB     00:00    
(34/153): authselect-libs-1.4.2-1.fc38.x86_64.r 781 kB/s | 250 kB     00:00    
(35/153): binutils-gold-2.39-6.fc38.x86_64.rpm  3.0 MB/s | 777 kB     00:00    
(36/153): bzip2-1.0.8-12.fc37.x86_64.rpm        588 kB/s |  52 kB     00:00    
(37/153): bash-5.2.15-1.fc38.x86_64.rpm         3.1 MB/s | 1.8 MB     00:00    
(38/153): bzip2-libs-1.0.8-12.fc37.x86_64.rpm   512 kB/s |  41 kB     00:00    
(39/153): ca-certificates-2023.2.60-2.fc38.noar 5.1 MB/s | 845 kB     00:00    
(40/153): coreutils-9.1-10.fc38.x86_64.rpm      6.7 MB/s | 1.1 MB     00:00    
(41/153): cpio-2.13-13.fc38.x86_64.rpm          3.3 MB/s | 276 kB     00:00    
(42/153): cracklib-2.9.7-30.fc38.x86_64.rpm     1.1 MB/s |  92 kB     00:00    
(43/153): binutils-2.39-6.fc38.x86_64.rpm       6.5 MB/s | 5.5 MB     00:00    
(44/153): curl-7.87.0-4.fc38.x86_64.rpm         4.1 MB/s | 347 kB     00:00    
(45/153): coreutils-common-9.1-10.fc38.x86_64.r 6.3 MB/s | 2.0 MB     00:00    
(46/153): debugedit-5.0-5.fc37.x86_64.rpm       955 kB/s |  77 kB     00:00    
(47/153): cyrus-sasl-lib-2.1.28-8.fc38.x86_64.r 4.8 MB/s | 792 kB     00:00    
(48/153): diffutils-3.9-1.fc38.x86_64.rpm       4.6 MB/s | 397 kB     00:00    
(49/153): dwz-0.15-1.fc38.x86_64.rpm            1.6 MB/s | 136 kB     00:00    
(50/153): ed-1.19-1.fc38.x86_64.rpm             972 kB/s |  78 kB     00:00    
(51/153): efi-srpm-macros-5-6.fc37.noarch.rpm   277 kB/s |  22 kB     00:00    
(52/153): elfutils-0.188-3.fc38.x86_64.rpm      6.1 MB/s | 529 kB     00:00    
(53/153): elfutils-debuginfod-client-0.188-3.fc 493 kB/s |  40 kB     00:00    
(54/153): elfutils-default-yama-scope-0.188-3.f 193 kB/s |  15 kB     00:00    
(55/153): elfutils-libelf-0.188-3.fc38.x86_64.r 2.3 MB/s | 196 kB     00:00    
(56/153): elfutils-libs-0.188-3.fc38.x86_64.rpm 3.1 MB/s | 257 kB     00:00    
(57/153): fedora-gpg-keys-38-0.3.noarch.rpm     1.5 MB/s | 125 kB     00:00    
(58/153): fedora-release-38-0.18.noarch.rpm     145 kB/s |  12 kB     00:00    
(59/153): fedora-release-common-38-0.18.noarch. 269 kB/s |  22 kB     00:00    
(60/153): fedora-release-identity-basic-38-0.18 154 kB/s |  12 kB     00:00    
(61/153): fedora-repos-38-0.3.noarch.rpm        127 kB/s |  10 kB     00:00    
(62/153): fedora-repos-rawhide-38-0.3.noarch.rp 122 kB/s | 9.7 kB     00:00    
(63/153): findutils-4.9.0-2.fc37.x86_64.rpm     5.7 MB/s | 492 kB     00:00    
(64/153): fonts-srpm-macros-2.0.5-10.fc38.noarc 327 kB/s |  26 kB     00:00    
(65/153): filesystem-3.18-2.fc37.x86_64.rpm     6.6 MB/s | 1.1 MB     00:00    
(66/153): fpc-srpm-macros-1.3-6.fc37.noarch.rpm  96 kB/s | 7.7 kB     00:00    
(67/153): gdbm-libs-1.23-2.fc37.x86_64.rpm      696 kB/s |  56 kB     00:00    
(68/153): gawk-5.1.1-4.fc37.x86_64.rpm          6.1 MB/s | 1.0 MB     00:00    
(69/153): ghc-srpm-macros-1.6.0-1.fc38.noarch.r 100 kB/s | 8.0 kB     00:00    
(70/153): gmp-6.2.1-3.fc37.x86_64.rpm           3.7 MB/s | 315 kB     00:00    
(71/153): gnat-srpm-macros-5-1.fc38.noarch.rpm  105 kB/s | 8.4 kB     00:00    
(72/153): go-srpm-macros-3.2.0-1.fc38.noarch.rp 335 kB/s |  27 kB     00:00    
(73/153): grep-3.8-2.fc38.x86_64.rpm            3.5 MB/s | 292 kB     00:00    
(74/153): gzip-1.12-2.fc37.x86_64.rpm           2.0 MB/s | 166 kB     00:00    
(75/153): info-7.0.1-1.fc38.x86_64.rpm          2.2 MB/s | 181 kB     00:00    
(76/153): kernel-srpm-macros-1.0-15.fc37.noarch 117 kB/s | 9.4 kB     00:00    
(77/153): gdb-minimal-12.1-10.fc38.x86_64.rpm   7.8 MB/s | 3.7 MB     00:00    
(78/153): keyutils-libs-1.6.1-5.fc37.x86_64.rpm 389 kB/s |  31 kB     00:00    
(79/153): libacl-2.3.1-4.fc37.x86_64.rpm        290 kB/s |  23 kB     00:00    
(80/153): libarchive-3.6.1-3.fc38.x86_64.rpm    4.6 MB/s | 397 kB     00:00    
(81/153): libattr-2.5.1-5.fc37.x86_64.rpm       225 kB/s |  18 kB     00:00    
(82/153): libcap-2.48-5.fc37.x86_64.rpm         828 kB/s |  67 kB     00:00    
(83/153): libcom_err-1.46.5-3.fc37.x86_64.rpm   318 kB/s |  25 kB     00:00    
(84/153): libcurl-7.87.0-4.fc38.x86_64.rpm      3.6 MB/s | 304 kB     00:00    
(85/153): libeconf-0.4.0-4.fc37.x86_64.rpm      338 kB/s |  27 kB     00:00    
(86/153): libdb-5.3.28-54.fc38.x86_64.rpm       4.6 MB/s | 764 kB     00:00    
(87/153): libffi-3.4.4-1.fc38.x86_64.rpm        459 kB/s |  38 kB     00:00    
(88/153): libgcc-13.0.1-0.1.fc38.x86_64.rpm     1.1 MB/s |  93 kB     00:00    
(89/153): libgomp-13.0.1-0.1.fc38.x86_64.rpm    3.5 MB/s | 300 kB     00:00    
(90/153): libidn2-2.3.4-1.fc38.x86_64.rpm       1.9 MB/s | 160 kB     00:00    
(91/153): libnsl2-2.0.0-4.fc37.x86_64.rpm       377 kB/s |  30 kB     00:00    
(92/153): libpkgconf-1.8.0-3.fc37.x86_64.rpm    444 kB/s |  36 kB     00:00    
(93/153): libselinux-3.5-0.rc1.1.fc38.1.x86_64. 1.0 MB/s |  86 kB     00:00    
(94/153): libsemanage-3.5-0.rc1.1.fc38.x86_64.r 1.4 MB/s | 119 kB     00:00    
(95/153): libsepol-3.5-0.rc1.1.fc38.x86_64.rpm  3.8 MB/s | 322 kB     00:00    
(96/153): libsigsegv-2.14-3.fc37.x86_64.rpm     324 kB/s |  27 kB     00:00    
(97/153): libssh-0.10.4-2.fc38.x86_64.rpm       2.5 MB/s | 209 kB     00:00    
(98/153): libssh-config-0.10.4-2.fc38.noarch.rp 110 kB/s | 8.8 kB     00:00    
(99/153): libtasn1-4.19.0-1.fc38.x86_64.rpm     932 kB/s |  75 kB     00:00    
(100/153): libtirpc-1.3.3-0.fc37.x86_64.rpm     1.1 MB/s |  93 kB     00:00    
(101/153): libstdc++-13.0.1-0.1.fc38.x86_64.rpm 5.1 MB/s | 844 kB     00:00    
(102/153): libunistring-1.0-2.fc37.x86_64.rpm   6.3 MB/s | 549 kB     00:00    
(103/153): libutempter-1.2.1-7.fc37.x86_64.rpm  325 kB/s |  26 kB     00:00    
(104/153): libverto-0.3.2-4.fc37.x86_64.rpm     259 kB/s |  21 kB     00:00    
(105/153): libxcrypt-4.4.33-5.fc38.x86_64.rpm   1.4 MB/s | 120 kB     00:00    
(106/153): libzstd-1.5.2-3.fc37.x86_64.rpm      3.5 MB/s | 294 kB     00:00    
(107/153): lua-libs-5.4.4-7.fc38.x86_64.rpm     1.6 MB/s | 131 kB     00:00    
(108/153): lua-srpm-macros-1-7.fc37.noarch.rpm  110 kB/s | 8.8 kB     00:00    
(109/153): lz4-libs-1.9.4-1.fc38.x86_64.rpm     828 kB/s |  67 kB     00:00    
(110/153): mpfr-4.1.1-2.fc38.x86_64.rpm         6.8 MB/s | 598 kB     00:00    
(111/153): ncurses-base-6.4-2.20230114.fc38.noa 1.0 MB/s |  87 kB     00:00    
(112/153): ncurses-libs-6.4-2.20230114.fc38.x86 3.9 MB/s | 333 kB     00:00    
(113/153): nettle-3.8-2.fc37.x86_64.rpm         4.8 MB/s | 414 kB     00:00    
(114/153): nim-srpm-macros-3-7.fc37.noarch.rpm  105 kB/s | 8.4 kB     00:00    
(115/153): ocaml-srpm-macros-7-2.fc37.noarch.rp 167 kB/s |  13 kB     00:00    
(116/153): openblas-srpm-macros-2-12.fc37.noarc  94 kB/s | 7.5 kB     00:00    
(117/153): openldap-2.6.3-1.fc38.x86_64.rpm     3.0 MB/s | 254 kB     00:00    
(118/153): p11-kit-0.24.1-5.fc38.x86_64.rpm     4.2 MB/s | 376 kB     00:00    
(119/153): p11-kit-trust-0.24.1-5.fc38.x86_64.r 1.7 MB/s | 137 kB     00:00    
(120/153): package-notes-srpm-macros-0.5-6.fc38 132 kB/s |  11 kB     00:00    
(121/153): pam-1.5.2-15.fc38.x86_64.rpm         6.4 MB/s | 559 kB     00:00    
(122/153): openssl-libs-3.0.7-3.fc38.x86_64.rpm 8.8 MB/s | 2.1 MB     00:00    
(123/153): pam-libs-1.5.2-15.fc38.x86_64.rpm    705 kB/s |  57 kB     00:00    
(124/153): patch-2.7.6-17.fc37.x86_64.rpm       1.5 MB/s | 124 kB     00:00    
(125/153): pcre2-10.42-1.fc38.x86_64.rpm        2.8 MB/s | 234 kB     00:00    
(126/153): pcre2-syntax-10.42-1.fc38.noarch.rpm 1.7 MB/s | 144 kB     00:00    
(127/153): perl-srpm-macros-1-47.fc38.noarch.rp 103 kB/s | 8.2 kB     00:00    
(128/153): pkgconf-1.8.0-3.fc37.x86_64.rpm      517 kB/s |  41 kB     00:00    
(129/153): pkgconf-m4-1.8.0-3.fc37.noarch.rpm   180 kB/s |  14 kB     00:00    
(130/153): pkgconf-pkg-config-1.8.0-3.fc37.x86_ 126 kB/s |  10 kB     00:00    
(131/153): popt-1.19-1.fc38.x86_64.rpm          821 kB/s |  66 kB     00:00    
(132/153): publicsuffix-list-dafsa-20221208-1.f 739 kB/s |  59 kB     00:00    
(133/153): python-srpm-macros-3.11-7.fc38.noarc 324 kB/s |  26 kB     00:00    
(134/153): qt5-srpm-macros-5.15.8-1.fc38.noarch  99 kB/s | 8.0 kB     00:00    
(135/153): readline-8.2-2.fc38.x86_64.rpm       2.5 MB/s | 211 kB     00:00    
(136/153): redhat-rpm-config-245-1.fc38.noarch. 1.0 MB/s |  82 kB     00:00    
(137/153): rpm-sequoia-1.2.0-1.fc38.x86_64.rpm  9.2 MB/s | 829 kB     00:00    
(138/153): rust-srpm-macros-23-1.fc38.noarch.rp 118 kB/s | 9.4 kB     00:00    
(139/153): sed-4.8-11.fc37.x86_64.rpm           3.6 MB/s | 306 kB     00:00    
(140/153): setup-2.14.3-1.fc38.noarch.rpm       1.8 MB/s | 152 kB     00:00    
(141/153): sqlite-libs-3.40.1-1.fc38.x86_64.rpm 7.5 MB/s | 661 kB     00:00    
[MIRROR] systemd-libs-252.4-4.fc38.x86_64.rpm: Status code: 404 for http://mirror.web-ster.com/fedora/development/rawhide/Everything/x86_64/os/Packages/s/systemd-libs-252.4-4.fc38.x86_64.rpm (IP: 2604:d200::39)
(142/153): shadow-utils-4.13-3.fc38.x86_64.rpm  7.5 MB/s | 1.3 MB     00:00    
(143/153): tzdata-2022g-1.fc38.noarch.rpm       8.1 MB/s | 716 kB     00:00    
(144/153): unzip-6.0-58.fc38.x86_64.rpm         2.2 MB/s | 182 kB     00:00    
(145/153): tar-1.34-5.fc38.x86_64.rpm           3.5 MB/s | 885 kB     00:00    
[MIRROR] systemd-libs-252.4-4.fc38.x86_64.rpm: Status code: 404 for https://mirror.web-ster.com/fedora/development/rawhide/Everything/x86_64/os/Packages/s/systemd-libs-252.4-4.fc38.x86_64.rpm (IP: 2604:d200::39)
(146/153): which-2.21-36.fc38.x86_64.rpm        515 kB/s |  41 kB     00:00    
(147/153): xxhash-libs-0.8.1-3.fc37.x86_64.rpm  510 kB/s |  41 kB     00:00    
[MIRROR] systemd-libs-252.4-4.fc38.x86_64.rpm: Status code: 404 for http://mirror.math.princeton.edu/pub/fedora/linux/development/rawhide/Everything/x86_64/os/Packages/s/systemd-libs-252.4-4.fc38.x86_64.rpm (IP: 128.112.18.21)
(148/153): xz-5.2.9-1.fc38.x86_64.rpm           3.6 MB/s | 306 kB     00:00    
(149/153): xz-libs-5.2.9-1.fc38.x86_64.rpm      1.1 MB/s |  93 kB     00:00    
(150/153): zip-3.0-35.fc38.x86_64.rpm           3.1 MB/s | 259 kB     00:00    
(151/153): zlib-1.2.13-2.fc38.x86_64.rpm        1.1 MB/s |  94 kB     00:00    
[MIRROR] systemd-libs-252.4-4.fc38.x86_64.rpm: Status code: 404 for https://uvermont.mm.fcix.net/fedora/linux/development/rawhide/Everything/x86_64/os/Packages/s/systemd-libs-252.4-4.fc38.x86_64.rpm (IP: 2620:104:e000:aa::58)
(152/153): zstd-1.5.2-3.fc37.x86_64.rpm         5.2 MB/s | 447 kB     00:00    
[MIRROR] systemd-libs-252.4-4.fc38.x86_64.rpm: Status code: 404 for http://uvermont.mm.fcix.net/fedora/linux/development/rawhide/Everything/x86_64/os/Packages/s/systemd-libs-252.4-4.fc38.x86_64.rpm (IP: 2620:104:e000:aa::58)
[MIRROR] systemd-libs-252.4-4.fc38.x86_64.rpm: Status code: 404 for http://forksystems.mm.fcix.net/fedora/linux/development/rawhide/Everything/x86_64/os/Packages/s/systemd-libs-252.4-4.fc38.x86_64.rpm (IP: 2620:39:6000:103::2)
[MIRROR] systemd-libs-252.4-4.fc38.x86_64.rpm: Status code: 404 for https://forksystems.mm.fcix.net/fedora/linux/development/rawhide/Everything/x86_64/os/Packages/s/systemd-libs-252.4-4.fc38.x86_64.rpm (IP: 2620:39:6000:103::2)
(153/153): systemd-libs-252.4-4.fc38.x86_64.rpm 613 kB/s | 634 kB     00:01    
--------------------------------------------------------------------------------
Total                                           8.7 MB/s |  52 MB     00:06     
fedora                                          1.6 MB/s | 1.6 kB     00:00    
Importing GPG key 0xEB10B464:
 Userid     : "Fedora (38) <fedora-38-primary@fedoraproject.org>"
 Fingerprint: 6A51 BBAB BA3D 5467 B617 1221 809A 8D7C EB10 B464
 From       : /usr/share/distribution-gpg-keys/fedora/RPM-GPG-KEY-fedora-38-primary
Key imported successfully
fedora                                          1.6 MB/s | 1.6 kB     00:00    
GPG key at file:///usr/share/distribution-gpg-keys/fedora/RPM-GPG-KEY-fedora-38-primary (0xEB10B464) is already installed
fedora                                          1.6 MB/s | 1.6 kB     00:00    
Importing GPG key 0x5323552A:
 Userid     : "Fedora (37) <fedora-37-primary@fedoraproject.org>"
 Fingerprint: ACB5 EE4E 831C 74BB 7C16 8D27 F55A D3FB 5323 552A
 From       : /usr/share/distribution-gpg-keys/fedora/RPM-GPG-KEY-fedora-37-primary
Key imported successfully
Running transaction check
Transaction check succeeded.
Running transaction test
Transaction test succeeded.
Running transaction
  Running scriptlet: filesystem-3.18-2.fc37.x86_64                          1/1 
  Preparing        :                                                        1/1 
  Installing       : libgcc-13.0.1-0.1.fc38.x86_64                        1/153 
  Running scriptlet: libgcc-13.0.1-0.1.fc38.x86_64                        1/153 
  Installing       : crypto-policies-20221215-2.gita4c31a3.fc38.noarc     2/153 
  Running scriptlet: crypto-policies-20221215-2.gita4c31a3.fc38.noarc     2/153 
  Installing       : tzdata-2022g-1.fc38.noarch                           3/153 
  Installing       : fedora-release-identity-basic-38-0.18.noarch         4/153 
  Installing       : rust-srpm-macros-23-1.fc38.noarch                    5/153 
  Installing       : qt5-srpm-macros-5.15.8-1.fc38.noarch                 6/153 
  Installing       : publicsuffix-list-dafsa-20221208-1.fc38.noarch       7/153 
  Installing       : pkgconf-m4-1.8.0-3.fc37.noarch                       8/153 
  Installing       : perl-srpm-macros-1-47.fc38.noarch                    9/153 
  Installing       : pcre2-syntax-10.42-1.fc38.noarch                    10/153 
  Installing       : package-notes-srpm-macros-0.5-6.fc38.noarch         11/153 
  Installing       : openblas-srpm-macros-2-12.fc37.noarch               12/153 
  Installing       : ocaml-srpm-macros-7-2.fc37.noarch                   13/153 
  Installing       : nim-srpm-macros-3-7.fc37.noarch                     14/153 
  Installing       : ncurses-base-6.4-2.20230114.fc38.noarch             15/153 
  Installing       : libssh-config-0.10.4-2.fc38.noarch                  16/153 
  Installing       : kernel-srpm-macros-1.0-15.fc37.noarch               17/153 
  Installing       : gnat-srpm-macros-5-1.fc38.noarch                    18/153 
  Installing       : ghc-srpm-macros-1.6.0-1.fc38.noarch                 19/153 
  Installing       : fpc-srpm-macros-1.3-6.fc37.noarch                   20/153 
  Installing       : fedora-gpg-keys-38-0.3.noarch                       21/153 
  Installing       : fedora-release-38-0.18.noarch                       22/153 
  Installing       : fedora-release-common-38-0.18.noarch                23/153 
  Installing       : fedora-repos-rawhide-38-0.3.noarch                  24/153 
  Installing       : fedora-repos-38-0.3.noarch                          25/153 
  Installing       : setup-2.14.3-1.fc38.noarch                          26/153 
warning: /etc/hosts created as /etc/hosts.rpmnew

  Running scriptlet: setup-2.14.3-1.fc38.noarch                          26/153 
  Installing       : filesystem-3.18-2.fc37.x86_64                       27/153 
  Installing       : basesystem-11-14.fc37.noarch                        28/153 
  Installing       : glibc-gconv-extra-2.36.9000-23.fc38.x86_64          29/153 
  Running scriptlet: glibc-gconv-extra-2.36.9000-23.fc38.x86_64          29/153 
  Installing       : glibc-minimal-langpack-2.36.9000-23.fc38.x86_64     30/153 
  Installing       : glibc-common-2.36.9000-23.fc38.x86_64               31/153 
  Running scriptlet: glibc-2.36.9000-23.fc38.x86_64                      32/153 
  Installing       : glibc-2.36.9000-23.fc38.x86_64                      32/153 
  Running scriptlet: glibc-2.36.9000-23.fc38.x86_64                      32/153 
  Installing       : ncurses-libs-6.4-2.20230114.fc38.x86_64             33/153 
  Installing       : bash-5.2.15-1.fc38.x86_64                           34/153 
  Running scriptlet: bash-5.2.15-1.fc38.x86_64                           34/153 
  Installing       : zlib-1.2.13-2.fc38.x86_64                           35/153 
  Installing       : xz-libs-5.2.9-1.fc38.x86_64                         36/153 
  Installing       : bzip2-libs-1.0.8-12.fc37.x86_64                     37/153 
  Installing       : elfutils-libelf-0.188-3.fc38.x86_64                 38/153 
  Installing       : gmp-1:6.2.1-3.fc37.x86_64                           39/153 
  Installing       : libuuid-2.38.1-3.fc38.x86_64                        40/153 
  Installing       : libstdc++-13.0.1-0.1.fc38.x86_64                    41/153 
  Installing       : libzstd-1.5.2-3.fc37.x86_64                         42/153 
  Installing       : popt-1.19-1.fc38.x86_64                             43/153 
  Installing       : libblkid-2.38.1-3.fc38.x86_64                       44/153 
  Installing       : readline-8.2-2.fc38.x86_64                          45/153 
  Installing       : libattr-2.5.1-5.fc37.x86_64                         46/153 
  Installing       : libacl-2.3.1-4.fc37.x86_64                          47/153 
  Installing       : libcap-2.48-5.fc37.x86_64                           48/153 
  Installing       : libxcrypt-4.4.33-5.fc38.x86_64                      49/153 
  Installing       : lz4-libs-1.9.4-1.fc38.x86_64                        50/153 
  Installing       : systemd-libs-252.4-4.fc38.x86_64                    51/153 
  Installing       : mpfr-4.1.1-2.fc38.x86_64                            52/153 
  Installing       : dwz-0.15-1.fc38.x86_64                              53/153 
  Installing       : unzip-6.0-58.fc38.x86_64                            54/153 
  Installing       : file-libs-5.42-5.fc38.x86_64                        55/153 
  Installing       : file-5.42-5.fc38.x86_64                             56/153 
  Installing       : sqlite-libs-3.40.1-1.fc38.x86_64                    57/153 
  Installing       : libcap-ng-0.8.3-5.fc38.x86_64                       58/153 
  Installing       : audit-libs-3.0.9-3.fc38.x86_64                      59/153 
  Installing       : libsmartcols-2.38.1-3.fc38.x86_64                   60/153 
  Installing       : alternatives-1.21-1.fc38.x86_64                     61/153 
  Installing       : libcom_err-1.46.5-3.fc37.x86_64                     62/153 
  Installing       : libeconf-0.4.0-4.fc37.x86_64                        63/153 
  Installing       : pam-libs-1.5.2-15.fc38.x86_64                       64/153 
  Installing       : libsepol-3.5-0.rc1.1.fc38.x86_64                    65/153 
  Installing       : libunistring-1.0-2.fc37.x86_64                      66/153 
  Installing       : libidn2-2.3.4-1.fc38.x86_64                         67/153 
  Installing       : lua-libs-5.4.4-7.fc38.x86_64                        68/153 
  Installing       : pcre2-10.42-1.fc38.x86_64                           69/153 
  Installing       : libselinux-3.5-0.rc1.1.fc38.1.x86_64                70/153 
  Installing       : sed-4.8-11.fc37.x86_64                              71/153 
  Installing       : grep-3.8-2.fc38.x86_64                              72/153 
  Installing       : findutils-1:4.9.0-2.fc37.x86_64                     73/153 
  Installing       : xz-5.2.9-1.fc38.x86_64                              74/153 
  Installing       : libmount-2.38.1-3.fc38.x86_64                       75/153 
  Installing       : util-linux-core-2.38.1-3.fc38.x86_64                76/153 
  Installing       : libsemanage-3.5-0.rc1.1.fc38.x86_64                 77/153 
  Installing       : shadow-utils-2:4.13-3.fc38.x86_64                   78/153 
  Running scriptlet: libutempter-1.2.1-7.fc37.x86_64                     79/153 
  Installing       : libutempter-1.2.1-7.fc37.x86_64                     79/153 
  Installing       : tar-2:1.34-5.fc38.x86_64                            80/153 
  Installing       : libpsl-0.21.2-2.fc38.x86_64                         81/153 
  Installing       : zip-3.0-35.fc38.x86_64                              82/153 
  Installing       : zstd-1.5.2-3.fc37.x86_64                            83/153 
  Installing       : libfdisk-2.38.1-3.fc38.x86_64                       84/153 
  Installing       : nettle-3.8-2.fc37.x86_64                            85/153 
  Installing       : rpm-sequoia-1.2.0-1.fc38.x86_64                     86/153 
  Installing       : rpm-libs-4.18.0-10.fc38.x86_64                      87/153 
  Installing       : bzip2-1.0.8-12.fc37.x86_64                          88/153 
  Installing       : libxml2-2.10.3-3.fc38.x86_64                        89/153 
  Installing       : ed-1.19-1.fc38.x86_64                               90/153 
  Installing       : patch-2.7.6-17.fc37.x86_64                          91/153 
  Installing       : elfutils-default-yama-scope-0.188-3.fc38.noarch     92/153 
  Running scriptlet: elfutils-default-yama-scope-0.188-3.fc38.noarch     92/153 
  Installing       : jansson-2.13.1-6.fc38.x86_64                        93/153 
  Installing       : libbrotli-1.0.9-11.fc38.x86_64                      94/153 
  Installing       : libnghttp2-1.51.0-2.fc38.x86_64                     95/153 
  Installing       : cpio-2.13-13.fc38.x86_64                            96/153 
  Installing       : diffutils-3.9-1.fc38.x86_64                         97/153 
  Installing       : gdbm-libs-1:1.23-2.fc37.x86_64                      98/153 
  Installing       : cyrus-sasl-lib-2.1.28-8.fc38.x86_64                 99/153 
  Installing       : keyutils-libs-1.6.1-5.fc37.x86_64                  100/153 
  Installing       : libdb-5.3.28-54.fc38.x86_64                        101/153 
  Installing       : libffi-3.4.4-1.fc38.x86_64                         102/153 
  Installing       : p11-kit-0.24.1-5.fc38.x86_64                       103/153 
  Installing       : libgomp-13.0.1-0.1.fc38.x86_64                     104/153 
  Installing       : libpkgconf-1.8.0-3.fc37.x86_64                     105/153 
  Installing       : pkgconf-1.8.0-3.fc37.x86_64                        106/153 
  Installing       : pkgconf-pkg-config-1.8.0-3.fc37.x86_64             107/153 
  Installing       : libsigsegv-2.14-3.fc37.x86_64                      108/153 
  Installing       : gawk-5.1.1-4.fc37.x86_64                           109/153 
  Installing       : libtasn1-4.19.0-1.fc38.x86_64                      110/153 
  Installing       : p11-kit-trust-0.24.1-5.fc38.x86_64                 111/153 
  Running scriptlet: p11-kit-trust-0.24.1-5.fc38.x86_64                 111/153 
  Installing       : libverto-0.3.2-4.fc37.x86_64                       112/153 
  Installing       : xxhash-libs-0.8.1-3.fc37.x86_64                    113/153 
  Installing       : coreutils-common-9.1-10.fc38.x86_64                114/153 
  Installing       : openssl-libs-1:3.0.7-3.fc38.x86_64                 115/153 
  Installing       : coreutils-9.1-10.fc38.x86_64                       116/153 
  Running scriptlet: ca-certificates-2023.2.60-2.fc38.noarch            117/153 
  Installing       : ca-certificates-2023.2.60-2.fc38.noarch            117/153 
  Running scriptlet: ca-certificates-2023.2.60-2.fc38.noarch            117/153 
  Installing       : krb5-libs-1.20.1-7.fc38.x86_64                     118/153 
  Installing       : libtirpc-1.3.3-0.fc37.x86_64                       119/153 
  Running scriptlet: authselect-libs-1.4.2-1.fc38.x86_64                120/153 
  Installing       : authselect-libs-1.4.2-1.fc38.x86_64                120/153 
  Installing       : gzip-1.12-2.fc37.x86_64                            121/153 
  Installing       : cracklib-2.9.7-30.fc38.x86_64                      122/153 
  Installing       : libpwquality-1.4.5-2.fc38.x86_64                   123/153 
  Installing       : authselect-1.4.2-1.fc38.x86_64                     124/153 
  Installing       : libnsl2-2.0.0-4.fc37.x86_64                        125/153 
  Installing       : pam-1.5.2-15.fc38.x86_64                           126/153 
  Installing       : libssh-0.10.4-2.fc38.x86_64                        127/153 
  Installing       : libevent-2.1.12-8.fc38.x86_64                      128/153 
  Installing       : openldap-2.6.3-1.fc38.x86_64                       129/153 
  Installing       : libcurl-7.87.0-4.fc38.x86_64                       130/153 
  Installing       : elfutils-libs-0.188-3.fc38.x86_64                  131/153 
  Installing       : elfutils-debuginfod-client-0.188-3.fc38.x86_64     132/153 
  Installing       : binutils-gold-2.39-6.fc38.x86_64                   133/153 
  Installing       : binutils-2.39-6.fc38.x86_64                        134/153 
  Running scriptlet: binutils-2.39-6.fc38.x86_64                        134/153 
  Installing       : elfutils-0.188-3.fc38.x86_64                       135/153 
  Installing       : gdb-minimal-12.1-10.fc38.x86_64                    136/153 
  Installing       : debugedit-5.0-5.fc37.x86_64                        137/153 
  Installing       : rpm-build-libs-4.18.0-10.fc38.x86_64               138/153 
  Installing       : curl-7.87.0-4.fc38.x86_64                          139/153 
  Installing       : libarchive-3.6.1-3.fc38.x86_64                     140/153 
  Running scriptlet: rpm-4.18.0-10.fc38.x86_64                          141/153 
  Installing       : rpm-4.18.0-10.fc38.x86_64                          141/153 
  Installing       : rpmautospec-rpm-macros-0.3.1-2.fc38.noarch         142/153 
  Installing       : efi-srpm-macros-5-6.fc37.noarch                    143/153 
  Installing       : lua-srpm-macros-1-7.fc37.noarch                    144/153 
  Installing       : ansible-srpm-macros-1-8.1.fc38.noarch              145/153 
  Installing       : fonts-srpm-macros-1:2.0.5-10.fc38.noarch           146/153 
  Installing       : go-srpm-macros-3.2.0-1.fc38.noarch                 147/153 
  Installing       : python-srpm-macros-3.11-7.fc38.noarch              148/153 
  Installing       : redhat-rpm-config-245-1.fc38.noarch                149/153 
  Installing       : rpm-build-4.18.0-10.fc38.x86_64                    150/153 
  Installing       : util-linux-2.38.1-3.fc38.x86_64                    151/153 
  Installing       : which-2.21-36.fc38.x86_64                          152/153 
  Installing       : info-7.0.1-1.fc38.x86_64                           153/153 
  Running scriptlet: filesystem-3.18-2.fc37.x86_64                      153/153 
  Running scriptlet: ca-certificates-2023.2.60-2.fc38.noarch            153/153 
  Running scriptlet: authselect-libs-1.4.2-1.fc38.x86_64                153/153 
  Running scriptlet: rpm-4.18.0-10.fc38.x86_64                          153/153 
  Running scriptlet: info-7.0.1-1.fc38.x86_64                           153/153 
  Verifying        : audit-libs-3.0.9-3.fc38.x86_64                       1/153 
  Verifying        : crypto-policies-20221215-2.gita4c31a3.fc38.noarc     2/153 
  Verifying        : file-5.42-5.fc38.x86_64                              3/153 
  Verifying        : file-libs-5.42-5.fc38.x86_64                         4/153 
  Verifying        : glibc-2.36.9000-23.fc38.x86_64                       5/153 
  Verifying        : glibc-common-2.36.9000-23.fc38.x86_64                6/153 
  Verifying        : glibc-gconv-extra-2.36.9000-23.fc38.x86_64           7/153 
  Verifying        : glibc-minimal-langpack-2.36.9000-23.fc38.x86_64      8/153 
  Verifying        : jansson-2.13.1-6.fc38.x86_64                         9/153 
  Verifying        : krb5-libs-1.20.1-7.fc38.x86_64                      10/153 
  Verifying        : libblkid-2.38.1-3.fc38.x86_64                       11/153 
  Verifying        : libbrotli-1.0.9-11.fc38.x86_64                      12/153 
  Verifying        : libcap-ng-0.8.3-5.fc38.x86_64                       13/153 
  Verifying        : libevent-2.1.12-8.fc38.x86_64                       14/153 
  Verifying        : libfdisk-2.38.1-3.fc38.x86_64                       15/153 
  Verifying        : libmount-2.38.1-3.fc38.x86_64                       16/153 
  Verifying        : libnghttp2-1.51.0-2.fc38.x86_64                     17/153 
  Verifying        : libpsl-0.21.2-2.fc38.x86_64                         18/153 
  Verifying        : libpwquality-1.4.5-2.fc38.x86_64                    19/153 
  Verifying        : libsmartcols-2.38.1-3.fc38.x86_64                   20/153 
  Verifying        : libuuid-2.38.1-3.fc38.x86_64                        21/153 
  Verifying        : libxml2-2.10.3-3.fc38.x86_64                        22/153 
  Verifying        : rpm-4.18.0-10.fc38.x86_64                           23/153 
  Verifying        : rpm-build-4.18.0-10.fc38.x86_64                     24/153 
  Verifying        : rpm-build-libs-4.18.0-10.fc38.x86_64                25/153 
  Verifying        : rpm-libs-4.18.0-10.fc38.x86_64                      26/153 
  Verifying        : rpmautospec-rpm-macros-0.3.1-2.fc38.noarch          27/153 
  Verifying        : util-linux-2.38.1-3.fc38.x86_64                     28/153 
  Verifying        : util-linux-core-2.38.1-3.fc38.x86_64                29/153 
  Verifying        : alternatives-1.21-1.fc38.x86_64                     30/153 
  Verifying        : ansible-srpm-macros-1-8.1.fc38.noarch               31/153 
  Verifying        : authselect-1.4.2-1.fc38.x86_64                      32/153 
  Verifying        : authselect-libs-1.4.2-1.fc38.x86_64                 33/153 
  Verifying        : basesystem-11-14.fc37.noarch                        34/153 
  Verifying        : bash-5.2.15-1.fc38.x86_64                           35/153 
  Verifying        : binutils-2.39-6.fc38.x86_64                         36/153 
  Verifying        : binutils-gold-2.39-6.fc38.x86_64                    37/153 
  Verifying        : bzip2-1.0.8-12.fc37.x86_64                          38/153 
  Verifying        : bzip2-libs-1.0.8-12.fc37.x86_64                     39/153 
  Verifying        : ca-certificates-2023.2.60-2.fc38.noarch             40/153 
  Verifying        : coreutils-9.1-10.fc38.x86_64                        41/153 
  Verifying        : coreutils-common-9.1-10.fc38.x86_64                 42/153 
  Verifying        : cpio-2.13-13.fc38.x86_64                            43/153 
  Verifying        : cracklib-2.9.7-30.fc38.x86_64                       44/153 
  Verifying        : curl-7.87.0-4.fc38.x86_64                           45/153 
  Verifying        : cyrus-sasl-lib-2.1.28-8.fc38.x86_64                 46/153 
  Verifying        : debugedit-5.0-5.fc37.x86_64                         47/153 
  Verifying        : diffutils-3.9-1.fc38.x86_64                         48/153 
  Verifying        : dwz-0.15-1.fc38.x86_64                              49/153 
  Verifying        : ed-1.19-1.fc38.x86_64                               50/153 
  Verifying        : efi-srpm-macros-5-6.fc37.noarch                     51/153 
  Verifying        : elfutils-0.188-3.fc38.x86_64                        52/153 
  Verifying        : elfutils-debuginfod-client-0.188-3.fc38.x86_64      53/153 
  Verifying        : elfutils-default-yama-scope-0.188-3.fc38.noarch     54/153 
  Verifying        : elfutils-libelf-0.188-3.fc38.x86_64                 55/153 
  Verifying        : elfutils-libs-0.188-3.fc38.x86_64                   56/153 
  Verifying        : fedora-gpg-keys-38-0.3.noarch                       57/153 
  Verifying        : fedora-release-38-0.18.noarch                       58/153 
  Verifying        : fedora-release-common-38-0.18.noarch                59/153 
  Verifying        : fedora-release-identity-basic-38-0.18.noarch        60/153 
  Verifying        : fedora-repos-38-0.3.noarch                          61/153 
  Verifying        : fedora-repos-rawhide-38-0.3.noarch                  62/153 
  Verifying        : filesystem-3.18-2.fc37.x86_64                       63/153 
  Verifying        : findutils-1:4.9.0-2.fc37.x86_64                     64/153 
  Verifying        : fonts-srpm-macros-1:2.0.5-10.fc38.noarch            65/153 
  Verifying        : fpc-srpm-macros-1.3-6.fc37.noarch                   66/153 
  Verifying        : gawk-5.1.1-4.fc37.x86_64                            67/153 
  Verifying        : gdb-minimal-12.1-10.fc38.x86_64                     68/153 
  Verifying        : gdbm-libs-1:1.23-2.fc37.x86_64                      69/153 
  Verifying        : ghc-srpm-macros-1.6.0-1.fc38.noarch                 70/153 
  Verifying        : gmp-1:6.2.1-3.fc37.x86_64                           71/153 
  Verifying        : gnat-srpm-macros-5-1.fc38.noarch                    72/153 
  Verifying        : go-srpm-macros-3.2.0-1.fc38.noarch                  73/153 
  Verifying        : grep-3.8-2.fc38.x86_64                              74/153 
  Verifying        : gzip-1.12-2.fc37.x86_64                             75/153 
  Verifying        : info-7.0.1-1.fc38.x86_64                            76/153 
  Verifying        : kernel-srpm-macros-1.0-15.fc37.noarch               77/153 
  Verifying        : keyutils-libs-1.6.1-5.fc37.x86_64                   78/153 
  Verifying        : libacl-2.3.1-4.fc37.x86_64                          79/153 
  Verifying        : libarchive-3.6.1-3.fc38.x86_64                      80/153 
  Verifying        : libattr-2.5.1-5.fc37.x86_64                         81/153 
  Verifying        : libcap-2.48-5.fc37.x86_64                           82/153 
  Verifying        : libcom_err-1.46.5-3.fc37.x86_64                     83/153 
  Verifying        : libcurl-7.87.0-4.fc38.x86_64                        84/153 
  Verifying        : libdb-5.3.28-54.fc38.x86_64                         85/153 
  Verifying        : libeconf-0.4.0-4.fc37.x86_64                        86/153 
  Verifying        : libffi-3.4.4-1.fc38.x86_64                          87/153 
  Verifying        : libgcc-13.0.1-0.1.fc38.x86_64                       88/153 
  Verifying        : libgomp-13.0.1-0.1.fc38.x86_64                      89/153 
  Verifying        : libidn2-2.3.4-1.fc38.x86_64                         90/153 
  Verifying        : libnsl2-2.0.0-4.fc37.x86_64                         91/153 
  Verifying        : libpkgconf-1.8.0-3.fc37.x86_64                      92/153 
  Verifying        : libselinux-3.5-0.rc1.1.fc38.1.x86_64                93/153 
  Verifying        : libsemanage-3.5-0.rc1.1.fc38.x86_64                 94/153 
  Verifying        : libsepol-3.5-0.rc1.1.fc38.x86_64                    95/153 
  Verifying        : libsigsegv-2.14-3.fc37.x86_64                       96/153 
  Verifying        : libssh-0.10.4-2.fc38.x86_64                         97/153 
  Verifying        : libssh-config-0.10.4-2.fc38.noarch                  98/153 
  Verifying        : libstdc++-13.0.1-0.1.fc38.x86_64                    99/153 
  Verifying        : libtasn1-4.19.0-1.fc38.x86_64                      100/153 
  Verifying        : libtirpc-1.3.3-0.fc37.x86_64                       101/153 
  Verifying        : libunistring-1.0-2.fc37.x86_64                     102/153 
  Verifying        : libutempter-1.2.1-7.fc37.x86_64                    103/153 
  Verifying        : libverto-0.3.2-4.fc37.x86_64                       104/153 
  Verifying        : libxcrypt-4.4.33-5.fc38.x86_64                     105/153 
  Verifying        : libzstd-1.5.2-3.fc37.x86_64                        106/153 
  Verifying        : lua-libs-5.4.4-7.fc38.x86_64                       107/153 
  Verifying        : lua-srpm-macros-1-7.fc37.noarch                    108/153 
  Verifying        : lz4-libs-1.9.4-1.fc38.x86_64                       109/153 
  Verifying        : mpfr-4.1.1-2.fc38.x86_64                           110/153 
  Verifying        : ncurses-base-6.4-2.20230114.fc38.noarch            111/153 
  Verifying        : ncurses-libs-6.4-2.20230114.fc38.x86_64            112/153 
  Verifying        : nettle-3.8-2.fc37.x86_64                           113/153 
  Verifying        : nim-srpm-macros-3-7.fc37.noarch                    114/153 
  Verifying        : ocaml-srpm-macros-7-2.fc37.noarch                  115/153 
  Verifying        : openblas-srpm-macros-2-12.fc37.noarch              116/153 
  Verifying        : openldap-2.6.3-1.fc38.x86_64                       117/153 
  Verifying        : openssl-libs-1:3.0.7-3.fc38.x86_64                 118/153 
  Verifying        : p11-kit-0.24.1-5.fc38.x86_64                       119/153 
  Verifying        : p11-kit-trust-0.24.1-5.fc38.x86_64                 120/153 
  Verifying        : package-notes-srpm-macros-0.5-6.fc38.noarch        121/153 
  Verifying        : pam-1.5.2-15.fc38.x86_64                           122/153 
  Verifying        : pam-libs-1.5.2-15.fc38.x86_64                      123/153 
  Verifying        : patch-2.7.6-17.fc37.x86_64                         124/153 
  Verifying        : pcre2-10.42-1.fc38.x86_64                          125/153 
  Verifying        : pcre2-syntax-10.42-1.fc38.noarch                   126/153 
  Verifying        : perl-srpm-macros-1-47.fc38.noarch                  127/153 
  Verifying        : pkgconf-1.8.0-3.fc37.x86_64                        128/153 
  Verifying        : pkgconf-m4-1.8.0-3.fc37.noarch                     129/153 
  Verifying        : pkgconf-pkg-config-1.8.0-3.fc37.x86_64             130/153 
  Verifying        : popt-1.19-1.fc38.x86_64                            131/153 
  Verifying        : publicsuffix-list-dafsa-20221208-1.fc38.noarch     132/153 
  Verifying        : python-srpm-macros-3.11-7.fc38.noarch              133/153 
  Verifying        : qt5-srpm-macros-5.15.8-1.fc38.noarch               134/153 
  Verifying        : readline-8.2-2.fc38.x86_64                         135/153 
  Verifying        : redhat-rpm-config-245-1.fc38.noarch                136/153 
  Verifying        : rpm-sequoia-1.2.0-1.fc38.x86_64                    137/153 
  Verifying        : rust-srpm-macros-23-1.fc38.noarch                  138/153 
  Verifying        : sed-4.8-11.fc37.x86_64                             139/153 
  Verifying        : setup-2.14.3-1.fc38.noarch                         140/153 
  Verifying        : shadow-utils-2:4.13-3.fc38.x86_64                  141/153 
  Verifying        : sqlite-libs-3.40.1-1.fc38.x86_64                   142/153 
  Verifying        : systemd-libs-252.4-4.fc38.x86_64                   143/153 
  Verifying        : tar-2:1.34-5.fc38.x86_64                           144/153 
  Verifying        : tzdata-2022g-1.fc38.noarch                         145/153 
  Verifying        : unzip-6.0-58.fc38.x86_64                           146/153 
  Verifying        : which-2.21-36.fc38.x86_64                          147/153 
  Verifying        : xxhash-libs-0.8.1-3.fc37.x86_64                    148/153 
  Verifying        : xz-5.2.9-1.fc38.x86_64                             149/153 
  Verifying        : xz-libs-5.2.9-1.fc38.x86_64                        150/153 
  Verifying        : zip-3.0-35.fc38.x86_64                             151/153 
  Verifying        : zlib-1.2.13-2.fc38.x86_64                          152/153 
  Verifying        : zstd-1.5.2-3.fc37.x86_64                           153/153 

Installed:
  alternatives-1.21-1.fc38.x86_64                                               
  ansible-srpm-macros-1-8.1.fc38.noarch                                         
  audit-libs-3.0.9-3.fc38.x86_64                                                
  authselect-1.4.2-1.fc38.x86_64                                                
  authselect-libs-1.4.2-1.fc38.x86_64                                           
  basesystem-11-14.fc37.noarch                                                  
  bash-5.2.15-1.fc38.x86_64                                                     
  binutils-2.39-6.fc38.x86_64                                                   
  binutils-gold-2.39-6.fc38.x86_64                                              
  bzip2-1.0.8-12.fc37.x86_64                                                    
  bzip2-libs-1.0.8-12.fc37.x86_64                                               
  ca-certificates-2023.2.60-2.fc38.noarch                                       
  coreutils-9.1-10.fc38.x86_64                                                  
  coreutils-common-9.1-10.fc38.x86_64                                           
  cpio-2.13-13.fc38.x86_64                                                      
  cracklib-2.9.7-30.fc38.x86_64                                                 
  crypto-policies-20221215-2.gita4c31a3.fc38.noarch                             
  curl-7.87.0-4.fc38.x86_64                                                     
  cyrus-sasl-lib-2.1.28-8.fc38.x86_64                                           
  debugedit-5.0-5.fc37.x86_64                                                   
  diffutils-3.9-1.fc38.x86_64                                                   
  dwz-0.15-1.fc38.x86_64                                                        
  ed-1.19-1.fc38.x86_64                                                         
  efi-srpm-macros-5-6.fc37.noarch                                               
  elfutils-0.188-3.fc38.x86_64                                                  
  elfutils-debuginfod-client-0.188-3.fc38.x86_64                                
  elfutils-default-yama-scope-0.188-3.fc38.noarch                               
  elfutils-libelf-0.188-3.fc38.x86_64                                           
  elfutils-libs-0.188-3.fc38.x86_64                                             
  fedora-gpg-keys-38-0.3.noarch                                                 
  fedora-release-38-0.18.noarch                                                 
  fedora-release-common-38-0.18.noarch                                          
  fedora-release-identity-basic-38-0.18.noarch                                  
  fedora-repos-38-0.3.noarch                                                    
  fedora-repos-rawhide-38-0.3.noarch                                            
  file-5.42-5.fc38.x86_64                                                       
  file-libs-5.42-5.fc38.x86_64                                                  
  filesystem-3.18-2.fc37.x86_64                                                 
  findutils-1:4.9.0-2.fc37.x86_64                                               
  fonts-srpm-macros-1:2.0.5-10.fc38.noarch                                      
  fpc-srpm-macros-1.3-6.fc37.noarch                                             
  gawk-5.1.1-4.fc37.x86_64                                                      
  gdb-minimal-12.1-10.fc38.x86_64                                               
  gdbm-libs-1:1.23-2.fc37.x86_64                                                
  ghc-srpm-macros-1.6.0-1.fc38.noarch                                           
  glibc-2.36.9000-23.fc38.x86_64                                                
  glibc-common-2.36.9000-23.fc38.x86_64                                         
  glibc-gconv-extra-2.36.9000-23.fc38.x86_64                                    
  glibc-minimal-langpack-2.36.9000-23.fc38.x86_64                               
  gmp-1:6.2.1-3.fc37.x86_64                                                     
  gnat-srpm-macros-5-1.fc38.noarch                                              
  go-srpm-macros-3.2.0-1.fc38.noarch                                            
  grep-3.8-2.fc38.x86_64                                                        
  gzip-1.12-2.fc37.x86_64                                                       
  info-7.0.1-1.fc38.x86_64                                                      
  jansson-2.13.1-6.fc38.x86_64                                                  
  kernel-srpm-macros-1.0-15.fc37.noarch                                         
  keyutils-libs-1.6.1-5.fc37.x86_64                                             
  krb5-libs-1.20.1-7.fc38.x86_64                                                
  libacl-2.3.1-4.fc37.x86_64                                                    
  libarchive-3.6.1-3.fc38.x86_64                                                
  libattr-2.5.1-5.fc37.x86_64                                                   
  libblkid-2.38.1-3.fc38.x86_64                                                 
  libbrotli-1.0.9-11.fc38.x86_64                                                
  libcap-2.48-5.fc37.x86_64                                                     
  libcap-ng-0.8.3-5.fc38.x86_64                                                 
  libcom_err-1.46.5-3.fc37.x86_64                                               
  libcurl-7.87.0-4.fc38.x86_64                                                  
  libdb-5.3.28-54.fc38.x86_64                                                   
  libeconf-0.4.0-4.fc37.x86_64                                                  
  libevent-2.1.12-8.fc38.x86_64                                                 
  libfdisk-2.38.1-3.fc38.x86_64                                                 
  libffi-3.4.4-1.fc38.x86_64                                                    
  libgcc-13.0.1-0.1.fc38.x86_64                                                 
  libgomp-13.0.1-0.1.fc38.x86_64                                                
  libidn2-2.3.4-1.fc38.x86_64                                                   
  libmount-2.38.1-3.fc38.x86_64                                                 
  libnghttp2-1.51.0-2.fc38.x86_64                                               
  libnsl2-2.0.0-4.fc37.x86_64                                                   
  libpkgconf-1.8.0-3.fc37.x86_64                                                
  libpsl-0.21.2-2.fc38.x86_64                                                   
  libpwquality-1.4.5-2.fc38.x86_64                                              
  libselinux-3.5-0.rc1.1.fc38.1.x86_64                                          
  libsemanage-3.5-0.rc1.1.fc38.x86_64                                           
  libsepol-3.5-0.rc1.1.fc38.x86_64                                              
  libsigsegv-2.14-3.fc37.x86_64                                                 
  libsmartcols-2.38.1-3.fc38.x86_64                                             
  libssh-0.10.4-2.fc38.x86_64                                                   
  libssh-config-0.10.4-2.fc38.noarch                                            
  libstdc++-13.0.1-0.1.fc38.x86_64                                              
  libtasn1-4.19.0-1.fc38.x86_64                                                 
  libtirpc-1.3.3-0.fc37.x86_64                                                  
  libunistring-1.0-2.fc37.x86_64                                                
  libutempter-1.2.1-7.fc37.x86_64                                               
  libuuid-2.38.1-3.fc38.x86_64                                                  
  libverto-0.3.2-4.fc37.x86_64                                                  
  libxcrypt-4.4.33-5.fc38.x86_64                                                
  libxml2-2.10.3-3.fc38.x86_64                                                  
  libzstd-1.5.2-3.fc37.x86_64                                                   
  lua-libs-5.4.4-7.fc38.x86_64                                                  
  lua-srpm-macros-1-7.fc37.noarch                                               
  lz4-libs-1.9.4-1.fc38.x86_64                                                  
  mpfr-4.1.1-2.fc38.x86_64                                                      
  ncurses-base-6.4-2.20230114.fc38.noarch                                       
  ncurses-libs-6.4-2.20230114.fc38.x86_64                                       
  nettle-3.8-2.fc37.x86_64                                                      
  nim-srpm-macros-3-7.fc37.noarch                                               
  ocaml-srpm-macros-7-2.fc37.noarch                                             
  openblas-srpm-macros-2-12.fc37.noarch                                         
  openldap-2.6.3-1.fc38.x86_64                                                  
  openssl-libs-1:3.0.7-3.fc38.x86_64                                            
  p11-kit-0.24.1-5.fc38.x86_64                                                  
  p11-kit-trust-0.24.1-5.fc38.x86_64                                            
  package-notes-srpm-macros-0.5-6.fc38.noarch                                   
  pam-1.5.2-15.fc38.x86_64                                                      
  pam-libs-1.5.2-15.fc38.x86_64                                                 
  patch-2.7.6-17.fc37.x86_64                                                    
  pcre2-10.42-1.fc38.x86_64                                                     
  pcre2-syntax-10.42-1.fc38.noarch                                              
  perl-srpm-macros-1-47.fc38.noarch                                             
  pkgconf-1.8.0-3.fc37.x86_64                                                   
  pkgconf-m4-1.8.0-3.fc37.noarch                                                
  pkgconf-pkg-config-1.8.0-3.fc37.x86_64                                        
  popt-1.19-1.fc38.x86_64                                                       
  publicsuffix-list-dafsa-20221208-1.fc38.noarch                                
  python-srpm-macros-3.11-7.fc38.noarch                                         
  qt5-srpm-macros-5.15.8-1.fc38.noarch                                          
  readline-8.2-2.fc38.x86_64                                                    
  redhat-rpm-config-245-1.fc38.noarch                                           
  rpm-4.18.0-10.fc38.x86_64                                                     
  rpm-build-4.18.0-10.fc38.x86_64                                               
  rpm-build-libs-4.18.0-10.fc38.x86_64                                          
  rpm-libs-4.18.0-10.fc38.x86_64                                                
  rpm-sequoia-1.2.0-1.fc38.x86_64                                               
  rpmautospec-rpm-macros-0.3.1-2.fc38.noarch                                    
  rust-srpm-macros-23-1.fc38.noarch                                             
  sed-4.8-11.fc37.x86_64                                                        
  setup-2.14.3-1.fc38.noarch                                                    
  shadow-utils-2:4.13-3.fc38.x86_64                                             
  sqlite-libs-3.40.1-1.fc38.x86_64                                              
  systemd-libs-252.4-4.fc38.x86_64                                              
  tar-2:1.34-5.fc38.x86_64                                                      
  tzdata-2022g-1.fc38.noarch                                                    
  unzip-6.0-58.fc38.x86_64                                                      
  util-linux-2.38.1-3.fc38.x86_64                                               
  util-linux-core-2.38.1-3.fc38.x86_64                                          
  which-2.21-36.fc38.x86_64                                                     
  xxhash-libs-0.8.1-3.fc37.x86_64                                               
  xz-5.2.9-1.fc38.x86_64                                                        
  xz-libs-5.2.9-1.fc38.x86_64                                                   
  zip-3.0-35.fc38.x86_64                                                        
  zlib-1.2.13-2.fc38.x86_64                                                     
  zstd-1.5.2-3.fc37.x86_64                                                      

Complete!
Finish: dnf install
Start: creating root cache
Finish: creating root cache
Finish: chroot init
INFO: Installed packages:
INFO: authselect-libs-1.4.2-1.fc38.x86_64
glibc-2.36.9000-23.fc38.x86_64
libcurl-7.87.0-4.fc38.x86_64
xz-5.2.9-1.fc38.x86_64
libeconf-0.4.0-4.fc37.x86_64
openssl-libs-3.0.7-3.fc38.x86_64
libxml2-2.10.3-3.fc38.x86_64
rust-srpm-macros-23-1.fc38.noarch
xxhash-libs-0.8.1-3.fc37.x86_64
lz4-libs-1.9.4-1.fc38.x86_64
ca-certificates-2023.2.60-2.fc38.noarch
pkgconf-1.8.0-3.fc37.x86_64
rpmautospec-rpm-macros-0.3.1-2.fc38.noarch
libssh-config-0.10.4-2.fc38.noarch
gnat-srpm-macros-5-1.fc38.noarch
gdb-minimal-12.1-10.fc38.x86_64
binutils-gold-2.39-6.fc38.x86_64
ghc-srpm-macros-1.6.0-1.fc38.noarch
openldap-2.6.3-1.fc38.x86_64
libselinux-3.5-0.rc1.1.fc38.1.x86_64
systemd-libs-252.4-4.fc38.x86_64
mpfr-4.1.1-2.fc38.x86_64
coreutils-9.1-10.fc38.x86_64
libgcc-13.0.1-0.1.fc38.x86_64
libsigsegv-2.14-3.fc37.x86_64
package-notes-srpm-macros-0.5-6.fc38.noarch
go-srpm-macros-3.2.0-1.fc38.noarch
libsepol-3.5-0.rc1.1.fc38.x86_64
xz-libs-5.2.9-1.fc38.x86_64
publicsuffix-list-dafsa-20221208-1.fc38.noarch
debugedit-5.0-5.fc37.x86_64
ocaml-srpm-macros-7-2.fc37.noarch
elfutils-debuginfod-client-0.188-3.fc38.x86_64
nim-srpm-macros-3-7.fc37.noarch
tar-1.34-5.fc38.x86_64
zip-3.0-35.fc38.x86_64
ncurses-base-6.4-2.20230114.fc38.noarch
libpkgconf-1.8.0-3.fc37.x86_64
curl-7.87.0-4.fc38.x86_64
util-linux-core-2.38.1-3.fc38.x86_64
libunistring-1.0-2.fc37.x86_64
pam-libs-1.5.2-15.fc38.x86_64
p11-kit-trust-0.24.1-5.fc38.x86_64
coreutils-common-9.1-10.fc38.x86_64
diffutils-3.9-1.fc38.x86_64
rpm-4.18.0-10.fc38.x86_64
ansible-srpm-macros-1-8.1.fc38.noarch
setup-2.14.3-1.fc38.noarch
libuuid-2.38.1-3.fc38.x86_64
shadow-utils-4.13-3.fc38.x86_64
libmount-2.38.1-3.fc38.x86_64
alternatives-1.21-1.fc38.x86_64
libtirpc-1.3.3-0.fc37.x86_64
glibc-minimal-langpack-2.36.9000-23.fc38.x86_64
libstdc++-13.0.1-0.1.fc38.x86_64
pcre2-syntax-10.42-1.fc38.noarch
libxcrypt-4.4.33-5.fc38.x86_64
fedora-release-38-0.18.noarch
fonts-srpm-macros-2.0.5-10.fc38.noarch
bzip2-1.0.8-12.fc37.x86_64
elfutils-default-yama-scope-0.188-3.fc38.noarch
krb5-libs-1.20.1-7.fc38.x86_64
rpm-build-libs-4.18.0-10.fc38.x86_64
libgomp-13.0.1-0.1.fc38.x86_64
libtasn1-4.19.0-1.fc38.x86_64
grep-3.8-2.fc38.x86_64
elfutils-libs-0.188-3.fc38.x86_64
cpio-2.13-13.fc38.x86_64
which-2.21-36.fc38.x86_64
util-linux-2.38.1-3.fc38.x86_64
fpc-srpm-macros-1.3-6.fc37.noarch
libutempter-1.2.1-7.fc37.x86_64
pkgconf-m4-1.8.0-3.fc37.noarch
glibc-gconv-extra-2.36.9000-23.fc38.x86_64
gmp-6.2.1-3.fc37.x86_64
crypto-policies-20221215-2.gita4c31a3.fc38.noarch
libdb-5.3.28-54.fc38.x86_64
fedora-repos-rawhide-38-0.3.noarch
zlib-1.2.13-2.fc38.x86_64
nettle-3.8-2.fc37.x86_64
libpsl-0.21.2-2.fc38.x86_64
popt-1.19-1.fc38.x86_64
libzstd-1.5.2-3.fc37.x86_64
libbrotli-1.0.9-11.fc38.x86_64
file-libs-5.42-5.fc38.x86_64
sqlite-libs-3.40.1-1.fc38.x86_64
findutils-4.9.0-2.fc37.x86_64
perl-srpm-macros-1-47.fc38.noarch
libsmartcols-2.38.1-3.fc38.x86_64
file-5.42-5.fc38.x86_64
elfutils-libelf-0.188-3.fc38.x86_64
jansson-2.13.1-6.fc38.x86_64
cyrus-sasl-lib-2.1.28-8.fc38.x86_64
pkgconf-pkg-config-1.8.0-3.fc37.x86_64
bzip2-libs-1.0.8-12.fc37.x86_64
tzdata-2022g-1.fc38.noarch
fedora-release-common-38-0.18.noarch
binutils-2.39-6.fc38.x86_64
fedora-repos-38-0.3.noarch
libnghttp2-1.51.0-2.fc38.x86_64
libattr-2.5.1-5.fc37.x86_64
libcom_err-1.46.5-3.fc37.x86_64
dwz-0.15-1.fc38.x86_64
cracklib-2.9.7-30.fc38.x86_64
rpm-libs-4.18.0-10.fc38.x86_64
gpg-pubkey-5323552a-6112bcdc
patch-2.7.6-17.fc37.x86_64
gdbm-libs-1.23-2.fc37.x86_64
rpm-build-4.18.0-10.fc38.x86_64
ncurses-libs-6.4-2.20230114.fc38.x86_64
libcap-2.48-5.fc37.x86_64
libcap-ng-0.8.3-5.fc38.x86_64
basesystem-11-14.fc37.noarch
fedora-gpg-keys-38-0.3.noarch
gawk-5.1.1-4.fc37.x86_64
libverto-0.3.2-4.fc37.x86_64
elfutils-0.188-3.fc38.x86_64
pcre2-10.42-1.fc38.x86_64
readline-8.2-2.fc38.x86_64
zstd-1.5.2-3.fc37.x86_64
libffi-3.4.4-1.fc38.x86_64
python-srpm-macros-3.11-7.fc38.noarch
info-7.0.1-1.fc38.x86_64
p11-kit-0.24.1-5.fc38.x86_64
authselect-1.4.2-1.fc38.x86_64
libsemanage-3.5-0.rc1.1.fc38.x86_64
libarchive-3.6.1-3.fc38.x86_64
filesystem-3.18-2.fc37.x86_64
sed-4.8-11.fc37.x86_64
fedora-release-identity-basic-38-0.18.noarch
keyutils-libs-1.6.1-5.fc37.x86_64
libfdisk-2.38.1-3.fc38.x86_64
gzip-1.12-2.fc37.x86_64
libssh-0.10.4-2.fc38.x86_64
libblkid-2.38.1-3.fc38.x86_64
efi-srpm-macros-5-6.fc37.noarch
pam-1.5.2-15.fc38.x86_64
libevent-2.1.12-8.fc38.x86_64
libnsl2-2.0.0-4.fc37.x86_64
unzip-6.0-58.fc38.x86_64
audit-libs-3.0.9-3.fc38.x86_64
redhat-rpm-config-245-1.fc38.noarch
rpm-sequoia-1.2.0-1.fc38.x86_64
libpwquality-1.4.5-2.fc38.x86_64
libidn2-2.3.4-1.fc38.x86_64
libacl-2.3.1-4.fc37.x86_64
lua-libs-5.4.4-7.fc38.x86_64
gpg-pubkey-eb10b464-6202d9c6
lua-srpm-macros-1-7.fc37.noarch
kernel-srpm-macros-1.0-15.fc37.noarch
qt5-srpm-macros-5.15.8-1.fc38.noarch
glibc-common-2.36.9000-23.fc38.x86_64
bash-5.2.15-1.fc38.x86_64
openblas-srpm-macros-2-12.fc37.noarch
ed-1.19-1.fc38.x86_64
Start: buildsrpm
Start: rpmbuild -bs
Building target platforms: x86_64
Building for target x86_64
setting SOURCE_DATE_EPOCH=1674259200
Wrote: /builddir/build/SRPMS/yosys-0.25-2.20230104git7bac192.fc38.src.rpm
Finish: rpmbuild -bs
cp: ‘var/lib/mock/fedora-rawhide-x86_64-1674478804.654692/root/var/log’: No such file or directory
INFO: chroot_scan: 3 files copied to /var/lib/copr-rpmbuild/results/chroot_scan
INFO: /var/lib/mock/fedora-rawhide-x86_64-1674478804.654692/root/var/log/dnf.rpm.log
/var/lib/mock/fedora-rawhide-x86_64-1674478804.654692/root/var/log/dnf.librepo.log
/var/lib/mock/fedora-rawhide-x86_64-1674478804.654692/root/var/log/dnf.log
Finish: buildsrpm
INFO: Done(/var/lib/copr-rpmbuild/workspace/workdir-rqedrfjn/yosys/yosys.spec) Config(child) 1 minutes 23 seconds
INFO: Results and/or logs in: /var/lib/copr-rpmbuild/results
INFO: Cleaning up build root ('cleanup_on_success=True')
Start: clean chroot
INFO: unmounting tmpfs.
Finish: clean chroot
Finish: run
Running (timeout=18000): unbuffer mock --rebuild /var/lib/copr-rpmbuild/results/yosys-0.25-2.20230104git7bac192.fc38.src.rpm --resultdir /var/lib/copr-rpmbuild/results --uniqueext 1674478804.654692 -r /var/lib/copr-rpmbuild/results/configs/child.cfg
INFO: mock.py version 3.5 starting (python version = 3.11.0, NVR = mock-3.5-1.fc37)...
Start(bootstrap): init plugins
INFO: tmpfs initialized
INFO: selinux enabled
INFO: chroot_scan: initialized
INFO: compress_logs: initialized
Finish(bootstrap): init plugins
Start: init plugins
INFO: tmpfs initialized
INFO: selinux enabled
INFO: chroot_scan: initialized
INFO: compress_logs: initialized
Finish: init plugins
INFO: Signal handler active
Start: run
INFO: Start(/var/lib/copr-rpmbuild/results/yosys-0.25-2.20230104git7bac192.fc38.src.rpm)  Config(fedora-rawhide-x86_64)
Start: clean chroot
Finish: clean chroot
Start(bootstrap): chroot init
INFO: mounting tmpfs at /var/lib/mock/fedora-rawhide-x86_64-bootstrap-1674478804.654692/root.
INFO: reusing tmpfs at /var/lib/mock/fedora-rawhide-x86_64-bootstrap-1674478804.654692/root.
INFO: calling preinit hooks
INFO: enabled root cache
INFO: enabled package manager cache
Start(bootstrap): cleaning package manager metadata
Finish(bootstrap): cleaning package manager metadata
INFO: enabled HW Info plugin
Mock Version: 3.5
INFO: Mock Version: 3.5
Finish(bootstrap): chroot init
Start: chroot init
INFO: mounting tmpfs at /var/lib/mock/fedora-rawhide-x86_64-1674478804.654692/root.
INFO: calling preinit hooks
INFO: enabled root cache
Start: unpacking root cache
Finish: unpacking root cache
INFO: enabled package manager cache
Start: cleaning package manager metadata
Finish: cleaning package manager metadata
INFO: enabled HW Info plugin
Mock Version: 3.5
INFO: Mock Version: 3.5
Start: dnf update
No matches found for the following disable plugin patterns: local, spacewalk, versionlock
Copr repository                                  15 kB/s | 3.7 kB     00:00    
Copr repository                                  14 MB/s |  19 MB     00:01    
fedora                                           98 kB/s |  24 kB     00:00    
Dependencies resolved.
Nothing to do.
Complete!
Finish: dnf update
Finish: chroot init
Start: build phase for yosys-0.25-2.20230104git7bac192.fc38.src.rpm
Start: build setup for yosys-0.25-2.20230104git7bac192.fc38.src.rpm
Building target platforms: x86_64
Building for target x86_64
setting SOURCE_DATE_EPOCH=1674259200
Wrote: /builddir/build/SRPMS/yosys-0.25-2.20230104git7bac192.fc38.src.rpm
No matches found for the following disable plugin patterns: local, spacewalk, versionlock
Copr repository                                  15 kB/s | 3.7 kB     00:00    
fedora                                           96 kB/s |  24 kB     00:00    
Package pkgconf-pkg-config-1.8.0-3.fc37.x86_64 is already installed.
Dependencies resolved.
====================================================================================================
 Package                                   Arch    Version                          Repo        Size
====================================================================================================
Installing:
 abc                                       x86_64  1.01-36.git20221229.fc38         fedora      19 k
 bison                                     x86_64  3.8.2-3.fc37                     fedora     1.0 M
 flex                                      x86_64  2.6.4-11.fc37                    fedora     313 k
 gcc-c++                                   x86_64  13.0.1-0.1.fc38                  fedora      13 M
 graphviz                                  x86_64  7.0.6-2.fc38                     copr_base  4.9 M
 iverilog                                  x86_64  11.0-6.fc38                      fedora     2.2 M
 libffi-devel                              x86_64  3.4.4-1.fc38                     fedora      28 k
 make                                      x86_64  1:4.4-1.fc38                     fedora     580 k
 python3                                   x86_64  3.11.1-3.fc38                    copr_base   28 k
 readline-devel                            x86_64  8.2-2.fc38                       fedora     207 k
 tcl-devel                                 x86_64  1:8.6.12-3.fc38                  fedora     169 k
 texlive-beamer                            noarch  10:svn64388-63.fc38              fedora     227 k
 texlive-collection-bibtexextra            noarch  10:svn65204-63.fc38              fedora      14 k
 texlive-collection-fontsextra             noarch  10:svn64952-63.fc38              fedora      17 k
 texlive-collection-latexextra             noarch  10:svn65353-63.fc38              fedora      43 k
 texlive-collection-mathscience            noarch  10:svn65312-63.fc38              fedora      16 k
 texlive-collection-publishers             noarch  10:svn65221-63.fc38              fedora      16 k
 txt2man                                   noarch  1.7.1-3.fc37                     fedora      28 k
Installing dependencies:
 GraphicsMagick                            x86_64  1.3.38-4.fc38                    fedora     1.6 M
 GraphicsMagick-c++                        x86_64  1.3.38-4.fc38                    fedora     127 k
 ImageMagick                               x86_64  1:7.1.0.57-2.fc38                copr_base   77 k
 ImageMagick-libs                          x86_64  1:7.1.0.57-2.fc38                copr_base  2.5 M
 LibRaw                                    x86_64  0.21.1-1.fc38                    fedora     414 k
 R-cli                                     x86_64  3.6.0-1.fc38                     fedora     1.4 M
 R-core                                    x86_64  4.2.2-6.fc38                     fedora      62 M
 R-evaluate                                noarch  0.15-1.fc38                      fedora     103 k
 R-glue                                    x86_64  1.6.2-1.fc38                     fedora     174 k
 R-highr                                   noarch  0.10-1.fc38                      fedora      58 k
 R-knitr                                   noarch  1.39-1.fc38                      fedora     1.3 M
 R-lifecycle                               noarch  1.0.3-1.fc38                     fedora     144 k
 R-magrittr                                x86_64  2.0.3-1.fc38                     fedora     239 k
 R-rlang                                   x86_64  1.0.6-1.fc38                     fedora     1.7 M
 R-stringi                                 x86_64  1.7.8-2.fc38                     fedora     1.0 M
 R-stringr                                 noarch  1.5.0-1.fc38                     fedora     345 k
 R-vctrs                                   x86_64  0.5.1-1.fc38                     fedora     1.3 M
 R-xfun                                    x86_64  0.36-1.fc38                      fedora     473 k
 R-yaml                                    x86_64  2.3.5-1.fc38                     fedora     127 k
 abc-libs                                  x86_64  1.01-36.git20221229.fc38         fedora     5.2 M
 adobe-mappings-cmap                       noarch  20230118-1.fc38                  fedora     2.1 M
 adobe-mappings-cmap-deprecated            noarch  20230118-1.fc38                  fedora     113 k
 adobe-mappings-pdf                        noarch  20190401-2.fc37                  fedora     697 k
 adwaita-cursor-theme                      noarch  43-1.fc38                        fedora     633 k
 adwaita-icon-theme                        noarch  43-1.fc38                        fedora     4.9 M
 alsa-lib                                  x86_64  1.2.8-2.fc38                     fedora     517 k
 annobin-docs                              noarch  11.06-2.fc38                     fedora      93 k
 annobin-plugin-gcc                        x86_64  11.06-2.fc38                     fedora     890 k
 at-spi2-atk                               x86_64  2.47.1-2.fc38                    copr_base   87 k
 at-spi2-core                              x86_64  2.47.1-2.fc38                    copr_base  353 k
 atk                                       x86_64  2.47.1-2.fc38                    copr_base   82 k
 atkmm                                     x86_64  2.28.3-1.fc38                    fedora      94 k
 autotrace                                 x86_64  0.31.9-3.fc38                    fedora     148 k
 avahi-libs                                x86_64  0.8-19.fc38                      fedora      67 k
 biber                                     noarch  2.18-1.fc38                      fedora     314 k
 boost-atomic                              x86_64  1.78.0-11.fc38                   copr_base   20 k
 boost-filesystem                          x86_64  1.78.0-11.fc38                   copr_base   65 k
 boost-system                              x86_64  1.78.0-11.fc38                   copr_base   16 k
 bubblewrap                                x86_64  0.5.0-3.fc37                     fedora      54 k
 cairo                                     x86_64  1.17.6-2.fc37                    fedora     676 k
 cairo-gobject                             x86_64  1.17.6-2.fc37                    fedora      18 k
 cairomm                                   x86_64  1.14.4-4.fc38                    fedora      64 k
 cdparanoia-libs                           x86_64  10.2-40.fc37                     fedora      54 k
 colord-libs                               x86_64  1.4.6-2.fc37                     fedora     233 k
 cpp                                       x86_64  13.0.1-0.1.fc38                  fedora      11 M
 crypto-policies-scripts                   noarch  20221215-2.gita4c31a3.fc38       copr_base  104 k
 cups-libs                                 x86_64  1:2.4.2-5.fc38                   fedora     268 k
 dbus                                      x86_64  1:1.14.4-1.fc38                  fedora     7.8 k
 dbus-broker                               x86_64  32-1.fc37                        fedora     175 k
 dbus-common                               noarch  1:1.14.4-1.fc38                  fedora      15 k
 dbus-libs                                 x86_64  1:1.14.4-1.fc38                  fedora     156 k
 desktop-file-utils                        x86_64  0.26-7.fc37                      fedora      72 k
 double-conversion                         x86_64  3.1.5-7.fc37                     fedora      48 k
 emacs-filesystem                          noarch  1:28.2-1.fc38                    fedora     7.1 k
 enchant2                                  x86_64  2.3.3-5.fc38                     fedora      65 k
 expat                                     x86_64  2.5.0-1.fc38                     fedora     110 k
 fdk-aac-free                              x86_64  2.0.0-9.fc37                     fedora     332 k
 fftw-libs-double                          x86_64  3.3.10-3.fc37                    fedora     918 k
 flac-libs                                 x86_64  1.4.2-1.fc38                     fedora     256 k
 flexiblas                                 x86_64  3.3.0-1.fc38                     fedora      32 k
 flexiblas-netlib                          x86_64  3.3.0-1.fc38                     fedora     3.2 M
 flexiblas-openblas-openmp                 x86_64  3.3.0-1.fc38                     fedora      17 k
 fontawesome-fonts                         noarch  1:4.7.0-14.fc37                  fedora     204 k
 fontconfig                                x86_64  2.14.1-2.fc38                    fedora     294 k
 fontforge                                 x86_64  20230101-3.fc38                  copr_base  6.1 M
 fonts-filesystem                          noarch  1:2.0.5-10.fc38                  fedora     8.0 k
 freetype                                  x86_64  2.12.1-3.fc37                    fedora     407 k
 fribidi                                   x86_64  1.0.12-2.fc37                    fedora      89 k
 gc                                        x86_64  8.2.2-1.fc38                     fedora     110 k
 gcc                                       x86_64  13.0.1-0.1.fc38                  fedora      34 M
 gcc-plugin-annobin                        x86_64  13.0.1-0.1.fc38                  fedora      30 k
 gd                                        x86_64  2.3.3-9.fc38                     fedora     138 k
 gdk-pixbuf2                               x86_64  2.42.10-1.fc38                   fedora     485 k
 gdk-pixbuf2-modules                       x86_64  2.42.10-1.fc38                   fedora      85 k
 ghostscript                               x86_64  9.56.1-6.fc38                    fedora      43 k
 ghostscript-tools-fonts                   x86_64  9.56.1-6.fc38                    fedora      12 k
 ghostscript-tools-printing                x86_64  9.56.1-6.fc38                    fedora      12 k
 giflib                                    x86_64  5.2.1-14.fc37                    fedora      51 k
 glib-networking                           x86_64  2.74.0-1.fc38                    fedora     200 k
 glib2                                     x86_64  2.74.1-3.fc38                    copr_base  2.7 M
 glibc-devel                               x86_64  2.36.9000-23.fc38                copr_base   46 k
 glibc-headers-x86                         noarch  2.36.9000-23.fc38                copr_base  454 k
 glibmm2.4                                 x86_64  2.66.5-2.fc38                    fedora     681 k
 gnutls                                    x86_64  3.7.8-11.fc38                    copr_base  1.1 M
 google-droid-sans-fonts                   noarch  20200215-13.fc37                 fedora     2.7 M
 google-noto-fonts-common                  noarch  20201206^1.git0c78c8329-9.fc38   fedora      18 k
 google-noto-sans-vf-fonts                 noarch  20201206^1.git0c78c8329-9.fc38   fedora     729 k
 graphene                                  x86_64  1.10.6-4.fc37                    fedora      62 k
 graphite2                                 x86_64  1.3.14-11.fc38                   copr_base   95 k
 groff-base                                x86_64  1.22.4-10.fc37                   fedora     1.1 M
 gsettings-desktop-schemas                 x86_64  43.0-1.fc38                      fedora     732 k
 gsl                                       x86_64  2.7.1-3.fc38                     fedora     1.1 M
 gsm                                       x86_64  1.0.22-1.fc37                    fedora      35 k
 gspell                                    x86_64  1.12.0-2.fc38                    fedora     119 k
 gssdp                                     x86_64  1.6.2-1.fc38                     fedora      58 k
 gstreamer1                                x86_64  1.21.90-1.fc38                   fedora     1.4 M
 gstreamer1-plugins-bad-free               x86_64  1.20.5-2.fc38                    copr_base  2.8 M
 gstreamer1-plugins-base                   x86_64  1.20.5-1.fc38                    fedora     2.1 M
 gtk-update-icon-cache                     x86_64  3.24.36-1.fc38                   fedora      34 k
 gtk3                                      x86_64  3.24.36-1.fc38                   fedora     5.0 M
 gtkmm3.0                                  x86_64  3.24.7-2.fc38                    fedora     1.0 M
 gts                                       x86_64  0.7.6-43.20121130.fc38           fedora     241 k
 guile22                                   x86_64  2.2.7-6.fc37                     fedora     6.5 M
 gumbo-parser                              x86_64  1:0.10.1-27.fc38                 copr_base  136 k
 gupnp                                     x86_64  1.6.3-1.fc38                     fedora     106 k
 gupnp-igd                                 x86_64  1.2.0-7.fc38                     fedora      34 k
 harfbuzz                                  x86_64  6.0.0-2.fc38                     fedora     819 k
 harfbuzz-icu                              x86_64  6.0.0-2.fc38                     fedora      16 k
 hicolor-icon-theme                        noarch  0.17-14.fc37                     fedora      66 k
 highway                                   x86_64  1.0.2-1.fc38                     fedora     382 k
 hunspell                                  x86_64  1.7.2-2.fc38                     fedora     497 k
 hunspell-en-US                            noarch  0.20201207-2.fc38                fedora     181 k
 hunspell-filesystem                       x86_64  1.7.2-2.fc38                     fedora     9.1 k
 hwdata                                    noarch  0.366-1.fc38                     fedora     1.5 M
 hyphen                                    x86_64  2.8.8-18.fc37                    fedora      29 k
 imath                                     x86_64  3.1.6-2.fc38                     copr_base   98 k
 inkscape                                  x86_64  1.2.2-4.fc38                     copr_base   23 M
 iso-codes                                 noarch  4.12.0-2.fc38                    fedora     3.5 M
 jasper-libs                               x86_64  3.0.6-1.fc37                     fedora     162 k
 javascriptcoregtk4.0                      x86_64  2.39.5-1.fc38                    fedora     7.6 M
 jbig2dec-libs                             x86_64  0.19-7.fc37                      fedora      73 k
 jbigkit-libs                              x86_64  2.1-24.fc37                      fedora      53 k
 json-glib                                 x86_64  1.6.6-3.fc37                     fedora     162 k
 kernel-headers                            x86_64  6.2.0-0.rc4.git0.1.fc38          fedora     1.5 M
 lame-libs                                 x86_64  3.100-13.fc37                    fedora     335 k
 langpacks-core-font-en                    noarch  3.0-27.fc38                      fedora     9.9 k
 lasi                                      x86_64  1.1.3-9.fc37                     fedora      54 k
 latexmk                                   noarch  4.79-1.fc38                      fedora     405 k
 lcms2                                     x86_64  2.14-1.fc38                      fedora     176 k
 leptonica                                 x86_64  1.83.0-1.fc38                    fedora     1.1 M
 less                                      x86_64  608-1.fc38                       fedora     167 k
 libEMF                                    x86_64  1.0.13-6.fc37                    fedora      90 k
 libICE                                    x86_64  1.0.10-9.fc37                    fedora      71 k
 libRmath                                  x86_64  4.2.2-6.fc38                     fedora     123 k
 libSM                                     x86_64  1.2.3-11.fc37                    fedora      42 k
 libX11                                    x86_64  1.8.3-2.fc38                     fedora     649 k
 libX11-common                             noarch  1.8.3-2.fc38                     fedora     178 k
 libX11-xcb                                x86_64  1.8.3-2.fc38                     fedora      11 k
 libXau                                    x86_64  1.0.11-1.fc38                    fedora      31 k
 libXaw                                    x86_64  1.0.14-3.fc37                    fedora     203 k
 libXcomposite                             x86_64  0.4.5-8.fc37                     fedora      23 k
 libXcursor                                x86_64  1.2.1-2.fc37                     fedora      30 k
 libXdamage                                x86_64  1.1.5-8.fc37                     fedora      22 k
 libXext                                   x86_64  1.3.5-1.fc38                     fedora      39 k
 libXfixes                                 x86_64  6.0.0-4.fc37                     fedora      19 k
 libXft                                    x86_64  2.3.6-1.fc38                     fedora      71 k
 libXi                                     x86_64  1.8-3.fc37                       fedora      39 k
 libXinerama                               x86_64  1.1.5-1.fc38                     fedora      14 k
 libXmu                                    x86_64  1.1.4-1.fc38                     fedora      76 k
 libXpm                                    x86_64  3.5.15-2.fc38                    fedora      63 k
 libXrandr                                 x86_64  1.5.2-9.fc37                     fedora      27 k
 libXrender                                x86_64  0.9.11-1.fc38                    fedora      27 k
 libXt                                     x86_64  1.2.1-3.fc37                     fedora     180 k
 libXtst                                   x86_64  1.2.4-1.fc38                     fedora      20 k
 libXv                                     x86_64  1.0.11-17.fc37                   fedora      18 k
 libXxf86vm                                x86_64  1.1.5-1.fc38                     fedora      18 k
 libaom                                    x86_64  3.5.0-3.fc38                     copr_base  1.8 M
 libatomic                                 x86_64  13.0.1-0.1.fc38                  fedora      19 k
 libavif                                   x86_64  0.11.1-4.fc38                    fedora      83 k
 libb2                                     x86_64  0.98.1-7.fc37                    fedora      25 k
 libcloudproviders                         x86_64  0.3.1-6.fc37                     fedora      44 k
 libdatrie                                 x86_64  0.2.13-4.fc37                    fedora      32 k
 libdav1d                                  x86_64  1.0.0-2.fc37                     fedora     584 k
 libdrm                                    x86_64  2.4.114-1.fc38                   fedora     159 k
 libdvdnav                                 x86_64  6.1.1-4.fc37                     fedora      55 k
 libdvdread                                x86_64  6.1.3-2.fc37                     fedora      72 k
 libepoxy                                  x86_64  1.5.10-2.fc37                    fedora     242 k
 libevdev                                  x86_64  1.13.0-2.fc38                    copr_base   45 k
 libfontenc                                x86_64  1.1.6-1.fc38                     fedora      32 k
 libgcrypt                                 x86_64  1.10.1-6.fc38                    fedora     511 k
 libgee                                    x86_64  0.20.6-1.fc38                    fedora     279 k
 libgfortran                               x86_64  13.0.1-0.1.fc38                  fedora     889 k
 libglvnd                                  x86_64  1:1.6.0-1.fc38                   fedora     134 k
 libglvnd-egl                              x86_64  1:1.6.0-1.fc38                   fedora      36 k
 libglvnd-glx                              x86_64  1:1.6.0-1.fc38                   fedora     140 k
 libgpg-error                              x86_64  1.46-1.fc38                      fedora     227 k
 libgs                                     x86_64  9.56.1-6.fc38                    fedora     3.6 M
 libgudev                                  x86_64  237-3.fc37                       fedora      35 k
 libgusb                                   x86_64  0.4.3-1.fc38                     fedora      61 k
 libicu                                    x86_64  72.1-1.fc38                      fedora      10 M
 libijs                                    x86_64  0.35-16.fc37                     fedora      29 k
 libimagequant                             x86_64  2.17.0-3.fc37                    fedora      62 k
 libjpeg-turbo                             x86_64  2.1.4-1.fc38                     fedora     181 k
 libjxl                                    x86_64  1:0.7.0-6.fc38                   copr_base  1.1 M
 liblqr-1                                  x86_64  0.4.2-20.fc37                    fedora      49 k
 libmanette                                x86_64  0.2.6-5.fc37                     fedora      49 k
 libmarkdown                               x86_64  2.2.7-3.fc38                     fedora      46 k
 libmpc                                    x86_64  1.3.1-1.fc38                     fedora      70 k
 libnice                                   x86_64  0.1.21-2.fc38                    fedora     199 k
 libogg                                    x86_64  2:1.3.5-4.fc37                   fedora      33 k
 libpaper                                  x86_64  1:2.0.4-2.fc38                   fedora      26 k
 libpciaccess                              x86_64  0.16-7.fc37                      fedora      27 k
 libpng                                    x86_64  2:1.6.37-13.fc37                 fedora     119 k
 libproxy                                  x86_64  0.4.18-5.fc38                    copr_base   72 k
 libquadmath                               x86_64  13.0.1-0.1.fc38                  fedora     179 k
 libraqm                                   x86_64  0.8.0-3.fc38                     fedora      20 k
 librevenge                                x86_64  0.0.5-4.fc38                     copr_base  237 k
 librsvg2                                  x86_64  2.55.1-3.fc38                    fedora     3.1 M
 libseccomp                                x86_64  2.5.3-3.fc37                     fedora      70 k
 libsecret                                 x86_64  0.20.5-2.fc37                    fedora     196 k
 libsigc++20                               x86_64  2.10.8-2.fc37                    fedora      39 k
 libsndfile                                x86_64  1.1.0-5.fc38                     fedora     212 k
 libsoup                                   x86_64  2.74.3-1.fc38                    fedora     402 k
 libsoup3                                  x86_64  3.2.2-1.fc38                     fedora     387 k
 libspiro                                  x86_64  20221101-1.fc38                  fedora      45 k
 libsrtp                                   x86_64  2.3.0-9.fc37                     fedora      57 k
 libstdc++-devel                           x86_64  13.0.1-0.1.fc38                  fedora     2.6 M
 libstemmer                                x86_64  2.2.0-4.fc38                     copr_base  168 k
 libthai                                   x86_64  0.1.29-3.fc37                    fedora     213 k
 libtheora                                 x86_64  1:1.1.1-32.fc37                  fedora     167 k
 libtiff                                   x86_64  4.4.0-4.fc37                     fedora     197 k
 libtool-ltdl                              x86_64  2.4.7-5.fc38                     fedora      37 k
 libtracker-sparql                         x86_64  3.4.2-2.fc38                     fedora     358 k
 libunwind                                 x86_64  1.6.2-5.fc38                     fedora      67 k
 libusb1                                   x86_64  1.0.26-1.fc38                    fedora      74 k
 libva                                     x86_64  2.17.0-1.fc38                    fedora     104 k
 libvisual                                 x86_64  1:0.4.0-37.fc38                  fedora     143 k
 libvmaf                                   x86_64  2.3.0-4.fc37                     fedora     180 k
 libvorbis                                 x86_64  1:1.3.7-6.fc37                   fedora     195 k
 libwayland-client                         x86_64  1.21.0-1.fc37                    fedora      33 k
 libwayland-cursor                         x86_64  1.21.0-1.fc37                    fedora      19 k
 libwayland-egl                            x86_64  1.21.0-1.fc37                    fedora      13 k
 libwayland-server                         x86_64  1.21.0-1.fc37                    fedora      41 k
 libwebp                                   x86_64  1.3.0-1.fc38                     fedora     283 k
 libwmf-lite                               x86_64  0.2.12-9.fc37                    fedora      73 k
 libwpd                                    x86_64  0.10.3-11.fc37                   fedora     244 k
 libwpe                                    x86_64  1.14.0-1.fc38                    fedora      29 k
 libwpg                                    x86_64  0.3.3-9.fc37                     fedora      71 k
 libxcb                                    x86_64  1.13.1-11.fc38                   copr_base  226 k
 libxcrypt-devel                           x86_64  4.4.33-5.fc38                    fedora      30 k
 libxkbcommon                              x86_64  1.5.0-1.fc38                     fedora     140 k
 libxshmfence                              x86_64  1.3-11.fc37                      fedora      12 k
 libxslt                                   x86_64  1.1.37-2.fc38                    copr_base  185 k
 libzip                                    x86_64  1.9.2-2.fc37                     fedora      65 k
 lilv-libs                                 x86_64  0.24.14-4.fc38                   copr_base   58 k
 m4                                        x86_64  1.4.19-4.fc37                    fedora     303 k
 mailcap                                   noarch  2.1.53-4.fc37                    fedora      33 k
 mesa-filesystem                           x86_64  22.3.3-2.fc38                    copr_base   19 k
 mesa-libEGL                               x86_64  22.3.3-2.fc38                    copr_base  130 k
 mesa-libGL                                x86_64  22.3.3-2.fc38                    copr_base  176 k
 mesa-libgbm                               x86_64  22.3.3-2.fc38                    copr_base   45 k
 mesa-libglapi                             x86_64  22.3.3-2.fc38                    copr_base   54 k
 mkfontscale                               x86_64  1.2.2-2.fc37                     fedora      32 k
 mpdecimal                                 x86_64  2.5.1-4.fc37                     fedora     102 k
 mpg123-libs                               x86_64  1.31.2-1.fc38                    fedora     340 k
 mupdf                                     x86_64  1.21.1-5.fc38                    fedora      11 M
 ncurses                                   x86_64  6.4-2.20230114.fc38              fedora     412 k
 ncurses-c++-libs                          x86_64  6.4-2.20230114.fc38              fedora      37 k
 ncurses-devel                             x86_64  6.4-2.20230114.fc38              fedora     549 k
 netpbm                                    x86_64  11.01.00-1.fc38                  fedora     185 k
 nspr                                      x86_64  4.35.0-3.fc38                    fedora     136 k
 nss                                       x86_64  3.87.0-1.fc38                    fedora     692 k
 nss-softokn                               x86_64  3.87.0-1.fc38                    fedora     1.0 M
 nss-softokn-freebl                        x86_64  3.87.0-1.fc38                    fedora     326 k
 nss-sysinit                               x86_64  3.87.0-1.fc38                    fedora      18 k
 nss-util                                  x86_64  3.87.0-1.fc38                    fedora      86 k
 oldstandard-sfd-fonts                     noarch  2.0.2-32.fc37                    fedora     1.6 M
 openblas                                  x86_64  0.3.21-4.fc38                    fedora      35 k
 openblas-openmp                           x86_64  0.3.21-4.fc38                    fedora     5.1 M
 openexr-libs                              x86_64  3.1.5-2.fc37                     fedora     1.1 M
 openjpeg2                                 x86_64  2.5.0-2.fc37                     fedora     178 k
 opus                                      x86_64  1.3.1-11.fc37                    fedora     204 k
 orc                                       x86_64  0.4.33-1.fc38                    fedora     204 k
 pango                                     x86_64  1.50.12-2.fc38                   fedora     341 k
 pangomm                                   x86_64  2.46.3-1.fc38                    fedora      68 k
 pcre                                      x86_64  8.45-1.fc37.2                    fedora     202 k
 pdfpc                                     x86_64  4.5.0-8.fc37                     fedora     204 k
 perl-Authen-SASL                          noarch  2.16-28.fc37                     fedora      57 k
 perl-AutoLoader                           noarch  5.74-494.fc38                    fedora      23 k
 perl-B                                    x86_64  1.83-494.fc38                    fedora     183 k
 perl-B-Hooks-EndOfScope                   noarch  0.26-4.fc37                      fedora      44 k
 perl-BibTeX-Parser                        noarch  1.03-6.fc37                      fedora      31 k
 perl-Bit-Vector                           x86_64  7.4-28.fc38                      fedora     167 k
 perl-Business-ISBN                        noarch  3.007-4.fc37                     fedora      33 k
 perl-Business-ISBN-Data                   noarch  20210112.006-6.fc37              fedora      36 k
 perl-Business-ISMN                        noarch  1.202-8.fc38                     fedora      25 k
 perl-Business-ISSN                        noarch  1.005-5.fc38                     fedora      18 k
 perl-Carp                                 noarch  1.52-489.fc37                    fedora      29 k
 perl-Carp-Clan                            noarch  6.08-13.fc37                     fedora      24 k
 perl-Class-Accessor                       noarch  0.51-16.fc37                     fedora      29 k
 perl-Class-Data-Inheritable               noarch  0.09-4.fc37                      fedora      13 k
 perl-Class-Inspector                      noarch  1.36-12.fc38                     fedora      31 k
 perl-Class-Method-Modifiers               noarch  2.14-1.fc38                      fedora      50 k
 perl-Class-Singleton                      noarch  1.6-7.fc37                       fedora      27 k
 perl-Class-Struct                         noarch  0.66-494.fc38                    fedora      24 k
 perl-Clone                                x86_64  0.46-1.fc38                      fedora      22 k
 perl-Compress-Raw-Bzip2                   x86_64  2.201-2.fc37                     fedora      34 k
 perl-Compress-Raw-Zlib                    x86_64  2.202-4.fc38                     fedora      63 k
 perl-Convert-ASN1                         noarch  0.33-4.fc37                      fedora      60 k
 perl-Crypt-RC4                            noarch  2.02-33.fc37                     fedora      14 k
 perl-Data-Compare                         noarch  1.27-10.fc37                     fedora      35 k
 perl-Data-Dump                            noarch  1.25-5.fc37                      fedora      33 k
 perl-Data-Dumper                          x86_64  2.184-490.fc37                   fedora      56 k
 perl-Data-OptList                         noarch  0.113-1.fc38                     fedora      27 k
 perl-Data-Uniqid                          noarch  0.12-29.fc37                     fedora      12 k
 perl-Date-Calc                            noarch  6.4-23.fc37                      fedora     206 k
 perl-Date-ISO8601                         noarch  0.005-16.fc37                    fedora      20 k
 perl-Date-Manip                           noarch  6.90-1.fc38                      fedora     1.1 M
 perl-DateTime                             x86_64  2:1.59-1.fc38                    fedora     134 k
 perl-DateTime-Calendar-Julian             noarch  0.107-3.fc37                     fedora      24 k
 perl-DateTime-Format-Builder              noarch  0.8300-9.fc38                    fedora      93 k
 perl-DateTime-Format-Strptime             noarch  1:1.79-6.fc37                    fedora      42 k
 perl-DateTime-Locale                      noarch  1.37-1.fc38                      fedora     3.4 M
 perl-DateTime-TimeZone                    noarch  2.57-1.fc38                      fedora     391 k
 perl-DateTime-TimeZone-SystemV            noarch  0.010-17.fc37                    fedora      24 k
 perl-DateTime-TimeZone-Tzfile             noarch  0.011-17.fc37                    fedora      20 k
 perl-Devel-CallChecker                    x86_64  0.008-18.fc38                    fedora      22 k
 perl-Devel-Caller                         x86_64  2.06-29.fc37                     fedora      19 k
 perl-Devel-GlobalDestruction              noarch  0.14-19.fc37                     fedora      17 k
 perl-Devel-LexAlias                       x86_64  0.05-30.fc37                     fedora      16 k
 perl-Devel-StackTrace                     noarch  1:2.04-14.fc38                   fedora      30 k
 perl-Digest                               noarch  1.20-489.fc37                    fedora      26 k
 perl-Digest-HMAC                          noarch  1.04-6.fc37                      fedora      23 k
 perl-Digest-MD4                           x86_64  1.9-32.fc37                      fedora      36 k
 perl-Digest-MD5                           x86_64  2.58-489.fc37                    fedora      36 k
 perl-Digest-Perl-MD5                      noarch  1.9-27.fc37                      fedora      18 k
 perl-Digest-SHA                           x86_64  1:6.03-1.fc37                    fedora      62 k
 perl-Digest-SHA1                          x86_64  2.13-37.fc37                     fedora      52 k
 perl-Dist-CheckConflicts                  noarch  0.11-26.fc37                     fedora      23 k
 perl-DynaLoader                           x86_64  1.52-494.fc38                    fedora      28 k
 perl-DynaLoader-Functions                 noarch  0.003-17.fc38                    fedora      18 k
 perl-Email-Date-Format                    noarch  1.008-1.fc38                     fedora      19 k
 perl-Encode                               x86_64  4:3.19-492.fc38                  fedora     1.7 M
 perl-Encode-Locale                        noarch  1.05-25.fc38                     fedora      18 k
 perl-English                              noarch  1.11-494.fc38                    fedora      16 k
 perl-Errno                                x86_64  1.36-494.fc38                    fedora      17 k
 perl-Eval-Closure                         noarch  0.14-19.fc37                     fedora      24 k
 perl-Exception-Class                      noarch  1.45-6.fc37                      fedora      44 k
 perl-Exporter                             noarch  5.77-489.fc37                    fedora      31 k
 perl-ExtUtils-MM-Utils                    noarch  2:7.66-1.fc38                    fedora      11 k
 perl-Fcntl                                x86_64  1.15-494.fc38                    fedora      23 k
 perl-File-Basename                        noarch  2.85-494.fc38                    fedora      19 k
 perl-File-Compare                         noarch  1.100.700-494.fc38               fedora      15 k
 perl-File-Copy                            noarch  2.39-494.fc38                    fedora      22 k
 perl-File-Find                            noarch  1.40-494.fc38                    fedora      27 k
 perl-File-Find-Rule                       noarch  0.34-24.fc38                     fedora      32 k
 perl-File-Listing                         noarch  6.15-4.fc38                      fedora      24 k
 perl-File-Path                            noarch  2.18-489.fc37                    fedora      35 k
 perl-File-ShareDir                        noarch  1.118-7.fc37                     fedora      30 k
 perl-File-Slurper                         noarch  0.014-1.fc38                     fedora      21 k
 perl-File-Temp                            noarch  1:0.231.100-489.fc37             fedora      59 k
 perl-File-Which                           noarch  1.27-6.fc37                      fedora      22 k
 perl-File-stat                            noarch  1.12-494.fc38                    fedora      19 k
 perl-FileHandle                           noarch  2.03-494.fc38                    fedora      18 k
 perl-Filter                               x86_64  2:1.64-1.fc38                    fedora      89 k
 perl-FindBin                              noarch  1.53-494.fc38                    fedora      16 k
 perl-GSSAPI                               x86_64  0.28-40.fc37                     fedora      56 k
 perl-Getopt-Long                          noarch  1:2.54-1.fc38                    fedora      60 k
 perl-Getopt-Std                           noarch  1.13-494.fc38                    fedora      18 k
 perl-HTML-Parser                          x86_64  3.80-1.fc38                      fedora     126 k
 perl-HTML-Tagset                          noarch  3.20-52.fc37                     fedora      19 k
 perl-HTTP-Cookies                         noarch  6.10-8.fc38                      fedora      37 k
 perl-HTTP-Date                            noarch  6.05-11.fc38                     fedora      23 k
 perl-HTTP-Message                         noarch  6.44-1.fc38                      fedora     104 k
 perl-HTTP-Negotiate                       noarch  6.01-34.fc38                     fedora      19 k
 perl-HTTP-Tiny                            noarch  0.082-1.fc37                     fedora      55 k
 perl-Hash-Util-FieldHash                  x86_64  1.26-494.fc38                    fedora      40 k
 perl-I18N-LangTags                        noarch  0.45-494.fc38                    fedora      56 k
 perl-I18N-Langinfo                        x86_64  0.21-494.fc38                    fedora      25 k
 perl-IO                                   x86_64  1.50-494.fc38                    fedora      94 k
 perl-IO-Compress                          noarch  2.201-3.fc37                     fedora     273 k
 perl-IO-HTML                              noarch  1.004-9.fc38                     fedora      28 k
 perl-IO-Socket-IP                         noarch  0.41-490.fc37                    fedora      42 k
 perl-IO-Socket-SSL                        noarch  2.080-1.fc38                     fedora     225 k
 perl-IO-String                            noarch  1.08-46.fc37                     fedora      17 k
 perl-IO-stringy                           noarch  2.113-10.fc37                    fedora      68 k
 perl-IPC-Cmd                              noarch  2:1.04-490.fc37                  fedora      40 k
 perl-IPC-Open3                            noarch  1.22-494.fc38                    fedora      25 k
 perl-IPC-Run3                             noarch  0.048-27.fc38                    fedora      39 k
 perl-IPC-SysV                             x86_64  2.09-490.fc37                    fedora      42 k
 perl-IPC-System-Simple                    noarch  1.30-9.fc37                      fedora      39 k
 perl-JSON                                 noarch  4.10-1.fc38                      fedora      97 k
 perl-Jcode                                noarch  2.07-39.fc37                     fedora      38 k
 perl-LDAP                                 noarch  1:0.68-8.fc37                    fedora     400 k
 perl-LWP-MediaTypes                       noarch  6.04-13.fc38                     fedora      33 k
 perl-LWP-Protocol-https                   noarch  6.10-8.fc38                      fedora      21 k
 perl-LaTeX-ToUnicode                      noarch  0.11-7.fc37                      fedora      26 k
 perl-Lingua-Translit                      noarch  0.29-2.fc37                      fedora      34 k
 perl-List-AllUtils                        noarch  0.19-8.fc38                      fedora      49 k
 perl-List-SomeUtils                       noarch  0.59-1.fc38                      fedora      45 k
 perl-List-UtilsBy                         noarch  0.12-5.fc38                      fedora      28 k
 perl-Locale-Maketext                      noarch  1.32-1.fc38                      fedora      95 k
 perl-Locale-Maketext-Simple               noarch  1:0.21-494.fc38                  fedora      20 k
 perl-Log-Dispatch                         noarch  2.70-9.fc38                      fedora      85 k
 perl-Log-Dispatch-FileRotate              noarch  1.38-5.fc37                      fedora      33 k
 perl-Log-Log4perl                         noarch  1.57-1.fc38                      fedora     372 k
 perl-MIME-Base64                          x86_64  3.16-489.fc37                    fedora      30 k
 perl-MIME-Charset                         noarch  1.013.1-1.fc38                   fedora      49 k
 perl-MIME-Lite                            noarch  3.033-5.fc37                     fedora      95 k
 perl-MIME-Types                           noarch  2.24-1.fc38                      fedora      70 k
 perl-MRO-Compat                           noarch  0.15-4.fc37                      fedora      26 k
 perl-Mail-Sender                          noarch  1:0.903-19.fc37                  fedora      51 k
 perl-Mail-Sendmail                        noarch  0.80-16.fc37                     fedora      36 k
 perl-MailTools                            noarch  2.21-12.fc37                     fedora     111 k
 perl-Math-BigInt                          noarch  1:1.9998.37-2.fc37               fedora     206 k
 perl-Math-BigRat                          noarch  0.2624-2.fc37                    fedora      42 k
 perl-Math-Complex                         noarch  1.59-494.fc38                    fedora      49 k
 perl-Module-CoreList                      noarch  1:5.20221220-1.fc38              fedora      84 k
 perl-Module-Implementation                noarch  0.09-35.fc37                     fedora      19 k
 perl-Module-Load                          noarch  1:0.36-489.fc37                  fedora      17 k
 perl-Module-Load-Conditional              noarch  0.74-489.fc37                    fedora      22 k
 perl-Module-Metadata                      noarch  1.000037-489.fc37                fedora      35 k
 perl-Module-Runtime                       noarch  0.016-18.fc38                    fedora      23 k
 perl-Mozilla-CA                           noarch  20221114-1.fc38                  fedora      13 k
 perl-NTLM                                 noarch  1.09-33.fc37                     fedora      22 k
 perl-Net-HTTP                             noarch  6.22-4.fc38                      fedora      39 k
 perl-Net-SMTP-SSL                         noarch  1.04-19.fc37                     fedora      12 k
 perl-Net-SSLeay                           x86_64  1.92-4.fc37                      fedora     362 k
 perl-Number-Compare                       noarch  0.03-34.fc38                     fedora      12 k
 perl-OLE-Storage_Lite                     noarch  0.20-10.fc37                     fedora      29 k
 perl-Opcode                               x86_64  1.57-494.fc38                    fedora      39 k
 perl-POSIX                                x86_64  2.03-494.fc38                    fedora     100 k
 perl-Package-Generator                    noarch  1.106-26.fc37                    fedora      23 k
 perl-Package-Stash                        noarch  0.40-3.fc37                      fedora      33 k
 perl-Package-Stash-XS                     x86_64  0.30-2.fc37                      fedora      36 k
 perl-PadWalker                            x86_64  2.5-7.fc37                       fedora      27 k
 perl-Params-Check                         noarch  1:0.38-489.fc37                  fedora      22 k
 perl-Params-Classify                      x86_64  0.015-17.fc37                    fedora      32 k
 perl-Params-Util                          x86_64  1.102-9.fc38                     fedora      33 k
 perl-Params-Validate                      x86_64  1.31-2.fc38                      fedora      69 k
 perl-Params-ValidationCompiler            noarch  0.31-1.fc38                      fedora      37 k
 perl-Parse-RecDescent                     noarch  1.967015-18.fc37                 fedora     209 k
 perl-PathTools                            x86_64  3.84-489.fc37                    fedora      89 k
 perl-Pod-Escapes                          noarch  1:1.07-489.fc37                  fedora      20 k
 perl-Pod-Html                             noarch  1.33-494.fc38                    fedora      33 k
 perl-Pod-Perldoc                          noarch  3.28.01-490.fc37                 fedora      90 k
 perl-Pod-Simple                           noarch  1:3.43-490.fc37                  fedora     225 k
 perl-Pod-Usage                            noarch  4:2.03-3.fc37                    fedora      40 k
 perl-Ref-Util                             noarch  0.204-15.fc37                    fedora      24 k
 perl-Ref-Util-XS                          x86_64  0.117-16.fc37                    fedora      23 k
 perl-Regexp-Common                        noarch  2017060201-20.fc38               fedora     184 k
 perl-Role-Tiny                            noarch  2.002004-7.fc37                  fedora      33 k
 perl-Safe                                 noarch  2.43-494.fc38                    fedora      27 k
 perl-Scalar-List-Utils                    x86_64  5:1.63-489.fc38                  fedora      72 k
 perl-SelectSaver                          noarch  1.02-494.fc38                    fedora      14 k
 perl-Socket                               x86_64  4:2.036-1.fc38                   fedora      55 k
 perl-Sort-Key                             x86_64  1.33-25.fc37                     fedora      47 k
 perl-Specio                               noarch  0.48-2.fc37                      fedora     166 k
 perl-Spreadsheet-ParseExcel               x86_64  0.6500-33.fc37                   fedora     161 k
 perl-Spreadsheet-WriteExcel               noarch  2.40-26.fc37                     fedora     539 k
 perl-Storable                             x86_64  1:3.26-489.fc37                  fedora      97 k
 perl-Sub-Exporter                         noarch  0.989-1.fc38                     fedora      77 k
 perl-Sub-Exporter-Progressive             noarch  0.001013-19.fc37                 fedora      21 k
 perl-Sub-Identify                         x86_64  0.14-20.fc37                     fedora      28 k
 perl-Sub-Install                          noarch  0.929-1.fc38                     fedora      23 k
 perl-Symbol                               noarch  1.09-494.fc38                    fedora      16 k
 perl-Sys-Hostname                         x86_64  1.24-494.fc38                    fedora      19 k
 perl-Sys-Syslog                           x86_64  0.36-490.fc37                    fedora      47 k
 perl-Term-ANSIColor                       noarch  5.01-490.fc37                    fedora      48 k
 perl-Term-Cap                             noarch  1.17-489.fc37                    fedora      22 k
 perl-Text-Balanced                        noarch  2.06-2.fc37                      fedora      49 k
 perl-Text-BibTeX                          x86_64  0.88-12.fc37                     fedora     271 k
 perl-Text-CSV                             noarch  2.02-1.fc38                      fedora     115 k
 perl-Text-CSV_XS                          x86_64  1.49-1.fc38                      fedora     137 k
 perl-Text-Glob                            noarch  0.11-19.fc38                     fedora      13 k
 perl-Text-ParseWords                      noarch  3.31-489.fc37                    fedora      16 k
 perl-Text-Roman                           noarch  3.5-23.fc37                      fedora      23 k
 perl-Text-Soundex                         x86_64  3.05-24.fc38                     fedora      30 k
 perl-Text-Tabs+Wrap                       noarch  2021.0814-489.fc37               fedora      22 k
 perl-Text-Unidecode                       noarch  1.30-19.fc37                     fedora     181 k
 perl-Tie                                  noarch  4.6-494.fc38                     fedora      34 k
 perl-Tie-Cycle                            noarch  1.227-6.fc38                     fedora      19 k
 perl-Tie-RefHash                          noarch  1.40-489.fc37                    fedora      23 k
 perl-Time-HiRes                           x86_64  4:1.9770-489.fc37                fedora      57 k
 perl-Time-Local                           noarch  2:1.300-489.fc37                 fedora      33 k
 perl-TimeDate                             noarch  1:2.33-9.fc37                    fedora      60 k
 perl-Try-Tiny                             noarch  0.31-4.fc37                      fedora      42 k
 perl-UNIVERSAL-isa                        noarch  1.20171012-15.fc37               fedora      21 k
 perl-URI                                  noarch  5.17-1.fc38                      fedora     123 k
 perl-Unicode-Collate                      x86_64  1.31-489.fc37                    fedora     750 k
 perl-Unicode-LineBreak                    x86_64  2019.001-14.fc37                 fedora     121 k
 perl-Unicode-Map                          x86_64  0.112-58.fc37                    fedora     242 k
 perl-Unicode-Normalize                    x86_64  1.31-489.fc37                    fedora      94 k
 perl-Unicode-UCD                          noarch  0.78-494.fc38                    fedora      80 k
 perl-Variable-Magic                       x86_64  0.63-1.fc38                      fedora      54 k
 perl-WWW-RobotRules                       noarch  6.02-35.fc38                     fedora      20 k
 perl-XML-LibXML                           x86_64  1:2.0208-1.fc38                  fedora     361 k
 perl-XML-LibXML-Simple                    noarch  1.01-10.fc37                     fedora      33 k
 perl-XML-LibXSLT                          x86_64  2.002.000-3.fc37                 fedora      69 k
 perl-XML-NamespaceSupport                 noarch  1.12-18.fc37                     fedora      26 k
 perl-XML-Parser                           x86_64  2.46-12.fc37                     fedora     237 k
 perl-XML-SAX                              noarch  1.02-11.fc37                     fedora      64 k
 perl-XML-SAX-Base                         noarch  1.09-18.fc37                     fedora      32 k
 perl-XML-Writer                           noarch  0.900-9.fc38                     fedora      34 k
 perl-XML-XPath                            noarch  1.48-2.fc38                      fedora      91 k
 perl-XString                              x86_64  0.005-7.fc37                     fedora      23 k
 perl-autodie                              noarch  2.34-490.fc37                    fedora      99 k
 perl-autouse                              noarch  1.11-494.fc38                    fedora      16 k
 perl-autovivification                     x86_64  0.18-17.fc37                     fedora      33 k
 perl-base                                 noarch  2.27-494.fc38                    fedora      18 k
 perl-constant                             noarch  1.33-490.fc37                    fedora      23 k
 perl-deprecate                            noarch  0.04-494.fc38                    fedora      17 k
 perl-encoding                             x86_64  4:3.00-492.fc38                  fedora      63 k
 perl-if                                   noarch  0.61.000-494.fc38                fedora      16 k
 perl-interpreter                          x86_64  4:5.36.0-494.fc38                fedora      74 k
 perl-lib                                  x86_64  0.65-494.fc38                    fedora      17 k
 perl-libnet                               noarch  3.14-490.fc37                    fedora     132 k
 perl-libs                                 x86_64  4:5.36.0-494.fc38                fedora     2.2 M
 perl-libwww-perl                          noarch  6.67-3.fc38                      fedora     212 k
 perl-locale                               noarch  1.10-494.fc38                    fedora      16 k
 perl-meta-notation                        noarch  5.36.0-494.fc38                  fedora      12 k
 perl-mro                                  x86_64  1.26-494.fc38                    fedora      31 k
 perl-namespace-autoclean                  noarch  0.29-13.fc37                     fedora      26 k
 perl-namespace-clean                      noarch  0.27-21.fc37                     fedora      35 k
 perl-open                                 noarch  1.13-494.fc38                    fedora      19 k
 perl-overload                             noarch  1.35-494.fc38                    fedora      48 k
 perl-overloading                          noarch  0.02-494.fc38                    fedora      15 k
 perl-parent                               noarch  1:0.239-1.fc38                   fedora      14 k
 perl-podlators                            noarch  1:5.01-1.fc38                    fedora     125 k
 perl-sigtrap                              noarch  1.10-494.fc38                    fedora      18 k
 perl-subs                                 noarch  1.04-494.fc38                    fedora      14 k
 perl-vars                                 noarch  1.05-494.fc38                    fedora      15 k
 perl-version                              x86_64  8:0.99.29-490.fc37               fedora      63 k
 perltidy                                  noarch  20221112-1.fc38                  fedora     673 k
 pixman                                    x86_64  0.40.0-6.fc37                    fedora     277 k
 plotutils                                 x86_64  2.6-30.fc38                      fedora     1.2 M
 poppler                                   x86_64  22.08.0-4.fc38                   fedora     1.2 M
 poppler-data                              noarch  0.4.11-3.fc37                    fedora     2.0 M
 poppler-glib                              x86_64  22.08.0-4.fc38                   fedora     176 k
 potrace                                   x86_64  1.16-8.fc37                      fedora     122 k
 pstoedit                                  x86_64  3.78-6.fc38                      fedora     804 k
 python-pip-wheel                          noarch  22.3.1-2.fc38                    copr_base  1.4 M
 python-setuptools-wheel                   noarch  65.5.1-1.fc38                    fedora     715 k
 python-unversioned-command                noarch  3.11.1-3.fc38                    copr_base   11 k
 python3-appdirs                           noarch  1.4.4-8.fc38                     copr_base   24 k
 python3-cssselect                         noarch  1.1.0-4.fc38                     copr_base   49 k
 python3-libs                              x86_64  3.11.1-3.fc38                    copr_base  9.2 M
 python3-lxml                              x86_64  4.9.2-2.fc38                     copr_base  1.3 M
 python3-numpy                             x86_64  1:1.23.5-2.fc38                  copr_base  7.3 M
 python3-olefile                           noarch  0.46-19.fc38                     copr_base   68 k
 python3-packaging                         noarch  23.0-1.fc38                      copr_base   98 k
 python3-pillow                            x86_64  9.4.0-2.fc38                     copr_base  876 k
 python3-pygments                          noarch  2.13.0-2.fc38                    copr_base  2.3 M
 python3-scour                             noarch  0.38.2-2.fc38                    copr_base  122 k
 python3-six                               noarch  1.16.0-9.fc38                    copr_base   43 k
 rav1e-libs                                x86_64  0.5.1-6.fc38                     fedora     919 k
 ruby                                      x86_64  3.2.0-178.fc38                   copr_base   42 k
 ruby-libs                                 x86_64  3.2.0-178.fc38                   copr_base  4.0 M
 rubypick                                  noarch  1.1.1-17.fc37                    fedora     9.9 k
 serd                                      x86_64  0.30.12-2.fc38                   copr_base   61 k
 shared-mime-info                          x86_64  2.2-2.fc37                       fedora     381 k
 sombok                                    x86_64  2.4.0-17.fc37                    fedora      47 k
 sord                                      x86_64  0.16.10-1.fc37                   fedora      46 k
 soundtouch                                x86_64  2.3.1-3.fc37                     fedora      94 k
 sratom                                    x86_64  0.6.10-2.fc38                    copr_base   27 k
 svt-av1-libs                              x86_64  1.4.1-2.fc38                     fedora     2.0 M
 tcl                                       x86_64  1:8.6.12-3.fc38                  fedora     1.1 M
 teckit                                    x86_64  2.5.9-9.fc37                     fedora     433 k
 tesseract                                 x86_64  5.3.0-3.fc38                     fedora     1.3 M
 tesseract-langpack-eng                    noarch  4.1.0-4.fc37                     fedora     1.7 M
 tesseract-tessdata-doc                    noarch  4.1.0-4.fc37                     fedora      13 k
 tex-preview                               noarch  13.1-2.fc37                      fedora     329 k
 texlive-12many                            noarch  10:svn15878.0.3-63.fc38          fedora      16 k
 texlive-2up                               noarch  10:svn55076-63.fc38              fedora      14 k
 texlive-a0poster                          noarch  10:svn54071-63.fc38              fedora     133 k
 texlive-a4wide                            noarch  10:svn20943.0-63.fc38            fedora      14 k
 texlive-a5comb                            noarch  10:svn17020.4-63.fc38            fedora      11 k
 texlive-aaai-named                        noarch  10:svn52470-63.fc38              fedora      15 k
 texlive-aastex                            noarch  10:svn58057-63.fc38              fedora      64 k
 texlive-abnt                              noarch  10:svn55471-63.fc38              fedora     136 k
 texlive-abntex2                           noarch  10:svn49248-63.fc38              fedora      47 k
 texlive-abntexto                          noarch  10:svn64694-63.fc38              fedora     258 k
 texlive-aboensis                          noarch  10:svn62977-63.fc38              fedora      34 M
 texlive-abraces                           noarch  10:svn64967-63.fc38              fedora      17 k
 texlive-abspos                            noarch  10:svn64465-63.fc38              fedora     443 k
 texlive-abstract                          noarch  10:svn15878.1.2a-63.fc38         fedora      15 k
 texlive-academicons                       noarch  10:svn62622-63.fc38              fedora      54 k
 texlive-accanthis                         noarch  10:svn64844-63.fc38              fedora     427 k
 texlive-accents                           noarch  10:svn51497-63.fc38              fedora     243 k
 texlive-accessibility                     noarch  10:svn55777-63.fc38              fedora     531 k
 texlive-accsupp                           noarch  10:svn53052-63.fc38              fedora     336 k
 texlive-achemso                           noarch  10:svn65103-63.fc38              fedora      60 k
 texlive-acmart                            noarch  10:svn64967-63.fc38              fedora      60 k
 texlive-acmconf                           noarch  10:svn15878.1.3-63.fc38          fedora      18 k
 texlive-acro                              noarch  10:svn62925-63.fc38              fedora      60 k
 texlive-acronym                           noarch  10:svn54758-63.fc38              fedora      21 k
 texlive-acroterm                          noarch  10:svn61719-63.fc38              fedora      18 k
 texlive-active-conf                       noarch  10:svn15878.0.3a-63.fc38         fedora      18 k
 texlive-actuarialangle                    noarch  10:svn51376-63.fc38              fedora      13 k
 texlive-actuarialsymbol                   noarch  10:svn54080-63.fc38              fedora     293 k
 texlive-addfont                           noarch  10:svn58559-63.fc38              fedora      63 k
 texlive-addlines                          noarch  10:svn49326-63.fc38              fedora      15 k
 texlive-adfathesis                        noarch  10:svn26048.2.42-63.fc38         fedora      21 k
 texlive-adforn                            noarch  10:svn54512-63.fc38              fedora      51 k
 texlive-adfsymbols                        noarch  10:svn54512-63.fc38              fedora      43 k
 texlive-adigraph                          noarch  10:svn49862-63.fc38              fedora     487 k
 texlive-adjmulticol                       noarch  10:svn63320-63.fc38              fedora      19 k
 texlive-adjustbox                         noarch  10:svn64967-63.fc38              fedora      31 k
 texlive-adobemapping                      noarch  10:svn51787-63.fc38              fedora     2.9 M
 texlive-adrconv                           noarch  10:svn46817-63.fc38              fedora      17 k
 texlive-advdate                           noarch  10:svn20538.0-63.fc38            fedora      18 k
 texlive-ae                                noarch  10:svn15878.1.4-63.fc38          fedora     115 k
 texlive-aeguill                           noarch  10:svn15878.0-63.fc38            fedora      16 k
 texlive-aesupp                            noarch  10:svn58253-63.fc38              fedora     3.0 M
 texlive-afparticle                        noarch  10:svn35900.1.3-63.fc38          fedora      20 k
 texlive-afthesis                          noarch  10:svn15878.2.7-63.fc38          fedora      24 k
 texlive-aguplus                           noarch  10:svn17156.1.6b-63.fc38         fedora      43 k
 texlive-aiaa                              noarch  10:svn15878.3.6-63.fc38          fedora      22 k
 texlive-aichej                            noarch  10:svn15878.0-63.fc38            fedora      19 k
 texlive-ajl                               noarch  10:svn34016.0-63.fc38            fedora      19 k
 texlive-akktex                            noarch  10:svn26055.0.3.2-63.fc38        fedora      37 k
 texlive-akletter                          noarch  10:svn15878.1.5i-63.fc38         fedora      23 k
 texlive-alegreya                          noarch  10:svn64384-63.fc38              fedora      24 M
 texlive-alertmessage                      noarch  10:svn38055.1.1-63.fc38          fedora      24 k
 texlive-alfaslabone                       noarch  10:svn57452-63.fc38              fedora     231 k
 texlive-alg                               noarch  10:svn15878.0-63.fc38            fedora      16 k
 texlive-algobox                           noarch  10:svn52204-63.fc38              fedora     136 k
 texlive-algolrevived                      noarch  10:svn56864-63.fc38              fedora     1.0 M
 texlive-algorithm2e                       noarch  10:svn44846-63.fc38              fedora      46 k
 texlive-algorithmicx                      noarch  10:svn15878.0-63.fc38            fedora      22 k
 texlive-algorithms                        noarch  10:svn42428-63.fc38              fedora      22 k
 texlive-algpseudocodex                    noarch  10:svn64654-63.fc38              fedora     364 k
 texlive-algxpar                           noarch  10:svn56006-63.fc38              fedora     189 k
 texlive-aligned-overset                   noarch  10:svn47290-63.fc38              fedora     385 k
 texlive-allrunes                          noarch  10:svn42221-63.fc38              fedora     7.7 M
 texlive-almendra                          noarch  10:svn64539-63.fc38              fedora     386 k
 texlive-almfixed                          noarch  10:svn35065.0.92-63.fc38         fedora     286 k
 texlive-alnumsec                          noarch  10:svn15878.v0.03-63.fc38        fedora      16 k
 texlive-alphalph                          noarch  10:svn53087-63.fc38              fedora     360 k
 texlive-alterqcm                          noarch  10:svn59265-63.fc38              fedora     1.1 M
 texlive-altfont                           noarch  10:svn15878.1.1-63.fc38          fedora      19 k
 texlive-altsubsup                         noarch  10:svn62738-63.fc38              fedora     352 k
 texlive-amsaddr                           noarch  10:svn64357-63.fc38              fedora      15 k
 texlive-amscdx                            noarch  10:svn51532-63.fc38              fedora     224 k
 texlive-amscls                            noarch  10:svn55378-63.fc38              fedora     1.1 M
 texlive-amsfonts                          noarch  10:svn61937-63.fc38              fedora     3.7 M
 texlive-amsmath                           noarch  10:svn63514-63.fc38              fedora      46 k
 texlive-amsrefs                           noarch  10:svn61937-63.fc38              fedora      45 k
 texlive-amstex                            noarch  10:svn63708-58.fc38              copr_base  425 k
 texlive-andika                            noarch  10:svn64540-63.fc38              fedora     3.3 M
 texlive-animate                           noarch  10:svn64401-63.fc38              fedora      37 k
 texlive-annotate                          noarch  10:svn52824-63.fc38              fedora      14 k
 texlive-annotate-equations                noarch  10:svn62932-63.fc38              fedora     136 k
 texlive-anonchap                          noarch  10:svn17049.1.1a-63.fc38         fedora      15 k
 texlive-anonymous-acm                     noarch  10:svn55121-63.fc38              fedora     389 k
 texlive-anonymouspro                      noarch  10:svn51631-63.fc38              fedora     462 k
 texlive-answers                           noarch  10:svn35032.2.16-63.fc38         fedora      15 k
 texlive-antiqua                           noarch  10:svn24266.001.003-63.fc38      fedora      90 k
 texlive-antt                              noarch  10:svn18651.2.08-63.fc38         fedora     4.8 M
 texlive-anufinalexam-doc                  noarch  10:svn26053.0-63.fc38            fedora      17 k
 texlive-anyfontsize                       noarch  10:svn17050.0-63.fc38            fedora      16 k
 texlive-anysize                           noarch  10:svn15878.0-63.fc38            fedora      12 k
 texlive-aobs-tikz                         noarch  10:svn32662.1.0-63.fc38          fedora      18 k
 texlive-aomart                            noarch  10:svn63442-63.fc38              fedora      30 k
 texlive-apa                               noarch  10:svn54080-63.fc38              fedora      27 k
 texlive-apa6                              noarch  10:svn53406-63.fc38              fedora      32 k
 texlive-apa6e                             noarch  10:svn23350.0.3-63.fc38          fedora      13 k
 texlive-apa7                              noarch  10:svn63974-63.fc38              fedora     761 k
 texlive-apacite                           noarch  10:svn54080-63.fc38              fedora      58 k
 texlive-apalike-ejor                      noarch  10:svn59667-63.fc38              fedora     151 k
 texlive-apalike2                          noarch  10:svn54080-63.fc38              fedora      16 k
 texlive-appendix                          noarch  10:svn53718-63.fc38              fedora      16 k
 texlive-appendixnumberbeamer              noarch  10:svn46317-63.fc38              fedora      23 k
 texlive-apptools                          noarch  10:svn28400.1.0-63.fc38          fedora      17 k
 texlive-apxproof                          noarch  10:svn64715-63.fc38              fedora     584 k
 texlive-arabicfront                       noarch  10:svn51474-63.fc38              fedora      12 k
 texlive-archaeologie                      noarch  10:svn57090-63.fc38              fedora      93 k
 texlive-archaic                           noarch  10:svn38005.0-63.fc38            fedora     415 k
 texlive-arcs                              noarch  10:svn15878.1-63.fc38            fedora      15 k
 texlive-arev                              noarch  10:svn15878.0-63.fc38            fedora     982 k
 texlive-arimo                             noarch  10:svn64548-63.fc38              fedora     2.9 M
 texlive-arphic                            noarch  10:svn15878.0-63.fc38            fedora      27 M
 texlive-arraycols                         noarch  10:svn61719-63.fc38              fedora     463 k
 texlive-arrayjobx                         noarch  10:svn18125.1.04-63.fc38         fedora      17 k
 texlive-arraysort                         noarch  10:svn31576.1.0-63.fc38          fedora      17 k
 texlive-arsclassica                       noarch  10:svn45656-63.fc38              fedora      16 k
 texlive-articleingud                      noarch  10:svn38741-63.fc38              fedora      19 k
 texlive-arvo                              noarch  10:svn57213-63.fc38              fedora     203 k
 texlive-arydshln                          noarch  10:svn50084-63.fc38              fedora      23 k
 texlive-asaetr                            noarch  10:svn15878.1.0a-63.fc38         fedora      24 k
 texlive-asana-math                        noarch  10:svn59629-63.fc38              fedora     721 k
 texlive-asapsym                           noarch  10:svn40201-63.fc38              fedora      68 k
 texlive-ascelike                          noarch  10:svn29129.2.3-63.fc38          fedora      27 k
 texlive-ascii-font                        noarch  10:svn29989.2.0-63.fc38          fedora      62 k
 texlive-asciilist                         noarch  10:svn49060-63.fc38              fedora      18 k
 texlive-ascmac                            noarch  10:svn53411-63.fc38              fedora     186 k
 texlive-askinclude                        noarch  10:svn54725-63.fc38              fedora     594 k
 texlive-askmaps                           noarch  10:svn56730-63.fc38              fedora      17 k
 texlive-asmeconf                          noarch  10:svn64435-63.fc38              fedora     2.6 M
 texlive-asmejour                          noarch  10:svn63736-63.fc38              fedora     1.8 M
 texlive-aspectratio                       noarch  10:svn25243.2.0-63.fc38          fedora      97 k
 texlive-assignment                        noarch  10:svn20431.0-63.fc38            fedora      15 k
 texlive-assoccnt                          noarch  10:svn38497-63.fc38              fedora      20 k
 texlive-association-matrix                noarch  10:svn64845-63.fc38              fedora     275 k
 texlive-astro                             noarch  10:svn15878.2.20-63.fc38         fedora      28 k
 texlive-asyfig                            noarch  10:svn17512.0.1c-63.fc38         fedora      17 k
 texlive-asypictureb                       noarch  10:svn33490.0.3-63.fc38          fedora      20 k
 texlive-atbegshi                          noarch  10:svn53051-63.fc38              fedora     408 k
 texlive-atenddvi                          noarch  10:svn56922-63.fc38              fedora     290 k
 texlive-atendofenv                        noarch  10:svn62164-63.fc38              fedora     100 k
 texlive-atkinson                          noarch  10:svn64385-63.fc38              fedora     1.0 M
 texlive-attachfile                        noarch  10:svn42099-63.fc38              fedora      22 k
 texlive-attachfile2                       noarch  10:svn57959-58.fc38              copr_base  447 k
 texlive-atveryend                         noarch  10:svn53108-63.fc38              fedora     385 k
 texlive-aucklandthesis                    noarch  10:svn51323-63.fc38              fedora      22 k
 texlive-augie                             noarch  10:svn61719-63.fc38              fedora      78 k
 texlive-auncial-new                       noarch  10:svn62977-63.fc38              fedora     212 k
 texlive-aurical                           noarch  10:svn15878.1.5-63.fc38          fedora     2.4 M
 texlive-aurl                              noarch  10:svn41853-63.fc38              fedora      25 k
 texlive-authoraftertitle                  noarch  10:svn55889-63.fc38              fedora      11 k
 texlive-authorarchive                     noarch  10:svn63146-63.fc38              fedora     1.2 M
 texlive-authordate                        noarch  10:svn52564-63.fc38              fedora      22 k
 texlive-authorindex                       noarch  10:svn51757-58.fc38              copr_base   86 k
 texlive-auto-pst-pdf                      noarch  10:svn56596-63.fc38              fedora      16 k
 texlive-autoarea                          noarch  10:svn59552-63.fc38              fedora      17 k
 texlive-autobreak                         noarch  10:svn43337-63.fc38              fedora     548 k
 texlive-autofancyhdr                      noarch  10:svn54049-63.fc38              fedora      18 k
 texlive-autonum                           noarch  10:svn36084.0.3.11-63.fc38       fedora      20 k
 texlive-autopdf                           noarch  10:svn32377.1.1-63.fc38          fedora      20 k
 texlive-autopuncitems                     noarch  10:svn63045-63.fc38              fedora      50 k
 texlive-auxhook                           noarch  10:svn53173-63.fc38              fedora     294 k
 texlive-avantgar                          noarch  10:svn61983-63.fc38              fedora     322 k
 texlive-avremu                            noarch  10:svn35373.0.1-63.fc38          fedora      31 k
 texlive-axessibility                      noarch  10:svn57105-63.fc38              fedora     238 k
 texlive-axodraw2                          x86_64  10:svn58155-58.fc38              copr_base  532 k
 texlive-b1encoding                        noarch  10:svn21271.1.0-63.fc38          fedora      21 k
 texlive-babel                             noarch  10:svn65366-63.fc38              fedora     479 k
 texlive-babel-dutch                       noarch  10:svn60362-63.fc38              fedora      19 k
 texlive-babel-english                     noarch  10:svn44495-63.fc38              fedora      20 k
 texlive-babelbib                          noarch  10:svn57349-63.fc38              fedora      53 k
 texlive-background                        noarch  10:svn42428-63.fc38              fedora      16 k
 texlive-backnaur                          noarch  10:svn54080-63.fc38              fedora      17 k
 texlive-bangorcsthesis                    noarch  10:svn61770-63.fc38              fedora      73 k
 texlive-bangorexam                        noarch  10:svn65140-63.fc38              fedora     357 k
 texlive-bankstatement                     noarch  10:svn38857-63.fc38              fedora      18 k
 texlive-barcodes                          noarch  10:svn15878.0-63.fc38            fedora      22 k
 texlive-bardiag                           noarch  10:svn22013.0.4a-63.fc38         fedora      26 k
 texlive-base                              x86_64  10:20220321-58.fc38              copr_base  2.5 M
 texlive-bashful                           noarch  10:svn25597.0.93-63.fc38         fedora      21 k
 texlive-basicarith                        noarch  10:svn35460.1.1-63.fc38          fedora      19 k
 texlive-baskervald                        noarch  10:svn19490.1.016-63.fc38        fedora     471 k
 texlive-baskervaldx                       noarch  10:svn57080-63.fc38              fedora     913 k
 texlive-baskervillef                      noarch  10:svn55475-63.fc38              fedora     1.5 M
 texlive-bath-bst                          noarch  10:svn63398-63.fc38              fedora     929 k
 texlive-bbding                            noarch  10:svn17186.1.01-63.fc38         fedora      26 k
 texlive-bbm                               noarch  10:svn15878.0-63.fc38            fedora      72 k
 texlive-bbm-macros                        noarch  10:svn17224.0-63.fc38            fedora      16 k
 texlive-bbold                             noarch  10:svn17187.1.01-63.fc38         fedora      37 k
 texlive-bbold-type1                       noarch  10:svn33143.0-63.fc38            fedora      79 k
 texlive-bboldx                            noarch  10:svn60919-63.fc38              fedora     237 k
 texlive-bchart                            noarch  10:svn43928-63.fc38              fedora      12 k
 texlive-beamer-fuberlin                   noarch  10:svn63161-63.fc38              fedora      18 k
 texlive-beamer-rl                         noarch  10:svn63668-63.fc38              fedora     236 k
 texlive-beamer-verona                     noarch  10:svn39180-63.fc38              fedora      20 k
 texlive-beamer2thesis                     noarch  10:svn27539.2.2-63.fc38          fedora     301 k
 texlive-beamerappendixnote                noarch  10:svn55732-63.fc38              fedora      87 k
 texlive-beameraudience                    noarch  10:svn23427.0.1-63.fc38          fedora      15 k
 texlive-beamerauxtheme                    noarch  10:svn56087-63.fc38              fedora     197 k
 texlive-beamercolorthemeowl               noarch  10:svn40105-63.fc38              fedora      18 k
 texlive-beamerdarkthemes                  noarch  10:svn55117-63.fc38              fedora      18 k
 texlive-beamerposter                      noarch  10:svn54512-63.fc38              fedora      22 k
 texlive-beamersubframe                    noarch  10:svn23510.0.2-63.fc38          fedora      17 k
 texlive-beamerswitch                      noarch  10:svn64182-63.fc38              fedora     278 k
 texlive-beamertheme-arguelles             noarch  10:svn65234-63.fc38              fedora     1.0 M
 texlive-beamertheme-cuerna                noarch  10:svn42161-63.fc38              fedora     343 k
 texlive-beamertheme-detlevcm              noarch  10:svn39048-63.fc38              fedora      19 k
 texlive-beamertheme-epyt                  noarch  10:svn41404-63.fc38              fedora      20 k
 texlive-beamertheme-focus                 noarch  10:svn62551-63.fc38              fedora     263 k
 texlive-beamertheme-light                 noarch  10:svn49867-63.fc38              fedora     119 k
 texlive-beamertheme-metropolis            noarch  10:svn43031-63.fc38              fedora      18 k
 texlive-beamertheme-npbt                  noarch  10:svn54512-63.fc38              fedora     1.8 M
 texlive-beamertheme-phnompenh             noarch  10:svn39100-63.fc38              fedora      11 k
 texlive-beamertheme-pure-minimalistic     noarch  10:svn56934-63.fc38              fedora     375 k
 texlive-beamertheme-saintpetersburg       noarch  10:svn45877-63.fc38              fedora     264 k
 texlive-beamertheme-simpledarkblue        noarch  10:svn60061-63.fc38              fedora      52 k
 texlive-beamertheme-simpleplus            noarch  10:svn64770-63.fc38              fedora      49 k
 texlive-beamertheme-tcolorbox             noarch  10:svn64387-63.fc38              fedora     184 k
 texlive-beamertheme-trigon                noarch  10:svn63270-63.fc38              fedora     587 k
 texlive-beamertheme-upenn-bc              noarch  10:svn29937.1.0-63.fc38          fedora      16 k
 texlive-beamerthemeamurmaple              noarch  10:svn64346-63.fc38              fedora     692 k
 texlive-beamerthemejltree                 noarch  10:svn21977.1.1-63.fc38          fedora      16 k
 texlive-beamerthemelalic                  noarch  10:svn58777-63.fc38              fedora     232 k
 texlive-beamerthemenirma                  noarch  10:svn20765.0.1-63.fc38          fedora      16 k
 texlive-beamerthemenord                   noarch  10:svn56180-63.fc38              fedora     2.1 M
 texlive-bearwear                          noarch  10:svn54826-63.fc38              fedora     787 k
 texlive-beaulivre                         noarch  10:svn64280-63.fc38              fedora     479 k
 texlive-beebe                             noarch  10:svn65356-63.fc38              fedora     907 k
 texlive-begriff                           noarch  10:svn15878.1.6-63.fc38          fedora      17 k
 texlive-beilstein                         noarch  10:svn56193-63.fc38              fedora     678 k
 texlive-belleek                           noarch  10:svn18651.0-63.fc38            fedora      96 k
 texlive-bera                              noarch  10:svn20031.0-63.fc38            fedora     356 k
 texlive-berenisadf                        noarch  10:svn32215.1.004-63.fc38        fedora     1.5 M
 texlive-besjournals                       noarch  10:svn45662-63.fc38              fedora      19 k
 texlive-bestpapers                        noarch  10:svn38708-63.fc38              fedora      18 k
 texlive-beton                             noarch  10:svn15878.0-63.fc38            fedora      15 k
 texlive-beuron                            noarch  10:svn46374-63.fc38              fedora     290 k
 texlive-bewerbung                         noarch  10:svn61632-63.fc38              fedora      27 k
 texlive-bez123                            noarch  10:svn15878.1.1b-63.fc38         fedora      19 k
 texlive-bfh-ci                            noarch  10:svn65019-63.fc38              fedora     287 k
 texlive-bgteubner                         noarch  10:svn54080-63.fc38              fedora      45 k
 texlive-bguq                              noarch  10:svn27401.0.4-63.fc38          fedora      43 k
 texlive-bhcexam                           noarch  10:svn64093-63.fc38              fedora      18 k
 texlive-bib2gls                           noarch  10:svn64710-58.fc38              copr_base  6.9 M
 texlive-bibarts                           noarch  10:svn64579-63.fc38              fedora      44 k
 texlive-bibcop                            noarch  10:svn65306-63.fc38              fedora     352 k
 texlive-bibexport                         noarch  10:svn50677-58.fc38              copr_base  267 k
 texlive-bibhtml                           noarch  10:svn31607.2.0.2-63.fc38        fedora      27 k
 texlive-biblatex                          noarch  10:svn63878-63.fc38              fedora     304 k
 texlive-biblatex-abnt                     noarch  10:svn49179-63.fc38              fedora      36 k
 texlive-biblatex-ajc2020unofficial        noarch  10:svn54401-63.fc38              fedora      19 k
 texlive-biblatex-anonymous                noarch  10:svn48548-63.fc38              fedora      18 k
 texlive-biblatex-apa                      noarch  10:svn63719-63.fc38              fedora      55 k
 texlive-biblatex-apa6                     noarch  10:svn56209-63.fc38              fedora     268 k
 texlive-biblatex-archaeology              noarch  10:svn53281-63.fc38              fedora     4.8 M
 texlive-biblatex-arthistory-bonn          noarch  10:svn46637-63.fc38              fedora     203 k
 texlive-biblatex-bath                     noarch  10:svn63401-63.fc38              fedora     467 k
 texlive-biblatex-bookinarticle            noarch  10:svn40323-63.fc38              fedora      18 k
 texlive-biblatex-bookinother              noarch  10:svn54015-63.fc38              fedora      19 k
 texlive-biblatex-bwl                      noarch  10:svn26556.0.02-63.fc38         fedora      18 k
 texlive-biblatex-caspervector             noarch  10:svn64866-63.fc38              fedora      22 k
 texlive-biblatex-chem                     noarch  10:svn57904-63.fc38              fedora      23 k
 texlive-biblatex-chicago                  noarch  10:svn65037-63.fc38              fedora     133 k
 texlive-biblatex-claves                   noarch  10:svn43723-63.fc38              fedora      19 k
 texlive-biblatex-cv                       noarch  10:svn59433-63.fc38              fedora     189 k
 texlive-biblatex-dw                       noarch  10:svn42649-63.fc38              fedora      31 k
 texlive-biblatex-enc                      noarch  10:svn44627-63.fc38              fedora      26 k
 texlive-biblatex-ext                      noarch  10:svn63638-63.fc38              fedora     923 k
 texlive-biblatex-fiwi                     noarch  10:svn45876-63.fc38              fedora      34 k
 texlive-biblatex-gb7714-2015              noarch  10:svn64967-63.fc38              fedora     1.4 M
 texlive-biblatex-german-legal             noarch  10:svn56939-63.fc38              fedora     185 k
 texlive-biblatex-gost                     noarch  10:svn59135-63.fc38              fedora      53 k
 texlive-biblatex-historian                noarch  10:svn19787.0.4-63.fc38          fedora      38 k
 texlive-biblatex-ieee                     noarch  10:svn61243-63.fc38              fedora      22 k
 texlive-biblatex-ijsra                    noarch  10:svn41634-63.fc38              fedora      18 k
 texlive-biblatex-iso690                   noarch  10:svn62866-63.fc38              fedora      42 k
 texlive-biblatex-jura2                    noarch  10:svn64762-63.fc38              fedora     390 k
 texlive-biblatex-juradiss                 noarch  10:svn56502-63.fc38              fedora      20 k
 texlive-biblatex-license                  noarch  10:svn58437-63.fc38              fedora     242 k
 texlive-biblatex-lncs                     noarch  10:svn65280-63.fc38              fedora      22 k
 texlive-biblatex-lni                      noarch  10:svn61719-63.fc38              fedora      19 k
 texlive-biblatex-luh-ipw                  noarch  10:svn32180.0.3-63.fc38          fedora      23 k
 texlive-biblatex-manuscripts-philology    noarch  10:svn53344-63.fc38              fedora      22 k
 texlive-biblatex-mla                      noarch  10:svn62138-63.fc38              fedora      40 k
 texlive-biblatex-morenames                noarch  10:svn43049-63.fc38              fedora      19 k
 texlive-biblatex-ms                       noarch  10:svn64180-63.fc38              fedora     7.4 M
 texlive-biblatex-multiple-dm              noarch  10:svn37081.1.0.1-63.fc38        fedora      18 k
 texlive-biblatex-musuos                   noarch  10:svn24097.1.0-63.fc38          fedora      17 k
 texlive-biblatex-nature                   noarch  10:svn57262-63.fc38              fedora      17 k
 texlive-biblatex-nejm                     noarch  10:svn49839-63.fc38              fedora      18 k
 texlive-biblatex-nottsclassic             noarch  10:svn41596-63.fc38              fedora      20 k
 texlive-biblatex-opcit-booktitle          noarch  10:svn48983-63.fc38              fedora      19 k
 texlive-biblatex-oxref                    noarch  10:svn57513-63.fc38              fedora     1.9 M
 texlive-biblatex-philosophy               noarch  10:svn64414-63.fc38              fedora      31 k
 texlive-biblatex-phys                     noarch  10:svn55643-63.fc38              fedora      20 k
 texlive-biblatex-publist                  noarch  10:svn65182-63.fc38              fedora      29 k
 texlive-biblatex-readbbl                  noarch  10:svn61549-63.fc38              fedora      45 k
 texlive-biblatex-realauthor               noarch  10:svn45865-63.fc38              fedora      19 k
 texlive-biblatex-sbl                      noarch  10:svn63639-63.fc38              fedora     687 k
 texlive-biblatex-science                  noarch  10:svn48945-63.fc38              fedora      16 k
 texlive-biblatex-shortfields              noarch  10:svn45858-63.fc38              fedora      99 k
 texlive-biblatex-socialscienceshuberlin   noarch  10:svn47839-63.fc38              fedora     128 k
 texlive-biblatex-software                 noarch  10:svn64030-63.fc38              fedora     330 k
 texlive-biblatex-source-division          noarch  10:svn45379-63.fc38              fedora      18 k
 texlive-biblatex-spbasic                  noarch  10:svn61439-63.fc38              fedora      98 k
 texlive-biblatex-subseries                noarch  10:svn43330-63.fc38              fedora      18 k
 texlive-biblatex-swiss-legal              noarch  10:svn64491-63.fc38              fedora      36 k
 texlive-biblatex-trad                     noarch  10:svn58169-63.fc38              fedora      19 k
 texlive-biblatex-true-citepages-omit      noarch  10:svn44653-63.fc38              fedora      18 k
 texlive-biblatex-unified                  noarch  10:svn64975-63.fc38              fedora     629 k
 texlive-biblatex-vancouver                noarch  10:svn55339-63.fc38              fedora      24 k
 texlive-biblatex2bibitem                  noarch  10:svn61648-63.fc38              fedora     232 k
 texlive-bibletext                         noarch  10:svn45196-63.fc38              fedora      12 k
 texlive-biblist                           noarch  10:svn17116.0-63.fc38            fedora      23 k
 texlive-bibtex                            x86_64  10:svn64491-58.fc38              copr_base  446 k
 texlive-bibtexperllibs                    noarch  10:svn57137-63.fc38              fedora      10 k
 texlive-bibtopic                          noarch  10:svn15878.1.1a-63.fc38         fedora      23 k
 texlive-bibtopicprefix                    noarch  10:svn15878.1.10-63.fc38         fedora      15 k
 texlive-bibunits                          noarch  10:svn15878.2.2-63.fc38          fedora      16 k
 texlive-bidi                              noarch  10:svn64967-63.fc38              fedora     201 k
 texlive-bigfoot                           noarch  10:svn38248.2.1-63.fc38          fedora      28 k
 texlive-bigintcalc                        noarch  10:svn53172-63.fc38              fedora     465 k
 texlive-bigints                           noarch  10:svn29803.0-63.fc38            fedora      15 k
 texlive-bilingualpages                    noarch  10:svn59643-63.fc38              fedora      17 k
 texlive-binarytree                        noarch  10:svn41777-63.fc38              fedora     456 k
 texlive-binomexp                          noarch  10:svn15878.1.0-63.fc38          fedora      16 k
 texlive-biochemistry-colors               noarch  10:svn54512-63.fc38              fedora      93 k
 texlive-biocon                            noarch  10:svn15878.0-63.fc38            fedora      18 k
 texlive-biolett-bst                       noarch  10:svn61719-63.fc38              fedora      20 k
 texlive-bithesis                          noarch  10:svn65314-63.fc38              fedora     1.0 M
 texlive-bitpattern                        noarch  10:svn39073-63.fc38              fedora      18 k
 texlive-bitset                            noarch  10:svn53837-63.fc38              fedora     620 k
 texlive-bitter                            noarch  10:svn64541-63.fc38              fedora     272 k
 texlive-bizcard                           noarch  10:svn15878.1.1-63.fc38          fedora      17 k
 texlive-bjfuthesis                        noarch  10:svn59809-63.fc38              fedora     9.5 M
 texlive-blacklettert1                     noarch  10:svn15878.0-63.fc38            fedora      26 k
 texlive-blindtext                         noarch  10:svn25039.2.0-63.fc38          fedora      24 k
 texlive-blkarray                          noarch  10:svn36406.0.07-63.fc38         fedora      32 k
 texlive-blochsphere                       noarch  10:svn38388-63.fc38              fedora      20 k
 texlive-block                             noarch  10:svn17209.0-63.fc38            fedora      12 k
 texlive-bloques                           noarch  10:svn22490.1.0-63.fc38          fedora      18 k
 texlive-blowup                            noarch  10:svn64466-63.fc38              fedora     305 k
 texlive-blox                              noarch  10:svn57949-63.fc38              fedora      16 k
 texlive-bmstu                             noarch  10:svn62012-63.fc38              fedora     1.3 M
 texlive-bmstu-iu8                         noarch  10:svn61937-63.fc38              fedora     202 k
 texlive-bnumexpr                          noarch  10:svn59244-63.fc38              fedora      21 k
 texlive-bodegraph                         noarch  10:svn61719-63.fc38              fedora      31 k
 texlive-bodeplot                          noarch  10:svn65074-63.fc38              fedora     739 k
 texlive-bohr                              noarch  10:svn62977-63.fc38              fedora      20 k
 texlive-boisik                            noarch  10:svn15878.0.5-63.fc38          fedora     273 k
 texlive-boites                            noarch  10:svn32235.1.1-63.fc38          fedora      19 k
 texlive-bold-extra                        noarch  10:svn17076.0.1-63.fc38          fedora      15 k
 texlive-boldtensors                       noarch  10:svn15878.0-63.fc38            fedora      18 k
 texlive-bondgraph                         noarch  10:svn21670.1.0-63.fc38          fedora      18 k
 texlive-bondgraphs                        noarch  10:svn36605.1.0.1-63.fc38        fedora      19 k
 texlive-book-of-common-prayer             noarch  10:svn62240-63.fc38              fedora     145 k
 texlive-bookcover                         noarch  10:svn64078-63.fc38              fedora      21 k
 texlive-bookdb                            noarch  10:svn37536.0.2-63.fc38          fedora      23 k
 texlive-bookest                           noarch  10:svn15878.1.1-63.fc38          fedora      18 k
 texlive-bookhands                         noarch  10:svn46480-63.fc38              fedora     234 k
 texlive-booklet                           noarch  10:svn15878.0.7b-63.fc38         fedora      19 k
 texlive-bookman                           noarch  10:svn61719-63.fc38              fedora     368 k
 texlive-bookmark                          noarch  10:svn56885-63.fc38              fedora     479 k
 texlive-bookshelf                         noarch  10:svn55475-63.fc38              fedora     985 k
 texlive-booktabs                          noarch  10:svn53402-63.fc38              fedora      17 k
 texlive-boolexpr                          noarch  10:svn17830.3.14-63.fc38         fedora      16 k
 texlive-boondox                           noarch  10:svn54512-63.fc38              fedora     231 k
 texlive-bophook                           noarch  10:svn17062.0.02-63.fc38         fedora      15 k
 texlive-bosisio                           noarch  10:svn16989.0-63.fc38            fedora      21 k
 texlive-boxedminipage                     noarch  10:svn54827-63.fc38              fedora     344 k
 texlive-boxhandler                        noarch  10:svn28031.1.30-63.fc38         fedora      19 k
 texlive-bpchem                            noarch  10:svn45120-63.fc38              fedora      16 k
 texlive-br-lex                            noarch  10:svn44939-63.fc38              fedora      19 k
 texlive-bracketkey                        noarch  10:svn17129.1.0-63.fc38          fedora      18 k
 texlive-braids                            noarch  10:svn64817-63.fc38              fedora      24 k
 texlive-braille                           noarch  10:svn20655.0-63.fc38            fedora      16 k
 texlive-braket                            noarch  10:svn17127.0-63.fc38            fedora      13 k
 texlive-brandeis-dissertation             noarch  10:svn61215-63.fc38              fedora      17 k
 texlive-brandeis-problemset               noarch  10:svn50991-63.fc38              fedora     162 k
 texlive-brandeis-thesis                   noarch  10:svn59832-63.fc38              fedora     164 k
 texlive-breakcites                        noarch  10:svn21014-63.fc38              fedora      12 k
 texlive-breakurl                          noarch  10:svn29901.1.40-63.fc38         fedora      16 k
 texlive-breqn                             noarch  10:svn60881-63.fc38              fedora      42 k
 texlive-bropd                             noarch  10:svn35383.1.2-63.fc38          fedora      18 k
 texlive-brushscr                          noarch  10:svn28363.0-63.fc38            fedora      62 k
 texlive-bubblesort                        noarch  10:svn56070-63.fc38              fedora     234 k
 texlive-buctthesis                        noarch  10:svn64004-63.fc38              fedora     474 k
 texlive-bullcntr                          noarch  10:svn15878.0.04-63.fc38         fedora      19 k
 texlive-bussproofs                        noarch  10:svn54080-63.fc38              fedora      25 k
 texlive-bussproofs-extra                  noarch  10:svn51299-63.fc38              fedora     237 k
 texlive-bxcalc                            noarch  10:svn56431-63.fc38              fedora     298 k
 texlive-bxdpx-beamer                      noarch  10:svn41813-63.fc38              fedora      12 k
 texlive-bxdvidriver                       noarch  10:svn43219-63.fc38              fedora      13 k
 texlive-bxeepic                           noarch  10:svn30559.0.2-63.fc38          fedora      18 k
 texlive-bxenclose                         noarch  10:svn40213-63.fc38              fedora      12 k
 texlive-bxnewfont                         noarch  10:svn44173-63.fc38              fedora      15 k
 texlive-bxpapersize                       noarch  10:svn63174-63.fc38              fedora      15 k
 texlive-bxpdfver                          noarch  10:svn63185-63.fc38              fedora      18 k
 texlive-bxtexlogo                         noarch  10:svn63231-63.fc38              fedora     531 k
 texlive-byo-twemojis                      noarch  10:svn58917-63.fc38              fedora     393 k
 texlive-byrne                             noarch  10:svn61943-63.fc38              fedora     205 k
 texlive-bytefield                         noarch  10:svn60265-63.fc38              fedora      18 k
 texlive-cabin                             noarch  10:svn65358-63.fc38              fedora     3.0 M
 texlive-cachepic                          noarch  10:svn26313-58.fc38              copr_base  173 k
 texlive-caladea                           noarch  10:svn64549-63.fc38              fedora     385 k
 texlive-calcage                           noarch  10:svn27725.0.90-63.fc38         fedora      18 k
 texlive-calctab                           noarch  10:svn15878.v0.6.1-63.fc38       fedora      18 k
 texlive-calculation                       noarch  10:svn35973.1.0-63.fc38          fedora      20 k
 texlive-calculator                        noarch  10:svn64424-63.fc38              fedora      25 k
 texlive-calligra                          noarch  10:svn15878.0-63.fc38            fedora      57 k
 texlive-calligra-type1                    noarch  10:svn24302.001.000-63.fc38      fedora      69 k
 texlive-callouts                          noarch  10:svn44899-63.fc38              fedora     213 k
 texlive-calrsfs                           noarch  10:svn17125.0-63.fc38            fedora      11 k
 texlive-cals                              noarch  10:svn43003-63.fc38              fedora      21 k
 texlive-calxxxx-yyyy                      noarch  10:svn61463-63.fc38              fedora      22 k
 texlive-cancel                            noarch  10:svn32508.2.2-63.fc38          fedora      13 k
 texlive-canoniclayout                     noarch  10:svn64889-63.fc38              fedora      19 k
 texlive-cantarell                         noarch  10:svn54512-63.fc38              fedora     1.4 M
 texlive-capt-of                           noarch  10:svn29803.0-63.fc38            fedora      14 k
 texlive-captcont                          noarch  10:svn15878.2.0-63.fc38          fedora      16 k
 texlive-captdef                           noarch  10:svn17353.0-63.fc38            fedora      14 k
 texlive-caption                           noarch  10:svn62757-63.fc38              fedora      85 k
 texlive-carbohydrates                     noarch  10:svn39000-63.fc38              fedora      21 k
 texlive-carlisle                          noarch  10:svn59577-63.fc38              fedora      23 k
 texlive-carlito                           noarch  10:svn64624-63.fc38              fedora     2.6 M
 texlive-carolmin-ps                       noarch  10:svn15878.0-63.fc38            fedora     118 k
 texlive-cartonaugh                        noarch  10:svn59938-63.fc38              fedora     186 k
 texlive-cascade                           noarch  10:svn60310-63.fc38              fedora     192 k
 texlive-cascadia-code                     noarch  10:svn57478-63.fc38              fedora     1.9 M
 texlive-cascadilla                        noarch  10:svn25144.1.8.2-63.fc38        fedora      23 k
 texlive-cases                             noarch  10:svn54682-63.fc38              fedora      15 k
 texlive-casyl                             noarch  10:svn15878.2.0-63.fc38          fedora      17 k
 texlive-catchfile                         noarch  10:svn53084-63.fc38              fedora     307 k
 texlive-catchfilebetweentags              noarch  10:svn21476.1.1-63.fc38          fedora      18 k
 texlive-catcodes                          noarch  10:svn38859-63.fc38              fedora      23 k
 texlive-catechis                          noarch  10:svn59998-63.fc38              fedora      15 k
 texlive-catoptions                        noarch  10:svn35069.0.2.7h-63.fc38       fedora      54 k
 texlive-causets                           noarch  10:svn63366-63.fc38              fedora     528 k
 texlive-cbcoptic                          noarch  10:svn16666.0.2-63.fc38          fedora     118 k
 texlive-cbfonts                           noarch  10:svn54080-63.fc38              fedora      63 M
 texlive-cbfonts-fd                        noarch  10:svn54080-63.fc38              fedora      21 k
 texlive-ccaption                          noarch  10:svn23443.3.2c-63.fc38         fedora      22 k
 texlive-ccfonts                           noarch  10:svn61431-63.fc38              fedora      16 k
 texlive-ccicons                           noarch  10:svn54512-63.fc38              fedora      34 k
 texlive-cclicenses                        noarch  10:svn15878.0-63.fc38            fedora      15 k
 texlive-ccool                             noarch  10:svn60600-63.fc38              fedora     798 k
 texlive-cd                                noarch  10:svn34452.1.4-63.fc38          fedora      19 k
 texlive-cd-cover                          noarch  10:svn17121.1.0-63.fc38          fedora      18 k
 texlive-cdcmd                             noarch  10:svn60742-63.fc38              fedora     609 k
 texlive-cdpbundl                          noarch  10:svn61719-63.fc38              fedora      34 k
 texlive-cell                              noarch  10:svn42428-63.fc38              fedora      22 k
 texlive-cellprops                         noarch  10:svn57599-63.fc38              fedora     571 k
 texlive-cellspace                         noarch  10:svn61501-63.fc38              fedora      16 k
 texlive-celtic                            noarch  10:svn39797-63.fc38              fedora      20 k
 texlive-censor                            noarch  10:svn63126-63.fc38              fedora      19 k
 texlive-centeredline                      noarch  10:svn64672-63.fc38              fedora      19 k
 texlive-centerlastline                    noarch  10:svn56644-63.fc38              fedora     236 k
 texlive-cesenaexam                        noarch  10:svn44960-63.fc38              fedora     519 k
 texlive-cfr-initials                      noarch  10:svn61719-63.fc38              fedora      27 k
 texlive-cfr-lm                            noarch  10:svn36195.1.5-63.fc38          fedora     451 k
 texlive-changebar                         noarch  10:svn63259-63.fc38              fedora      20 k
 texlive-changelayout                      noarch  10:svn16094.1.0-63.fc38          fedora      17 k
 texlive-changelog                         noarch  10:svn56338-63.fc38              fedora     185 k
 texlive-changepage                        noarch  10:svn15878.1.0c-63.fc38         fedora      19 k
 texlive-changes                           noarch  10:svn59950-63.fc38              fedora      29 k
 texlive-chappg                            noarch  10:svn15878.2.1b-63.fc38         fedora      15 k
 texlive-chapterfolder                     noarch  10:svn15878.2.0.1-63.fc38        fedora      15 k
 texlive-charissil                         noarch  10:svn64998-63.fc38              fedora     3.6 M
 texlive-charter                           noarch  10:svn15878.0-63.fc38            fedora     206 k
 texlive-chbibref                          noarch  10:svn17120.1.0-63.fc38          fedora      14 k
 texlive-cheatsheet                        noarch  10:svn45069-63.fc38              fedora     407 k
 texlive-checkend                          noarch  10:svn51475-63.fc38              fedora      12 k
 texlive-chem-journal                      noarch  10:svn15878.0-63.fc38            fedora      20 k
 texlive-chemarrow                         noarch  10:svn17146.0.9-63.fc38          fedora      18 k
 texlive-chembst                           noarch  10:svn15878.0.2.5-63.fc38        fedora      21 k
 texlive-chemcompounds                     noarch  10:svn15878.0-63.fc38            fedora      15 k
 texlive-chemcono                          noarch  10:svn17119.1.3-63.fc38          fedora      22 k
 texlive-chemexec                          noarch  10:svn21632.1.0-63.fc38          fedora      24 k
 texlive-chemfig                           noarch  10:svn64529-63.fc38              fedora      43 k
 texlive-chemformula                       noarch  10:svn61719-63.fc38              fedora      32 k
 texlive-chemgreek                         noarch  10:svn53437-63.fc38              fedora      23 k
 texlive-chemmacros                        noarch  10:svn62655-63.fc38              fedora      59 k
 texlive-chemnum                           noarch  10:svn57490-63.fc38              fedora      27 k
 texlive-chemobabel                        noarch  10:svn64778-63.fc38              fedora     916 k
 texlive-chemplants                        noarch  10:svn60606-63.fc38              fedora     966 k
 texlive-chemschemex                       noarch  10:svn46723-63.fc38              fedora      21 k
 texlive-chemsec                           noarch  10:svn46972-63.fc38              fedora     264 k
 texlive-chemstyle                         noarch  10:svn31096.2.0m-63.fc38         fedora      24 k
 texlive-cherokee                          noarch  10:svn21046.0-63.fc38            fedora      15 k
 texlive-chessfss                          noarch  10:svn19440.1.2a-63.fc38         fedora      35 k
 texlive-chet                              noarch  10:svn45081-63.fc38              fedora      22 k
 texlive-chextras                          noarch  10:svn27118.1.01-63.fc38         fedora      22 k
 texlive-chicago                           noarch  10:svn15878.0-63.fc38            fedora      21 k
 texlive-chicago-annote                    noarch  10:svn15878.0-63.fc38            fedora      22 k
 texlive-chicagoa                          noarch  10:svn52567-63.fc38              fedora      19 k
 texlive-chifoot                           noarch  10:svn57312-63.fc38              fedora      18 k
 texlive-childdoc                          noarch  10:svn49543-63.fc38              fedora     271 k
 texlive-chivo                             noarch  10:svn65029-63.fc38              fedora     2.8 M
 texlive-chkfloat                          noarch  10:svn27473.0.1-63.fc38          fedora      17 k
 texlive-chletter                          noarch  10:svn20060.2.0-63.fc38          fedora      17 k
 texlive-chngcntr                          noarch  10:svn47577-63.fc38              fedora      15 k
 texlive-chronology                        noarch  10:svn37934.1.1.1-63.fc38        fedora      18 k
 texlive-chs-physics-report                noarch  10:svn54512-63.fc38              fedora     201 k
 texlive-chscite                           noarch  10:svn28552.2.9999-63.fc38       fedora      21 k
 texlive-cinzel                            noarch  10:svn64550-63.fc38              fedora     584 k
 texlive-circ                              noarch  10:svn62977-63.fc38              fedora      46 k
 texlive-circledsteps                      noarch  10:svn63255-63.fc38              fedora     429 k
 texlive-circledtext                       noarch  10:svn63166-63.fc38              fedora     232 k
 texlive-circuit-macros                    noarch  10:svn65149-63.fc38              fedora     3.4 M
 texlive-circuitikz                        noarch  10:svn65240-63.fc38              fedora     195 k
 texlive-citation-style-language           noarch  10:svn65357-58.fc38              copr_base  390 k
 texlive-cite                              noarch  10:svn36428.5.5-63.fc38          fedora      31 k
 texlive-citeall                           noarch  10:svn45975-63.fc38              fedora      18 k
 texlive-citeref                           noarch  10:svn47407-63.fc38              fedora      77 k
 texlive-cje                               noarch  10:svn46721-63.fc38              fedora     659 k
 texlive-cjk                               noarch  10:svn60865-63.fc38              fedora     142 k
 texlive-cjkpunct                          noarch  10:svn41119-63.fc38              fedora      17 k
 texlive-clara                             noarch  10:svn54512-63.fc38              fedora     1.2 M
 texlive-classics                          noarch  10:svn53671-63.fc38              fedora      18 k
 texlive-classicthesis                     noarch  10:svn48041-63.fc38              fedora      26 k
 texlive-classpack                         noarch  10:svn55218-63.fc38              fedora      19 k
 texlive-cleanthesis                       noarch  10:svn51472-63.fc38              fedora      23 k
 texlive-clearsans                         noarch  10:svn64400-63.fc38              fedora     1.4 M
 texlive-clefval                           noarch  10:svn55985-63.fc38              fedora      15 k
 texlive-cleveref                          noarch  10:svn61719-63.fc38              fedora      42 k
 texlive-clicks                            noarch  10:svn64602-63.fc38              fedora     249 k
 texlive-clipboard                         noarch  10:svn47747-63.fc38              fedora      17 k
 texlive-clistmap                          noarch  10:svn61811-63.fc38              fedora     669 k
 texlive-clock                             noarch  10:svn15878.0-63.fc38            fedora      14 k
 texlive-clrdblpg                          noarch  10:svn47511-63.fc38              fedora     293 k
 texlive-clrscode                          noarch  10:svn51136-63.fc38              fedora      18 k
 texlive-clrscode3e                        noarch  10:svn51137-63.fc38              fedora      19 k
 texlive-clrstrip                          noarch  10:svn60363-63.fc38              fedora     208 k
 texlive-cm                                noarch  10:svn57963-63.fc38              fedora     322 k
 texlive-cm-lgc                            noarch  10:svn28250.0.5-63.fc38          fedora     4.9 M
 texlive-cm-mf-extra-bold                  noarch  10:svn54512-63.fc38              fedora      22 k
 texlive-cm-super                          noarch  10:svn15878.0-63.fc38            fedora      62 M
 texlive-cm-unicode                        noarch  10:svn58661-63.fc38              fedora      14 M
 texlive-cmap                              noarch  10:svn57640-63.fc38              fedora      21 k
 texlive-cmathbb                           noarch  10:svn56414-63.fc38              fedora     183 k
 texlive-cmbright                          noarch  10:svn21107.8.1-63.fc38          fedora     182 k
 texlive-cmdstring                         noarch  10:svn15878.1.1-63.fc38          fedora      14 k
 texlive-cmdtrack                          noarch  10:svn28910-63.fc38              fedora      16 k
 texlive-cmexb                             noarch  10:svn54074-63.fc38              fedora      42 k
 texlive-cmextra                           noarch  10:svn57866-63.fc38              fedora      49 k
 texlive-cmll                              noarch  10:svn17964.0-63.fc38            fedora     368 k
 texlive-cmpica                            noarch  10:svn15878.0-63.fc38            fedora      18 k
 texlive-cmpj                              noarch  10:svn58506-63.fc38              fedora      67 k
 texlive-cmsd                              noarch  10:svn18787.0-63.fc38            fedora      15 k
 texlive-cmsrb                             noarch  10:svn54706-63.fc38              fedora     3.0 M
 texlive-cmtiup                            noarch  10:svn39728-63.fc38              fedora      92 k
 texlive-cmupint                           noarch  10:svn54735-63.fc38              fedora     364 k
 texlive-cnltx                             noarch  10:svn55265-63.fc38              fedora      54 k
 texlive-cns                               noarch  10:svn45677-63.fc38              fedora     3.4 M
 texlive-cntformats                        noarch  10:svn34668.0.7-63.fc38          fedora      19 k
 texlive-cntperchap                        noarch  10:svn37572.0.3-63.fc38          fedora      20 k
 texlive-cochineal                         noarch  10:svn62063-63.fc38              fedora     3.2 M
 texlive-codeanatomy                       noarch  10:svn51627-63.fc38              fedora     268 k
 texlive-codebox                           noarch  10:svn61771-63.fc38              fedora     394 k
 texlive-codedoc                           noarch  10:svn17630.0.3-63.fc38          fedora      24 k
 texlive-codehigh                          noarch  10:svn63175-63.fc38              fedora     113 k
 texlive-codepage                          noarch  10:svn51502-63.fc38              fedora      23 k
 texlive-codesection                       noarch  10:svn34481.0.1-63.fc38          fedora      18 k
 texlive-coelacanth                        noarch  10:svn64558-63.fc38              fedora     7.0 M
 texlive-coffeestains                      noarch  10:svn59703-63.fc38              fedora     462 k
 texlive-collcell                          noarch  10:svn64967-63.fc38              fedora      18 k
 texlive-collectbox                        noarch  10:svn64967-63.fc38              fedora      19 k
 texlive-collection-basic                  noarch  10:svn59159-63.fc38              fedora      11 k
 texlive-collection-fontsrecommended       noarch  10:svn54074-63.fc38              fedora      11 k
 texlive-collection-latex                  noarch  10:svn63515-63.fc38              fedora      11 k
 texlive-collection-latexrecommended       noarch  10:svn63547-63.fc38              fedora      11 k
 texlive-collection-pictures               noarch  10:svn65359-63.fc38              fedora      15 k
 texlive-collref                           noarch  10:svn46358-63.fc38              fedora      18 k
 texlive-colophon                          noarch  10:svn47913-63.fc38              fedora     379 k
 texlive-color-edits                       noarch  10:svn56707-63.fc38              fedora     242 k
 texlive-colordoc                          noarch  10:svn18270.0-63.fc38            fedora      16 k
 texlive-colorframed                       noarch  10:svn64551-63.fc38              fedora      49 k
 texlive-colorinfo                         noarch  10:svn15878.0.3c-63.fc38         fedora      15 k
 texlive-coloring                          noarch  10:svn41042-63.fc38              fedora      18 k
 texlive-colorist                          noarch  10:svn64280-63.fc38              fedora     130 k
 texlive-colorprofiles                     noarch  10:svn49086-63.fc38              fedora     145 k
 texlive-colorspace                        noarch  10:svn50585-63.fc38              fedora      23 k
 texlive-colortab                          noarch  10:svn22155.1.0-63.fc38          fedora      21 k
 texlive-colortbl                          noarch  10:svn64015-63.fc38              fedora      17 k
 texlive-colorwav                          noarch  10:svn15878.1.0-63.fc38          fedora      21 k
 texlive-colorweb                          noarch  10:svn31490.1.3-63.fc38          fedora      19 k
 texlive-colourchange                      noarch  10:svn21741.1.22-63.fc38         fedora      24 k
 texlive-combelow                          noarch  10:svn18462.0.99f-63.fc38        fedora      17 k
 texlive-combine                           noarch  10:svn19361.0.7a-63.fc38         fedora      27 k
 texlive-combinedgraphics                  noarch  10:svn27198.0.2.2-63.fc38        fedora      19 k
 texlive-comfortaa                         noarch  10:svn54512-63.fc38              fedora     636 k
 texlive-comicneue                         noarch  10:svn54891-63.fc38              fedora     958 k
 texlive-comma                             noarch  10:svn18259.1.2-63.fc38          fedora      15 k
 texlive-commado                           noarch  10:svn38875-63.fc38              fedora      19 k
 texlive-commath                           noarch  10:svn15878.0.3-63.fc38          fedora      16 k
 texlive-commedit                          noarch  10:svn50116-63.fc38              fedora     602 k
 texlive-comment                           noarch  10:svn41927-63.fc38              fedora      19 k
 texlive-commonunicode                     noarch  10:svn62901-63.fc38              fedora     335 k
 texlive-commutative-diagrams              noarch  10:svn55526-63.fc38              fedora     567 k
 texlive-compactbib                        noarch  10:svn15878.0-63.fc38            fedora      15 k
 texlive-competences                       noarch  10:svn47573-63.fc38              fedora      20 k
 texlive-complexity                        noarch  10:svn45322-63.fc38              fedora      19 k
 texlive-computational-complexity          noarch  10:svn44847-63.fc38              fedora      55 k
 texlive-concepts                          noarch  10:svn29020.0.0.5_r1-63.fc38     fedora      21 k
 texlive-concmath                          noarch  10:svn17219.0-63.fc38            fedora      17 k
 texlive-concmath-fonts                    noarch  10:svn17218.0-63.fc38            fedora      48 k
 texlive-concmath-otf                      noarch  10:svn64924-63.fc38              fedora     1.9 M
 texlive-concprog                          noarch  10:svn18791.0-63.fc38            fedora      19 k
 texlive-concrete                          noarch  10:svn57963-63.fc38              fedora      30 k
 texlive-conditext                         noarch  10:svn55387-63.fc38              fedora     538 k
 texlive-confproc                          noarch  10:svn29349.0.8-63.fc38          fedora      31 k
 texlive-constants                         noarch  10:svn15878.1.0-63.fc38          fedora      16 k
 texlive-conteq                            noarch  10:svn37868.0.1.1-63.fc38        fedora      18 k
 texlive-continue                          noarch  10:svn49449-63.fc38              fedora      19 k
 texlive-contour                           noarch  10:svn18950.2.14-63.fc38         fedora      17 k
 texlive-contracard                        noarch  10:svn50217-63.fc38              fedora      21 k
 texlive-conv-xkv                          noarch  10:svn43558-63.fc38              fedora      95 k
 texlive-convbkmk                          noarch  10:svn49252-58.fc38              copr_base   18 k
 texlive-cooking                           noarch  10:svn15878.0.9b-63.fc38         fedora      17 k
 texlive-cooking-units                     noarch  10:svn65241-63.fc38              fedora     741 k
 texlive-cookingsymbols                    noarch  10:svn35929.1.1-63.fc38          fedora      18 k
 texlive-cool                              noarch  10:svn15878.1.35-63.fc38         fedora      29 k
 texlive-coolfn                            noarch  10:svn64639-63.fc38              fedora      84 k
 texlive-coollist                          noarch  10:svn63523-63.fc38              fedora      21 k
 texlive-coolstr                           noarch  10:svn15878.2.2-63.fc38          fedora      22 k
 texlive-coolthms                          noarch  10:svn29062.1.2-63.fc38          fedora      16 k
 texlive-cooltooltips                      noarch  10:svn60201-63.fc38              fedora      16 k
 texlive-coop-writing                      noarch  10:svn61607-63.fc38              fedora     305 k
 texlive-cooperhewitt                      noarch  10:svn64967-63.fc38              fedora     1.1 M
 texlive-coordsys                          noarch  10:svn15878.1.4-63.fc38          fedora      18 k
 texlive-copyedit                          noarch  10:svn37928.1.6-63.fc38          fedora      20 k
 texlive-copyrightbox                      noarch  10:svn24829.0.1-63.fc38          fedora      15 k
 texlive-cormorantgaramond                 noarch  10:svn64411-63.fc38              fedora     6.0 M
 texlive-correctmathalign                  noarch  10:svn44131-63.fc38              fedora     545 k
 texlive-coseoul                           noarch  10:svn23862.1.1-63.fc38          fedora      17 k
 texlive-countriesofeurope                 noarch  10:svn54512-63.fc38              fedora     236 k
 texlive-counttexruns                      noarch  10:svn27576.1.00a-63.fc38        fedora      17 k
 texlive-courier                           noarch  10:svn61719-63.fc38              fedora     525 k
 texlive-courier-scaled                    noarch  10:svn24940.0-63.fc38            fedora      18 k
 texlive-courierten                        noarch  10:svn55436-63.fc38              fedora     279 k
 texlive-courseoutline                     noarch  10:svn15878.1.0-63.fc38          fedora      13 k
 texlive-coursepaper                       noarch  10:svn15878.2.0-63.fc38          fedora      14 k
 texlive-coverpage                         noarch  10:svn63509-63.fc38              fedora      19 k
 texlive-cprotect                          noarch  10:svn21209.1.0e-63.fc38         fedora      19 k
 texlive-cprotectinside                    noarch  10:svn63833-63.fc38              fedora     308 k
 texlive-cquthesis                         noarch  10:svn55643-63.fc38              fedora      33 k
 texlive-crbox                             noarch  10:svn29803.0.1-63.fc38          fedora      15 k
 texlive-create-theorem                    noarch  10:svn64104-63.fc38              fedora     114 k
 texlive-crefthe                           noarch  10:svn64498-63.fc38              fedora      74 k
 texlive-crimson                           noarch  10:svn64559-63.fc38              fedora     1.4 M
 texlive-crimsonpro                        noarch  10:svn64565-63.fc38              fedora     3.0 M
 texlive-crop                              noarch  10:svn55424-63.fc38              fedora      18 k
 texlive-crossreference                    noarch  10:svn15878.0-63.fc38            fedora      15 k
 texlive-crossreftools                     noarch  10:svn55879-63.fc38              fedora     356 k
 texlive-crossrefware                      noarch  10:svn64754-58.fc38              copr_base  282 k
 texlive-crumbs                            noarch  10:svn64602-63.fc38              fedora     262 k
 texlive-cryptocode                        noarch  10:svn60249-63.fc38              fedora      38 k
 texlive-cryst                             noarch  10:svn15878.0-63.fc38            fedora      50 k
 texlive-csassignments                     noarch  10:svn63992-63.fc38              fedora     120 k
 texlive-csquotes                          noarch  10:svn64389-63.fc38              fedora      35 k
 texlive-css-colors                        noarch  10:svn54512-63.fc38              fedora     2.0 M
 texlive-csvmerge                          noarch  10:svn51857-63.fc38              fedora     231 k
 texlive-csvsimple                         noarch  10:svn64450-63.fc38              fedora      28 k
 texlive-ctable                            noarch  10:svn38672-63.fc38              fedora      17 k
 texlive-ctablestack                       noarch  10:svn38514-63.fc38              fedora      17 k
 texlive-ctex                              noarch  10:svn63891-63.fc38              fedora     203 k
 texlive-ctib                              noarch  10:svn15878.0-63.fc38            fedora     146 k
 texlive-cuisine                           noarch  10:svn34453.0.7-63.fc38          fedora      16 k
 texlive-cuprum                            noarch  10:svn49909-63.fc38              fedora     150 k
 texlive-currency                          noarch  10:svn48990-63.fc38              fedora     706 k
 texlive-currfile                          noarch  10:svn64673-63.fc38              fedora      20 k
 texlive-currvita                          noarch  10:svn15878.0-63.fc38            fedora      17 k
 texlive-curve                             noarch  10:svn20745.1.16-63.fc38         fedora      20 k
 texlive-curve2e                           noarch  10:svn57402-63.fc38              fedora      26 k
 texlive-curves                            noarch  10:svn45255-63.fc38              fedora      19 k
 texlive-custom-bib                        noarch  10:svn24729.4.33-63.fc38         fedora     105 k
 texlive-cutwin                            noarch  10:svn60901-63.fc38              fedora      19 k
 texlive-cv                                noarch  10:svn15878.0-63.fc38            fedora      16 k
 texlive-cv4tw                             noarch  10:svn34577.0.2-63.fc38          fedora      17 k
 texlive-cvss                              noarch  10:svn65169-63.fc38              fedora     572 k
 texlive-cweb-latex                        noarch  10:svn28878.0-63.fc38            fedora      51 k
 texlive-cyber                             noarch  10:svn46776-63.fc38              fedora      14 k
 texlive-cybercic                          noarch  10:svn37659.2.1-63.fc38          fedora      13 k
 texlive-cyklop                            noarch  10:svn18651.0.915-63.fc38        fedora     359 k
 texlive-dancers                           noarch  10:svn13293.0-63.fc38            fedora      15 k
 texlive-dantelogo                         noarch  10:svn38599-63.fc38              fedora      42 k
 texlive-darkmode                          noarch  10:svn64271-63.fc38              fedora     748 k
 texlive-dashbox                           noarch  10:svn23425.1.14-63.fc38         fedora      15 k
 texlive-dashrule                          noarch  10:svn29579.1.3-63.fc38          fedora      15 k
 texlive-dashundergaps                     noarch  10:svn58150-63.fc38              fedora      17 k
 texlive-dataref                           noarch  10:svn62942-63.fc38              fedora      24 k
 texlive-datatool                          noarch  10:svn52663-63.fc38              fedora      74 k
 texlive-datax                             noarch  10:svn61772-63.fc38              fedora     210 k
 texlive-dateiliste                        noarch  10:svn27974.0.6-63.fc38          fedora      18 k
 texlive-datenumber                        noarch  10:svn61761-63.fc38              fedora      18 k
 texlive-datestamp                         noarch  10:svn61719-63.fc38              fedora     140 k
 texlive-datetime                          noarch  10:svn36650.2.60-63.fc38         fedora      46 k
 texlive-datetime2                         noarch  10:svn63102-63.fc38              fedora      25 k
 texlive-datetime2-bahasai                 noarch  10:svn46287-63.fc38              fedora      18 k
 texlive-datetime2-basque                  noarch  10:svn47064-63.fc38              fedora      19 k
 texlive-datetime2-breton                  noarch  10:svn52647-63.fc38              fedora      19 k
 texlive-datetime2-bulgarian               noarch  10:svn47031-63.fc38              fedora      19 k
 texlive-datetime2-catalan                 noarch  10:svn47032-63.fc38              fedora      19 k
 texlive-datetime2-croatian                noarch  10:svn36682.1.0-63.fc38          fedora      19 k
 texlive-datetime2-czech                   noarch  10:svn47033-63.fc38              fedora      19 k
 texlive-datetime2-danish                  noarch  10:svn47034-63.fc38              fedora      19 k
 texlive-datetime2-dutch                   noarch  10:svn47355-63.fc38              fedora      19 k
 texlive-datetime2-en-fulltext             noarch  10:svn36705.1.0-63.fc38          fedora      19 k
 texlive-datetime2-english                 noarch  10:svn52479-63.fc38              fedora      24 k
 texlive-datetime2-esperanto               noarch  10:svn47356-63.fc38              fedora      19 k
 texlive-datetime2-estonian                noarch  10:svn47565-63.fc38              fedora      19 k
 texlive-datetime2-finnish                 noarch  10:svn47047-63.fc38              fedora      19 k
 texlive-datetime2-french                  noarch  10:svn56393-63.fc38              fedora      20 k
 texlive-datetime2-galician                noarch  10:svn47631-63.fc38              fedora      19 k
 texlive-datetime2-german                  noarch  10:svn53125-63.fc38              fedora      21 k
 texlive-datetime2-greek                   noarch  10:svn47533-63.fc38              fedora      19 k
 texlive-datetime2-hebrew                  noarch  10:svn47534-63.fc38              fedora      18 k
 texlive-datetime2-icelandic               noarch  10:svn65213-63.fc38              fedora      19 k
 texlive-datetime2-irish                   noarch  10:svn47632-63.fc38              fedora      20 k
 texlive-datetime2-it-fulltext             noarch  10:svn54779-63.fc38              fedora      21 k
 texlive-datetime2-italian                 noarch  10:svn37146.1.3-63.fc38          fedora      19 k
 texlive-datetime2-latin                   noarch  10:svn47748-63.fc38              fedora      19 k
 texlive-datetime2-lsorbian                noarch  10:svn47749-63.fc38              fedora      19 k
 texlive-datetime2-magyar                  noarch  10:svn48266-63.fc38              fedora      19 k
 texlive-datetime2-norsk                   noarch  10:svn48267-63.fc38              fedora      19 k
 texlive-datetime2-polish                  noarch  10:svn48456-63.fc38              fedora      19 k
 texlive-datetime2-portuges                noarch  10:svn48457-63.fc38              fedora      19 k
 texlive-datetime2-romanian                noarch  10:svn56394-63.fc38              fedora      19 k
 texlive-datetime2-russian                 noarch  10:svn49345-63.fc38              fedora      19 k
 texlive-datetime2-samin                   noarch  10:svn49346-63.fc38              fedora      19 k
 texlive-datetime2-scottish                noarch  10:svn52101-63.fc38              fedora      19 k
 texlive-datetime2-serbian                 noarch  10:svn52893-63.fc38              fedora      25 k
 texlive-datetime2-slovak                  noarch  10:svn52281-63.fc38              fedora      19 k
 texlive-datetime2-slovene                 noarch  10:svn52282-63.fc38              fedora      19 k
 texlive-datetime2-spanish                 noarch  10:svn45785-63.fc38              fedora      19 k
 texlive-datetime2-swedish                 noarch  10:svn36700.1.0-63.fc38          fedora      19 k
 texlive-datetime2-turkish                 noarch  10:svn52331-63.fc38              fedora      19 k
 texlive-datetime2-ukrainian               noarch  10:svn47552-63.fc38              fedora      20 k
 texlive-datetime2-usorbian                noarch  10:svn52375-63.fc38              fedora      19 k
 texlive-datetime2-welsh                   noarch  10:svn52553-63.fc38              fedora      19 k
 texlive-dblfloatfix                       noarch  10:svn28983.1.0a-63.fc38         fedora      19 k
 texlive-dbshow                            noarch  10:svn61634-63.fc38              fedora     596 k
 texlive-dccpaper                          noarch  10:svn61763-63.fc38              fedora      42 k
 texlive-dcpic                             noarch  10:svn30206.5.0.0-63.fc38        fedora      30 k
 texlive-debate                            noarch  10:svn64846-63.fc38              fedora     290 k
 texlive-decimal                           noarch  10:svn23374.0-63.fc38            fedora      15 k
 texlive-decision-table                    noarch  10:svn60673-63.fc38              fedora     123 k
 texlive-decorule                          noarch  10:svn55230-63.fc38              fedora      18 k
 texlive-dehyph                            noarch  10:svn48599-63.fc38              fedora      65 k
 texlive-dejavu                            noarch  10:svn31771.2.34-63.fc38         fedora      11 M
 texlive-dejavu-otf                        noarch  10:svn45991-63.fc38              fedora     342 k
 texlive-delim                             noarch  10:svn23974.1.0-63.fc38          fedora      16 k
 texlive-delimseasy                        noarch  10:svn39589-63.fc38              fedora      21 k
 texlive-delimset                          noarch  10:svn49544-63.fc38              fedora     327 k
 texlive-delimtxt                          noarch  10:svn16549.0-63.fc38            fedora      15 k
 texlive-democodetools                     noarch  10:svn64314-63.fc38              fedora     367 k
 texlive-denisbdoc                         noarch  10:svn63589-63.fc38              fedora      33 k
 texlive-derivative                        noarch  10:svn63850-63.fc38              fedora     218 k
 texlive-dhua                              noarch  10:svn24035.0.11-63.fc38         fedora      21 k
 texlive-diabetes-logbook                  noarch  10:svn54810-63.fc38              fedora     294 k
 texlive-diagbox                           noarch  10:svn54080-63.fc38              fedora      20 k
 texlive-diagmac2                          noarch  10:svn15878.2.1-63.fc38          fedora      24 k
 texlive-diagnose                          noarch  10:svn19387.0.2-63.fc38          fedora      16 k
 texlive-dialogl                           noarch  10:svn28946.0-63.fc38            fedora      36 k
 texlive-dice                              noarch  10:svn28501.0-63.fc38            fedora      17 k
 texlive-dichokey                          noarch  10:svn17192.0-63.fc38            fedora      13 k
 texlive-dictsym                           noarch  10:svn20031.0-63.fc38            fedora      47 k
 texlive-diffcoeff                         noarch  10:svn63590-63.fc38              fedora     686 k
 texlive-digiconfigs                       noarch  10:svn15878.0.5-63.fc38          fedora      16 k
 texlive-dijkstra                          noarch  10:svn64580-63.fc38              fedora     176 k
 texlive-dimnum                            noarch  10:svn58774-63.fc38              fedora     220 k
 texlive-din1505                           noarch  10:svn19441.0-63.fc38            fedora      27 k
 texlive-dinbrief                          noarch  10:svn15878.0-63.fc38            fedora      26 k
 texlive-dingbat                           noarch  10:svn27918.1.0-63.fc38          fedora      24 k
 texlive-directory                         noarch  10:svn15878.1.20-63.fc38         fedora      27 k
 texlive-dirtree                           noarch  10:svn42428-63.fc38              fedora      16 k
 texlive-dirtytalk                         noarch  10:svn20520.1.0-63.fc38          fedora      12 k
 texlive-ditaa                             noarch  10:svn48932-63.fc38              fedora     121 k
 texlive-dithesis                          noarch  10:svn34295.0.2-63.fc38          fedora      19 k
 texlive-dk-bib                            noarch  10:svn15878.0.6-63.fc38          fedora      26 k
 texlive-dlfltxb                           noarch  10:svn17337.0-63.fc38            fedora      36 k
 texlive-dnaseq                            noarch  10:svn17194.0.01-63.fc38         fedora      15 k
 texlive-doc-pictex-doc                    noarch  10:svn24927.0-63.fc38            fedora      12 k
 texlive-doclicense                        noarch  10:svn63340-63.fc38              fedora     297 k
 texlive-docmfp                            noarch  10:svn15878.1.2d-63.fc38         fedora      15 k
 texlive-docmute                           noarch  10:svn25741.1.4-63.fc38          fedora      17 k
 texlive-docshots                          noarch  10:svn65141-63.fc38              fedora     340 k
 texlive-doctools                          noarch  10:svn34474.0.1-63.fc38          fedora      22 k
 texlive-documentation                     noarch  10:svn34521.0.1-63.fc38          fedora      17 k
 texlive-docutils                          noarch  10:svn56594-63.fc38              fedora      20 k
 texlive-doi                               noarch  10:svn48634-63.fc38              fedora      16 k
 texlive-doipubmed                         noarch  10:svn15878.1.01-63.fc38         fedora      15 k
 texlive-domitian                          noarch  10:svn55286-63.fc38              fedora     1.6 M
 texlive-dot2texi                          noarch  10:svn26237.3.0-63.fc38          fedora      18 k
 texlive-dotarrow                          noarch  10:svn15878.0.01a-63.fc38        fedora      15 k
 texlive-dotlessi                          noarch  10:svn51476-63.fc38              fedora      12 k
 texlive-dotseqn                           noarch  10:svn17195.1.1-63.fc38          fedora      12 k
 texlive-dottex                            noarch  10:svn15878.0.6-63.fc38          fedora      17 k
 texlive-doublestroke                      noarch  10:svn15878.1.111-63.fc38        fedora      85 k
 texlive-doulossil                         noarch  10:svn63255-63.fc38              fedora     517 k
 texlive-dowith                            noarch  10:svn38860-63.fc38              fedora      23 k
 texlive-download                          noarch  10:svn52257-63.fc38              fedora      18 k
 texlive-dox                               noarch  10:svn46011-63.fc38              fedora      16 k
 texlive-dozenal                           noarch  10:svn47680-63.fc38              fedora     152 k
 texlive-dpcircling                        noarch  10:svn54994-63.fc38              fedora      43 k
 texlive-dpfloat                           noarch  10:svn17196.0-63.fc38            fedora      15 k
 texlive-dprogress                         noarch  10:svn15878.0.1-63.fc38          fedora      15 k
 texlive-drac                              noarch  10:svn15878.1-63.fc38            fedora      15 k
 texlive-draftcopy                         noarch  10:svn15878.2.16-63.fc38         fedora      18 k
 texlive-draftfigure                       noarch  10:svn44854-63.fc38              fedora     177 k
 texlive-draftwatermark                    noarch  10:svn57099-63.fc38              fedora      19 k
 texlive-dratex                            noarch  10:svn15878.0-63.fc38            fedora      59 k
 texlive-drawmatrix                        noarch  10:svn44471-63.fc38              fedora      13 k
 texlive-drawstack                         noarch  10:svn28582.0-63.fc38            fedora      18 k
 texlive-drm                               noarch  10:svn38157.4.4-63.fc38          fedora      13 M
 texlive-droid                             noarch  10:svn54512-63.fc38              fedora     3.2 M
 texlive-drs                               noarch  10:svn19232.1.1b-63.fc38         fedora      21 k
 texlive-dsserif                           noarch  10:svn60898-63.fc38              fedora     387 k
 texlive-dtk                               noarch  10:svn65315-63.fc38              fedora      33 k
 texlive-dtxdescribe                       noarch  10:svn65223-63.fc38              fedora     423 k
 texlive-dtxgallery-doc                    noarch  10:svn49504-63.fc38              fedora     357 k
 texlive-ducksay                           noarch  10:svn64655-63.fc38              fedora     550 k
 texlive-duckuments                        noarch  10:svn52271-63.fc38              fedora     971 k
 texlive-duerer                            noarch  10:svn20741.0-63.fc38            fedora      28 k
 texlive-duerer-latex                      noarch  10:svn15878.1.1-63.fc38          fedora      17 k
 texlive-duotenzor                         noarch  10:svn18728.1.00-63.fc38         fedora      21 k
 texlive-dutchcal                          noarch  10:svn54080-63.fc38              fedora      52 k
 texlive-dvdcoll                           noarch  10:svn15878.v1.1a-63.fc38        fedora      39 k
 texlive-dvipdfmx                          x86_64  10:svn61101-58.fc38              copr_base  3.0 M
 texlive-dvips                             x86_64  10:svn62387-58.fc38              copr_base  721 k
 texlive-dvisvgm                           x86_64  10:svn64182.3.0.1-58.fc38        copr_base  1.4 M
 texlive-dynamicnumber                     noarch  10:svn38726-63.fc38              fedora      12 k
 texlive-dynblocks                         noarch  10:svn35193.0.2b-63.fc38         fedora      18 k
 texlive-dynkin-diagrams                   noarch  10:svn58758-63.fc38              fedora     863 k
 texlive-dyntree                           noarch  10:svn15878.1.0-63.fc38          fedora      22 k
 texlive-ean                               noarch  10:svn20851.0-63.fc38            fedora      18 k
 texlive-ean13isbn                         noarch  10:svn57514-63.fc38              fedora      15 k
 texlive-easing                            noarch  10:svn59975-63.fc38              fedora     322 k
 texlive-easy                              noarch  10:svn19440.0.99-63.fc38         fedora      27 k
 texlive-easy-todo                         noarch  10:svn32677.0-63.fc38            fedora      15 k
 texlive-easybook                          noarch  10:svn64976-63.fc38              fedora     622 k
 texlive-easyfig                           noarch  10:svn64967-63.fc38              fedora      18 k
 texlive-easyfloats                        noarch  10:svn57204-63.fc38              fedora     603 k
 texlive-easyformat                        noarch  10:svn44543-63.fc38              fedora      72 k
 texlive-easylist                          noarch  10:svn32661.1.3-63.fc38          fedora      19 k
 texlive-easyreview                        noarch  10:svn38352.1.0-63.fc38          fedora      15 k
 texlive-ebezier                           noarch  10:svn15878.4-63.fc38            fedora      17 k
 texlive-ebgaramond                        noarch  10:svn64343-63.fc38              fedora      12 M
 texlive-ebgaramond-maths                  noarch  10:svn52168-63.fc38              fedora      21 k
 texlive-ebook                             noarch  10:svn29466.0-63.fc38            fedora      12 k
 texlive-ebproof                           noarch  10:svn57544-63.fc38              fedora      20 k
 texlive-ebsthesis                         noarch  10:svn15878.1.0-63.fc38          fedora      20 k
 texlive-ec                                noarch  10:svn25033.1.0-63.fc38          fedora     681 k
 texlive-ecc                               noarch  10:svn15878.0-63.fc38            fedora      53 k
 texlive-ecclesiastic                      noarch  10:svn38172.0.3-63.fc38          fedora      19 k
 texlive-ecgdraw                           noarch  10:svn41617-63.fc38              fedora     723 k
 texlive-eco                               noarch  10:svn29349.1.3-63.fc38          fedora     351 k
 texlive-ecobiblatex                       noarch  10:svn39233-63.fc38              fedora      18 k
 texlive-econ-bst                          noarch  10:svn61499-63.fc38              fedora     1.3 M
 texlive-econlipsum                        noarch  10:svn58390-63.fc38              fedora     646 k
 texlive-econometrics                      noarch  10:svn39396-63.fc38              fedora      19 k
 texlive-economic                          noarch  10:svn32639.0-63.fc38            fedora      54 k
 texlive-ecothesis                         noarch  10:svn48007-63.fc38              fedora     355 k
 texlive-ecv                               noarch  10:svn24928.0.3-63.fc38          fedora      15 k
 texlive-eczar                             noarch  10:svn57716-63.fc38              fedora     505 k
 texlive-ed                                noarch  10:svn25231.1.8-63.fc38          fedora      16 k
 texlive-edichokey                         noarch  10:svn56223-63.fc38              fedora     152 k
 texlive-edmargin                          noarch  10:svn27599.1.2-63.fc38          fedora      18 k
 texlive-eemeir                            noarch  10:svn15878.1.1b-63.fc38         fedora      15 k
 texlive-eepic                             noarch  10:svn15878.1.1e-63.fc38         fedora      23 k
 texlive-efbox                             noarch  10:svn33236.1.0-63.fc38          fedora      18 k
 texlive-egplot                            noarch  10:svn20617.1.02a-63.fc38        fedora      18 k
 texlive-ehhline                           noarch  10:svn54676-63.fc38              fedora      41 k
 texlive-eiad                              noarch  10:svn15878.0-63.fc38            fedora      60 k
 texlive-eiad-ltx                          noarch  10:svn15878.1.0-63.fc38          fedora      17 k
 texlive-einfart                           noarch  10:svn64280-63.fc38              fedora     385 k
 texlive-ejpecp                            noarch  10:svn60950-63.fc38              fedora      21 k
 texlive-ekaia                             noarch  10:svn49594-63.fc38              fedora      17 k
 texlive-ektype-tanka                      noarch  10:svn63255-63.fc38              fedora     2.1 M
 texlive-elbioimp                          noarch  10:svn21758.1.2-63.fc38          fedora      16 k
 texlive-electrum                          noarch  10:svn19705.1.005_b-63.fc38      fedora     828 k
 texlive-elegantbook                       noarch  10:svn64122-63.fc38              fedora     1.8 M
 texlive-elegantnote                       noarch  10:svn62989-63.fc38              fedora     881 k
 texlive-elegantpaper                      noarch  10:svn62989-63.fc38              fedora     558 k
 texlive-elements                          noarch  10:svn61792-63.fc38              fedora      27 k
 texlive-ellipse                           noarch  10:svn39025-63.fc38              fedora      19 k
 texlive-ellipsis                          noarch  10:svn55418-63.fc38              fedora      15 k
 texlive-elmath                            noarch  10:svn15878.v1.2-63.fc38         fedora      16 k
 texlive-elocalloc                         noarch  10:svn42712-63.fc38              fedora      15 k
 texlive-elpres                            noarch  10:svn60209-63.fc38              fedora      93 k
 texlive-els-cas-templates                 noarch  10:svn62931-63.fc38              fedora     404 k
 texlive-elsarticle                        noarch  10:svn56999-63.fc38              fedora      34 k
 texlive-elteikthesis                      noarch  10:svn63186-63.fc38              fedora      21 k
 texlive-eltex                             noarch  10:svn15878.2.0-63.fc38          fedora      27 k
 texlive-elvish                            noarch  10:svn15878.0-63.fc38            fedora      22 k
 texlive-elzcards                          noarch  10:svn51894-63.fc38              fedora      21 k
 texlive-emarks                            noarch  10:svn24504.1.0-63.fc38          fedora      18 k
 texlive-embedall                          noarch  10:svn51177-63.fc38              fedora      17 k
 texlive-embedfile                         noarch  10:svn54865-63.fc38              fedora     402 k
 texlive-embrac                            noarch  10:svn57814-63.fc38              fedora      20 k
 texlive-emf                               noarch  10:svn42023-63.fc38              fedora     328 k
 texlive-emisa                             noarch  10:svn60068-63.fc38              fedora      32 k
 texlive-emptypage                         noarch  10:svn18064.1.2-63.fc38          fedora      16 k
 texlive-emulateapj                        noarch  10:svn28469.0-63.fc38            fedora      31 k
 texlive-enctex                            noarch  10:svn34957.0-63.fc38            fedora      53 k
 texlive-endfloat                          noarch  10:svn57090-63.fc38              fedora      20 k
 texlive-endheads                          noarch  10:svn43750-63.fc38              fedora      16 k
 texlive-endiagram                         noarch  10:svn34486.0.1d-63.fc38         fedora      25 k
 texlive-endnotes                          noarch  10:svn53319-63.fc38              fedora      20 k
 texlive-endnotes-hy                       noarch  10:svn54758-63.fc38              fedora     312 k
 texlive-endofproofwd                      noarch  10:svn55643-63.fc38              fedora      19 k
 texlive-engpron                           noarch  10:svn16558.2-63.fc38            fedora      18 k
 texlive-engrec                            noarch  10:svn15878.1.1-63.fc38          fedora      15 k
 texlive-engtlc                            noarch  10:svn28571.3.2-63.fc38          fedora      20 k
 texlive-enotez                            noarch  10:svn61490-63.fc38              fedora      23 k
 texlive-enumitem                          noarch  10:svn51423-63.fc38              fedora      27 k
 texlive-enumitem-zref                     noarch  10:svn21472.1.8-63.fc38          fedora      24 k
 texlive-envbig                            noarch  10:svn15878.0-63.fc38            fedora      15 k
 texlive-environ                           noarch  10:svn56615-63.fc38              fedora      15 k
 texlive-envlab                            noarch  10:svn61937-63.fc38              fedora      19 k
 texlive-eolang                            noarch  10:svn65289-63.fc38              fedora     1.5 M
 texlive-epigrafica                        noarch  10:svn17210.1.01-63.fc38         fedora     373 k
 texlive-epigraph                          noarch  10:svn54857-63.fc38              fedora      18 k
 texlive-epigraph-keys                     noarch  10:svn61719-63.fc38              fedora     321 k
 texlive-epiolmec                          noarch  10:svn15878.0-63.fc38            fedora     120 k
 texlive-epsdice                           noarch  10:svn15878.2.1-63.fc38          fedora      19 k
 texlive-epsf                              noarch  10:svn21461.2.7.4-63.fc38        fedora      18 k
 texlive-epspdf                            noarch  10:svn53472-58.fc38              copr_base  284 k
 texlive-epspdfconversion                  noarch  10:svn18703.0.61-63.fc38         fedora      17 k
 texlive-epstopdf                          noarch  10:svn64439-58.fc38              copr_base   29 k
 texlive-epstopdf-pkg                      noarch  10:svn53546-63.fc38              fedora     364 k
 texlive-eq-pin2corr                       noarch  10:svn59477-63.fc38              fedora     565 k
 texlive-eqell                             noarch  10:svn22931.0-63.fc38            fedora      16 k
 texlive-eqexpl                            noarch  10:svn63629-63.fc38              fedora      97 k
 texlive-eqlist                            noarch  10:svn32257.2.1-63.fc38          fedora      15 k
 texlive-eqnalign                          noarch  10:svn43278-63.fc38              fedora     298 k
 texlive-eqname                            noarch  10:svn20678.0-63.fc38            fedora      11 k
 texlive-eqnarray                          noarch  10:svn20641.1.3-63.fc38          fedora      24 k
 texlive-eqnnumwarn                        noarch  10:svn45511-63.fc38              fedora     110 k
 texlive-eqparbox                          noarch  10:svn45215-63.fc38              fedora      19 k
 texlive-erdc                              noarch  10:svn15878.1.1-63.fc38          fedora      20 k
 texlive-erewhon                           noarch  10:svn63312-63.fc38              fedora     3.0 M
 texlive-erewhon-math                      noarch  10:svn64925-63.fc38              fedora     2.2 M
 texlive-errata                            noarch  10:svn42428-63.fc38              fedora      15 k
 texlive-erw-l3                            noarch  10:svn61799-63.fc38              fedora     489 k
 texlive-esami                             noarch  10:svn61596-63.fc38              fedora      36 k
 texlive-esdiff                            noarch  10:svn21385.1.2-63.fc38          fedora      15 k
 texlive-esieecv                           noarch  10:svn59638-63.fc38              fedora      15 k
 texlive-esindex                           noarch  10:svn52342-63.fc38              fedora      80 k
 texlive-esint                             noarch  10:svn52240-63.fc38              fedora      17 k
 texlive-esint-type1                       noarch  10:svn15878.0-63.fc38            fedora      43 k
 texlive-esk                               noarch  10:svn18115.1.0-63.fc38          fedora      19 k
 texlive-eso-pic                           noarch  10:svn56658-63.fc38              fedora      19 k
 texlive-esrelation                        noarch  10:svn37236.0-63.fc38            fedora      51 k
 texlive-esstix                            noarch  10:svn22426.1.0-63.fc38          fedora     231 k
 texlive-estcpmm                           noarch  10:svn17335.0.4-63.fc38          fedora      17 k
 texlive-esvect                            noarch  10:svn32098.1.3-63.fc38          fedora      89 k
 texlive-etaremune                         noarch  10:svn15878.v1.2-63.fc38         fedora      15 k
 texlive-etbb                              noarch  10:svn61872-63.fc38              fedora     1.7 M
 texlive-etex                              noarch  10:svn56291-63.fc38              fedora      28 k
 texlive-etex-pkg                          noarch  10:svn41784-63.fc38              fedora      16 k
 texlive-etexcmds                          noarch  10:svn53171-63.fc38              fedora     309 k
 texlive-etextools                         noarch  10:svn20694.3.1415926-63.fc38    fedora      25 k
 texlive-etl                               noarch  10:svn60998-63.fc38              fedora     368 k
 texlive-etoc                              noarch  10:svn65068-63.fc38              fedora      27 k
 texlive-etoolbox                          noarch  10:svn56554-63.fc38              fedora      25 k
 texlive-etsvthor                          noarch  10:svn48186-63.fc38              fedora      18 k
 texlive-euclideangeometry                 noarch  10:svn60697-63.fc38              fedora     1.1 M
 texlive-euenc                             noarch  10:svn19795.0.1h-63.fc38         fedora      21 k
 texlive-euflag                            noarch  10:svn55265-63.fc38              fedora     120 k
 texlive-eukdate                           noarch  10:svn15878.1.04-63.fc38         fedora      15 k
 texlive-euler                             noarch  10:svn42428-63.fc38              fedora      17 k
 texlive-euler-math                        noarch  10:svn65010-63.fc38              fedora     1.8 M
 texlive-eulerpx                           noarch  10:svn63967-63.fc38              fedora     348 k
 texlive-eulervm                           noarch  10:svn15878.4.0-63.fc38          fedora      39 k
 texlive-euro                              noarch  10:svn22191.1.1-63.fc38          fedora      16 k
 texlive-euro-ce                           noarch  10:svn25714-63.fc38              fedora      31 k
 texlive-europasscv                        noarch  10:svn56829-63.fc38              fedora      59 k
 texlive-europecv                          noarch  10:svn64037-63.fc38              fedora      86 k
 texlive-eurosym                           noarch  10:svn17265.1.4_subrfix-63.fc38  fedora     156 k
 texlive-euxm                              noarch  10:svn54074-63.fc38              fedora      38 k
 texlive-everyhook                         noarch  10:svn35675.1.2-63.fc38          fedora      18 k
 texlive-everypage                         noarch  10:svn56694-63.fc38              fedora      15 k
 texlive-everysel                          noarch  10:svn57489-63.fc38              fedora     448 k
 texlive-everyshi                          noarch  10:svn57001-63.fc38              fedora     146 k
 texlive-exam                              noarch  10:svn64134-63.fc38              fedora      66 k
 texlive-exam-n                            noarch  10:svn64674-63.fc38              fedora      27 k
 texlive-exam-randomizechoices             noarch  10:svn61719-63.fc38              fedora     326 k
 texlive-examdesign                        noarch  10:svn15878.1.02-63.fc38         fedora      26 k
 texlive-example                           noarch  10:svn33398.0-63.fc38            fedora      20 k
 texlive-examplep                          noarch  10:svn55265-63.fc38              fedora      49 k
 texlive-exceltex                          noarch  10:svn26313-58.fc38              copr_base   22 k
 texlive-excludeonly                       noarch  10:svn17262.1.0-63.fc38          fedora      12 k
 texlive-exercise                          noarch  10:svn35417.1.6-63.fc38          fedora      24 k
 texlive-exercisebank                      noarch  10:svn50448-63.fc38              fedora     327 k
 texlive-exercisepoints                    noarch  10:svn49590-63.fc38              fedora     241 k
 texlive-exercises                         noarch  10:svn55188-63.fc38              fedora      17 k
 texlive-exesheet                          noarch  10:svn61061-63.fc38              fedora     195 k
 texlive-exframe                           noarch  10:svn53911-63.fc38              fedora     417 k
 texlive-exp-testopt                       noarch  10:svn15878.0.3-63.fc38          fedora      15 k
 texlive-expdlist                          noarch  10:svn15878.2.4-63.fc38          fedora      16 k
 texlive-expkv                             noarch  10:svn60573-63.fc38              fedora     487 k
 texlive-export                            noarch  10:svn27206.1.8-63.fc38          fedora      20 k
 texlive-exsheets                          noarch  10:svn52227-63.fc38              fedora      41 k
 texlive-exsol                             noarch  10:svn48977-63.fc38              fedora      20 k
 texlive-extarrows                         noarch  10:svn54400-63.fc38              fedora      20 k
 texlive-extpfeil                          noarch  10:svn16243.0.4-63.fc38          fedora      18 k
 texlive-extract                           noarch  10:svn52117-63.fc38              fedora      18 k
 texlive-extsizes                          noarch  10:svn17263.1.4a-63.fc38         fedora      26 k
 texlive-facsimile                         noarch  10:svn21328.1.0-63.fc38          fedora      18 k
 texlive-factura                           noarch  10:svn61697-63.fc38              fedora      44 k
 texlive-facture-belge-simple-sans-tva     noarch  10:svn49004-63.fc38              fedora     286 k
 texlive-faktor                            noarch  10:svn15878.0.1b-63.fc38         fedora      15 k
 texlive-familytree                        noarch  10:svn63739-63.fc38              fedora     949 k
 texlive-fancybox                          noarch  10:svn18304.1.4-63.fc38          fedora      22 k
 texlive-fancyhandout                      noarch  10:svn46411-63.fc38              fedora      88 k
 texlive-fancyhdr                          noarch  10:svn64977-63.fc38              fedora      19 k
 texlive-fancylabel                        noarch  10:svn46736-63.fc38              fedora      20 k
 texlive-fancynum                          noarch  10:svn15878.0.92-63.fc38         fedora      11 k
 texlive-fancypar                          noarch  10:svn58895-63.fc38              fedora      19 k
 texlive-fancyqr                           noarch  10:svn64182-63.fc38              fedora     268 k
 texlive-fancyref                          noarch  10:svn15878.0.9c-63.fc38         fedora      18 k
 texlive-fancyslides                       noarch  10:svn36263.1.0-63.fc38          fedora      18 k
 texlive-fancytabs                         noarch  10:svn41549-63.fc38              fedora      18 k
 texlive-fancytooltips                     noarch  10:svn56291-63.fc38              fedora      23 k
 texlive-fancyvrb                          noarch  10:svn63496-63.fc38              fedora      26 k
 texlive-fandol                            noarch  10:svn37889.0.3-63.fc38          fedora      22 M
 texlive-fascicules                        noarch  10:svn54080-63.fc38              fedora     2.0 M
 texlive-fast-diagram                      noarch  10:svn29264.1.1-63.fc38          fedora      20 k
 texlive-fbb                               noarch  10:svn55728-63.fc38              fedora     1.4 M
 texlive-fbithesis                         noarch  10:svn21340.1.2m-63.fc38         fedora      16 k
 texlive-fbox                              noarch  10:svn62126-63.fc38              fedora      64 k
 texlive-fbs                               noarch  10:svn15878.0-63.fc38            fedora      19 k
 texlive-fcavtex                           noarch  10:svn38074.1.1-63.fc38          fedora      33 k
 texlive-fcltxdoc                          noarch  10:svn24500.1.0-63.fc38          fedora      27 k
 texlive-fcolumn                           noarch  10:svn61855-63.fc38              fedora      19 k
 texlive-fdsymbol                          noarch  10:svn61719-63.fc38              fedora     963 k
 texlive-fei                               noarch  10:svn65352-63.fc38              fedora      25 k
 texlive-fetamont                          noarch  10:svn43812-63.fc38              fedora     3.7 M
 texlive-fetchcls                          noarch  10:svn45245-63.fc38              fedora     138 k
 texlive-fewerfloatpages                   noarch  10:svn58058-63.fc38              fedora     886 k
 texlive-feyn                              noarch  10:svn63945-63.fc38              fedora      40 k
 texlive-feynmf                            noarch  10:svn17259.1.08-63.fc38         fedora      35 k
 texlive-ffcode                            noarch  10:svn65170-63.fc38              fedora     542 k
 texlive-ffslides                          noarch  10:svn38895-63.fc38              fedora      21 k
 texlive-fge                               noarch  10:svn37628.1.25-63.fc38         fedora      53 k
 texlive-fgruler                           noarch  10:svn63721-63.fc38              fedora     482 k
 texlive-fifo-stack                        noarch  10:svn33288.1.0-63.fc38          fedora      15 k
 texlive-fig4latex                         noarch  10:svn26313-58.fc38              copr_base   75 k
 texlive-figbib                            noarch  10:svn19388.0-63.fc38            fedora      17 k
 texlive-figchild                          noarch  10:svn62945-63.fc38              fedora     1.3 M
 texlive-figput                            noarch  10:svn63957-63.fc38              fedora     882 k
 texlive-figsize                           noarch  10:svn18784.0.1-63.fc38          fedora      15 k
 texlive-filecontents                      noarch  10:svn52142-63.fc38              fedora      16 k
 texlive-filecontentsdef                   noarch  10:svn52208-63.fc38              fedora     145 k
 texlive-filedate                          noarch  10:svn29529.0-63.fc38            fedora      20 k
 texlive-filehook                          noarch  10:svn64822-63.fc38              fedora      22 k
 texlive-fileinfo                          noarch  10:svn28421.0.81a-63.fc38        fedora      23 k
 texlive-filemod                           noarch  10:svn64967-63.fc38              fedora      20 k
 texlive-fink                              noarch  10:svn24329.2.2.1-63.fc38        fedora      16 k
 texlive-finstrut                          noarch  10:svn21719.0.5-63.fc38          fedora      19 k
 texlive-fira                              noarch  10:svn64422-63.fc38              fedora      16 M
 texlive-firamath                          noarch  10:svn56672-63.fc38              fedora     655 k
 texlive-firamath-otf                      noarch  10:svn50732-63.fc38              fedora     139 k
 texlive-firstaid                          noarch  10:svn64892-63.fc38              fedora     274 k
 texlive-fitbox                            noarch  10:svn50088-63.fc38              fedora      18 k
 texlive-fithesis                          noarch  10:svn64135-63.fc38              fedora     898 k
 texlive-fix2col                           noarch  10:svn38770-63.fc38              fedora      16 k
 texlive-fixcmex                           noarch  10:svn51825-63.fc38              fedora      18 k
 texlive-fixdif                            noarch  10:svn64835-63.fc38              fedora     272 k
 texlive-fixfoot                           noarch  10:svn17131.0.3a-63.fc38         fedora      16 k
 texlive-fixltxhyph                        noarch  10:svn25832.0.4-63.fc38          fedora      18 k
 texlive-fixmath                           noarch  10:svn64648-63.fc38              fedora     195 k
 texlive-fixme                             noarch  10:svn63708-63.fc38              fedora      30 k
 texlive-fixmetodonotes                    noarch  10:svn30168.0.2.2-63.fc38        fedora      12 k
 texlive-fjodor                            noarch  10:svn53207-63.fc38              fedora      16 k
 texlive-flabels                           noarch  10:svn17272.1.0-63.fc38          fedora      16 k
 texlive-flacards                          noarch  10:svn19440.0.1.1b-63.fc38       fedora      17 k
 texlive-flagderiv                         noarch  10:svn15878.0.10-63.fc38         fedora      17 k
 texlive-flashcards                        noarch  10:svn62104-63.fc38              fedora      19 k
 texlive-flashmovie                        noarch  10:svn25768.0.4-63.fc38          fedora      36 k
 texlive-flexipage                         noarch  10:svn64572-63.fc38              fedora     305 k
 texlive-flipbook                          noarch  10:svn25584.0.2-63.fc38          fedora      15 k
 texlive-flippdf                           noarch  10:svn56782-63.fc38              fedora      15 k
 texlive-float                             noarch  10:svn15878.1.3d-63.fc38         fedora      16 k
 texlive-floatflt                          noarch  10:svn25540.1.31-63.fc38         fedora      13 k
 texlive-floatrow                          noarch  10:svn15878.0.3b-63.fc38         fedora      30 k
 texlive-flowchart                         noarch  10:svn36572.3.3-63.fc38          fedora      18 k
 texlive-flowfram                          noarch  10:svn35291.1.17-63.fc38         fedora      41 k
 texlive-fltpoint                          noarch  10:svn56594-63.fc38              fedora      14 k
 texlive-fmp                               noarch  10:svn15878.0-63.fc38            fedora      15 k
 texlive-fmtcount                          noarch  10:svn53912-63.fc38              fedora      51 k
 texlive-fn2end                            noarch  10:svn15878.1.1-63.fc38          fedora      12 k
 texlive-fnbreak                           noarch  10:svn25003.1.30-63.fc38         fedora      15 k
 texlive-fncychap                          noarch  10:svn20710.v1.34-63.fc38        fedora      21 k
 texlive-fncylab                           noarch  10:svn52090-63.fc38              fedora      15 k
 texlive-fnpara                            noarch  10:svn25607.0-63.fc38            fedora      19 k
 texlive-fnpct                             noarch  10:svn62248-63.fc38              fedora      31 k
 texlive-fnspe                             noarch  10:svn45360-63.fc38              fedora     184 k
 texlive-fnumprint                         noarch  10:svn29173.1.1a-63.fc38         fedora      18 k
 texlive-foekfont                          noarch  10:svn15878.0-63.fc38            fedora      25 k
 texlive-foilhtml                          noarch  10:svn61937-63.fc38              fedora      17 k
 texlive-foliono                           noarch  10:svn58877-63.fc38              fedora     242 k
 texlive-fonetika                          noarch  10:svn21326.0-63.fc38            fedora      83 k
 texlive-fontawesome                       noarch  10:svn48145-63.fc38              fedora     297 k
 texlive-fontawesome5                      noarch  10:svn63207-63.fc38              fedora     1.6 M
 texlive-fontaxes                          noarch  10:svn55920-63.fc38              fedora      19 k
 texlive-fontmfizz                         noarch  10:svn43546-63.fc38              fedora      53 k
 texlive-fonts-churchslavonic              noarch  10:svn56350-63.fc38              fedora     1.7 M
 texlive-fonts-tlwg                        noarch  10:svn60817-63.fc38              fedora     5.0 M
 texlive-fontsetup                         noarch  10:svn62477-63.fc38              fedora     604 k
 texlive-fontsize                          noarch  10:svn60161-63.fc38              fedora     389 k
 texlive-fontspec                          noarch  10:svn63386-63.fc38              fedora      44 k
 texlive-fonttable                         noarch  10:svn44799-63.fc38              fedora      22 k
 texlive-footbib                           noarch  10:svn17115.2.0.7-63.fc38        fedora      20 k
 texlive-footmisc                          noarch  10:svn62524-63.fc38              fedora      24 k
 texlive-footmisx                          noarch  10:svn42621-63.fc38              fedora      23 k
 texlive-footnotebackref                   noarch  10:svn27034.1.0-63.fc38          fedora      18 k
 texlive-footnotehyper                     noarch  10:svn60374-63.fc38              fedora      20 k
 texlive-footnoterange                     noarch  10:svn52910-63.fc38              fedora      19 k
 texlive-footnpag                          noarch  10:svn15878.0-63.fc38            fedora      22 k
 texlive-forarray                          noarch  10:svn15878.1.01-63.fc38         fedora      18 k
 texlive-foreign                           noarch  10:svn27819.2.7-63.fc38          fedora      18 k
 texlive-forest                            noarch  10:svn57398-63.fc38              fedora      73 k
 texlive-forloop                           noarch  10:svn15878.3.0-63.fc38          fedora      20 k
 texlive-formal-grammar                    noarch  10:svn61955-63.fc38              fedora     246 k
 texlive-formlett                          noarch  10:svn21480.2.3-63.fc38          fedora      26 k
 texlive-forms16be                         noarch  10:svn51305-63.fc38              fedora     1.4 M
 texlive-formular                          noarch  10:svn15878.1.0a-63.fc38         fedora      17 k
 texlive-forum                             noarch  10:svn64566-63.fc38              fedora     746 k
 texlive-fouridx                           noarch  10:svn32214.2.00-63.fc38         fedora      15 k
 texlive-fourier                           noarch  10:svn61937-63.fc38              fedora     369 k
 texlive-fouriernc                         noarch  10:svn29646.0-63.fc38            fedora      36 k
 texlive-fp                                noarch  10:svn49719-63.fc38              fedora      35 k
 texlive-fpl                               noarch  10:svn54512-63.fc38              fedora     302 k
 texlive-fragments                         noarch  10:svn15878.0-63.fc38            fedora      13 k
 texlive-frame                             noarch  10:svn18312.1.0-63.fc38          fedora      15 k
 texlive-framed                            noarch  10:svn26789.0.96-63.fc38         fedora      18 k
 texlive-francais-bst                      noarch  10:svn38922-63.fc38              fedora      25 k
 texlive-frankenstein                      noarch  10:svn15878.0-63.fc38            fedora     157 k
 texlive-frcursive                         noarch  10:svn24559.0-63.fc38            fedora     1.7 M
 texlive-frederika2016                     noarch  10:svn42157-63.fc38              fedora     381 k
 texlive-frege                             noarch  10:svn27417.1.3-63.fc38          fedora      19 k
 texlive-frimurer                          noarch  10:svn56704-63.fc38              fedora     214 k
 texlive-froufrou                          noarch  10:svn59103-63.fc38              fedora      84 k
 texlive-ftc-notebook                      noarch  10:svn50043-63.fc38              fedora     3.5 M
 texlive-ftcap                             noarch  10:svn17275.1.4-63.fc38          fedora      17 k
 texlive-ftnxtra                           noarch  10:svn29652.0.1-63.fc38          fedora      15 k
 texlive-fullblck                          noarch  10:svn25434.1.03-63.fc38         fedora      14 k
 texlive-fullminipage                      noarch  10:svn34545.0.1.1-63.fc38        fedora      23 k
 texlive-fullwidth                         noarch  10:svn24684.0.1-63.fc38          fedora      17 k
 texlive-functan                           noarch  10:svn15878.0-63.fc38            fedora      16 k
 texlive-functional                        noarch  10:svn63640-63.fc38              fedora     743 k
 texlive-fundus-calligra                   noarch  10:svn26018.1.2-63.fc38          fedora      12 k
 texlive-fundus-cyr                        noarch  10:svn26019.0-63.fc38            fedora      18 k
 texlive-fundus-sueterlin                  noarch  10:svn26030.1.2-63.fc38          fedora      18 k
 texlive-fvextra                           noarch  10:svn65158-63.fc38              fedora     849 k
 texlive-fwlw                              noarch  10:svn29803.0-63.fc38            fedora      13 k
 texlive-g-brief                           noarch  10:svn50415-63.fc38              fedora      19 k
 texlive-gaceta                            noarch  10:svn15878.1.06-63.fc38         fedora      31 k
 texlive-galois                            noarch  10:svn15878.1.5-63.fc38          fedora      16 k
 texlive-gammas                            noarch  10:svn56403-63.fc38              fedora      29 k
 texlive-garamond-libre                    noarch  10:svn64412-63.fc38              fedora     7.3 M
 texlive-garamond-math                     noarch  10:svn61481-63.fc38              fedora     763 k
 texlive-garuda-c90                        noarch  10:svn60832-63.fc38              fedora      15 k
 texlive-gastex                            noarch  10:svn58505-63.fc38              fedora      32 k
 texlive-gatherenum                        noarch  10:svn52209-63.fc38              fedora     406 k
 texlive-gauss                             noarch  10:svn32934.0-63.fc38            fedora      30 k
 texlive-gb4e                              noarch  10:svn19216.0-63.fc38            fedora      21 k
 texlive-gbt7714                           noarch  10:svn64633-63.fc38              fedora     492 k
 texlive-gcard                             noarch  10:svn15878.0-63.fc38            fedora      15 k
 texlive-gcite                             noarch  10:svn15878.1.0.1-63.fc38        fedora      18 k
 texlive-gender                            noarch  10:svn36464.1.0-63.fc38          fedora      18 k
 texlive-gene-logic                        noarch  10:svn15878.1.4-63.fc38          fedora      12 k
 texlive-genealogy                         noarch  10:svn25112.0-63.fc38            fedora      17 k
 texlive-genealogytree                     noarch  10:svn62759-63.fc38              fedora      59 k
 texlive-genmpage                          noarch  10:svn15878.0.3.1-63.fc38        fedora      15 k
 texlive-gensymb                           noarch  10:svn64740-63.fc38              fedora     227 k
 texlive-gentium-tug                       noarch  10:svn63470-63.fc38              fedora     8.6 M
 texlive-geometry                          noarch  10:svn61719-63.fc38              fedora      22 k
 texlive-geradwp                           noarch  10:svn63134-63.fc38              fedora     838 k
 texlive-geschichtsfrkl                    noarch  10:svn42121-63.fc38              fedora      19 k
 texlive-getfiledate                       noarch  10:svn16189.1.2-63.fc38          fedora      16 k
 texlive-getitems                          noarch  10:svn39365-63.fc38              fedora      18 k
 texlive-getmap                            noarch  10:svn50589-58.fc38              copr_base  1.9 M
 texlive-gettitlestring                    noarch  10:svn53170-63.fc38              fedora     327 k
 texlive-gfsartemisia                      noarch  10:svn19469.1.0-63.fc38          fedora     1.0 M
 texlive-gfsbodoni                         noarch  10:svn28484.1.01-63.fc38         fedora     960 k
 texlive-gfscomplutum                      noarch  10:svn19469.1.0-63.fc38          fedora     136 k
 texlive-gfsdidot                          noarch  10:svn54080-63.fc38              fedora     1.4 M
 texlive-gfsdidotclassic                   noarch  10:svn52778-63.fc38              fedora      43 k
 texlive-gfsneohellenic                    noarch  10:svn63944-63.fc38              fedora     1.0 M
 texlive-gfsneohellenicmath                noarch  10:svn63928-63.fc38              fedora     331 k
 texlive-gfssolomos                        noarch  10:svn18651.1.0-63.fc38          fedora     115 k
 texlive-ghsystem                          noarch  10:svn53822-63.fc38              fedora     1.9 M
 texlive-gillcm                            noarch  10:svn19878.1.1-63.fc38          fedora      37 k
 texlive-gillius                           noarch  10:svn64865-63.fc38              fedora     1.1 M
 texlive-gincltex                          noarch  10:svn64967-63.fc38              fedora      18 k
 texlive-gindex                            noarch  10:svn52311-63.fc38              fedora      45 k
 texlive-ginpenc                           noarch  10:svn24980.1.0-63.fc38          fedora      22 k
 texlive-gitfile-info                      noarch  10:svn51928-63.fc38              fedora     572 k
 texlive-gitinfo                           noarch  10:svn34049.1.0-63.fc38          fedora      18 k
 texlive-gitinfo2                          noarch  10:svn38913-63.fc38              fedora      20 k
 texlive-gitlog                            noarch  10:svn38932-63.fc38              fedora      19 k
 texlive-gitstatus                         noarch  10:svn64662-63.fc38              fedora     294 k
 texlive-gitver                            noarch  10:svn63920-63.fc38              fedora     150 k
 texlive-globalvals                        noarch  10:svn49962-63.fc38              fedora     164 k
 texlive-glosmathtools                     noarch  10:svn55920-63.fc38              fedora     536 k
 texlive-gloss                             noarch  10:svn15878.1.5.2-63.fc38        fedora      19 k
 texlive-glossaries                        noarch  10:svn64919-58.fc38              copr_base   10 M
 texlive-glossaries-danish                 noarch  10:svn35665.1.0-63.fc38          fedora      18 k
 texlive-glossaries-dutch                  noarch  10:svn35685.1.1-63.fc38          fedora      18 k
 texlive-glossaries-english                noarch  10:svn35665.1.0-63.fc38          fedora      18 k
 texlive-glossaries-estonian               noarch  10:svn49928-63.fc38              fedora      40 k
 texlive-glossaries-extra                  noarch  10:svn64973-63.fc38              fedora     129 k
 texlive-glossaries-finnish                noarch  10:svn54080-63.fc38              fedora     153 k
 texlive-glossaries-french                 noarch  10:svn42873-63.fc38              fedora      18 k
 texlive-glossaries-german                 noarch  10:svn35665.1.0-63.fc38          fedora      18 k
 texlive-glossaries-irish                  noarch  10:svn35665.1.0-63.fc38          fedora      18 k
 texlive-glossaries-italian                noarch  10:svn35665.1.0-63.fc38          fedora      18 k
 texlive-glossaries-magyar                 noarch  10:svn35665.1.0-63.fc38          fedora      18 k
 texlive-glossaries-nynorsk                noarch  10:svn55189-63.fc38              fedora     138 k
 texlive-glossaries-polish                 noarch  10:svn35665.1.0-63.fc38          fedora      18 k
 texlive-glossaries-portuges               noarch  10:svn36064.1.1-63.fc38          fedora      19 k
 texlive-glossaries-serbian                noarch  10:svn35665.1.0-63.fc38          fedora      18 k
 texlive-glossaries-slovene                noarch  10:svn51211-63.fc38              fedora     138 k
 texlive-glossaries-spanish                noarch  10:svn35665.1.0-63.fc38          fedora      18 k
 texlive-glyphlist                         noarch  10:svn54074-58.fc38              copr_base   38 k
 texlive-gmdoc                             noarch  10:svn21292.0.993-63.fc38        fedora      60 k
 texlive-gmdoc-enhance                     noarch  10:svn15878.v0.2-63.fc38         fedora      17 k
 texlive-gmiflink                          noarch  10:svn15878.v0.97-63.fc38        fedora      17 k
 texlive-gmp                               noarch  10:svn21691.1.0-63.fc38          fedora      20 k
 texlive-gmutils                           noarch  10:svn24287.v0.996-63.fc38       fedora      81 k
 texlive-gmverb                            noarch  10:svn24288.v0.98-63.fc38        fedora      30 k
 texlive-gnu-freefont                      noarch  10:svn29349.0-63.fc38            fedora     7.4 M
 texlive-gnuplottex                        noarch  10:svn54758-63.fc38              fedora      20 k
 texlive-gofonts                           noarch  10:svn64358-63.fc38              fedora     1.7 M
 texlive-gothic                            noarch  10:svn49869-63.fc38              fedora     165 k
 texlive-gotoh                             noarch  10:svn44764-63.fc38              fedora     295 k
 texlive-grabbox                           noarch  10:svn65223-63.fc38              fedora     360 k
 texlive-gradient-text                     noarch  10:svn65353-63.fc38              fedora     100 k
 texlive-gradientframe                     noarch  10:svn21387.0.2-63.fc38          fedora      18 k
 texlive-grading-scheme                    noarch  10:svn62505-63.fc38              fedora     768 k
 texlive-gradstudentresume                 noarch  10:svn38832-63.fc38              fedora      18 k
 texlive-grafcet                           noarch  10:svn22509.1.3.5-63.fc38        fedora      17 k
 texlive-grant                             noarch  10:svn56852-63.fc38              fedora     124 k
 texlive-graph35                           noarch  10:svn65138-63.fc38              fedora     2.2 M
 texlive-graphbox                          noarch  10:svn46360-63.fc38              fedora      18 k
 texlive-graphics                          noarch  10:svn64892-63.fc38              fedora      39 k
 texlive-graphics-cfg                      noarch  10:svn41448-63.fc38              fedora      12 k
 texlive-graphics-def                      noarch  10:svn64487-63.fc38              fedora      28 k
 texlive-graphicscache                     noarch  10:svn65318-63.fc38              fedora     185 k
 texlive-graphicx-psmin                    noarch  10:svn56931-63.fc38              fedora      16 k
 texlive-graphicxbox                       noarch  10:svn32630.1.0-63.fc38          fedora      15 k
 texlive-graphicxpsd                       noarch  10:svn57341-63.fc38              fedora     430 k
 texlive-graphpaper                        noarch  10:svn63116-63.fc38              fedora     435 k
 texlive-graphviz                          noarch  10:svn31517.0.94-63.fc38         fedora      18 k
 texlive-grayhints                         noarch  10:svn49052-63.fc38              fedora     502 k
 texlive-greek-fontenc                     noarch  10:svn63604-63.fc38              fedora      50 k
 texlive-greek-inputenc                    noarch  10:svn51612-63.fc38              fedora      23 k
 texlive-greenpoint                        noarch  10:svn15878.0-63.fc38            fedora      17 k
 texlive-grfext                            noarch  10:svn53024-63.fc38              fedora     317 k
 texlive-grffile                           noarch  10:svn52756-63.fc38              fedora     360 k
 texlive-grfpaste                          noarch  10:svn17354.0.2-63.fc38          fedora      16 k
 texlive-grid                              noarch  10:svn61719-63.fc38              fedora      17 k
 texlive-grid-system                       noarch  10:svn32981.0.3.0-63.fc38        fedora      15 k
 texlive-gridpapers                        noarch  10:svn58723-63.fc38              fedora     246 k
 texlive-gridset                           noarch  10:svn53762-63.fc38              fedora      16 k
 texlive-gridslides                        noarch  10:svn54512-63.fc38              fedora     580 k
 texlive-grotesq                           noarch  10:svn35859.0-63.fc38            fedora      73 k
 texlive-grundgesetze                      noarch  10:svn58997-63.fc38              fedora      19 k
 texlive-gs1                               noarch  10:svn59620-63.fc38              fedora      20 k
 texlive-gsemthesis                        noarch  10:svn56291-63.fc38              fedora      19 k
 texlive-gsftopk                           x86_64  10:svn52851-58.fc38              copr_base   35 k
 texlive-gtl                               noarch  10:svn49527-63.fc38              fedora      17 k
 texlive-gtrlib-largetrees                 noarch  10:svn49062-63.fc38              fedora     321 k
 texlive-gu                                noarch  10:svn15878.0-63.fc38            fedora      20 k
 texlive-gudea                             noarch  10:svn57359-63.fc38              fedora     265 k
 texlive-guitlogo                          noarch  10:svn55741-63.fc38              fedora      17 k
 texlive-gzt                               noarch  10:svn63591-63.fc38              fedora      53 k
 texlive-h2020proposal                     noarch  10:svn38428-63.fc38              fedora      29 k
 texlive-ha-prosper                        noarch  10:svn59651-63.fc38              fedora      50 k
 texlive-hackthefootline                   noarch  10:svn46494-63.fc38              fedora     198 k
 texlive-hacm                              noarch  10:svn27671.0.1-63.fc38          fedora     253 k
 texlive-hagenberg-thesis                  noarch  10:svn56798-63.fc38              fedora     3.8 M
 texlive-halloweenmath                     noarch  10:svn52602-63.fc38              fedora     886 k
 texlive-hamnosys                          noarch  10:svn61941-63.fc38              fedora     214 k
 texlive-handin                            noarch  10:svn48255-63.fc38              fedora     447 k
 texlive-handout                           noarch  10:svn43962-63.fc38              fedora      18 k
 texlive-handoutwithnotes                  noarch  10:svn62140-63.fc38              fedora     328 k
 texlive-hands                             noarch  10:svn13293.0-63.fc38            fedora      14 k
 texlive-hang                              noarch  10:svn43280-63.fc38              fedora      17 k
 texlive-hanging                           noarch  10:svn15878.1.2b-63.fc38         fedora      18 k
 texlive-har2nat                           noarch  10:svn54080-63.fc38              fedora      15 k
 texlive-hardwrap                          noarch  10:svn21396.0.2-63.fc38          fedora      19 k
 texlive-harnon-cv                         noarch  10:svn26543.1.0-63.fc38          fedora      13 k
 texlive-harpoon                           noarch  10:svn21327.1.0-63.fc38          fedora      12 k
 texlive-harvard                           noarch  10:svn15878.2.0.5-63.fc38        fedora      31 k
 texlive-harveyballs                       noarch  10:svn32003.1.1-63.fc38          fedora      23 k
 texlive-harvmac                           noarch  10:svn15878.0-63.fc38            fedora      15 k
 texlive-hc                                noarch  10:svn15878.0-63.fc38            fedora      24 k
 texlive-he-she                            noarch  10:svn41359-63.fc38              fedora      19 k
 texlive-hecthese                          noarch  10:svn60455-63.fc38              fedora     898 k
 texlive-helmholtz-ellis-ji-notation       noarch  10:svn55213-63.fc38              fedora     104 k
 texlive-helvetic                          noarch  10:svn61719-63.fc38              fedora     655 k
 texlive-hep                               noarch  10:svn15878.1.0-63.fc38          fedora      16 k
 texlive-hep-acronym                       noarch  10:svn64890-63.fc38              fedora     479 k
 texlive-hep-bibliography                  noarch  10:svn64888-63.fc38              fedora     492 k
 texlive-hep-float                         noarch  10:svn64904-63.fc38              fedora     464 k
 texlive-hep-math                          noarch  10:svn64905-63.fc38              fedora     611 k
 texlive-hep-paper                         noarch  10:svn64917-63.fc38              fedora     693 k
 texlive-hep-reference                     noarch  10:svn64853-63.fc38              fedora     460 k
 texlive-hep-text                          noarch  10:svn64906-63.fc38              fedora     507 k
 texlive-hep-title                         noarch  10:svn64907-63.fc38              fedora     514 k
 texlive-hepnames                          noarch  10:svn35722.2.0-63.fc38          fedora      21 k
 texlive-hepparticles                      noarch  10:svn35723.2.0-63.fc38          fedora      18 k
 texlive-hepthesis                         noarch  10:svn46054-63.fc38              fedora      21 k
 texlive-hepunits                          noarch  10:svn54758-63.fc38              fedora      15 k
 texlive-here                              noarch  10:svn16135.0-63.fc38            fedora      12 k
 texlive-hereapplies                       noarch  10:svn65251-63.fc38              fedora     427 k
 texlive-heuristica                        noarch  10:svn51362-63.fc38              fedora     1.2 M
 texlive-hf-tikz                           noarch  10:svn34733.0.3a-63.fc38         fedora      19 k
 texlive-hfbright                          noarch  10:svn29349.0-63.fc38            fedora     841 k
 texlive-hfoldsty                          noarch  10:svn29349.1.15-63.fc38         fedora     431 k
 texlive-hfutexam                          noarch  10:svn65223-63.fc38              fedora     483 k
 texlive-hfutthesis                        noarch  10:svn64025-63.fc38              fedora     825 k
 texlive-hhtensor                          noarch  10:svn54080-63.fc38              fedora      15 k
 texlive-hideanswer                        noarch  10:svn63852-63.fc38              fedora     129 k
 texlive-highlightlatex                    noarch  10:svn58392-63.fc38              fedora     230 k
 texlive-hindmadurai                       noarch  10:svn57360-63.fc38              fedora     1.3 M
 texlive-histogr                           noarch  10:svn15878.1.01-63.fc38         fedora      17 k
 texlive-historische-zeitschrift           noarch  10:svn42635-63.fc38              fedora      19 k
 texlive-hitec                             noarch  10:svn15878.0.0_beta_-63.fc38    fedora      19 k
 texlive-hithesis                          noarch  10:svn64005-63.fc38              fedora     1.0 M
 texlive-hitreport                         noarch  10:svn58357-63.fc38              fedora     1.3 M
 texlive-hitszbeamer                       noarch  10:svn54381-63.fc38              fedora     1.1 M
 texlive-hitszthesis                       noarch  10:svn61073-63.fc38              fedora     1.6 M
 texlive-hletter                           noarch  10:svn30002.4.2-63.fc38          fedora      24 k
 texlive-hobby                             noarch  10:svn44474-63.fc38              fedora      26 k
 texlive-hobete                            noarch  10:svn27036.0-63.fc38            fedora      20 k
 texlive-hobsub                            noarch  10:svn52810-63.fc38              fedora      77 k
 texlive-hologo                            noarch  10:svn61719-63.fc38              fedora     586 k
 texlive-hopatch                           noarch  10:svn56106-63.fc38              fedora     312 k
 texlive-hpsdiss                           noarch  10:svn15878.1.0-63.fc38          fedora      21 k
 texlive-href-ul                           noarch  10:svn64880-63.fc38              fedora     274 k
 texlive-hrefhide                          noarch  10:svn22255.1.0f-63.fc38         fedora      19 k
 texlive-hu-berlin-bundle                  noarch  10:svn57580-63.fc38              fedora     239 k
 texlive-huawei                            noarch  10:svn65264-63.fc38              fedora     776 k
 texlive-hustthesis                        noarch  10:svn42547-63.fc38              fedora     788 k
 texlive-hvextern                          noarch  10:svn63711-63.fc38              fedora     1.1 M
 texlive-hvfloat                           noarch  10:svn65069-63.fc38              fedora      26 k
 texlive-hvindex                           noarch  10:svn46051-63.fc38              fedora      15 k
 texlive-hvlogos                           noarch  10:svn63261-63.fc38              fedora     104 k
 texlive-hvpygmentex                       noarch  10:svn62405-63.fc38              fedora      42 k
 texlive-hvqrurl                           noarch  10:svn59256-63.fc38              fedora     120 k
 texlive-hwemoji                           noarch  10:svn65001-63.fc38              fedora     4.3 M
 texlive-hycolor                           noarch  10:svn53584-63.fc38              fedora     383 k
 texlive-hypdestopt                        noarch  10:svn56253-63.fc38              fedora     336 k
 texlive-hypdoc                            noarch  10:svn63808-63.fc38              fedora     334 k
 texlive-hypdvips                          noarch  10:svn53197-63.fc38              fedora      40 k
 texlive-hyper                             noarch  10:svn17357.4.2d-63.fc38         fedora      38 k
 texlive-hyperbar                          noarch  10:svn48147-63.fc38              fedora     448 k
 texlive-hypernat                          noarch  10:svn17358.1.0b-63.fc38         fedora      17 k
 texlive-hyperref                          noarch  10:svn65014-63.fc38              fedora     119 k
 texlive-hyperxmp                          x86_64  10:svn57004-58.fc38              copr_base  1.1 M
 texlive-hyph-utf8                         noarch  10:svn61719-63.fc38              fedora      29 k
 texlive-hyphen-base                       noarch  10:svn62751-63.fc38              fedora      33 k
 texlive-hyphen-polish                     noarch  10:svn58609-63.fc38              fedora      26 k
 texlive-hyphenat                          noarch  10:svn15878.2.3c-63.fc38         fedora      18 k
 texlive-hyphenex                          noarch  10:svn57387-63.fc38              fedora      19 k
 texlive-ibarra                            noarch  10:svn64567-63.fc38              fedora     1.4 M
 texlive-ibrackets                         noarch  10:svn65312-63.fc38              fedora      97 k
 texlive-icite                             noarch  10:svn54512-63.fc38              fedora     289 k
 texlive-icsv                              noarch  10:svn15878.0.2-63.fc38          fedora      17 k
 texlive-identkey                          noarch  10:svn61719-63.fc38              fedora      23 k
 texlive-idxcmds                           noarch  10:svn54554-63.fc38              fedora      18 k
 texlive-idxlayout                         noarch  10:svn25821.0.4d-63.fc38         fedora      16 k
 texlive-ieeeconf                          noarch  10:svn59665-63.fc38              fedora     192 k
 texlive-ieeepes                           noarch  10:svn17359.4.0-63.fc38          fedora      23 k
 texlive-ieeetran                          noarch  10:svn59672-63.fc38              fedora     589 k
 texlive-ietfbibs-doc                      noarch  10:svn41332-63.fc38              fedora      15 k
 texlive-iexec                             noarch  10:svn64908-63.fc38              fedora     336 k
 texlive-ifallfalse                        noarch  10:svn60027-63.fc38              fedora     187 k
 texlive-iffont                            noarch  10:svn38823-63.fc38              fedora      17 k
 texlive-ifmslide                          noarch  10:svn20727.0.47-63.fc38         fedora     287 k
 texlive-ifmtarg                           noarch  10:svn47544-63.fc38              fedora      14 k
 texlive-ifnextok                          noarch  10:svn23379.0.3-63.fc38          fedora      27 k
 texlive-ifoddpage                         noarch  10:svn64967-63.fc38              fedora      18 k
 texlive-ifplatform                        noarch  10:svn45533-63.fc38              fedora      16 k
 texlive-ifsym                             noarch  10:svn24868.0-63.fc38            fedora      32 k
 texlive-iftex                             noarch  10:svn61910-63.fc38              fedora      20 k
 texlive-ifthenx                           noarch  10:svn25819.0.1a-63.fc38         fedora      17 k
 texlive-iitem                             noarch  10:svn29613.1.0-63.fc38          fedora      15 k
 texlive-ijmart                            noarch  10:svn30958.1.7-63.fc38          fedora      24 k
 texlive-ijqc                              noarch  10:svn15878.1.2-63.fc38          fedora      19 k
 texlive-ijsra                             noarch  10:svn44886-63.fc38              fedora     704 k
 texlive-imac                              noarch  10:svn17347.0-63.fc38            fedora      22 k
 texlive-image-gallery                     noarch  10:svn15878.v1.0j-63.fc38        fedora      16 k
 texlive-imakeidx                          noarch  10:svn42287-63.fc38              fedora      20 k
 texlive-imfellenglish                     noarch  10:svn64568-63.fc38              fedora     3.1 M
 texlive-import                            noarch  10:svn54683-63.fc38              fedora      13 k
 texlive-imtekda                           noarch  10:svn17667.1.7-63.fc38          fedora      18 k
 texlive-incgraph                          noarch  10:svn60810-63.fc38              fedora      21 k
 texlive-includernw                        noarch  10:svn47557-63.fc38              fedora     172 k
 texlive-inconsolata                       noarch  10:svn54512-63.fc38              fedora     365 k
 texlive-index                             noarch  10:svn24099.4.1beta-63.fc38      fedora      22 k
 texlive-indextools                        noarch  10:svn38931-63.fc38              fedora      21 k
 texlive-infwarerr                         noarch  10:svn53023-63.fc38              fedora     294 k
 texlive-initials                          noarch  10:svn54080-63.fc38              fedora     3.0 M
 texlive-inkpaper                          noarch  10:svn54080-63.fc38              fedora     296 k
 texlive-inline-images                     noarch  10:svn61719-63.fc38              fedora     135 k
 texlive-inlinebib                         noarch  10:svn22018.0-63.fc38            fedora      20 k
 texlive-inlinedef                         noarch  10:svn15878.1.0-63.fc38          fedora      17 k
 texlive-inlinelabel                       noarch  10:svn63853-63.fc38              fedora     166 k
 texlive-inputenx                          noarch  10:svn52986-63.fc38              fedora     827 k
 texlive-inputtrc                          noarch  10:svn28019.0.3-63.fc38          fedora      19 k
 texlive-inriafonts                        noarch  10:svn54512-63.fc38              fedora     2.6 M
 texlive-intcalc                           noarch  10:svn53168-63.fc38              fedora     431 k
 texlive-inter                             noarch  10:svn58892-63.fc38              fedora     6.8 M
 texlive-interactiveworkbook               noarch  10:svn15878.0-63.fc38            fedora      19 k
 texlive-interfaces                        noarch  10:svn21474.3.1-63.fc38          fedora      52 k
 texlive-interval                          noarch  10:svn50265-63.fc38              fedora      18 k
 texlive-intopdf                           noarch  10:svn63987-63.fc38              fedora     386 k
 texlive-inversepath                       noarch  10:svn15878.0.2-63.fc38          fedora      18 k
 texlive-invoice                           noarch  10:svn48359-63.fc38              fedora      24 k
 texlive-invoice-class                     noarch  10:svn49749-63.fc38              fedora     120 k
 texlive-invoice2                          noarch  10:svn46364-63.fc38              fedora     624 k
 texlive-iodhbwm                           noarch  10:svn57773-63.fc38              fedora     3.0 M
 texlive-ionumbers                         noarch  10:svn33457.0.3.3-63.fc38        fedora      20 k
 texlive-iopart-num                        noarch  10:svn15878.2.1-63.fc38          fedora      21 k
 texlive-ipaex                             noarch  10:svn61719-63.fc38              fedora      16 M
 texlive-ipaex-type1                       noarch  10:svn47700-63.fc38              fedora      13 M
 texlive-is-bst                            noarch  10:svn52623-63.fc38              fedora      34 k
 texlive-iscram                            noarch  10:svn45801-63.fc38              fedora     282 k
 texlive-iso                               noarch  10:svn15878.2.4-63.fc38          fedora      29 k
 texlive-iso10303                          noarch  10:svn15878.1.5-63.fc38          fedora      72 k
 texlive-isodate                           noarch  10:svn16613.2.28-63.fc38         fedora      22 k
 texlive-isodoc                            noarch  10:svn59709-63.fc38              fedora      26 k
 texlive-isomath                           noarch  10:svn27654.0.6.1-63.fc38        fedora      18 k
 texlive-isonums                           noarch  10:svn17362.1.0-63.fc38          fedora      16 k
 texlive-isopt                             noarch  10:svn45509-63.fc38              fedora      78 k
 texlive-isorot                            noarch  10:svn15878.0-63.fc38            fedora      16 k
 texlive-isotope                           noarch  10:svn23711.v0.3-63.fc38         fedora      15 k
 texlive-issuulinks                        noarch  10:svn25742.1.1-63.fc38          fedora      19 k
 texlive-istgame                           noarch  10:svn62946-63.fc38              fedora     865 k
 texlive-itnumpar                          noarch  10:svn15878.1.0-63.fc38          fedora      16 k
 texlive-iwhdp                             noarch  10:svn37552.0.50-63.fc38         fedora      26 k
 texlive-iwona                             noarch  10:svn19611.0.995b-63.fc38       fedora     4.1 M
 texlive-jablantile                        noarch  10:svn16364.0-63.fc38            fedora      14 k
 texlive-jacow                             noarch  10:svn63060-63.fc38              fedora      23 k
 texlive-jamtimes                          noarch  10:svn20408.1.12-63.fc38         fedora      97 k
 texlive-japanese-otf                      noarch  10:svn64072-63.fc38              fedora     1.4 M
 texlive-jbact                             noarch  10:svn52717-63.fc38              fedora      20 k
 texlive-jkmath                            noarch  10:svn47109-63.fc38              fedora     142 k
 texlive-jknapltx                          noarch  10:svn19440.0-63.fc38            fedora      26 k
 texlive-jlabels                           noarch  10:svn24858.0-63.fc38            fedora      12 k
 texlive-jmb                               noarch  10:svn52718-63.fc38              fedora      20 k
 texlive-jmlr                              noarch  10:svn61957-63.fc38              fedora      34 k
 texlive-jmsdelim                          noarch  10:svn62630-63.fc38              fedora     409 k
 texlive-jneurosci                         noarch  10:svn17346.1.00-63.fc38         fedora      20 k
 texlive-jnuexam                           noarch  10:svn56867-63.fc38              fedora     608 k
 texlive-jobname-suffix                    noarch  10:svn64797-63.fc38              fedora     445 k
 texlive-josefin                           noarch  10:svn64569-63.fc38              fedora     1.3 M
 texlive-jourcl                            noarch  10:svn65290-63.fc38              fedora     129 k
 texlive-jpsj                              noarch  10:svn15878.1.2.2-63.fc38        fedora      21 k
 texlive-jslectureplanner                  noarch  10:svn57095-63.fc38              fedora      30 k
 texlive-jumplines                         noarch  10:svn37553.0.2-63.fc38          fedora      20 k
 texlive-junicode                          noarch  10:svn61719-63.fc38              fedora     1.1 M
 texlive-jupynotex                         noarch  10:svn56715-63.fc38              fedora      42 k
 texlive-jurabib                           noarch  10:svn15878.0.6-63.fc38          fedora      74 k
 texlive-jvlisting                         noarch  10:svn24638.0.7-63.fc38          fedora      16 k
 texlive-kalendarium                       noarch  10:svn48744-63.fc38              fedora     374 k
 texlive-kantlipsum                        noarch  10:svn51727-63.fc38              fedora      40 k
 texlive-karnaugh                          noarch  10:svn21338.0-63.fc38            fedora      18 k
 texlive-karnaugh-map                      noarch  10:svn61614-63.fc38              fedora     295 k
 texlive-karnaughmap                       noarch  10:svn36989.2.0-63.fc38          fedora      18 k
 texlive-kastrup                           noarch  10:svn15878.0-63.fc38            fedora      12 k
 texlive-kblocks                           noarch  10:svn57617-63.fc38              fedora     349 k
 texlive-kdgdocs                           noarch  10:svn24498.1.0-63.fc38          fedora      20 k
 texlive-kdpcover                          noarch  10:svn65150-63.fc38              fedora     371 k
 texlive-kerntest                          noarch  10:svn15878.1.32-63.fc38         fedora      26 k
 texlive-keycommand                        noarch  10:svn18042.3.1415-63.fc38       fedora      18 k
 texlive-keyfloat                          noarch  10:svn61561-63.fc38              fedora     1.0 M
 texlive-keyindex                          noarch  10:svn50828-63.fc38              fedora     159 k
 texlive-keyparse                          noarch  10:svn60277-63.fc38              fedora     561 k
 texlive-keyreader                         noarch  10:svn28195.0.5b-63.fc38         fedora      25 k
 texlive-keystroke                         noarch  10:svn17992.v1.6-63.fc38         fedora      22 k
 texlive-keyval2e                          noarch  10:svn23698.0.0.2-63.fc38        fedora      19 k
 texlive-keyvaltable                       noarch  10:svn54677-63.fc38              fedora      23 k
 texlive-kfupm-math-exam                   noarch  10:svn63977-63.fc38              fedora      77 k
 texlive-kinematikz                        noarch  10:svn61392-63.fc38              fedora      45 k
 texlive-kix                               noarch  10:svn21606.0-63.fc38            fedora      15 k
 texlive-kixfont                           noarch  10:svn18488.0-63.fc38            fedora      12 k
 texlive-kluwer                            noarch  10:svn54074-63.fc38              fedora      72 k
 texlive-knitting                          noarch  10:svn50782-63.fc38              fedora     605 k
 texlive-knittingpattern                   noarch  10:svn17205.0-63.fc38            fedora      18 k
 texlive-knowledge                         noarch  10:svn61991-63.fc38              fedora     826 k
 texlive-knuth-lib                         noarch  10:svn57963-63.fc38              fedora      52 k
 texlive-knuth-local                       noarch  10:svn57963-63.fc38              fedora      45 k
 texlive-koma-moderncvclassic              noarch  10:svn25025.v0.5-63.fc38         fedora      20 k
 texlive-koma-script                       noarch  10:svn64685-63.fc38              fedora     6.4 M
 texlive-koma-script-sfs                   noarch  10:svn26137.1.0-63.fc38          fedora      17 k
 texlive-komacv                            noarch  10:svn57721-63.fc38              fedora      24 k
 texlive-komacv-rg                         noarch  10:svn49064-63.fc38              fedora     848 k
 texlive-kpathsea                          x86_64  10:svn64475-58.fc38              copr_base  1.1 M
 texlive-kpfonts                           noarch  10:svn64440-63.fc38              fedora     2.7 M
 texlive-kpfonts-otf                       noarch  10:svn65082-63.fc38              fedora     3.2 M
 texlive-ksfh_nat                          noarch  10:svn24825.1.1-63.fc38          fedora      20 k
 texlive-ksp-thesis                        noarch  10:svn39080-63.fc38              fedora      21 k
 texlive-ktv-texdata                       noarch  10:svn27369.05.34-63.fc38        fedora      18 k
 texlive-ku-template                       noarch  10:svn45935-63.fc38              fedora     233 k
 texlive-kurier                            noarch  10:svn19612.0.995b-63.fc38       fedora     4.0 M
 texlive-kvdefinekeys                      noarch  10:svn53193-63.fc38              fedora     305 k
 texlive-kvmap                             noarch  10:svn56361-63.fc38              fedora     110 k
 texlive-kvoptions                         noarch  10:svn63622-63.fc38              fedora     493 k
 texlive-kvsetkeys                         noarch  10:svn64632-63.fc38              fedora     398 k
 texlive-l3backend                         noarch  10:svn64836-63.fc38              fedora     896 k
 texlive-l3build                           noarch  10:svn64402-58.fc38              copr_base  762 k
 texlive-l3experimental                    noarch  10:svn63089-63.fc38              fedora      43 k
 texlive-l3kernel                          noarch  10:svn65299-63.fc38              fedora     201 k
 texlive-l3packages                        noarch  10:svn65300-63.fc38              fedora      40 k
 texlive-labbook                           noarch  10:svn15878.0-63.fc38            fedora      18 k
 texlive-labels                            noarch  10:svn15878.13-63.fc38           fedora      18 k
 texlive-labels4easylist                   noarch  10:svn51124-63.fc38              fedora      36 k
 texlive-labelschanged                     noarch  10:svn46040-63.fc38              fedora     201 k
 texlive-ladder                            noarch  10:svn44394-63.fc38              fedora     219 k
 texlive-lambda-lists                      noarch  10:svn31402.0-63.fc38            fedora      15 k
 texlive-lambdax                           noarch  10:svn60278-63.fc38              fedora     543 k
 texlive-langcode                          noarch  10:svn27764.0.2-63.fc38          fedora      19 k
 texlive-langsci                           noarch  10:svn62061-63.fc38              fedora      90 k
 texlive-langsci-avm                       noarch  10:svn55846-63.fc38              fedora     128 k
 texlive-lapdf                             noarch  10:svn23806.1.1-63.fc38          fedora      27 k
 texlive-lastpackage                       noarch  10:svn34481.0.1-63.fc38          fedora      17 k
 texlive-lastpage                          noarch  10:svn60414-63.fc38              fedora      20 k
 texlive-latex                             noarch  10:svn63825-58.fc38              copr_base   29 M
 texlive-latex-base-dev                    noarch  10:svn65180-63.fc38              fedora      30 M
 texlive-latex-firstaid-dev                noarch  10:svn65181-63.fc38              fedora     277 k
 texlive-latex-fonts                       noarch  10:svn28888.0-63.fc38            fedora      49 k
 texlive-latex-lab                         noarch  10:svn64892-63.fc38              fedora     1.8 M
 texlive-latex-make                        noarch  10:svn60874-63.fc38              fedora      38 k
 texlive-latex-uni8                        noarch  10:svn49729-63.fc38              fedora      25 k
 texlive-latexbug                          noarch  10:svn63596-63.fc38              fedora     237 k
 texlive-latexcolors                       noarch  10:svn49888-63.fc38              fedora     388 k
 texlive-latexconfig                       noarch  10:svn53525-63.fc38              fedora      15 k
 texlive-latexdemo                         noarch  10:svn55265-63.fc38              fedora      19 k
 texlive-latexgit                          noarch  10:svn54811-63.fc38              fedora     446 k
 texlive-lato                              noarch  10:svn54512-63.fc38              fedora      13 M
 texlive-layouts                           noarch  10:svn42428-63.fc38              fedora      29 k
 texlive-lazylist                          noarch  10:svn17691.1.0a-63.fc38         fedora      16 k
 texlive-lccaps                            noarch  10:svn46432-63.fc38              fedora     163 k
 texlive-lcd                               noarch  10:svn16549.0.3-63.fc38          fedora      17 k
 texlive-lcg                               noarch  10:svn31474.1.3-63.fc38          fedora      16 k
 texlive-leading                           noarch  10:svn15878.0.3-63.fc38          fedora      15 k
 texlive-leaflet                           noarch  10:svn56878-63.fc38              fedora      19 k
 texlive-lebhart                           noarch  10:svn64280-63.fc38              fedora     403 k
 texlive-lectures                          noarch  10:svn53642-63.fc38              fedora      18 k
 texlive-lectureslides                     noarch  10:svn62292-63.fc38              fedora      59 k
 texlive-leftidx                           noarch  10:svn15878.0-63.fc38            fedora      14 k
 texlive-leftindex                         noarch  10:svn56182-63.fc38              fedora      90 k
 texlive-leipzig                           noarch  10:svn52450-63.fc38              fedora      22 k
 texlive-lengthconvert                     noarch  10:svn55064-63.fc38              fedora      18 k
 texlive-letltxmacro                       noarch  10:svn53022-63.fc38              fedora     299 k
 texlive-letterswitharrows                 noarch  10:svn59993-63.fc38              fedora     486 k
 texlive-lettre                            noarch  10:svn54722-63.fc38              fedora      43 k
 texlive-lettrine                          noarch  10:svn64511-63.fc38              fedora      25 k
 texlive-lewis                             noarch  10:svn15878.0.1-63.fc38          fedora      12 k
 texlive-lexend                            noarch  10:svn57564-63.fc38              fedora     373 k
 texlive-lfb                               noarch  10:svn15878.1.0-63.fc38          fedora      52 k
 texlive-lhelp                             noarch  10:svn23638.2.0-63.fc38          fedora      21 k
 texlive-lib                               x86_64  10:20220321-58.fc38              copr_base  488 k
 texlive-libertine                         noarch  10:svn64359-63.fc38              fedora      14 M
 texlive-libertinegc                       noarch  10:svn44616-63.fc38              fedora      94 k
 texlive-libertinus                        noarch  10:svn61719-63.fc38              fedora      13 k
 texlive-libertinus-fonts                  noarch  10:svn57948-63.fc38              fedora     2.3 M
 texlive-libertinus-otf                    noarch  10:svn60023-63.fc38              fedora     599 k
 texlive-libertinus-type1                  noarch  10:svn64958-63.fc38              fedora      10 M
 texlive-libertinust1math                  noarch  10:svn61751-63.fc38              fedora     1.2 M
 texlive-libgreek                          noarch  10:svn65004-63.fc38              fedora      21 k
 texlive-librebaskerville                  noarch  10:svn64421-63.fc38              fedora     642 k
 texlive-librebodoni                       noarch  10:svn64431-63.fc38              fedora     610 k
 texlive-librecaslon                       noarch  10:svn64432-63.fc38              fedora     831 k
 texlive-librefranklin                     noarch  10:svn64441-63.fc38              fedora     3.1 M
 texlive-libris                            noarch  10:svn19409.1.007-63.fc38        fedora     278 k
 texlive-lie-hasse                         noarch  10:svn61719-63.fc38              fedora     587 k
 texlive-liftarm                           noarch  10:svn62981-63.fc38              fedora     1.8 M
 texlive-limap                             noarch  10:svn44863-63.fc38              fedora      18 k
 texlive-limecv                            noarch  10:svn61199-63.fc38              fedora     391 k
 texlive-lineara                           noarch  10:svn63169-63.fc38              fedora     164 k
 texlive-linegoal                          noarch  10:svn21523.2.9-63.fc38          fedora      18 k
 texlive-lineno                            noarch  10:svn65204-63.fc38              fedora      75 k
 texlive-linguex                           noarch  10:svn30815.4.3-63.fc38          fedora      21 k
 texlive-linguisticspro                    noarch  10:svn64858-63.fc38              fedora     1.5 M
 texlive-linop                             noarch  10:svn41304-63.fc38              fedora      17 k
 texlive-lion-msc                          noarch  10:svn55415-63.fc38              fedora     1.4 M
 texlive-lipsum                            noarch  10:svn60561-63.fc38              fedora     138 k
 texlive-lisp-on-tex                       noarch  10:svn38722-63.fc38              fedora      26 k
 texlive-listbib                           noarch  10:svn29349-58.fc38              copr_base  357 k
 texlive-listing                           noarch  10:svn17373.1.2-63.fc38          fedora      15 k
 texlive-listings                          noarch  10:svn55265-63.fc38              fedora     166 k
 texlive-listingsutf8                      noarch  10:svn53097-63.fc38              fedora     308 k
 texlive-listlbls                          noarch  10:svn34893.1.03-63.fc38         fedora      18 k
 texlive-listliketab                       noarch  10:svn15878.0-63.fc38            fedora      15 k
 texlive-listofitems                       noarch  10:svn51923-63.fc38              fedora     806 k
 texlive-listofsymbols                     noarch  10:svn16134.0.2-63.fc38          fedora      16 k
 texlive-lkproof                           noarch  10:svn20021.3.1-63.fc38          fedora      17 k
 texlive-llncs                             noarch  10:svn64299-63.fc38              fedora     236 k
 texlive-llncsconf                         noarch  10:svn63136-63.fc38              fedora     281 k
 texlive-lm                                noarch  10:svn61719-63.fc38              fedora      12 M
 texlive-lm-math                           noarch  10:svn36915.1.959-63.fc38        fedora     447 k
 texlive-lmake                             noarch  10:svn25552.1.0-63.fc38          fedora      17 k
 texlive-lni                               noarch  10:svn58061-63.fc38              fedora     387 k
 texlive-lobster2                          noarch  10:svn64442-63.fc38              fedora     572 k
 texlive-locality                          noarch  10:svn20422.0.2-63.fc38          fedora      19 k
 texlive-logbox                            noarch  10:svn24499.1.0-63.fc38          fedora      18 k
 texlive-logical-markup-utils              noarch  10:svn15878.0-63.fc38            fedora      23 k
 texlive-logicproof                        noarch  10:svn33254.0-63.fc38            fedora      18 k
 texlive-logix                             noarch  10:svn63688-63.fc38              fedora     3.3 M
 texlive-logpap                            noarch  10:svn15878.0.6-63.fc38          fedora      20 k
 texlive-logreq                            noarch  10:svn53003-63.fc38              fedora      20 k
 texlive-longdivision                      noarch  10:svn59979-63.fc38              fedora     166 k
 texlive-longfbox                          noarch  10:svn39028-63.fc38              fedora      33 k
 texlive-longfigure                        noarch  10:svn34302.1.0-63.fc38          fedora      21 k
 texlive-longnamefilelist                  noarch  10:svn27889.0.2-63.fc38          fedora      20 k
 texlive-loops                             noarch  10:svn30704.1.3-63.fc38          fedora      28 k
 texlive-lpform                            noarch  10:svn36918.0-63.fc38            fedora      15 k
 texlive-lpic                              noarch  10:svn20843.0.8-63.fc38          fedora      22 k
 texlive-lplfitch                          noarch  10:svn31077.0.9-63.fc38          fedora      18 k
 texlive-lps                               noarch  10:svn21322.0.7-63.fc38          fedora      16 k
 texlive-lroundrect                        noarch  10:svn39804-63.fc38              fedora      19 k
 texlive-lsc                               noarch  10:svn15878.0-63.fc38            fedora      28 k
 texlive-lstaddons                         noarch  10:svn64967-63.fc38              fedora      19 k
 texlive-lstbayes                          noarch  10:svn48160-63.fc38              fedora      19 k
 texlive-lstfiracode                       noarch  10:svn49503-63.fc38              fedora      73 k
 texlive-lt3graph                          noarch  10:svn45913-63.fc38              fedora      27 k
 texlive-lt3rawobjects                     noarch  10:svn65230-63.fc38              fedora     550 k
 texlive-ltablex                           noarch  10:svn34923.1.1-63.fc38          fedora      16 k
 texlive-ltabptch                          noarch  10:svn17533.1.74d-63.fc38        fedora      18 k
 texlive-ltb2bib                           noarch  10:svn43746-63.fc38              fedora      60 k
 texlive-ltxcmds                           noarch  10:svn56421-63.fc38              fedora     399 k
 texlive-ltxdockit                         noarch  10:svn21869.1.2d-63.fc38         fedora      20 k
 texlive-ltxguidex                         noarch  10:svn50992-63.fc38              fedora      86 k
 texlive-ltxkeys                           noarch  10:svn28332.0.0.3c-63.fc38       fedora      39 k
 texlive-ltxmisc                           noarch  10:svn21927.0-63.fc38            fedora      27 k
 texlive-ltxnew                            noarch  10:svn21586.1.3-63.fc38          fedora      19 k
 texlive-ltxtools                          noarch  10:svn24897.0.0.1a-63.fc38       fedora      68 k
 texlive-lua-alt-getopt                    noarch  10:svn56414-63.fc38              fedora      12 k
 texlive-lua-check-hyphen                  noarch  10:svn47527-63.fc38              fedora      15 k
 texlive-lua-physical                      noarch  10:svn59138-63.fc38              fedora     786 k
 texlive-lua-uca                           noarch  10:svn61023-63.fc38              fedora     192 k
 texlive-lua-uni-algos                     noarch  10:svn62204-63.fc38              fedora      96 k
 texlive-lua-widow-control                 noarch  10:svn65084-63.fc38              fedora     1.1 M
 texlive-luabibentry                       noarch  10:svn55777-63.fc38              fedora      18 k
 texlive-luabidi                           noarch  10:svn54512-63.fc38              fedora      19 k
 texlive-luacode                           noarch  10:svn25193.1.2a-63.fc38         fedora      19 k
 texlive-luacolor                          noarch  10:svn57829-63.fc38              fedora     338 k
 texlive-luahbtex                          x86_64  10:svn62387-58.fc38              copr_base  2.0 M
 texlive-luainputenc                       noarch  10:svn20491.0.973-63.fc38        fedora      16 k
 texlive-lualatex-math                     noarch  10:svn61464-63.fc38              fedora      20 k
 texlive-lualibs                           noarch  10:svn64615-63.fc38              fedora     159 k
 texlive-luamesh                           noarch  10:svn63875-63.fc38              fedora     280 k
 texlive-luamplib                          noarch  10:svn61587-63.fc38              fedora      29 k
 texlive-luaotfload                        noarch  10:svn64616-58.fc38              copr_base  1.2 M
 texlive-luasseq                           noarch  10:svn37877.0-63.fc38            fedora      24 k
 texlive-luatex                            x86_64  10:svn64839-58.fc38              copr_base  3.8 M
 texlive-luatexbase                        noarch  10:svn52663-63.fc38              fedora      15 k
 texlive-luatexja                          noarch  10:svn65267-63.fc38              fedora     241 k
 texlive-luatextra                         noarch  10:svn20747.1.0.1-63.fc38        fedora      12 k
 texlive-luatodonotes                      noarch  10:svn53825-63.fc38              fedora      42 k
 texlive-luaxml                            noarch  10:svn60709-63.fc38              fedora      45 k
 texlive-lwarp                             noarch  10:svn63905-58.fc38              copr_base  3.2 M
 texlive-lxfonts                           noarch  10:svn32354.2.0b-63.fc38         fedora     949 k
 texlive-ly1                               noarch  10:svn63565-63.fc38              fedora      99 k
 texlive-macrolist                         noarch  10:svn60139-63.fc38              fedora     203 k
 texlive-macroswap                         noarch  10:svn31498.1.1-63.fc38          fedora      16 k
 texlive-magaz                             noarch  10:svn24694.0.4-63.fc38          fedora      14 k
 texlive-magicnum                          noarch  10:svn52983-63.fc38              fedora     333 k
 texlive-magicwatermark                    noarch  10:svn63656-63.fc38              fedora     230 k
 texlive-magra                             noarch  10:svn57373-63.fc38              fedora     242 k
 texlive-mailing                           noarch  10:svn15878.0-63.fc38            fedora      15 k
 texlive-mailmerge                         noarch  10:svn15878.1.0-63.fc38          fedora      17 k
 texlive-make4ht                           noarch  10:svn62953-58.fc38              copr_base  242 k
 texlive-makebarcode                       noarch  10:svn15878.1.0-63.fc38          fedora      19 k
 texlive-makebase                          noarch  10:svn41012-63.fc38              fedora      18 k
 texlive-makebox                           noarch  10:svn15878.0.1-63.fc38          fedora      14 k
 texlive-makecell                          noarch  10:svn15878.0.1e-63.fc38         fedora      18 k
 texlive-makecirc                          noarch  10:svn15878.0-63.fc38            fedora      26 k
 texlive-makecmds                          noarch  10:svn15878.0-63.fc38            fedora      15 k
 texlive-makecookbook                      noarch  10:svn49311-63.fc38              fedora     475 k
 texlive-makedtx                           noarch  10:svn46702-58.fc38              copr_base  282 k
 texlive-makeglos                          noarch  10:svn15878.0-63.fc38            fedora      16 k
 texlive-makeindex                         x86_64  10:svn62517-58.fc38              copr_base  431 k
 texlive-makelabels                        noarch  10:svn60255-63.fc38              fedora     711 k
 texlive-maker                             noarch  10:svn44823-63.fc38              fedora      21 k
 texlive-makerobust                        noarch  10:svn52811-63.fc38              fedora      82 k
 texlive-makeshape                         noarch  10:svn28973.2.1-63.fc38          fedora      18 k
 texlive-mandi                             noarch  10:svn61764-63.fc38              fedora      29 k
 texlive-manfnt                            noarch  10:svn54684-63.fc38              fedora      15 k
 texlive-manfnt-font                       noarch  10:svn45777-63.fc38              fedora      36 k
 texlive-manuscript                        noarch  10:svn36110.1.7-63.fc38          fedora      15 k
 texlive-manyind                           noarch  10:svn49874-63.fc38              fedora     172 k
 texlive-marcellus                         noarch  10:svn64451-63.fc38              fedora     234 k
 texlive-margbib                           noarch  10:svn15878.1.0c-63.fc38         fedora      16 k
 texlive-marginfit                         noarch  10:svn48281-63.fc38              fedora     226 k
 texlive-marginfix                         noarch  10:svn55064-63.fc38              fedora      17 k
 texlive-marginnote                        noarch  10:svn48383-63.fc38              fedora      17 k
 texlive-markdown                          noarch  10:svn65346-63.fc38              fedora      69 k
 texlive-marvosym                          noarch  10:svn29349.2.2a-63.fc38         fedora     146 k
 texlive-matc3                             noarch  10:svn29845.1.0.1-63.fc38        fedora      18 k
 texlive-matc3mem                          noarch  10:svn35773.1.1-63.fc38          fedora      19 k
 texlive-mathabx                           noarch  10:svn15878.0-63.fc38            fedora     146 k
 texlive-mathabx-type1                     noarch  10:svn21129.0-63.fc38            fedora     1.8 M
 texlive-mathalpha                         noarch  10:svn61089-63.fc38              fedora      24 k
 texlive-mathastext                        noarch  10:svn64930-63.fc38              fedora      34 k
 texlive-mathcommand                       noarch  10:svn59512-63.fc38              fedora     460 k
 texlive-mathcomp                          noarch  10:svn15878.0.1f-63.fc38         fedora      14 k
 texlive-mathdesign                        noarch  10:svn31639.2.31-63.fc38         fedora     2.6 M
 texlive-mathexam                          noarch  10:svn15878.1.00-63.fc38         fedora      15 k
 texlive-mathfam256                        noarch  10:svn53519-63.fc38              fedora     100 k
 texlive-mathfixs                          noarch  10:svn49547-63.fc38              fedora     303 k
 texlive-mathfont                          noarch  10:svn65205-63.fc38              fedora     889 k
 texlive-mathlig                           noarch  10:svn54244-63.fc38              fedora      12 k
 texlive-mathpartir                        noarch  10:svn39864-63.fc38              fedora      21 k
 texlive-mathpazo                          noarch  10:svn52663-63.fc38              fedora      89 k
 texlive-mathpunctspace                    noarch  10:svn46754-63.fc38              fedora     197 k
 texlive-mathsemantics                     noarch  10:svn63241-63.fc38              fedora     645 k
 texlive-mathspec                          noarch  10:svn42773-63.fc38              fedora      23 k
 texlive-mathspic                          noarch  10:svn31957-58.fc38              copr_base  2.4 M
 texlive-mathtools                         noarch  10:svn63767-63.fc38              fedora      37 k
 texlive-matlab-prettifier                 noarch  10:svn34323.0.3-63.fc38          fedora      20 k
 texlive-matrix-skeleton                   noarch  10:svn65013-63.fc38              fedora     163 k
 texlive-mattens                           noarch  10:svn62326-63.fc38              fedora      16 k
 texlive-maybemath                         noarch  10:svn15878.0-63.fc38            fedora      15 k
 texlive-mcaption                          noarch  10:svn15878.3.0-63.fc38          fedora      15 k
 texlive-mceinleger                        noarch  10:svn15878.0-63.fc38            fedora      16 k
 texlive-mcexam                            noarch  10:svn60481-63.fc38              fedora     253 k
 texlive-mcite                             noarch  10:svn18173.1.6-63.fc38          fedora      17 k
 texlive-mciteplus                         noarch  10:svn31648.1.2-63.fc38          fedora      48 k
 texlive-mcmthesis                         noarch  10:svn57333-63.fc38              fedora      20 k
 texlive-mdframed                          noarch  10:svn31075.1.9b-63.fc38         fedora      36 k
 texlive-mdputu                            noarch  10:svn20298.1.2-63.fc38          fedora      31 k
 texlive-mdsymbol                          noarch  10:svn28399.0.5-63.fc38          fedora     950 k
 texlive-mdwtools                          noarch  10:svn15878.1.05.4-63.fc38       fedora      35 k
 texlive-mecaso                            noarch  10:svn60346-63.fc38              fedora     240 k
 texlive-media4svg                         noarch  10:svn64686-63.fc38              fedora     194 k
 texlive-media9                            noarch  10:svn64047-63.fc38              fedora     1.8 M
 texlive-medstarbeamer                     noarch  10:svn38828-63.fc38              fedora      19 k
 texlive-meetingmins                       noarch  10:svn31878.1.6-63.fc38          fedora      19 k
 texlive-membranecomputing                 noarch  10:svn64627-63.fc38              fedora     295 k
 texlive-memexsupp                         noarch  10:svn15878.0.1-63.fc38          fedora      15 k
 texlive-memoir                            noarch  10:svn65040-63.fc38              fedora      93 k
 texlive-memory                            noarch  10:svn30452.1.2-63.fc38          fedora      17 k
 texlive-memorygraphs                      noarch  10:svn49631-63.fc38              fedora     203 k
 texlive-mensa-tex                         noarch  10:svn45997-63.fc38              fedora     183 k
 texlive-mentis                            noarch  10:svn15878.1.5-63.fc38          fedora      18 k
 texlive-menu                              noarch  10:svn15878.0.994-63.fc38        fedora      12 k
 texlive-menucard                          noarch  10:svn55643-63.fc38              fedora     188 k
 texlive-menukeys                          noarch  10:svn64314-63.fc38              fedora      21 k
 texlive-mercatormap                       noarch  10:svn56060-63.fc38              fedora      23 M
 texlive-merriweather                      noarch  10:svn64452-63.fc38              fedora     5.9 M
 texlive-messagepassing                    noarch  10:svn63116-63.fc38              fedora     278 k
 texlive-metafont                          x86_64  10:svn62387-58.fc38              copr_base  268 k
 texlive-metalogo                          noarch  10:svn18611.0.12-63.fc38         fedora      16 k
 texlive-metalogox                         noarch  10:svn49774-63.fc38              fedora     435 k
 texlive-metanorma                         noarch  10:svn55010-63.fc38              fedora     101 k
 texlive-metastr                           noarch  10:svn56246-63.fc38              fedora     341 k
 texlive-method                            noarch  10:svn17485.2.0b-63.fc38         fedora      16 k
 texlive-metre                             noarch  10:svn18489.1.0-63.fc38          fedora      24 k
 texlive-mfirstuc                          noarch  10:svn64743-63.fc38              fedora      23 k
 texlive-mflogo                            noarch  10:svn42428-63.fc38              fedora      16 k
 texlive-mflogo-font                       noarch  10:svn54512-63.fc38              fedora      31 k
 texlive-mfnfss                            noarch  10:svn46036-63.fc38              fedora      16 k
 texlive-mftinc                            noarch  10:svn15878.1.0a-63.fc38         fedora      16 k
 texlive-mfware                            x86_64  10:svn62387-58.fc38              copr_base  100 k
 texlive-mgltex                            noarch  10:svn63255-63.fc38              fedora      35 k
 texlive-mhchem                            noarch  10:svn61456-63.fc38              fedora     223 k
 texlive-mhequ                             noarch  10:svn64978-63.fc38              fedora      14 k
 texlive-mi-solns                          noarch  10:svn49651-63.fc38              fedora     466 k
 texlive-miama                             noarch  10:svn54512-63.fc38              fedora     558 k
 texlive-microtype                         noarch  10:svn63708-63.fc38              fedora      81 k
 texlive-midpage                           noarch  10:svn17484.1.1a-63.fc38         fedora      14 k
 texlive-miller                            noarch  10:svn18789.1.2-63.fc38          fedora      15 k
 texlive-milsymb                           noarch  10:svn54361-63.fc38              fedora     1.5 M
 texlive-mindflow                          noarch  10:svn65236-63.fc38              fedora     210 k
 texlive-minibox                           noarch  10:svn30914.0.2a-63.fc38         fedora      15 k
 texlive-minidocument                      noarch  10:svn43752-63.fc38              fedora     200 k
 texlive-minifp                            noarch  10:svn32559.0.96-63.fc38         fedora      27 k
 texlive-minimalist                        noarch  10:svn64280-63.fc38              fedora     133 k
 texlive-minipage-marginpar                noarch  10:svn15878.v0.2-63.fc38         fedora      15 k
 texlive-miniplot                          noarch  10:svn17483.0-63.fc38            fedora      20 k
 texlive-minitoc                           noarch  10:svn61719-63.fc38              fedora     121 k
 texlive-minorrevision                     noarch  10:svn32165.1.1-63.fc38          fedora      16 k
 texlive-minted                            noarch  10:svn65252-63.fc38              fedora      26 k
 texlive-mintspirit                        noarch  10:svn64461-63.fc38              fedora     1.3 M
 texlive-minutes                           noarch  10:svn42186-63.fc38              fedora      19 k
 texlive-mismath                           noarch  10:svn65365-63.fc38              fedora     239 k
 texlive-missaali                          noarch  10:svn61719-63.fc38              fedora      14 M
 texlive-mkpic                             noarch  10:svn33700-58.fc38              copr_base  215 k
 texlive-mla-paper                         noarch  10:svn54080-63.fc38              fedora      16 k
 texlive-mlacls                            noarch  10:svn60508-63.fc38              fedora     2.9 M
 texlive-mleftright                        noarch  10:svn53021-63.fc38              fedora     317 k
 texlive-mlist                             noarch  10:svn15878.0.6a-63.fc38         fedora      17 k
 texlive-mlmodern                          noarch  10:svn57458-63.fc38              fedora     7.5 M
 texlive-mluexercise                       noarch  10:svn56927-63.fc38              fedora     459 k
 texlive-mmap                              noarch  10:svn15878.1.03-63.fc38         fedora      48 k
 texlive-mnotes                            noarch  10:svn63406-63.fc38              fedora      18 k
 texlive-mnras                             noarch  10:svn55729-63.fc38              fedora      42 k
 texlive-mnsymbol                          noarch  10:svn18651.1.4-63.fc38          fedora     4.4 M
 texlive-modeles-factures-belges-assocs    noarch  10:svn50010-63.fc38              fedora     272 k
 texlive-moderncv                          noarch  10:svn62128-63.fc38              fedora      64 k
 texlive-modernposter                      noarch  10:svn47269-63.fc38              fedora     393 k
 texlive-moderntimeline                    noarch  10:svn55518-63.fc38              fedora      19 k
 texlive-modes                             noarch  10:svn61719-63.fc38              fedora     305 k
 texlive-modiagram                         noarch  10:svn56886-63.fc38              fedora      25 k
 texlive-modref                            noarch  10:svn15878.1.0-63.fc38          fedora      16 k
 texlive-modroman                          noarch  10:svn29803.1-63.fc38            fedora      16 k
 texlive-modular                           noarch  10:svn44142-63.fc38              fedora     159 k
 texlive-monofill                          noarch  10:svn28140.0.2-63.fc38          fedora      20 k
 texlive-montserrat                        noarch  10:svn54512-63.fc38              fedora      14 M
 texlive-moodle                            noarch  10:svn57683-63.fc38              fedora      45 k
 texlive-moreenum                          noarch  10:svn24479.1.03-63.fc38         fedora      19 k
 texlive-morefloats                        noarch  10:svn37927.1.0h-63.fc38         fedora      21 k
 texlive-morehype                          noarch  10:svn38815-63.fc38              fedora      79 k
 texlive-moresize                          noarch  10:svn17513.1.9-63.fc38          fedora      15 k
 texlive-moreverb                          noarch  10:svn22126.2.3a-63.fc38         fedora      16 k
 texlive-morewrites                        noarch  10:svn49531-63.fc38              fedora      22 k
 texlive-movie15                           noarch  10:svn26473-63.fc38              fedora     512 k
 texlive-mparhack                          noarch  10:svn59066-63.fc38              fedora      17 k
 texlive-mpfonts                           noarch  10:svn54512-63.fc38              fedora     6.0 M
 texlive-mpostinl                          noarch  10:svn49559-63.fc38              fedora     341 k
 texlive-mptopdf                           noarch  10:svn61520-58.fc38              copr_base   52 k
 texlive-ms                                noarch  10:svn57473-63.fc38              fedora      17 k
 texlive-msc                               noarch  10:svn63291-63.fc38              fedora      33 k
 texlive-msg                               noarch  10:svn49578-63.fc38              fedora      22 k
 texlive-mslapa                            noarch  10:svn54080-63.fc38              fedora      19 k
 texlive-msu-thesis                        noarch  10:svn65282-63.fc38              fedora      29 k
 texlive-mtgreek                           noarch  10:svn17967.1.1+-63.fc38         fedora      15 k
 texlive-mucproc                           noarch  10:svn43445-63.fc38              fedora     346 k
 texlive-mugsthesis                        noarch  10:svn64259-63.fc38              fedora      19 k
 texlive-muling                            noarch  10:svn61719-63.fc38              fedora     158 k
 texlive-multenum                          noarch  10:svn21775.0-63.fc38            fedora      15 k
 texlive-multiaudience                     noarch  10:svn60688-63.fc38              fedora      18 k
 texlive-multibbl                          noarch  10:svn15878.v1.1-63.fc38         fedora      15 k
 texlive-multibib                          noarch  10:svn15878.1.4-63.fc38          fedora      31 k
 texlive-multibibliography                 noarch  10:svn30939-58.fc38              copr_base  466 k
 texlive-multicap                          noarch  10:svn15878.0-63.fc38            fedora      15 k
 texlive-multicolrule                      noarch  10:svn56366-63.fc38              fedora     585 k
 texlive-multidef                          noarch  10:svn40637-63.fc38              fedora      19 k
 texlive-multido                           noarch  10:svn18302.1.42-63.fc38         fedora      17 k
 texlive-multienv                          noarch  10:svn64967-63.fc38              fedora      18 k
 texlive-multiexpand                       noarch  10:svn45943-63.fc38              fedora      18 k
 texlive-multifootnote                     noarch  10:svn63456-63.fc38              fedora     112 k
 texlive-multilang                         noarch  10:svn49065-63.fc38              fedora     419 k
 texlive-multiobjective                    noarch  10:svn15878.1.0-63.fc38          fedora      15 k
 texlive-multiple-choice                   noarch  10:svn63722-63.fc38              fedora     145 k
 texlive-multirow                          noarch  10:svn58396-63.fc38              fedora      16 k
 texlive-munich                            noarch  10:svn15878.0-63.fc38            fedora      20 k
 texlive-musuos                            noarch  10:svn24857.1.1d-63.fc38         fedora      17 k
 texlive-muthesis                          noarch  10:svn23861.0-63.fc38            fedora      21 k
 texlive-mversion                          noarch  10:svn29370.1.0.1-63.fc38        fedora      16 k
 texlive-mwe                               noarch  10:svn64967-63.fc38              fedora     840 k
 texlive-mweights                          noarch  10:svn53520-63.fc38              fedora      15 k
 texlive-mycv                              noarch  10:svn26807.1.5.6-63.fc38        fedora      26 k
 texlive-mylatex                           noarch  10:svn56751-63.fc38              fedora      20 k
 texlive-mylatexformat                     noarch  10:svn21392.3.4-63.fc38          fedora      21 k
 texlive-mynsfc                            noarch  10:svn60280-63.fc38              fedora      19 k
 texlive-nag                               noarch  10:svn24741.0.7-63.fc38          fedora      22 k
 texlive-nameauth                          noarch  10:svn58026-63.fc38              fedora      23 k
 texlive-namedtensor                       noarch  10:svn65346-63.fc38              fedora      13 k
 texlive-namespc                           noarch  10:svn15878.0-63.fc38            fedora      14 k
 texlive-nar                               noarch  10:svn38100.3.19-63.fc38         fedora      17 k
 texlive-natbib                            noarch  10:svn20668.8.31b-63.fc38        fedora      30 k
 texlive-natded                            noarch  10:svn32693.0.1-63.fc38          fedora      19 k
 texlive-nath                              noarch  10:svn15878.0-63.fc38            fedora      37 k
 texlive-nature                            noarch  10:svn21819.1.0-63.fc38          fedora      20 k
 texlive-navydocs                          noarch  10:svn41643-63.fc38              fedora     1.0 M
 texlive-ncclatex                          noarch  10:svn15878.1.5-63.fc38          fedora      40 k
 texlive-ncctools                          noarch  10:svn51810-63.fc38              fedora      47 k
 texlive-nchairx                           noarch  10:svn60196-63.fc38              fedora     681 k
 texlive-ncntrsbk                          noarch  10:svn61719-63.fc38              fedora     363 k
 texlive-nddiss                            noarch  10:svn45107-63.fc38              fedora      26 k
 texlive-ndsu-thesis                       noarch  10:svn46639-63.fc38              fedora      20 k
 texlive-ndsu-thesis-2022                  noarch  10:svn63881-63.fc38              fedora     1.5 M
 texlive-needspace                         noarch  10:svn29601.1.3d-63.fc38         fedora      14 k
 texlive-nestquot                          noarch  10:svn27323.0-63.fc38            fedora      13 k
 texlive-neuralnetwork                     noarch  10:svn31500.1.0-63.fc38          fedora      19 k
 texlive-newcastle-bst                     noarch  10:svn62856-63.fc38              fedora     160 k
 texlive-newcommand-doc                    noarch  10:svn18704.2.0-63.fc38          fedora     409 k
 texlive-newcomputermodern                 noarch  10:svn61310-63.fc38              fedora     9.4 M
 texlive-newenviron                        noarch  10:svn29331.1.0-63.fc38          fedora      18 k
 texlive-newfile                           noarch  10:svn15878.1.0c-63.fc38         fedora      16 k
 texlive-newfloat                          noarch  10:svn52906-63.fc38              fedora     119 k
 texlive-newlfm                            noarch  10:svn15878.9.4-63.fc38          fedora      36 k
 texlive-newpx                             noarch  10:svn61806-63.fc38              fedora     3.1 M
 texlive-newspaper                         noarch  10:svn15878.1.0-63.fc38          fedora      15 k
 texlive-newtx                             noarch  10:svn62369-63.fc38              fedora     6.5 M
 texlive-newtxsf                           noarch  10:svn59227-63.fc38              fedora     126 k
 texlive-newtxtt                           noarch  10:svn54512-63.fc38              fedora     179 k
 texlive-newunicodechar                    noarch  10:svn47382-63.fc38              fedora      18 k
 texlive-newvbtm                           noarch  10:svn23996.1.1-63.fc38          fedora      17 k
 texlive-newverbs                          noarch  10:svn64833-63.fc38              fedora      19 k
 texlive-nextpage                          noarch  10:svn15878.1.1a-63.fc38         fedora      15 k
 texlive-nfssext-cfr                       noarch  10:svn43640-63.fc38              fedora      21 k
 texlive-nicefilelist                      noarch  10:svn65235-63.fc38              fedora      22 k
 texlive-niceframe                         noarch  10:svn36086.1.1c-63.fc38         fedora      51 k
 texlive-niceframe-type1                   noarch  10:svn44671-63.fc38              fedora     287 k
 texlive-nicematrix                        noarch  10:svn65253-63.fc38              fedora     1.8 M
 texlive-nicetext                          noarch  10:svn38914-63.fc38              fedora      75 k
 texlive-nidanfloat                        noarch  10:svn48295-63.fc38              fedora     234 k
 texlive-nih                               noarch  10:svn15878.0-63.fc38            fedora      16 k
 texlive-nihbiosketch                      noarch  10:svn54191-63.fc38              fedora      19 k
 texlive-nimbus15                          noarch  10:svn58839-63.fc38              fedora     3.9 M
 texlive-ninecolors                        noarch  10:svn62006-63.fc38              fedora      67 k
 texlive-njustthesis                       noarch  10:svn62451-63.fc38              fedora     230 k
 texlive-njuthesis                         noarch  10:svn65196-63.fc38              fedora     1.1 M
 texlive-njuvisual                         noarch  10:svn65261-63.fc38              fedora     919 k
 texlive-nkarta                            noarch  10:svn16437.0.2-63.fc38          fedora      40 k
 texlive-nl-interval                       noarch  10:svn58328-63.fc38              fedora      80 k
 texlive-nlctdoc                           noarch  10:svn64708-63.fc38              fedora      37 k
 texlive-nmbib                             noarch  10:svn37984.1.04-63.fc38         fedora      26 k
 texlive-nndraw                            noarch  10:svn59674-63.fc38              fedora     118 k
 texlive-noconflict                        noarch  10:svn30140.1.0-63.fc38          fedora      18 k
 texlive-noindentafter                     noarch  10:svn59195-63.fc38              fedora      18 k
 texlive-noitcrul                          noarch  10:svn15878.0.2-63.fc38          fedora      15 k
 texlive-nolbreaks                         noarch  10:svn26786.1.2-63.fc38          fedora      13 k
 texlive-nomencl                           noarch  10:svn61029-63.fc38              fedora      17 k
 texlive-nomentbl                          noarch  10:svn16549.0.4-63.fc38          fedora      16 k
 texlive-nonfloat                          noarch  10:svn17598.1.0-63.fc38          fedora      12 k
 texlive-nonumonpart                       noarch  10:svn22114.1-63.fc38            fedora      16 k
 texlive-nopageno                          noarch  10:svn18128.0-63.fc38            fedora      15 k
 texlive-norasi-c90                        noarch  10:svn60831-63.fc38              fedora      21 k
 texlive-normalcolor                       noarch  10:svn40125-63.fc38              fedora      18 k
 texlive-nostarch                          noarch  10:svn15878.1.3-63.fc38          fedora      22 k
 texlive-notes                             noarch  10:svn42428-63.fc38              fedora      22 k
 texlive-notes2bib                         noarch  10:svn52231-63.fc38              fedora      18 k
 texlive-notespages                        noarch  10:svn41906-63.fc38              fedora     883 k
 texlive-notestex                          noarch  10:svn45396-63.fc38              fedora     544 k
 texlive-notex-bst                         noarch  10:svn42361-63.fc38              fedora      14 k
 texlive-noto                              noarch  10:svn64351-63.fc38              fedora      28 M
 texlive-noto-emoji                        noarch  10:svn62950-63.fc38              fedora     8.9 M
 texlive-notoccite                         noarch  10:svn18129.0-63.fc38            fedora      12 k
 texlive-notomath                          noarch  10:svn58726-63.fc38              fedora     647 k
 texlive-novel                             noarch  10:svn54512-63.fc38              fedora     4.7 M
 texlive-nowidow                           noarch  10:svn24066.1.0-63.fc38          fedora      17 k
 texlive-nox                               noarch  10:svn30991.1.0-63.fc38          fedora      18 k
 texlive-nrc                               noarch  10:svn29027.2.01a-63.fc38        fedora      28 k
 texlive-ntgclass                          noarch  10:svn56959-63.fc38              fedora      34 k
 texlive-nth                               noarch  10:svn54252-63.fc38              fedora      12 k
 texlive-ntheorem                          noarch  10:svn27609.1.33-63.fc38         fedora      23 k
 texlive-nuc                               noarch  10:svn22256.0.1-63.fc38          fedora      15 k
 texlive-nucleardata                       noarch  10:svn47307-63.fc38              fedora     151 k
 texlive-numberedblock                     noarch  10:svn33109.1.10-63.fc38         fedora      19 k
 texlive-numerica                          noarch  10:svn61283-63.fc38              fedora     1.0 M
 texlive-numerica-plus                     noarch  10:svn61289-63.fc38              fedora     574 k
 texlive-numerica-tables                   noarch  10:svn61288-63.fc38              fedora     585 k
 texlive-numericplots                      noarch  10:svn31729.2.0.2-63.fc38        fedora      31 k
 texlive-numname                           noarch  10:svn18130.0-63.fc38            fedora      16 k
 texlive-numprint                          noarch  10:svn27498.1.39-63.fc38         fedora      24 k
 texlive-numspell                          noarch  10:svn61132-63.fc38              fedora     293 k
 texlive-nunito                            noarch  10:svn57429-63.fc38              fedora     4.8 M
 texlive-nwafuthesis                       noarch  10:svn63438-63.fc38              fedora     2.2 M
 texlive-nwejm                             noarch  10:svn64462-63.fc38              fedora     3.9 M
 texlive-oberdiek                          noarch  10:svn64463-58.fc38              copr_base  7.9 M
 texlive-objectz                           noarch  10:svn61719-63.fc38              fedora      23 k
 texlive-obnov                             noarch  10:svn33355.0.11-63.fc38         fedora      88 k
 texlive-ocg-p                             noarch  10:svn28803.0.4-63.fc38          fedora      18 k
 texlive-ocgx                              noarch  10:svn54512-63.fc38              fedora      16 k
 texlive-ocgx2                             noarch  10:svn65292-63.fc38              fedora      34 k
 texlive-ocherokee                         noarch  10:svn25689.0-63.fc38            fedora      93 k
 texlive-ocr-b                             noarch  10:svn20852.0-63.fc38            fedora      27 k
 texlive-ocr-b-outline                     noarch  10:svn20969.0-63.fc38            fedora     249 k
 texlive-ocr-latex                         noarch  10:svn15878.0-63.fc38            fedora      18 k
 texlive-octavo                            noarch  10:svn15878.1.2-63.fc38          fedora      21 k
 texlive-ogham                             noarch  10:svn24876.0-63.fc38            fedora      12 k
 texlive-oinuit                            noarch  10:svn28668.0-63.fc38            fedora     168 k
 texlive-old-arrows                        noarch  10:svn42872-63.fc38              fedora      63 k
 texlive-oldlatin                          noarch  10:svn17932.1.00-63.fc38         fedora      79 k
 texlive-oldstandard                       noarch  10:svn64464-63.fc38              fedora     1.8 M
 texlive-oldstyle                          noarch  10:svn15878.0.2-63.fc38          fedora      15 k
 texlive-onlyamsmath                       noarch  10:svn42927-63.fc38              fedora      15 k
 texlive-onrannual                         noarch  10:svn17474.1.1-63.fc38          fedora      19 k
 texlive-opcit                             noarch  10:svn15878.1.1-63.fc38          fedora      21 k
 texlive-opencolor                         noarch  10:svn64403-63.fc38              fedora     206 k
 texlive-opensans                          noarch  10:svn54512-63.fc38              fedora     2.4 M
 texlive-oplotsymbl                        noarch  10:svn44951-63.fc38              fedora     243 k
 texlive-opteng                            noarch  10:svn27331.1.0-63.fc38          fedora      19 k
 texlive-optidef                           noarch  10:svn50941-63.fc38              fedora      21 k
 texlive-optional                          noarch  10:svn18131.2.2b-63.fc38         fedora      17 k
 texlive-options                           noarch  10:svn39030-63.fc38              fedora      27 k
 texlive-orcidlink                         noarch  10:svn59560-63.fc38              fedora     187 k
 texlive-orientation                       noarch  10:svn57390-63.fc38              fedora      12 k
 texlive-orkhun                            noarch  10:svn15878.0-63.fc38            fedora      18 k
 texlive-oscola                            noarch  10:svn54328-63.fc38              fedora      39 k
 texlive-oswald                            noarch  10:svn60784-63.fc38              fedora     1.0 M
 texlive-ot-tableau                        noarch  10:svn59318-63.fc38              fedora      16 k
 texlive-oubraces                          noarch  10:svn21833.0-63.fc38            fedora      12 k
 texlive-oup-authoring-template            noarch  10:svn64491-63.fc38              fedora     349 k
 texlive-outline                           noarch  10:svn18360.0-63.fc38            fedora      15 k
 texlive-outliner                          noarch  10:svn21095.0.94-63.fc38         fedora      18 k
 texlive-outlines                          noarch  10:svn25192.1.1-63.fc38          fedora      15 k
 texlive-outlining                         noarch  10:svn45601-63.fc38              fedora     152 k
 texlive-overlays                          noarch  10:svn57866-63.fc38              fedora     119 k
 texlive-overlock                          noarch  10:svn64495-63.fc38              fedora     683 k
 texlive-overpic                           noarch  10:svn53889-63.fc38              fedora      15 k
 texlive-pacioli                           noarch  10:svn24947.0-63.fc38            fedora      30 k
 texlive-padcount                          noarch  10:svn47621-63.fc38              fedora     155 k
 texlive-pagecolor                         noarch  10:svn65120-63.fc38              fedora      19 k
 texlive-pagecont                          noarch  10:svn15878.1.0-63.fc38          fedora      15 k
 texlive-pagegrid                          noarch  10:svn64470-63.fc38              fedora     337 k
 texlive-pagella-otf                       noarch  10:svn64705-63.fc38              fedora     305 k
 texlive-pagenote                          noarch  10:svn63708-63.fc38              fedora      18 k
 texlive-pagerange                         noarch  10:svn16915.0.5-63.fc38          fedora      17 k
 texlive-pagesel                           noarch  10:svn56105-63.fc38              fedora     339 k
 texlive-pageslts                          noarch  10:svn39164-63.fc38              fedora      26 k
 texlive-palatino                          noarch  10:svn61719-63.fc38              fedora     422 k
 texlive-palette                           noarch  10:svn60119-63.fc38              fedora     192 k
 texlive-pangram                           noarch  10:svn64783-63.fc38              fedora     112 k
 texlive-paper                             noarch  10:svn34521.1.0l-63.fc38         fedora      23 k
 texlive-papercdcase                       noarch  10:svn15878.0-63.fc38            fedora      17 k
 texlive-papermas                          noarch  10:svn23667.1.0h-63.fc38         fedora      20 k
 texlive-papertex                          noarch  10:svn19230.1.2b-63.fc38         fedora      19 k
 texlive-paracol                           noarch  10:svn49560-63.fc38              fedora      32 k
 texlive-parades                           noarch  10:svn40042-63.fc38              fedora      17 k
 texlive-paralist                          noarch  10:svn43021-63.fc38              fedora      17 k
 texlive-parallel                          noarch  10:svn15878.0-63.fc38            fedora      17 k
 texlive-paratype                          noarch  10:svn32859.0-63.fc38            fedora     4.6 M
 texlive-paresse                           noarch  10:svn59228-63.fc38              fedora      17 k
 texlive-parnotes                          noarch  10:svn51720-63.fc38              fedora      20 k
 texlive-parsa                             noarch  10:svn54840-63.fc38              fedora     148 k
 texlive-parselines                        noarch  10:svn21475.1.4-63.fc38          fedora      18 k
 texlive-parskip                           noarch  10:svn58358-63.fc38              fedora      16 k
 texlive-pas-cours                         noarch  10:svn55859-63.fc38              fedora      16 k
 texlive-pas-cv                            noarch  10:svn32263.2.01-63.fc38         fedora      25 k
 texlive-pas-tableur                       noarch  10:svn39542-63.fc38              fedora      17 k
 texlive-pascaltriangle                    noarch  10:svn61774-63.fc38              fedora     180 k
 texlive-patchcmd                          noarch  10:svn41379-63.fc38              fedora      13 k
 texlive-path                              noarch  10:svn22045.3.05-63.fc38         fedora      15 k
 texlive-pauldoc                           noarch  10:svn16005.0.5-63.fc38          fedora      15 k
 texlive-pawpict                           noarch  10:svn21629.1.0-63.fc38          fedora      16 k
 texlive-pax                               noarch  10:svn63509-58.fc38              copr_base  5.0 M
 texlive-pb-diagram                        noarch  10:svn15878.5.0-63.fc38          fedora      26 k
 texlive-pbalance                          noarch  10:svn64002-63.fc38              fedora      71 k
 texlive-pbox                              noarch  10:svn24807.1.2-63.fc38          fedora      18 k
 texlive-pbsheet                           noarch  10:svn24830.0.1-63.fc38          fedora      19 k
 texlive-pdf14                             noarch  10:svn17583.0.1-63.fc38          fedora      17 k
 texlive-pdfcol                            noarch  10:svn64469-63.fc38              fedora     326 k
 texlive-pdfcolmk                          noarch  10:svn52912-63.fc38              fedora      18 k
 texlive-pdfcomment                        noarch  10:svn49047-63.fc38              fedora      28 k
 texlive-pdfcprot                          noarch  10:svn18735.1.7a-63.fc38         fedora      21 k
 texlive-pdfcrop                           noarch  10:svn55435-58.fc38              copr_base   32 k
 texlive-pdfescape                         noarch  10:svn53082-63.fc38              fedora     352 k
 texlive-pdflscape                         noarch  10:svn64851-63.fc38              fedora     323 k
 texlive-pdfmanagement-testphase           noarch  10:svn64931-63.fc38              fedora     8.4 M
 texlive-pdfmarginpar                      noarch  10:svn23492.0.92-63.fc38         fedora      17 k
 texlive-pdfoverlay                        noarch  10:svn64210-63.fc38              fedora     568 k
 texlive-pdfpagediff                       noarch  10:svn37946.1.4-63.fc38          fedora      16 k
 texlive-pdfpages                          noarch  10:svn65319-63.fc38              fedora      31 k
 texlive-pdfpc                             noarch  10:svn63866-63.fc38              fedora     205 k
 texlive-pdfpc-movie                       noarch  10:svn48245-63.fc38              fedora      91 k
 texlive-pdfprivacy                        noarch  10:svn45985-63.fc38              fedora     268 k
 texlive-pdfreview                         noarch  10:svn50100-63.fc38              fedora     584 k
 texlive-pdfscreen                         noarch  10:svn42428-63.fc38              fedora      99 k
 texlive-pdfslide                          noarch  10:svn15878.0-63.fc38            fedora      58 k
 texlive-pdfsync                           noarch  10:svn20373.0-63.fc38            fedora      17 k
 texlive-pdftex                            x86_64  10:svn64690-58.fc38              copr_base  2.4 M
 texlive-pdftexcmds                        noarch  10:svn55777-63.fc38              fedora     390 k
 texlive-pdfwin                            noarch  10:svn54074-63.fc38              fedora      26 k
 texlive-pdfx                              noarch  10:svn50338-63.fc38              fedora     119 k
 texlive-pecha                             noarch  10:svn15878.0.1-63.fc38          fedora      25 k
 texlive-penrose                           noarch  10:svn57508-63.fc38              fedora     962 k
 texlive-perception                        noarch  10:svn48861-63.fc38              fedora      19 k
 texlive-perfectcut                        noarch  10:svn54080-63.fc38              fedora      21 k
 texlive-perltex                           noarch  10:svn52162-58.fc38              copr_base  287 k
 texlive-permute                           noarch  10:svn15878.0-63.fc38            fedora      16 k
 texlive-petiteannonce                     noarch  10:svn25915.1.0001-63.fc38       fedora      17 k
 texlive-petri-nets                        noarch  10:svn39165-58.fc38              copr_base  208 k
 texlive-pfdicons                          noarch  10:svn60089-63.fc38              fedora     959 k
 texlive-pgf                               noarch  10:svn59210-63.fc38              fedora     902 k
 texlive-pgf-blur                          noarch  10:svn54512-63.fc38              fedora      16 k
 texlive-pgf-cmykshadings                  noarch  10:svn52635-63.fc38              fedora     529 k
 texlive-pgf-interference                  noarch  10:svn61562-63.fc38              fedora     743 k
 texlive-pgf-periodictable                 noarch  10:svn64974-63.fc38              fedora     4.7 M
 texlive-pgf-pie                           noarch  10:svn63603-63.fc38              fedora     241 k
 texlive-pgf-soroban                       noarch  10:svn32269.1.1-63.fc38          fedora      15 k
 texlive-pgf-spectra                       noarch  10:svn59827-63.fc38              fedora     283 k
 texlive-pgf-umlcd                         noarch  10:svn63386-63.fc38              fedora      18 k
 texlive-pgf-umlsd                         noarch  10:svn55342-63.fc38              fedora      18 k
 texlive-pgfgantt                          noarch  10:svn52662-63.fc38              fedora      24 k
 texlive-pgfkeyx                           noarch  10:svn26093.0.0.1-63.fc38        fedora      23 k
 texlive-pgfmath-xfp                       noarch  10:svn59268-63.fc38              fedora     267 k
 texlive-pgfmolbio                         noarch  10:svn35152.0.21-63.fc38         fedora      31 k
 texlive-pgfmorepages                      noarch  10:svn54770-63.fc38              fedora      83 k
 texlive-pgfopts                           noarch  10:svn56615-63.fc38              fedora      18 k
 texlive-pgfornament                       noarch  10:svn55326-63.fc38              fedora     730 k
 texlive-pgfplots                          noarch  10:svn61719-63.fc38              fedora     599 k
 texlive-phaistos                          noarch  10:svn18651.1.0-63.fc38          fedora     196 k
 texlive-phfcc                             noarch  10:svn60731-63.fc38              fedora     416 k
 texlive-phfextendedabstract               noarch  10:svn60732-63.fc38              fedora     293 k
 texlive-phffullpagefigure                 noarch  10:svn41857-63.fc38              fedora     255 k
 texlive-phfnote                           noarch  10:svn60733-63.fc38              fedora     765 k
 texlive-phfparen                          noarch  10:svn41859-63.fc38              fedora     315 k
 texlive-phfqit                            noarch  10:svn60734-63.fc38              fedora     463 k
 texlive-phfquotetext                      noarch  10:svn41869-63.fc38              fedora     211 k
 texlive-phfsvnwatermark                   noarch  10:svn41870-63.fc38              fedora     217 k
 texlive-phfthm                            noarch  10:svn60735-63.fc38              fedora     404 k
 texlive-philex                            noarch  10:svn36396.1.3-63.fc38          fedora      18 k
 texlive-philosophersimprint               noarch  10:svn56954-63.fc38              fedora      18 k
 texlive-phonenumbers                      noarch  10:svn63774-63.fc38              fedora     1.2 M
 texlive-phonetic                          noarch  10:svn56468-63.fc38              fedora      48 k
 texlive-photo                             noarch  10:svn18739.0-63.fc38            fedora      16 k
 texlive-photobook                         noarch  10:svn65022-63.fc38              fedora     177 k
 texlive-physconst                         noarch  10:svn58727-63.fc38              fedora     226 k
 texlive-physics                           noarch  10:svn28590.1.3-63.fc38          fedora      19 k
 texlive-physunits                         noarch  10:svn58728-63.fc38              fedora     119 k
 texlive-picinpar                          noarch  10:svn65097-63.fc38              fedora      20 k
 texlive-pict2e                            noarch  10:svn56504-63.fc38              fedora      23 k
 texlive-pictex                            noarch  10:svn59551-63.fc38              fedora      57 k
 texlive-pictex2                           noarch  10:svn15878.0-63.fc38            fedora      17 k
 texlive-picture                           noarch  10:svn54867-63.fc38              fedora     312 k
 texlive-piff                              noarch  10:svn21894.0-63.fc38            fedora      14 k
 texlive-pigpen                            noarch  10:svn15878.0.2-63.fc38          fedora      22 k
 texlive-pinlabel                          noarch  10:svn24769.1.2-63.fc38          fedora      24 k
 texlive-pinoutikz                         noarch  10:svn55966-63.fc38              fedora      92 k
 texlive-pittetd                           noarch  10:svn15878.1.618-63.fc38        fedora      23 k
 texlive-pixelart                          noarch  10:svn65075-63.fc38              fedora     392 k
 texlive-pkgloader                         noarch  10:svn47486-63.fc38              fedora      28 k
 texlive-pkuthss                           noarch  10:svn64869-63.fc38              fedora      29 k
 texlive-pl                                noarch  10:svn58661-63.fc38              fedora     2.0 M
 texlive-placeins                          noarch  10:svn19848.2.2-63.fc38          fedora      13 k
 texlive-plain                             noarch  10:svn57963-63.fc38              fedora      47 k
 texlive-plainpkg                          noarch  10:svn27765.0.4a-63.fc38         fedora      19 k
 texlive-plainyr                           noarch  10:svn52783-63.fc38              fedora      15 k
 texlive-plantslabels                      noarch  10:svn29803.1.0-63.fc38          fedora      15 k
 texlive-plates                            noarch  10:svn15878.0.1-63.fc38          fedora      20 k
 texlive-platex                            noarch  10:svn65305-63.fc38              fedora      68 k
 texlive-platex-tools                      noarch  10:svn64072-63.fc38              fedora     587 k
 texlive-playfair                          noarch  10:svn64857-63.fc38              fedora     1.8 M
 texlive-plex                              noarch  10:svn64496-63.fc38              fedora      11 M
 texlive-plex-otf                          noarch  10:svn47562-63.fc38              fedora     556 k
 texlive-plimsoll                          noarch  10:svn56605-63.fc38              fedora     231 k
 texlive-plweb                             noarch  10:svn15878.3.0-63.fc38          fedora      14 k
 texlive-pm-isomath                        noarch  10:svn60368-63.fc38              fedora     680 k
 texlive-pmboxdraw                         noarch  10:svn53046-63.fc38              fedora     602 k
 texlive-pmgraph                           noarch  10:svn15878.1.0-63.fc38          fedora      25 k
 texlive-pnas2009                          noarch  10:svn16287.1.0-63.fc38          fedora      14 k
 texlive-poiretone                         noarch  10:svn64856-63.fc38              fedora     217 k
 texlive-polexpr                           noarch  10:svn63337-63.fc38              fedora     176 k
 texlive-polski                            noarch  10:svn60322-63.fc38              fedora      27 k
 texlive-poltawski                         noarch  10:svn20075.1.101-63.fc38        fedora     7.0 M
 texlive-polyglossia                       noarch  10:svn65144-63.fc38              fedora     220 k
 texlive-polynom                           noarch  10:svn44832-63.fc38              fedora      24 k
 texlive-polynomial                        noarch  10:svn15878.1.0-63.fc38          fedora      16 k
 texlive-polytable                         noarch  10:svn55837-63.fc38              fedora      20 k
 texlive-postage                           noarch  10:svn55920-63.fc38              fedora     318 k
 texlive-postcards                         noarch  10:svn21641.0-63.fc38            fedora      15 k
 texlive-poster-mac                        noarch  10:svn18305.1.1-63.fc38          fedora      17 k
 texlive-postnotes                         noarch  10:svn65007-63.fc38              fedora     967 k
 texlive-powerdot                          noarch  10:svn59272-63.fc38              fedora      50 k
 texlive-powerdot-fuberlin                 noarch  10:svn52922-63.fc38              fedora     290 k
 texlive-powerdot-tuliplab                 noarch  10:svn47963-63.fc38              fedora     1.3 M
 texlive-ppr-prv                           noarch  10:svn15878.0.13c-63.fc38        fedora      19 k
 texlive-ppt-slides                        noarch  10:svn65194-63.fc38              fedora     781 k
 texlive-pracjourn                         noarch  10:svn61719-63.fc38              fedora      19 k
 texlive-practicalreports                  noarch  10:svn52312-63.fc38              fedora     200 k
 texlive-precattl                          noarch  10:svn63967-63.fc38              fedora     388 k
 texlive-prelim2e                          noarch  10:svn57000-63.fc38              fedora     432 k
 texlive-preprint                          noarch  10:svn30447.2011-63.fc38         fedora      18 k
 texlive-prerex                            noarch  10:svn54512-63.fc38              fedora      23 k
 texlive-pressrelease                      noarch  10:svn35147.1.0-63.fc38          fedora      20 k
 texlive-prettyref                         noarch  10:svn15878.3.0-63.fc38          fedora      12 k
 texlive-prettytok                         noarch  10:svn63842-63.fc38              fedora     383 k
 texlive-prftree                           noarch  10:svn54080-63.fc38              fedora      26 k
 texlive-principia                         noarch  10:svn58927-63.fc38              fedora     280 k
 texlive-printlen                          noarch  10:svn19847.1.1a-63.fc38         fedora      15 k
 texlive-proba                             noarch  10:svn15878.0-63.fc38            fedora      15 k
 texlive-probsoln                          noarch  10:svn44783-63.fc38              fedora      21 k
 texlive-prociagssymp                      noarch  10:svn63242-63.fc38              fedora      16 k
 texlive-prodint                           noarch  10:svn21893.0-63.fc38            fedora      15 k
 texlive-productbox                        noarch  10:svn20886.1.1-63.fc38          fedora      15 k
 texlive-program                           noarch  10:svn44214-63.fc38              fedora      33 k
 texlive-progress                          noarch  10:svn19519.1.10-63.fc38         fedora      18 k
 texlive-progressbar                       noarch  10:svn33822.v1.0b_4-63.fc38      fedora      16 k
 texlive-projlib                           noarch  10:svn65023-63.fc38              fedora      47 k
 texlive-proof-at-the-end                  noarch  10:svn64188-63.fc38              fedora     472 k
 texlive-proofread                         noarch  10:svn61719-63.fc38              fedora      19 k
 texlive-prooftrees                        noarch  10:svn52221-63.fc38              fedora      26 k
 texlive-properties                        noarch  10:svn15878.0.2-63.fc38          fedora      15 k
 texlive-proposal                          noarch  10:svn40538-63.fc38              fedora      25 k
 texlive-prosper                           noarch  10:svn33033.1.0h-63.fc38         fedora     131 k
 texlive-protex                            noarch  10:svn41633-63.fc38              fedora      28 k
 texlive-protocol                          noarch  10:svn25562.1.13-63.fc38         fedora      19 k
 texlive-prtec                             noarch  10:svn51919-63.fc38              fedora     373 k
 texlive-pseudo                            noarch  10:svn64182-63.fc38              fedora     972 k
 texlive-pseudocode                        noarch  10:svn54080-63.fc38              fedora      16 k
 texlive-psfrag                            noarch  10:svn15878.3.04-63.fc38         fedora      15 k
 texlive-psfragx                           noarch  10:svn26243.1.1-63.fc38          fedora      18 k
 texlive-pslatex                           noarch  10:svn57434-63.fc38              fedora      22 k
 texlive-psnfss                            noarch  10:svn54694-63.fc38              fedora      59 k
 texlive-pspicture                         noarch  10:svn15878.0-63.fc38            fedora      15 k
 texlive-pst-3d                            noarch  10:svn17257.1.10-63.fc38         fedora      17 k
 texlive-pst-blur                          noarch  10:svn15878.2.0-63.fc38          fedora      16 k
 texlive-pst-coil                          noarch  10:svn62977-63.fc38              fedora      18 k
 texlive-pst-eps                           noarch  10:svn15878.1.0-63.fc38          fedora      17 k
 texlive-pst-fill                          noarch  10:svn60671-63.fc38              fedora      17 k
 texlive-pst-grad                          noarch  10:svn15878.1.06-63.fc38         fedora      18 k
 texlive-pst-math                          noarch  10:svn64732-63.fc38              fedora      20 k
 texlive-pst-node                          noarch  10:svn61838-63.fc38              fedora      40 k
 texlive-pst-ovl                           noarch  10:svn54963-63.fc38              fedora      16 k
 texlive-pst-plot                          noarch  10:svn65346-63.fc38              fedora      37 k
 texlive-pst-slpe                          noarch  10:svn24391.1.31-63.fc38         fedora      17 k
 texlive-pst-text                          noarch  10:svn49542-63.fc38              fedora      18 k
 texlive-pst-tools                         noarch  10:svn60621-63.fc38              fedora      20 k
 texlive-pst-tree                          noarch  10:svn60421-63.fc38              fedora      20 k
 texlive-pstool                            noarch  10:svn46393-63.fc38              fedora      21 k
 texlive-pstricks                          noarch  10:svn65346-63.fc38              fedora     117 k
 texlive-pstricks-add                      noarch  10:svn65067-63.fc38              fedora      36 k
 texlive-pstring                           noarch  10:svn42857-63.fc38              fedora     138 k
 texlive-ptex                              x86_64  10:svn62464-58.fc38              copr_base  898 k
 texlive-ptex-base                         noarch  10:svn64072-63.fc38              fedora      22 k
 texlive-ptex-fonts                        noarch  10:svn64330-63.fc38              fedora      67 k
 texlive-ptolemaicastronomy                noarch  10:svn50810-63.fc38              fedora     256 k
 texlive-ptptex                            noarch  10:svn19440.0.91-63.fc38         fedora      23 k
 texlive-punk                              noarch  10:svn27388.0-63.fc38            fedora      23 k
 texlive-punk-latex                        noarch  10:svn27389.1.1-63.fc38          fedora      16 k
 texlive-punknova                          noarch  10:svn24649.1.003-63.fc38        fedora     893 k
 texlive-puyotikz                          noarch  10:svn57254-63.fc38              fedora     210 k
 texlive-pxfonts                           noarch  10:svn15878.0-63.fc38            fedora     523 k
 texlive-pxgreeks                          noarch  10:svn21838.1.0-63.fc38          fedora      18 k
 texlive-pxpgfmark                         noarch  10:svn30212.0.2-63.fc38          fedora      11 k
 texlive-pxpic                             noarch  10:svn61294-63.fc38              fedora     325 k
 texlive-pxtxalfa                          noarch  10:svn60847-63.fc38              fedora      33 k
 texlive-pygmentex                         noarch  10:svn64131-58.fc38              copr_base  716 k
 texlive-python                            noarch  10:svn60162-63.fc38              fedora      17 k
 texlive-pythonhighlight                   noarch  10:svn43191-63.fc38              fedora      14 k
 texlive-pythonimmediate                   noarch  10:svn65349-63.fc38              fedora     471 k
 texlive-pythontex                         noarch  10:svn59514-58.fc38              copr_base  1.5 M
 texlive-qcircuit                          noarch  10:svn48400-63.fc38              fedora      21 k
 texlive-qcm                               noarch  10:svn63833-63.fc38              fedora      17 k
 texlive-qrbill                            noarch  10:svn64773-63.fc38              fedora     109 k
 texlive-qrcode                            noarch  10:svn36065.1.51-63.fc38         fedora      35 k
 texlive-qsharp                            noarch  10:svn49722-63.fc38              fedora      19 k
 texlive-qstest                            noarch  10:svn15878.0-63.fc38            fedora      19 k
 texlive-qsymbols                          noarch  10:svn15878.0-63.fc38            fedora      23 k
 texlive-qtree                             noarch  10:svn15878.3.1b-63.fc38         fedora      22 k
 texlive-qualitype                         noarch  10:svn54512-63.fc38              fedora     2.5 M
 texlive-quantikz                          noarch  10:svn54911-63.fc38              fedora     465 k
 texlive-quantumarticle                    noarch  10:svn65242-63.fc38              fedora     1.3 M
 texlive-quattrocento                      noarch  10:svn64372-63.fc38              fedora     821 k
 texlive-quicktype                         noarch  10:svn42183-63.fc38              fedora     194 k
 texlive-quiz2socrative                    noarch  10:svn52276-63.fc38              fedora     226 k
 texlive-quotchap                          noarch  10:svn56926-63.fc38              fedora      18 k
 texlive-quoting                           noarch  10:svn32818.v0.1c-63.fc38        fedora      18 k
 texlive-quotmark                          noarch  10:svn15878.1.0-63.fc38          fedora      31 k
 texlive-ragged2e                          noarch  10:svn65008-63.fc38              fedora     677 k
 texlive-raleway                           noarch  10:svn42629-63.fc38              fedora     2.6 M
 texlive-ran_toks                          noarch  10:svn59515-63.fc38              fedora      19 k
 texlive-randbild                          noarch  10:svn15878.0.2-63.fc38          fedora      15 k
 texlive-random                            noarch  10:svn54723-63.fc38              fedora      88 k
 texlive-randomwalk                        noarch  10:svn49513-63.fc38              fedora      16 k
 texlive-randtext                          noarch  10:svn15878.0-63.fc38            fedora      16 k
 texlive-rank-2-roots                      noarch  10:svn61719-63.fc38              fedora     409 k
 texlive-rbt-mathnotes                     noarch  10:svn61193-63.fc38              fedora     233 k
 texlive-rccol                             noarch  10:svn15878.1.2c-63.fc38         fedora      12 k
 texlive-rcs                               noarch  10:svn15878.0-63.fc38            fedora      27 k
 texlive-rcs-multi                         noarch  10:svn64967-63.fc38              fedora      16 k
 texlive-rcsinfo                           noarch  10:svn15878.1.11-63.fc38         fedora      17 k
 texlive-readablecv                        noarch  10:svn61719-63.fc38              fedora     223 k
 texlive-readarray                         noarch  10:svn60540-63.fc38              fedora      22 k
 texlive-realboxes                         noarch  10:svn64967-63.fc38              fedora      19 k
 texlive-realhats                          noarch  10:svn63595-63.fc38              fedora     1.8 M
 texlive-realscripts                       noarch  10:svn56594-63.fc38              fedora      18 k
 texlive-rec-thy                           noarch  10:svn63982-63.fc38              fedora      26 k
 texlive-recipe                            noarch  10:svn54080-63.fc38              fedora      12 k
 texlive-recipebook                        noarch  10:svn37026.0-63.fc38            fedora      21 k
 texlive-recipecard                        noarch  10:svn15878.2.0-63.fc38          fedora      16 k
 texlive-rectopma                          noarch  10:svn19980.0-63.fc38            fedora      15 k
 texlive-recycle                           noarch  10:svn15878.0-63.fc38            fedora      26 k
 texlive-refcheck                          noarch  10:svn29128.1.9.1-63.fc38        fedora      18 k
 texlive-refcount                          noarch  10:svn53164-63.fc38              fedora     334 k
 texlive-refenums                          noarch  10:svn44131-63.fc38              fedora      19 k
 texlive-reflectgraphics                   noarch  10:svn40612-63.fc38              fedora      18 k
 texlive-refman                            noarch  10:svn15878.2.0e-63.fc38         fedora      23 k
 texlive-refstyle                          noarch  10:svn20318.0.5-63.fc38          fedora      20 k
 texlive-regcount                          noarch  10:svn19979.1.0-63.fc38          fedora      15 k
 texlive-regexpatch                        noarch  10:svn58668-63.fc38              fedora      20 k
 texlive-register                          noarch  10:svn54485-63.fc38              fedora      18 k
 texlive-regstats                          noarch  10:svn25050.1.0h-63.fc38         fedora      20 k
 texlive-relenc                            noarch  10:svn22050.0-63.fc38            fedora      27 k
 texlive-relsize                           noarch  10:svn30707.4.1-63.fc38          fedora      16 k
 texlive-reotex                            noarch  10:svn34924.1.1-63.fc38          fedora      17 k
 texlive-repeatindex                       noarch  10:svn24305.0.01-63.fc38         fedora      16 k
 texlive-repltext                          noarch  10:svn56433-63.fc38              fedora      18 k
 texlive-rerunfilecheck                    noarch  10:svn63869-63.fc38              fedora     340 k
 texlive-rescansync                        noarch  10:svn63856-63.fc38              fedora     388 k
 texlive-resphilosophica                   noarch  10:svn50935-63.fc38              fedora      29 k
 texlive-rest-api                          noarch  10:svn57068-63.fc38              fedora     157 k
 texlive-resumecls                         noarch  10:svn54815-63.fc38              fedora      18 k
 texlive-returntogrid                      noarch  10:svn48485-63.fc38              fedora     165 k
 texlive-revquantum                        noarch  10:svn43505-63.fc38              fedora     265 k
 texlive-revtex                            noarch  10:svn56591-63.fc38              fedora      92 k
 texlive-revtex4                           noarch  10:svn56589-63.fc38              fedora      58 k
 texlive-revtex4-1                         noarch  10:svn56590-63.fc38              fedora     5.3 M
 texlive-rgltxdoc                          noarch  10:svn53858-63.fc38              fedora     174 k
 texlive-ribbonproofs                      noarch  10:svn31137.1.0-63.fc38          fedora      27 k
 texlive-rjlparshap                        noarch  10:svn15878.1.0-63.fc38          fedora      17 k
 texlive-rlepsf                            noarch  10:svn19082.0-63.fc38            fedora      16 k
 texlive-rmathbr                           noarch  10:svn57173-63.fc38              fedora      25 k
 texlive-rmpage                            noarch  10:svn54080-63.fc38              fedora      77 k
 texlive-robotarm                          noarch  10:svn63116-63.fc38              fedora     198 k
 texlive-roboto                            noarch  10:svn64350-63.fc38              fedora      27 M
 texlive-robustcommand                     noarch  10:svn15878.0.1-63.fc38          fedora      15 k
 texlive-robustindex                       noarch  10:svn49877-63.fc38              fedora      18 k
 texlive-romanbar                          noarch  10:svn25005.1.0f-63.fc38         fedora      18 k
 texlive-romanbarpagenumber                noarch  10:svn36236.1.0-63.fc38          fedora      17 k
 texlive-romande                           noarch  10:svn19537.1.008_v7_sc-63.fc38  fedora     518 k
 texlive-romanneg                          noarch  10:svn20087.0-63.fc38            fedora      12 k
 texlive-romannum                          noarch  10:svn15878.1.0b-63.fc38         fedora      15 k
 texlive-rosario                           noarch  10:svn51688-63.fc38              fedora     1.6 M
 texlive-rotfloat                          noarch  10:svn18292.1.2-63.fc38          fedora      15 k
 texlive-rotpages                          noarch  10:svn18740.3.0-63.fc38          fedora      16 k
 texlive-roundbox                          noarch  10:svn29675.0.2-63.fc38          fedora      18 k
 texlive-rsc                               noarch  10:svn41923-63.fc38              fedora      22 k
 texlive-rsfs                              noarch  10:svn15878.0-63.fc38            fedora      73 k
 texlive-rsfso                             noarch  10:svn60849-63.fc38              fedora      19 k
 texlive-rterface                          noarch  10:svn30084.0-63.fc38            fedora      16 k
 texlive-rtkinenc                          noarch  10:svn20003.1.0-63.fc38          fedora      16 k
 texlive-rulerbox                          noarch  10:svn50984-63.fc38              fedora      75 k
 texlive-rulercompass                      noarch  10:svn32392.1-63.fc38            fedora      20 k
 texlive-runcode                           noarch  10:svn64161-63.fc38              fedora     416 k
 texlive-rutitlepage                       noarch  10:svn62143-63.fc38              fedora     2.7 M
 texlive-rviewport                         noarch  10:svn23739.v1.0-63.fc38         fedora      15 k
 texlive-rvwrite                           noarch  10:svn19614.1.2-63.fc38          fedora      15 k
 texlive-ryersonsgsthesis                  noarch  10:svn50119-63.fc38              fedora      81 k
 texlive-ryethesis                         noarch  10:svn33945.1.36-63.fc38         fedora      21 k
 texlive-sa-tikz                           noarch  10:svn32815.0.7a-63.fc38         fedora      22 k
 texlive-sageep                            noarch  10:svn15878.1.0-63.fc38          fedora      21 k
 texlive-sanitize-umlaut                   noarch  10:svn63770-63.fc38              fedora      18 k
 texlive-sankey                            noarch  10:svn61874-63.fc38              fedora     973 k
 texlive-sansmath                          noarch  10:svn17997.1.1-63.fc38          fedora      14 k
 texlive-sansmathaccent                    noarch  10:svn53628-63.fc38              fedora      36 k
 texlive-sansmathfonts                     noarch  10:svn64661-63.fc38              fedora     4.2 M
 texlive-sapthesis                         noarch  10:svn63810-63.fc38              fedora      47 k
 texlive-sasnrdisplay                      noarch  10:svn63255-63.fc38              fedora      24 k
 texlive-sauerj                            noarch  10:svn15878.0-63.fc38            fedora      19 k
 texlive-sauter                            noarch  10:svn13293.2.4-63.fc38          fedora      41 k
 texlive-sauterfonts                       noarch  10:svn15878.0-63.fc38            fedora      29 k
 texlive-saveenv                           noarch  10:svn65346-63.fc38              fedora     407 k
 texlive-savefnmark                        noarch  10:svn15878.1.0-63.fc38          fedora      16 k
 texlive-savesym                           noarch  10:svn31565.1.2-63.fc38          fedora      15 k
 texlive-savetrees                         noarch  10:svn40525-63.fc38              fedora      25 k
 texlive-scale                             noarch  10:svn15878.1.1.2-63.fc38        fedora      16 k
 texlive-scalebar                          noarch  10:svn15878.1.0-63.fc38          fedora      15 k
 texlive-scalerel                          noarch  10:svn42809-63.fc38              fedora      19 k
 texlive-scanpages                         noarch  10:svn42633-63.fc38              fedora      22 k
 texlive-schedule                          noarch  10:svn51805-63.fc38              fedora     257 k
 texlive-schemabloc                        noarch  10:svn58212-63.fc38              fedora      16 k
 texlive-schola-otf                        noarch  10:svn64734-63.fc38              fedora     490 k
 texlive-scholax                           noarch  10:svn61836-63.fc38              fedora     2.4 M
 texlive-schooldocs                        noarch  10:svn61719-63.fc38              fedora     897 k
 texlive-schule                            noarch  10:svn60210-63.fc38              fedora      82 k
 texlive-schulschriften                    noarch  10:svn59388-63.fc38              fedora     108 k
 texlive-scientific-thesis-cover           noarch  10:svn47923-63.fc38              fedora     149 k
 texlive-sciposter                         noarch  10:svn15878.1.18-63.fc38         fedora      22 k
 texlive-sclang-prettifier                 noarch  10:svn35087.0.1-63.fc38          fedora      18 k
 texlive-scontents                         noarch  10:svn62902-63.fc38              fedora     381 k
 texlive-scrambledenvs                     noarch  10:svn60615-63.fc38              fedora     206 k
 texlive-scratch                           noarch  10:svn50073-63.fc38              fedora     518 k
 texlive-scratch3                          noarch  10:svn61921-63.fc38              fedora     540 k
 texlive-scratchx                          noarch  10:svn44906-63.fc38              fedora     439 k
 texlive-scripture                         noarch  10:svn64910-63.fc38              fedora     876 k
 texlive-scrjrnl                           noarch  10:svn27810.0.1-63.fc38          fedora      19 k
 texlive-scrlayer-fancyhdr                 noarch  10:svn63844-63.fc38              fedora     331 k
 texlive-scrlttr2copy                      noarch  10:svn56733-63.fc38              fedora      18 k
 texlive-scsnowman                         noarch  10:svn54080-63.fc38              fedora     489 k
 texlive-sdaps                             noarch  10:svn65345-63.fc38              fedora     359 k
 texlive-sdrt                              noarch  10:svn15878.1.0-63.fc38          fedora      16 k
 texlive-sduthesis                         noarch  10:svn41401-63.fc38              fedora     1.2 M
 texlive-se2thesis                         noarch  10:svn65093-63.fc38              fedora     1.4 M
 texlive-secdot                            noarch  10:svn20208.1.0-63.fc38          fedora      15 k
 texlive-secnum                            noarch  10:svn61813-63.fc38              fedora     451 k
 texlive-section                           noarch  10:svn20180.0-63.fc38            fedora      23 k
 texlive-sectionbox                        noarch  10:svn37749.1.01-63.fc38         fedora      15 k
 texlive-sectionbreak                      noarch  10:svn50339-63.fc38              fedora      67 k
 texlive-sectsty                           noarch  10:svn15878.2.0.2-63.fc38        fedora      18 k
 texlive-seealso                           noarch  10:svn43595-63.fc38              fedora      18 k
 texlive-selectp                           noarch  10:svn20185.1.0-63.fc38          fedora      15 k
 texlive-selinput                          noarch  10:svn53098-63.fc38              fedora     539 k
 texlive-semantex                          noarch  10:svn65183-63.fc38              fedora     449 k
 texlive-semantic                          noarch  10:svn15878.2.0-63.fc38          fedora      21 k
 texlive-semantic-markup                   noarch  10:svn53607-63.fc38              fedora     281 k
 texlive-semaphor                          noarch  10:svn18651.0-63.fc38            fedora     300 k
 texlive-semesterplanner                   noarch  10:svn56841-63.fc38              fedora      94 k
 texlive-seminar                           noarch  10:svn59801-63.fc38              fedora      52 k
 texlive-semioneside                       noarch  10:svn15878.v0.41-63.fc38        fedora      15 k
 texlive-semproc                           noarch  10:svn37568.0.1-63.fc38          fedora      19 k
 texlive-semtex                            noarch  10:svn56530-63.fc38              fedora      82 k
 texlive-sepfootnotes                      noarch  10:svn41732-63.fc38              fedora      19 k
 texlive-sepnum                            noarch  10:svn20186.2.0-63.fc38          fedora      16 k
 texlive-seqsplit                          noarch  10:svn15878.0.1-63.fc38          fedora      15 k
 texlive-sesamanuel                        noarch  10:svn36613.0.6-63.fc38          fedora      46 k
 texlive-sesstime                          noarch  10:svn49750-63.fc38              fedora     324 k
 texlive-setdeck                           noarch  10:svn40613-63.fc38              fedora      24 k
 texlive-setspace                          noarch  10:svn65206-63.fc38              fedora      17 k
 texlive-seu-ml-assign                     noarch  10:svn62933-63.fc38              fedora     185 k
 texlive-seuthesis                         noarch  10:svn33042.2.1.2-63.fc38        fedora      32 k
 texlive-seuthesix                         noarch  10:svn40088-63.fc38              fedora      32 k
 texlive-sf298                             noarch  10:svn41653-63.fc38              fedora      20 k
 texlive-sffms                             noarch  10:svn15878.2.0-63.fc38          fedora      17 k
 texlive-sfg                               noarch  10:svn20209.0.91-63.fc38         fedora      19 k
 texlive-sfmath                            noarch  10:svn15878.0.8-63.fc38          fedora      18 k
 texlive-shadethm                          noarch  10:svn53350-63.fc38              fedora      21 k
 texlive-shadow                            noarch  10:svn20312.0-63.fc38            fedora      15 k
 texlive-shadowtext                        noarch  10:svn26522.0.3-63.fc38          fedora      15 k
 texlive-shapepar                          noarch  10:svn30708.2.2-63.fc38          fedora      27 k
 texlive-shdoc                             noarch  10:svn41991-63.fc38              fedora      19 k
 texlive-shipunov                          noarch  10:svn52334-63.fc38              fedora      42 k
 texlive-shobhika                          noarch  10:svn50555-63.fc38              fedora     488 k
 texlive-shortmathj                        noarch  10:svn54407-63.fc38              fedora     179 k
 texlive-shorttoc                          noarch  10:svn15878.1.3-63.fc38          fedora      15 k
 texlive-show2e                            noarch  10:svn15878.1.0-63.fc38          fedora      15 k
 texlive-showcharinbox                     noarch  10:svn29803.0.1-63.fc38          fedora      18 k
 texlive-showdim                           noarch  10:svn28918.1.2-63.fc38          fedora      15 k
 texlive-showexpl                          noarch  10:svn57414-63.fc38              fedora      17 k
 texlive-showlabels                        noarch  10:svn63940-63.fc38              fedora      18 k
 texlive-showtags                          noarch  10:svn20336.1.05-63.fc38         fedora      13 k
 texlive-shtthesis                         noarch  10:svn62441-63.fc38              fedora     2.1 M
 texlive-shuffle                           noarch  10:svn15878.1.0-63.fc38          fedora      15 k
 texlive-sidecap                           noarch  10:svn15878.1.6f-63.fc38         fedora      17 k
 texlive-sidenotes                         noarch  10:svn54524-63.fc38              fedora      21 k
 texlive-sidenotesplus                     noarch  10:svn63867-63.fc38              fedora     423 k
 texlive-signchart                         noarch  10:svn39707-63.fc38              fedora      18 k
 texlive-silence                           noarch  10:svn27028.1.5b-63.fc38         fedora      17 k
 texlive-sillypage                         noarch  10:svn64034-63.fc38              fedora     308 k
 texlive-simplebnf                         noarch  10:svn64091-63.fc38              fedora     159 k
 texlive-simplecd                          noarch  10:svn29260.1.4-63.fc38          fedora      21 k
 texlive-simplecv                          noarch  10:svn35537.1.6a-63.fc38         fedora      15 k
 texlive-simpleicons                       noarch  10:svn65288-63.fc38              fedora     4.6 M
 texlive-simpleinvoice                     noarch  10:svn45673-63.fc38              fedora     232 k
 texlive-simplekv                          noarch  10:svn64578-63.fc38              fedora     146 k
 texlive-simplenodes                       noarch  10:svn62888-63.fc38              fedora     215 k
 texlive-simpleoptics                      noarch  10:svn62977-63.fc38              fedora      99 k
 texlive-simpler-wick                      noarch  10:svn39074-63.fc38              fedora      19 k
 texlive-simples-matrices                  noarch  10:svn63802-63.fc38              fedora     1.5 M
 texlive-simplewick                        noarch  10:svn15878.1.2a-63.fc38         fedora      17 k
 texlive-simplivre                         noarch  10:svn64280-63.fc38              fedora     463 k
 texlive-sistyle                           noarch  10:svn59682-63.fc38              fedora      17 k
 texlive-sitem                             noarch  10:svn22136.1.0-63.fc38          fedora      17 k
 texlive-siunits                           noarch  10:svn59702-63.fc38              fedora      23 k
 texlive-siunitx                           noarch  10:svn65207-63.fc38              fedora      82 k
 texlive-skak                              noarch  10:svn61719-63.fc38              fedora      37 k
 texlive-skb                               noarch  10:svn22781.0.52-63.fc38         fedora      24 k
 texlive-skdoc                             noarch  10:svn56950-63.fc38              fedora      26 k
 texlive-skeldoc                           noarch  10:svn57922-63.fc38              fedora     233 k
 texlive-skeycommand                       noarch  10:svn24652.0.4-63.fc38          fedora      21 k
 texlive-skeyval                           noarch  10:svn30560.1.3-63.fc38          fedora     116 k
 texlive-skills                            noarch  10:svn56734-63.fc38              fedora      92 k
 texlive-skmath                            noarch  10:svn52411-63.fc38              fedora      20 k
 texlive-skrapport                         noarch  10:svn52412-63.fc38              fedora      31 k
 texlive-skull                             noarch  10:svn51907-63.fc38              fedora      17 k
 texlive-slantsc                           noarch  10:svn25007.2.11-63.fc38         fedora      15 k
 texlive-smalltableof                      noarch  10:svn20333.0-63.fc38            fedora      14 k
 texlive-smart-eqn                         noarch  10:svn61719-63.fc38              fedora     101 k
 texlive-smartdiagram                      noarch  10:svn42781-63.fc38              fedora      23 k
 texlive-smartref                          noarch  10:svn20311.1.9-63.fc38          fedora      17 k
 texlive-smartunits                        noarch  10:svn39592-63.fc38              fedora      19 k
 texlive-smflatex                          noarch  10:svn58910-63.fc38              fedora     849 k
 texlive-snapshot                          noarch  10:svn56735-63.fc38              fedora      19 k
 texlive-snaptodo                          noarch  10:svn61155-63.fc38              fedora     226 k
 texlive-snotez                            noarch  10:svn61992-63.fc38              fedora      19 k
 texlive-songbook                          noarch  10:svn18136.4.5-63.fc38          fedora      29 k
 texlive-sort-by-letters                   noarch  10:svn27128.0-63.fc38            fedora      22 k
 texlive-soton                             noarch  10:svn16215.0.1-63.fc38          fedora      15 k
 texlive-soul                              noarch  10:svn56495-63.fc38              fedora      19 k
 texlive-soulpos                           noarch  10:svn60772-63.fc38              fedora     185 k
 texlive-soulutf8                          noarch  10:svn53163-63.fc38              fedora     356 k
 texlive-sourcecodepro                     noarch  10:svn54512-63.fc38              fedora     3.8 M
 texlive-sourcesanspro                     noarch  10:svn54892-63.fc38              fedora     5.6 M
 texlive-sourceserifpro                    noarch  10:svn54512-63.fc38              fedora     4.0 M
 texlive-spacingtricks                     noarch  10:svn60559-63.fc38              fedora     115 k
 texlive-spalign                           noarch  10:svn42225-63.fc38              fedora     136 k
 texlive-spark-otf                         noarch  10:svn62481-63.fc38              fedora     429 k
 texlive-sparklines                        noarch  10:svn42821-63.fc38              fedora      16 k
 texlive-spath3                            noarch  10:svn64818-63.fc38              fedora      42 k
 texlive-spbmark                           noarch  10:svn64706-63.fc38              fedora     105 k
 texlive-spectral                          noarch  10:svn64528-63.fc38              fedora     4.1 M
 texlive-spectralsequences                 noarch  10:svn62261-63.fc38              fedora     1.6 M
 texlive-sphack                            noarch  10:svn20842.0-63.fc38            fedora      12 k
 texlive-sphdthesis                        noarch  10:svn34374.1.0-63.fc38          fedora      17 k
 texlive-spie                              noarch  10:svn15878.3.25-63.fc38         fedora      24 k
 texlive-splitbib                          noarch  10:svn15878.1.17-63.fc38         fedora      18 k
 texlive-splitindex                        noarch  10:svn39766-58.fc38              copr_base  434 k
 texlive-spot                              noarch  10:svn22408.1.1-63.fc38          fedora      19 k
 texlive-spotcolor                         noarch  10:svn15878.1.2-63.fc38          fedora      23 k
 texlive-spreadtab                         noarch  10:svn50147-63.fc38              fedora      38 k
 texlive-spverbatim                        noarch  10:svn15878.v1.0-63.fc38         fedora      15 k
 texlive-sr-vorl                           noarch  10:svn59333-63.fc38              fedora      21 k
 texlive-srbook-mem                        noarch  10:svn45818-63.fc38              fedora      11 k
 texlive-srbtiks                           noarch  10:svn63308-63.fc38              fedora     932 k
 texlive-srcltx                            noarch  10:svn15878.1.6-63.fc38          fedora      13 k
 texlive-srdp-mathematik                   noarch  10:svn65293-63.fc38              fedora     195 k
 texlive-sseq                              noarch  10:svn31585.2.01-63.fc38         fedora      22 k
 texlive-sslides                           noarch  10:svn32293.0-63.fc38            fedora      17 k
 texlive-stack                             noarch  10:svn15878.1.00-63.fc38         fedora      15 k
 texlive-stackengine                       noarch  10:svn60019-63.fc38              fedora      21 k
 texlive-standalone                        noarch  10:svn64677-63.fc38              fedora      29 k
 texlive-stanli                            noarch  10:svn54512-63.fc38              fedora     476 k
 texlive-starfont                          noarch  10:svn19982.1.2-63.fc38          fedora     187 k
 texlive-statex                            noarch  10:svn20306.1.6-63.fc38          fedora      18 k
 texlive-statex2                           noarch  10:svn23961.2.1-63.fc38          fedora      19 k
 texlive-statistics                        noarch  10:svn52212-63.fc38              fedora     445 k
 texlive-statistik                         noarch  10:svn20334.0.03-63.fc38         fedora      17 k
 texlive-statmath                          noarch  10:svn46925-63.fc38              fedora     217 k
 texlive-staves                            noarch  10:svn15878.0-63.fc38            fedora     132 k
 texlive-stdclsdv                          noarch  10:svn15878.1.1a-63.fc38         fedora      15 k
 texlive-stdpage                           noarch  10:svn15878.0.6-63.fc38          fedora      17 k
 texlive-stealcaps                         noarch  10:svn64967-63.fc38              fedora     478 k
 texlive-steinmetz                         noarch  10:svn15878.1.0-63.fc38          fedora      15 k
 texlive-stellenbosch                      noarch  10:svn36696.11a-63.fc38          fedora     726 k
 texlive-step                              noarch  10:svn57307-63.fc38              fedora     1.5 M
 texlive-stepgreek                         noarch  10:svn57074-63.fc38              fedora     465 k
 texlive-stex                              noarch  10:svn64383-63.fc38              fedora     104 k
 texlive-stickstoo                         noarch  10:svn60793-63.fc38              fedora     3.3 M
 texlive-stix                              noarch  10:svn54512-63.fc38              fedora     2.6 M
 texlive-stix2-otf                         noarch  10:svn58735-63.fc38              fedora     2.7 M
 texlive-stix2-type1                       noarch  10:svn57448-63.fc38              fedora     4.3 M
 texlive-stmaryrd                          noarch  10:svn22027.0-63.fc38            fedora     190 k
 texlive-storebox                          noarch  10:svn64967-63.fc38              fedora      19 k
 texlive-storecmd                          noarch  10:svn24431.0.0.2-63.fc38        fedora      19 k
 texlive-strands                           noarch  10:svn59906-63.fc38              fedora     161 k
 texlive-stringenc                         noarch  10:svn52982-63.fc38              fedora     702 k
 texlive-stringstrings                     noarch  10:svn57097-63.fc38              fedora      26 k
 texlive-structmech                        noarch  10:svn58985-63.fc38              fedora     153 k
 texlive-struktex                          noarch  10:svn47931-63.fc38              fedora      26 k
 texlive-sttools                           noarch  10:svn60736-63.fc38              fedora      31 k
 texlive-stubs                             noarch  10:svn19440.0.1.1-63.fc38        fedora      16 k
 texlive-studenthandouts                   noarch  10:svn43516-63.fc38              fedora     332 k
 texlive-styledcmd                         noarch  10:svn65262-63.fc38              fedora     383 k
 texlive-subdepth                          noarch  10:svn15878.0.1-63.fc38          fedora      15 k
 texlive-subdocs                           noarch  10:svn51480-63.fc38              fedora      13 k
 texlive-subeqn                            noarch  10:svn15878.2.0b-63.fc38         fedora      15 k
 texlive-subeqnarray                       noarch  10:svn15878.2.1c-63.fc38         fedora      16 k
 texlive-subfig                            noarch  10:svn15878.1.3-63.fc38          fedora      21 k
 texlive-subfigmat                         noarch  10:svn20308.1.0-63.fc38          fedora      16 k
 texlive-subfigure                         noarch  10:svn15878.2.1.5-63.fc38        fedora      18 k
 texlive-subfiles                          noarch  10:svn56977-63.fc38              fedora      18 k
 texlive-subfloat                          noarch  10:svn29349.2.14-63.fc38         fedora      15 k
 texlive-substances                        noarch  10:svn40989-63.fc38              fedora      21 k
 texlive-substitutefont                    noarch  10:svn32066.0.1.4-63.fc38        fedora      18 k
 texlive-substr                            noarch  10:svn16117.1.2-63.fc38          fedora      15 k
 texlive-subsupscripts                     noarch  10:svn16080.1.0-63.fc38          fedora      11 k
 texlive-subtext                           noarch  10:svn51273-63.fc38              fedora      54 k
 texlive-suftesi                           noarch  10:svn60991-63.fc38              fedora      31 k
 texlive-sugconf                           noarch  10:svn58752-63.fc38              fedora      16 k
 texlive-superiors                         noarch  10:svn51909-63.fc38              fedora      25 k
 texlive-supertabular                      noarch  10:svn53658-63.fc38              fedora      20 k
 texlive-suppose                           noarch  10:svn59281-63.fc38              fedora     210 k
 texlive-susy                              noarch  10:svn19440.0-63.fc38            fedora      14 k
 texlive-svg                               noarch  10:svn57010-63.fc38              fedora      30 k
 texlive-svgcolor                          noarch  10:svn15878.1.0-63.fc38          fedora      17 k
 texlive-svn                               noarch  10:svn15878.43-63.fc38           fedora      18 k
 texlive-svn-multi                         noarch  10:svn56291-58.fc38              copr_base  415 k
 texlive-svn-prov                          noarch  10:svn64967-63.fc38              fedora      16 k
 texlive-svninfo                           noarch  10:svn62157-63.fc38              fedora      17 k
 texlive-svrsymbols                        noarch  10:svn50019-63.fc38              fedora      86 k
 texlive-swfigure                          noarch  10:svn63255-63.fc38              fedora     2.8 M
 texlive-swimgraf                          noarch  10:svn25446.0-63.fc38            fedora      25 k
 texlive-swungdash                         noarch  10:svn64204-63.fc38              fedora      80 k
 texlive-syllogism                         noarch  10:svn15878.1.2-63.fc38          fedora      16 k
 texlive-symbats3                          noarch  10:svn63833-63.fc38              fedora     205 k
 texlive-symbol                            noarch  10:svn61719-63.fc38              fedora      54 k
 texlive-sympytexpackage                   noarch  10:svn57090-63.fc38              fedora      17 k
 texlive-synproof                          noarch  10:svn15878.1.0-63.fc38          fedora      16 k
 texlive-syntax                            noarch  10:svn15878.0-63.fc38            fedora      17 k
 texlive-syntaxdi                          noarch  10:svn56685-63.fc38              fedora     199 k
 texlive-syntrace                          noarch  10:svn15878.1.1-63.fc38          fedora      15 k
 texlive-synttree                          noarch  10:svn16252.1.4.2-63.fc38        fedora      17 k
 texlive-t-angles                          noarch  10:svn15878.0-63.fc38            fedora      21 k
 texlive-tabbing                           noarch  10:svn59715-63.fc38              fedora      15 k
 texlive-tabfigures                        noarch  10:svn25202.1.1-63.fc38          fedora      18 k
 texlive-table-fct                         noarch  10:svn41849-63.fc38              fedora      19 k
 texlive-tableaux                          noarch  10:svn42413-63.fc38              fedora      17 k
 texlive-tablefootnote                     noarch  10:svn32804.1.1c-63.fc38         fedora      20 k
 texlive-tableof                           noarch  10:svn59837-63.fc38              fedora      19 k
 texlive-tablestyles                       noarch  10:svn34495.0-63.fc38            fedora      12 k
 texlive-tablists                          noarch  10:svn15878.0.0e-63.fc38         fedora      16 k
 texlive-tablor                            noarch  10:svn31855.4.07_g-63.fc38       fedora      26 k
 texlive-tabls                             noarch  10:svn17255.3.5-63.fc38          fedora      14 k
 texlive-tablvar                           noarch  10:svn51543-63.fc38              fedora     189 k
 texlive-tabriz-thesis                     noarch  10:svn51729-63.fc38              fedora      20 k
 texlive-tabstackengine                    noarch  10:svn46848-63.fc38              fedora      19 k
 texlive-tabto-ltx                         noarch  10:svn54080-63.fc38              fedora      17 k
 texlive-tabu                              noarch  10:svn61719-63.fc38              fedora      40 k
 texlive-tabularborder                     noarch  10:svn17885.1.0a-63.fc38         fedora      16 k
 texlive-tabularcalc                       noarch  10:svn15878.0.2-63.fc38          fedora      18 k
 texlive-tabularew                         noarch  10:svn15878.0.1-63.fc38          fedora      16 k
 texlive-tabularray                        noarch  10:svn64891-63.fc38              fedora     752 k
 texlive-tabulary                          noarch  10:svn34368.0.10-63.fc38         fedora      18 k
 texlive-tagging                           noarch  10:svn52064-63.fc38              fedora      17 k
 texlive-tagpair                           noarch  10:svn42138-63.fc38              fedora      18 k
 texlive-tagpdf                            noarch  10:svn65346-63.fc38              fedora     1.9 M
 texlive-talk                              noarch  10:svn42428-63.fc38              fedora      19 k
 texlive-tamefloats                        noarch  10:svn27345.v0.42-63.fc38        fedora      20 k
 texlive-tapir                             noarch  10:svn20484.0.2-63.fc38          fedora      67 k
 texlive-tasks                             noarch  10:svn61541-63.fc38              fedora      24 k
 texlive-tcldoc                            noarch  10:svn22018.2.40-63.fc38         fedora      21 k
 texlive-tcolorbox                         noarch  10:svn63713-63.fc38              fedora     251 k
 texlive-tdclock                           noarch  10:svn33043.v2.5-63.fc38         fedora      21 k
 texlive-technics                          noarch  10:svn29349.1.0-63.fc38          fedora      15 k
 texlive-technion-thesis-template          noarch  10:svn49889-63.fc38              fedora     135 k
 texlive-ted                               noarch  10:svn15878.1.06-63.fc38         fedora      17 k
 texlive-templatetools                     noarch  10:svn34495.0-63.fc38            fedora      18 k
 texlive-tempora                           noarch  10:svn39596-63.fc38              fedora     1.3 M
 texlive-tengwarscript                     noarch  10:svn34594.1.3.1-63.fc38        fedora      72 k
 texlive-tensind                           noarch  10:svn51481-63.fc38              fedora     224 k
 texlive-tensor                            noarch  10:svn15878.2.1-63.fc38          fedora      15 k
 texlive-termcal                           noarch  10:svn22514.1.8-63.fc38          fedora      16 k
 texlive-termes-otf                        noarch  10:svn64733-63.fc38              fedora     469 k
 texlive-termlist                          noarch  10:svn18923.1.1-63.fc38          fedora      15 k
 texlive-termsim                           noarch  10:svn61414-63.fc38              fedora     399 k
 texlive-testhyphens                       noarch  10:svn38928-63.fc38              fedora      18 k
 texlive-testidx                           noarch  10:svn60966-63.fc38              fedora     3.2 M
 texlive-tex                               x86_64  10:svn62387-58.fc38              copr_base  212 k
 texlive-tex-ewd                           noarch  10:svn15878.0-63.fc38            fedora      14 k
 texlive-tex-gyre                          noarch  10:svn48058-63.fc38              fedora     8.0 M
 texlive-tex-gyre-math                     noarch  10:svn41264-63.fc38              fedora     1.4 M
 texlive-tex-ini-files                     noarch  10:svn40533-63.fc38              fedora      15 k
 texlive-tex-label                         noarch  10:svn16372.0-63.fc38            fedora      17 k
 texlive-tex-locale                        noarch  10:svn48500-63.fc38              fedora     948 k
 texlive-tex4ht                            x86_64  10:svn64837-58.fc38              copr_base  2.4 M
 texlive-texdraw                           noarch  10:svn64477-63.fc38              fedora      54 k
 texlive-texilikechaps                     noarch  10:svn28553.1.0a-63.fc38         fedora      15 k
 texlive-texilikecover                     noarch  10:svn15878.0.1-63.fc38          fedora      14 k
 texlive-texlive-common-doc                noarch  10:svn54176-63.fc38              fedora     157 k
 texlive-texlive-en                        noarch  10:svn64221-58.fc38              copr_base  2.0 M
 texlive-texlive-msg-translations          noarch  10:svn63700-63.fc38              fedora     162 k
 texlive-texlive-scripts                   noarch  10:svn64830-58.fc38              copr_base  111 k
 texlive-texlive.infra                     noarch  10:svn63645-58.fc38              copr_base  287 k
 texlive-texlogos                          noarch  10:svn19083.1.3.1-63.fc38        fedora      16 k
 texlive-texmate                           noarch  10:svn15878.2-63.fc38            fedora      20 k
 texlive-texments                          noarch  10:svn15878.0.2.0-63.fc38        fedora      15 k
 texlive-texpower                          noarch  10:svn29349.0.2-63.fc38          fedora      56 k
 texlive-texshade                          noarch  10:svn64242-63.fc38              fedora      81 k
 texlive-texsurgery                        noarch  10:svn59885-63.fc38              fedora     146 k
 texlive-textcase                          noarch  10:svn63868-63.fc38              fedora      15 k
 texlive-textcsc                           noarch  10:svn64935-63.fc38              fedora      74 k
 texlive-textfit                           noarch  10:svn20591.5-63.fc38            fedora      18 k
 texlive-textgreek                         noarch  10:svn44192-63.fc38              fedora      16 k
 texlive-textmerg                          noarch  10:svn20677.2.01-63.fc38         fedora      12 k
 texlive-textopo                           noarch  10:svn23796.1.5-63.fc38          fedora      48 k
 texlive-textpos                           noarch  10:svn63967-63.fc38              fedora      19 k
 texlive-textualicomma                     noarch  10:svn48474-63.fc38              fedora     132 k
 texlive-texvc                             noarch  10:svn46844-63.fc38              fedora      18 k
 texlive-tfrupee                           noarch  10:svn20770.1.02-63.fc38         fedora     612 k
 texlive-theanodidot                       noarch  10:svn64518-63.fc38              fedora     463 k
 texlive-theanomodern                      noarch  10:svn64520-63.fc38              fedora     476 k
 texlive-theanooldstyle                    noarch  10:svn64519-63.fc38              fedora     570 k
 texlive-theoremref                        noarch  10:svn54512-63.fc38              fedora      17 k
 texlive-thermodynamics                    noarch  10:svn63188-63.fc38              fedora     219 k
 texlive-thesis-ekf                        noarch  10:svn60228-63.fc38              fedora     130 k
 texlive-thesis-gwu                        noarch  10:svn54287-63.fc38              fedora     424 k
 texlive-thesis-qom                        noarch  10:svn63524-63.fc38              fedora     1.3 M
 texlive-thesis-titlepage-fhac             noarch  10:svn15878.0.1-63.fc38          fedora      17 k
 texlive-thinsp                            noarch  10:svn39669-63.fc38              fedora      16 k
 texlive-thmbox                            noarch  10:svn15878.0-63.fc38            fedora      16 k
 texlive-thmtools                          noarch  10:svn63477-63.fc38              fedora      32 k
 texlive-threadcol                         noarch  10:svn28754.1.0-63.fc38          fedora      18 k
 texlive-threeparttable                    noarch  10:svn17383.0-63.fc38            fedora      16 k
 texlive-threeparttablex                   noarch  10:svn34206.0.3-63.fc38          fedora      16 k
 texlive-thuaslogos                        noarch  10:svn51347-63.fc38              fedora     788 k
 texlive-thubeamer                         noarch  10:svn61071-63.fc38              fedora     701 k
 texlive-thucoursework                     noarch  10:svn56435-63.fc38              fedora     513 k
 texlive-thumb                             noarch  10:svn16549.1.0-63.fc38          fedora      18 k
 texlive-thumbpdf                          noarch  10:svn62518-58.fc38              copr_base   40 k
 texlive-thumbs                            noarch  10:svn33134.1.0q-63.fc38         fedora      27 k
 texlive-thumby                            noarch  10:svn16736.0.1-63.fc38          fedora      25 k
 texlive-thuthesis                         noarch  10:svn64628-63.fc38              fedora      62 k
 texlive-ticket                            noarch  10:svn42280-63.fc38              fedora      19 k
 texlive-ticollege                         noarch  10:svn36306.1.0-63.fc38          fedora      19 k
 texlive-tikz-3dplot                       noarch  10:svn25087.0-63.fc38            fedora      24 k
 texlive-tikz-among-us                     noarch  10:svn60880-63.fc38              fedora     4.9 M
 texlive-tikz-bagua                        noarch  10:svn64103-63.fc38              fedora     192 k
 texlive-tikz-bayesnet                     noarch  10:svn38295.0.1-63.fc38          fedora      18 k
 texlive-tikz-bbox                         noarch  10:svn57444-63.fc38              fedora     249 k
 texlive-tikz-cd                           noarch  10:svn59133-63.fc38              fedora      28 k
 texlive-tikz-dependency                   noarch  10:svn54512-63.fc38              fedora      26 k
 texlive-tikz-dimline                      noarch  10:svn35805.1.0-63.fc38          fedora      13 k
 texlive-tikz-ext                          noarch  10:svn64920-63.fc38              fedora     493 k
 texlive-tikz-feynhand                     noarch  10:svn51915-63.fc38              fedora     335 k
 texlive-tikz-feynman                      noarch  10:svn56615-63.fc38              fedora      32 k
 texlive-tikz-imagelabels                  noarch  10:svn51490-63.fc38              fedora     966 k
 texlive-tikz-inet                         noarch  10:svn15878.0.1-63.fc38          fedora      16 k
 texlive-tikz-kalender                     noarch  10:svn52890-63.fc38              fedora     131 k
 texlive-tikz-karnaugh                     noarch  10:svn62040-63.fc38              fedora     372 k
 texlive-tikz-ladder                       noarch  10:svn62992-63.fc38              fedora     310 k
 texlive-tikz-lake-fig                     noarch  10:svn55288-63.fc38              fedora      80 k
 texlive-tikz-layers                       noarch  10:svn46660-63.fc38              fedora      18 k
 texlive-tikz-mirror-lens                  noarch  10:svn65372-63.fc38              fedora     1.2 M
 texlive-tikz-nef                          noarch  10:svn55920-63.fc38              fedora     106 k
 texlive-tikz-network                      noarch  10:svn51884-63.fc38              fedora     833 k
 texlive-tikz-opm                          noarch  10:svn32769.0.1.1-63.fc38        fedora      18 k
 texlive-tikz-optics                       noarch  10:svn62977-63.fc38              fedora     410 k
 texlive-tikz-page                         noarch  10:svn42039-63.fc38              fedora     568 k
 texlive-tikz-palattice                    noarch  10:svn43442-63.fc38              fedora      22 k
 texlive-tikz-planets                      noarch  10:svn55002-63.fc38              fedora     180 k
 texlive-tikz-qtree                        noarch  10:svn26108.1.2-63.fc38          fedora      23 k
 texlive-tikz-relay                        noarch  10:svn64072-63.fc38              fedora     635 k
 texlive-tikz-sfc                          noarch  10:svn49424-63.fc38              fedora     347 k
 texlive-tikz-swigs                        noarch  10:svn59889-63.fc38              fedora     186 k
 texlive-tikz-timing                       noarch  10:svn64967-63.fc38              fedora      34 k
 texlive-tikz-trackschematic               noarch  10:svn63480-63.fc38              fedora     747 k
 texlive-tikz-truchet                      noarch  10:svn50020-63.fc38              fedora     128 k
 texlive-tikzbricks                        noarch  10:svn63952-63.fc38              fedora     278 k
 texlive-tikzcodeblocks                    noarch  10:svn54758-63.fc38              fedora     491 k
 texlive-tikzducks                         noarch  10:svn55713-63.fc38              fedora     470 k
 texlive-tikzfill                          noarch  10:svn63947-63.fc38              fedora     627 k
 texlive-tikzinclude                       noarch  10:svn28715.1.0-63.fc38          fedora      17 k
 texlive-tikzlings                         noarch  10:svn63628-63.fc38              fedora     732 k
 texlive-tikzmark                          noarch  10:svn64819-63.fc38              fedora      25 k
 texlive-tikzmarmots                       noarch  10:svn54080-63.fc38              fedora     237 k
 texlive-tikzorbital                       noarch  10:svn36439.0-63.fc38            fedora      17 k
 texlive-tikzpackets                       noarch  10:svn55827-63.fc38              fedora      76 k
 texlive-tikzpagenodes                     noarch  10:svn64967-63.fc38              fedora      15 k
 texlive-tikzpeople                        noarch  10:svn43978-63.fc38              fedora     519 k
 texlive-tikzpfeile                        noarch  10:svn25777.1.0-63.fc38          fedora      15 k
 texlive-tikzpingus                        noarch  10:svn64199-63.fc38              fedora     2.6 M
 texlive-tikzposter                        noarch  10:svn32732.2.0-63.fc38          fedora      27 k
 texlive-tikzscale                         noarch  10:svn30637.0.2.6-63.fc38        fedora      21 k
 texlive-tikzsymbols                       noarch  10:svn61300-63.fc38              fedora      31 k
 texlive-tikztosvg                         x86_64  10:svn60289-58.fc38              copr_base  202 k
 texlive-tile-graphic                      noarch  10:svn55325-63.fc38              fedora     6.2 M
 texlive-timbreicmc                        noarch  10:svn49740-63.fc38              fedora     724 k
 texlive-times                             noarch  10:svn61719-63.fc38              fedora     368 k
 texlive-timing-diagrams                   noarch  10:svn31491.0-63.fc38            fedora      18 k
 texlive-tinos                             noarch  10:svn64504-63.fc38              fedora     3.2 M
 texlive-tipa                              noarch  10:svn29349.1.3-63.fc38          fedora     2.8 M
 texlive-tipauni                           noarch  10:svn64774-63.fc38              fedora     426 k
 texlive-tipfr-doc                         noarch  10:svn38646-63.fc38              fedora     384 k
 texlive-tiscreen                          noarch  10:svn62602-63.fc38              fedora     240 k
 texlive-titlecaps                         noarch  10:svn63020-63.fc38              fedora      21 k
 texlive-titlefoot                         noarch  10:svn15878.0-63.fc38            fedora      16 k
 texlive-titlepic                          noarch  10:svn43497-63.fc38              fedora      12 k
 texlive-titleref                          noarch  10:svn18729.3.1-63.fc38          fedora      13 k
 texlive-titlesec                          noarch  10:svn59845-63.fc38              fedora      33 k
 texlive-titling                           noarch  10:svn15878.2.1d-63.fc38         fedora      16 k
 texlive-tkz-base                          noarch  10:svn63906-63.fc38              fedora      36 k
 texlive-tkz-berge                         noarch  10:svn57485-63.fc38              fedora     972 k
 texlive-tkz-doc                           noarch  10:svn63902-63.fc38              fedora      55 k
 texlive-tkz-euclide                       noarch  10:svn64491-63.fc38              fedora      64 k
 texlive-tkz-fct                           noarch  10:svn61949-63.fc38              fedora      18 k
 texlive-tkz-graph                         noarch  10:svn57484-63.fc38              fedora     340 k
 texlive-tkz-orm                           noarch  10:svn61719-63.fc38              fedora      22 k
 texlive-tkz-tab                           noarch  10:svn54940-63.fc38              fedora      20 k
 texlive-tkzexample                        noarch  10:svn63908-63.fc38              fedora     114 k
 texlive-tlc-article                       noarch  10:svn51431-63.fc38              fedora     443 k
 texlive-to-be-determined                  noarch  10:svn64882-63.fc38              fedora     255 k
 texlive-tocbibind                         noarch  10:svn20085.1.5k-63.fc38         fedora      16 k
 texlive-tocdata                           noarch  10:svn55852-63.fc38              fedora     911 k
 texlive-tocloft                           noarch  10:svn53364-63.fc38              fedora      22 k
 texlive-tocvsec2                          noarch  10:svn33146.1.3a-63.fc38         fedora      18 k
 texlive-todo                              noarch  10:svn17746.2.142-63.fc38        fedora      15 k
 texlive-todonotes                         noarch  10:svn59465-63.fc38              fedora      19 k
 texlive-tokcycle                          noarch  10:svn60320-63.fc38              fedora     1.1 M
 texlive-tokenizer                         noarch  10:svn15878.1.1.0-63.fc38        fedora      16 k
 texlive-tonevalue                         noarch  10:svn60058-63.fc38              fedora     167 k
 texlive-toolbox                           noarch  10:svn32260.5.1-63.fc38          fedora      16 k
 texlive-tools                             noarch  10:svn64892-63.fc38              fedora      74 k
 texlive-topfloat                          noarch  10:svn19084.0-63.fc38            fedora      16 k
 texlive-topiclongtable                    noarch  10:svn54758-63.fc38              fedora     383 k
 texlive-topletter                         noarch  10:svn48182-63.fc38              fedora     365 k
 texlive-toptesi                           noarch  10:svn56276-63.fc38              fedora      38 k
 texlive-totalcount                        noarch  10:svn56214-63.fc38              fedora      90 k
 texlive-totcount                          noarch  10:svn21178.1.2-63.fc38          fedora      16 k
 texlive-totpages                          noarch  10:svn15878.2.00-63.fc38         fedora      16 k
 texlive-tpslifonts                        noarch  10:svn42428-63.fc38              fedora      21 k
 texlive-tqft                              noarch  10:svn44455-63.fc38              fedora      23 k
 texlive-tracklang                         noarch  10:svn65263-63.fc38              fedora      37 k
 texlive-trajan                            noarch  10:svn15878.1.1-63.fc38          fedora      61 k
 texlive-translations                      noarch  10:svn61896-63.fc38              fedora      31 k
 texlive-translator                        noarch  10:svn59412-63.fc38              fedora     295 k
 texlive-transparent                       noarch  10:svn64852-63.fc38              fedora     285 k
 texlive-tree-dvips                        noarch  10:svn21751.91-63.fc38           fedora      22 k
 texlive-trfsigns                          noarch  10:svn15878.1.01-63.fc38         fedora      16 k
 texlive-trimspaces                        noarch  10:svn15878.1.1-63.fc38          fedora      14 k
 texlive-trivfloat                         noarch  10:svn15878.1.3b-63.fc38         fedora      16 k
 texlive-trsym                             noarch  10:svn18732.1.0-63.fc38          fedora      18 k
 texlive-truncate                          noarch  10:svn18921.3.6-63.fc38          fedora      14 k
 texlive-tsemlines                         noarch  10:svn23440.1.0-63.fc38          fedora      12 k
 texlive-ttfutils                          x86_64  10:svn62517-58.fc38              copr_base  296 k
 texlive-tucv                              noarch  10:svn20680.1.0-63.fc38          fedora      12 k
 texlive-tuda-ci                           noarch  10:svn65254-63.fc38              fedora     1.2 M
 texlive-tudscr                            noarch  10:svn64085-63.fc38              fedora     197 k
 texlive-tufte-latex                       noarch  10:svn37649.3.5.2-63.fc38        fedora      36 k
 texlive-tugboat                           noarch  10:svn63386-63.fc38              fedora      46 k
 texlive-tugboat-plain                     noarch  10:svn63386-63.fc38              fedora      44 k
 texlive-tui                               noarch  10:svn27253.1.9-63.fc38          fedora      18 k
 texlive-turabian                          noarch  10:svn36298.0.1.0-63.fc38        fedora      15 k
 texlive-turabian-formatting               noarch  10:svn58561-63.fc38              fedora      25 k
 texlive-turnstile                         noarch  10:svn64967-63.fc38              fedora      15 k
 texlive-turnthepage                       noarch  10:svn29803.1.3a-63.fc38         fedora      16 k
 texlive-twemoji-colr                      noarch  10:svn64854-63.fc38              fedora     643 k
 texlive-twemojis                          noarch  10:svn62930-63.fc38              fedora     4.7 M
 texlive-twoinone                          noarch  10:svn17024.0-63.fc38            fedora      12 k
 texlive-twoup                             noarch  10:svn15878.1.3-63.fc38          fedora      16 k
 texlive-txfonts                           noarch  10:svn15878.0-63.fc38            fedora     815 k
 texlive-txfontsb                          noarch  10:svn54512-63.fc38              fedora     3.5 M
 texlive-txgreeks                          noarch  10:svn21839.1.0-63.fc38          fedora      18 k
 texlive-txuprcal                          noarch  10:svn43327-63.fc38              fedora     157 k
 texlive-type1cm                           noarch  10:svn21820.0-63.fc38            fedora      16 k
 texlive-typed-checklist                   noarch  10:svn63445-63.fc38              fedora      20 k
 texlive-typeface                          noarch  10:svn27046.0.1-63.fc38          fedora      61 k
 texlive-typehtml                          noarch  10:svn17134.0-63.fc38            fedora      20 k
 texlive-typicons                          noarch  10:svn37623.2.0.7-63.fc38        fedora      79 k
 texlive-typoaid                           noarch  10:svn44238-63.fc38              fedora     346 k
 texlive-typogrid                          noarch  10:svn24994.0.21-63.fc38         fedora      15 k
 texlive-tzplot                            noarch  10:svn64537-63.fc38              fedora     1.2 M
 texlive-uaclasses                         noarch  10:svn15878.0-63.fc38            fedora      17 k
 texlive-uafthesis                         noarch  10:svn57349-63.fc38              fedora      21 k
 texlive-uantwerpendocs                    noarch  10:svn64165-63.fc38              fedora     6.3 M
 texlive-uassign                           noarch  10:svn38459-63.fc38              fedora      19 k
 texlive-ucalgmthesis                      noarch  10:svn52527-63.fc38              fedora     134 k
 texlive-ucbthesis                         noarch  10:svn51690-63.fc38              fedora      23 k
 texlive-ucdavisthesis                     noarch  10:svn40772-63.fc38              fedora      24 k
 texlive-ucharcat                          noarch  10:svn38907-63.fc38              fedora      15 k
 texlive-ucs                               noarch  10:svn64114-63.fc38              fedora     423 k
 texlive-ucsmonograph                      noarch  10:svn52698-63.fc38              fedora     436 k
 texlive-ucthesis                          noarch  10:svn15878.3.2-63.fc38          fedora      35 k
 texlive-udes-genie-these                  noarch  10:svn65039-63.fc38              fedora     152 k
 texlive-uebungsblatt                      noarch  10:svn15878.1.5.0-63.fc38        fedora      18 k
 texlive-uestcthesis                       noarch  10:svn36371.1.1.0-63.fc38        fedora      35 k
 texlive-ufrgscca                          noarch  10:svn65284-63.fc38              fedora     502 k
 texlive-uhc                               noarch  10:svn16791.0-63.fc38            fedora     4.4 M
 texlive-uhhassignment                     noarch  10:svn44026-63.fc38              fedora     388 k
 texlive-uiucredborder                     noarch  10:svn29974.1.00-63.fc38         fedora      17 k
 texlive-uiucthesis                        noarch  10:svn15878.2.25-63.fc38         fedora      18 k
 texlive-ukbill                            noarch  10:svn65266-63.fc38              fedora     204 k
 texlive-ulem                              noarch  10:svn53365-63.fc38              fedora      17 k
 texlive-ulqda                             noarch  10:svn26313-58.fc38              copr_base  264 k
 texlive-ulthese                           noarch  10:svn60217-63.fc38              fedora      77 k
 texlive-umbclegislation                   noarch  10:svn41348-63.fc38              fedora      24 k
 texlive-umich-thesis                      noarch  10:svn15878.1.20-63.fc38         fedora      19 k
 texlive-umoline                           noarch  10:svn19085.0-63.fc38            fedora      16 k
 texlive-umthesis                          noarch  10:svn15878.0.2-63.fc38          fedora      20 k
 texlive-umtypewriter                      noarch  10:svn64443-63.fc38              fedora     379 k
 texlive-unam-thesis                       noarch  10:svn51207-63.fc38              fedora      26 k
 texlive-unamth-template-doc               noarch  10:svn33625.2.0-63.fc38          fedora     4.8 M
 texlive-unamthesis                        noarch  10:svn43639-63.fc38              fedora      26 k
 texlive-unbtex                            noarch  10:svn64634-63.fc38              fedora     1.4 M
 texlive-underlin                          noarch  10:svn15878.1.01-63.fc38         fedora      14 k
 texlive-underoverlap                      noarch  10:svn29019.0.0.1_r1-63.fc38     fedora      19 k
 texlive-underscore                        noarch  10:svn18261.0-63.fc38            fedora      18 k
 texlive-undolabl                          noarch  10:svn36681.1.0l-63.fc38         fedora      18 k
 texlive-uni-titlepage                     noarch  10:svn64306-63.fc38              fedora     1.8 M
 texlive-uni-wtal-ger                      noarch  10:svn31541.0.2-63.fc38          fedora      18 k
 texlive-uni-wtal-lin                      noarch  10:svn31409.0.2-63.fc38          fedora      19 k
 texlive-unicode-data                      noarch  10:svn64423-63.fc38              fedora     370 k
 texlive-unicode-math                      noarch  10:svn61719-63.fc38              fedora      63 k
 texlive-unicodefonttable                  noarch  10:svn65009-63.fc38              fedora     1.4 M
 texlive-unifith                           noarch  10:svn60698-63.fc38              fedora     990 k
 texlive-unigrazpub                        noarch  10:svn64797-63.fc38              fedora     149 k
 texlive-uniquecounter                     noarch  10:svn53162-63.fc38              fedora     300 k
 texlive-unisc                             noarch  10:svn63178-63.fc38              fedora     212 k
 texlive-unitconv                          noarch  10:svn55060-63.fc38              fedora      86 k
 texlive-unitn-bimrep                      noarch  10:svn45581-63.fc38              fedora     816 k
 texlive-units                             noarch  10:svn42428-63.fc38              fedora      17 k
 texlive-unitsdef                          noarch  10:svn15878.0.2-63.fc38          fedora      20 k
 texlive-universa                          noarch  10:svn51984-63.fc38              fedora      61 k
 texlive-universalis                       noarch  10:svn64505-63.fc38              fedora     606 k
 texlive-univie-ling                       noarch  10:svn65214-63.fc38              fedora     3.2 M
 texlive-unizgklasa                        noarch  10:svn51647-63.fc38              fedora      49 k
 texlive-unravel                           noarch  10:svn59175-63.fc38              fedora      44 k
 texlive-unswcover                         noarch  10:svn29476.1.0-63.fc38          fedora      19 k
 texlive-uothesis                          noarch  10:svn25355.2.5.6-63.fc38        fedora      25 k
 texlive-uowthesis                         noarch  10:svn19700.1.0a-63.fc38         fedora      60 k
 texlive-uowthesistitlepage                noarch  10:svn54512-63.fc38              fedora      21 k
 texlive-uplatex                           noarch  10:svn65305-63.fc38              fedora      36 k
 texlive-upmethodology                     noarch  10:svn64613-63.fc38              fedora      50 k
 texlive-uppunctlm                         noarch  10:svn42334-63.fc38              fedora     187 k
 texlive-upquote                           noarch  10:svn26059.v1.3-63.fc38         fedora      16 k
 texlive-uptex                             x86_64  10:svn62464-58.fc38              copr_base  1.6 M
 texlive-uptex-base                        noarch  10:svn64072-63.fc38              fedora      22 k
 texlive-uptex-fonts                       noarch  10:svn62592-63.fc38              fedora     419 k
 texlive-urcls                             noarch  10:svn49903-63.fc38              fedora      28 k
 texlive-uri                               noarch  10:svn48602-63.fc38              fedora      19 k
 texlive-url                               noarch  10:svn32528.3.4-63.fc38          fedora      19 k
 texlive-urlbst                            noarch  10:svn55777-58.fc38              copr_base  251 k
 texlive-urwchancal                        noarch  10:svn21701.1-63.fc38            fedora      17 k
 texlive-usebib                            noarch  10:svn25969.1.0a-63.fc38         fedora      18 k
 texlive-ushort                            noarch  10:svn32261.2.2-63.fc38          fedora      15 k
 texlive-uspace                            noarch  10:svn63123-63.fc38              fedora     296 k
 texlive-uspatent                          noarch  10:svn27744.1.0-63.fc38          fedora      21 k
 texlive-ut-thesis                         noarch  10:svn64491-63.fc38              fedora      19 k
 texlive-utexasthesis                      noarch  10:svn48648-63.fc38              fedora      16 k
 texlive-utf8add                           noarch  10:svn61074-63.fc38              fedora      93 k
 texlive-utfsym                            noarch  10:svn63076-63.fc38              fedora     5.2 M
 texlive-utopia                            noarch  10:svn15878.0-63.fc38            fedora     239 k
 texlive-uwa-colours                       noarch  10:svn60443-63.fc38              fedora     141 k
 texlive-uwa-letterhead                    noarch  10:svn64491-63.fc38              fedora      75 k
 texlive-uwa-pcf                           noarch  10:svn64491-63.fc38              fedora      70 k
 texlive-uwa-pif                           noarch  10:svn64491-63.fc38              fedora      68 k
 texlive-uwthesis                          noarch  10:svn15878.6.13-63.fc38         fedora      22 k
 texlive-vak                               noarch  10:svn23431.0-63.fc38            fedora      19 k
 texlive-vancouver                         noarch  10:svn59192-63.fc38              fedora      25 k
 texlive-variablelm                        noarch  10:svn60014-63.fc38              fedora     153 k
 texlive-varindex                          noarch  10:svn32262.2.3-63.fc38          fedora      20 k
 texlive-varsfromjobname                   noarch  10:svn44154-63.fc38              fedora      15 k
 texlive-varwidth                          noarch  10:svn24104.0.92-63.fc38         fedora      17 k
 texlive-vcell                             noarch  10:svn59039-63.fc38              fedora     151 k
 texlive-vdmlisting                        noarch  10:svn56905-63.fc38              fedora      16 k
 texlive-venn                              noarch  10:svn15878.0-63.fc38            fedora      15 k
 texlive-venndiagram                       noarch  10:svn47952-63.fc38              fedora      18 k
 texlive-venturisadf                       noarch  10:svn19444.1.005-63.fc38        fedora     4.1 M
 texlive-verbasef                          noarch  10:svn21922.1.1-63.fc38          fedora      19 k
 texlive-verbatimbox                       noarch  10:svn33197.3.13-63.fc38         fedora      17 k
 texlive-verbatimcopy                      noarch  10:svn15878.0.06-63.fc38         fedora      16 k
 texlive-verbdef                           noarch  10:svn17177.0.2-63.fc38          fedora      15 k
 texlive-verbments                         noarch  10:svn23670.1.2-63.fc38          fedora      17 k
 texlive-verifiche                         noarch  10:svn64425-63.fc38              fedora     865 k
 texlive-verse                             noarch  10:svn34017.2.4b-63.fc38         fedora      16 k
 texlive-version                           noarch  10:svn21920.2.0-63.fc38          fedora      13 k
 texlive-versions                          noarch  10:svn21921.0.55-63.fc38         fedora      22 k
 texlive-versonotes                        noarch  10:svn55777-63.fc38              fedora      19 k
 texlive-vertbars                          noarch  10:svn49429-63.fc38              fedora      17 k
 texlive-vgrid                             noarch  10:svn32457.0.1-63.fc38          fedora      17 k
 texlive-vhistory                          noarch  10:svn61719-63.fc38              fedora      23 k
 texlive-visualpstricks-doc                noarch  10:svn39799-63.fc38              fedora      13 M
 texlive-vmargin                           noarch  10:svn15878.2.5-63.fc38          fedora      16 k
 texlive-volumes                           noarch  10:svn15878.1.0-63.fc38          fedora      16 k
 texlive-vpe                               noarch  10:svn26039-58.fc38              copr_base   26 k
 texlive-vruler                            noarch  10:svn21598.2.3-63.fc38          fedora      19 k
 texlive-vtable                            noarch  10:svn51126-63.fc38              fedora      71 k
 texlive-vwcol                             noarch  10:svn36254.0.2-63.fc38          fedora      19 k
 texlive-wadalab                           noarch  10:svn42428-63.fc38              fedora      17 M
 texlive-wallcalendar                      noarch  10:svn45568-63.fc38              fedora     2.6 M
 texlive-wallpaper                         noarch  10:svn15878.1.10-63.fc38         fedora      15 k
 texlive-warning                           noarch  10:svn22028.0.01-63.fc38         fedora      15 k
 texlive-warpcol                           noarch  10:svn15878.1.0c-63.fc38         fedora      15 k
 texlive-was                               noarch  10:svn64691-63.fc38              fedora      12 k
 texlive-wasy                              noarch  10:svn53533-63.fc38              fedora      43 k
 texlive-wasy-type1                        noarch  10:svn53534-63.fc38              fedora     271 k
 texlive-wasysym                           noarch  10:svn54080-63.fc38              fedora      17 k
 texlive-webquiz                           noarch  10:svn58808-58.fc38              copr_base  6.6 M
 texlive-wheelchart                        noarch  10:svn64373-63.fc38              fedora     665 k
 texlive-widetable                         noarch  10:svn53409-63.fc38              fedora      18 k
 texlive-widows-and-orphans                noarch  10:svn64212-63.fc38              fedora     838 k
 texlive-williams                          noarch  10:svn15878.0-63.fc38            fedora      16 k
 texlive-willowtreebook                    noarch  10:svn60638-63.fc38              fedora      23 M
 texlive-windycity                         noarch  10:svn61223-63.fc38              fedora     581 k
 texlive-withargs                          noarch  10:svn52641-63.fc38              fedora      23 k
 texlive-witharrows                        noarch  10:svn63087-63.fc38              fedora     945 k
 texlive-wordcount                         noarch  10:svn46165-58.fc38              copr_base   18 k
 texlive-wordlike                          noarch  10:svn15878.1.2b-63.fc38         fedora      15 k
 texlive-worksheet                         noarch  10:svn48423-63.fc38              fedora     113 k
 texlive-worldflags                        noarch  10:svn59171-63.fc38              fedora     4.1 M
 texlive-wrapfig                           noarch  10:svn61719-63.fc38              fedora      22 k
 texlive-wrapfig2                          noarch  10:svn62039-63.fc38              fedora     688 k
 texlive-wrapstuff                         noarch  10:svn64058-63.fc38              fedora     453 k
 texlive-wsemclassic                       noarch  10:svn31532.1.0.1-63.fc38        fedora      15 k
 texlive-wsuipa                            noarch  10:svn25469.0-63.fc38            fedora      62 k
 texlive-wtref                             noarch  10:svn55558-63.fc38              fedora     349 k
 texlive-xargs                             noarch  10:svn15878.1.1-63.fc38          fedora      17 k
 texlive-xassoccnt                         noarch  10:svn61112-63.fc38              fedora      35 k
 texlive-xbmks                             noarch  10:svn53448-63.fc38              fedora     378 k
 texlive-xcharter                          noarch  10:svn63057-63.fc38              fedora     2.7 M
 texlive-xcharter-math                     noarch  10:svn64927-63.fc38              fedora     2.1 M
 texlive-xcite                             noarch  10:svn53486-63.fc38              fedora      17 k
 texlive-xcjk2uni                          noarch  10:svn54958-63.fc38              fedora     373 k
 texlive-xcntperchap                       noarch  10:svn54080-63.fc38              fedora      19 k
 texlive-xcolor                            noarch  10:svn63563-63.fc38              fedora      32 k
 texlive-xcolor-material                   noarch  10:svn42289-63.fc38              fedora     581 k
 texlive-xcolor-solarized                  noarch  10:svn61719-63.fc38              fedora      18 k
 texlive-xcomment                          noarch  10:svn20031.1.3-63.fc38          fedora      16 k
 texlive-xcookybooky                       noarch  10:svn36435.1.5-63.fc38          fedora      23 k
 texlive-xcpdftips                         noarch  10:svn50449-63.fc38              fedora     162 k
 texlive-xdoc                              noarch  10:svn15878.prot2.5-63.fc38      fedora      26 k
 texlive-xduthesis                         noarch  10:svn63116-63.fc38              fedora     127 k
 texlive-xduts                             noarch  10:svn65243-63.fc38              fedora     836 k
 texlive-xdvi                              x86_64  10:svn62387-58.fc38              copr_base  351 k
 texlive-xecjk                             noarch  10:svn64059-63.fc38              fedora     242 k
 texlive-xellipsis                         noarch  10:svn47546-63.fc38              fedora      18 k
 texlive-xetex                             x86_64  10:svn62387-58.fc38              copr_base  1.3 M
 texlive-xetexconfig                       noarch  10:svn45845-63.fc38              fedora      11 k
 texlive-xfakebold                         noarch  10:svn55654-63.fc38              fedora     114 k
 texlive-xfor                              noarch  10:svn15878.1.05-63.fc38         fedora      15 k
 texlive-xhfill                            noarch  10:svn22575.1.01-63.fc38         fedora      15 k
 texlive-xifthen                           noarch  10:svn38929-63.fc38              fedora      16 k
 texlive-xint                              noarch  10:svn63562-63.fc38              fedora     100 k
 texlive-xistercian                        noarch  10:svn61366-63.fc38              fedora     351 k
 texlive-xits                              noarch  10:svn55730-63.fc38              fedora     601 k
 texlive-xkcdcolors                        noarch  10:svn54512-63.fc38              fedora     231 k
 texlive-xkeyval                           noarch  10:svn63616-63.fc38              fedora      26 k
 texlive-xltabular                         noarch  10:svn56855-63.fc38              fedora      90 k
 texlive-xltxtra                           noarch  10:svn56594-63.fc38              fedora      16 k
 texlive-xmpincl                           noarch  10:svn60593-63.fc38              fedora      17 k
 texlive-xmuthesis                         noarch  10:svn56614-63.fc38              fedora     488 k
 texlive-xnewcommand                       noarch  10:svn15878.1.2-63.fc38          fedora      14 k
 texlive-xoptarg                           noarch  10:svn15878.1.0-63.fc38          fedora      15 k
 texlive-xpatch                            noarch  10:svn54563-63.fc38              fedora      18 k
 texlive-xpeek                             noarch  10:svn61719-63.fc38              fedora      18 k
 texlive-xpicture                          noarch  10:svn28770.1.2a-63.fc38         fedora      25 k
 texlive-xpinyin                           noarch  10:svn63897-63.fc38              fedora     287 k
 texlive-xprintlen                         noarch  10:svn35928.1.0-63.fc38          fedora      17 k
 texlive-xpunctuate                        noarch  10:svn26641.1.0-63.fc38          fedora      16 k
 texlive-xput                              noarch  10:svn65325-63.fc38              fedora      12 M
 texlive-xsavebox                          noarch  10:svn64049-63.fc38              fedora      20 k
 texlive-xsim                              noarch  10:svn61988-63.fc38              fedora     2.9 M
 texlive-xstring                           noarch  10:svn60007-63.fc38              fedora      25 k
 texlive-xtab                              noarch  10:svn23347.2.3f-63.fc38         fedora      18 k
 texlive-xunicode                          noarch  10:svn30466.0.981-63.fc38        fedora      44 k
 texlive-xurl                              noarch  10:svn61553-63.fc38              fedora      66 k
 texlive-xwatermark                        noarch  10:svn61719-63.fc38              fedora      32 k
 texlive-xyling                            noarch  10:svn15878.1.1-63.fc38          fedora      24 k
 texlive-xymtex                            noarch  10:svn32182.5.06-63.fc38         fedora     115 k
 texlive-xypic                             noarch  10:svn61719-63.fc38              fedora     770 k
 texlive-xytree                            noarch  10:svn15878.1.5-63.fc38          fedora      17 k
 texlive-yafoot                            noarch  10:svn48568-63.fc38              fedora      17 k
 texlive-yagusylo                          noarch  10:svn29803.1.2-63.fc38          fedora      19 k
 texlive-yaletter                          noarch  10:svn42830-63.fc38              fedora     494 k
 texlive-yathesis                          noarch  10:svn63576-63.fc38              fedora      41 k
 texlive-yazd-thesis                       noarch  10:svn61719-63.fc38              fedora     2.1 M
 texlive-yb-book                           noarch  10:svn64586-63.fc38              fedora     289 k
 texlive-ycbook                            noarch  10:svn46201-63.fc38              fedora      21 k
 texlive-ydoc                              noarch  10:svn64887-63.fc38              fedora      27 k
 texlive-yfonts                            noarch  10:svn50755-63.fc38              fedora      15 k
 texlive-yfonts-otf                        noarch  10:svn65030-63.fc38              fedora     229 k
 texlive-yfonts-t1                         noarch  10:svn36013-63.fc38              fedora     188 k
 texlive-yhmath                            noarch  10:svn54377-63.fc38              fedora      53 k
 texlive-yinit-otf                         noarch  10:svn40207-63.fc38              fedora     272 k
 texlive-york-thesis                       noarch  10:svn23348.3.6-63.fc38          fedora      20 k
 texlive-youngtab                          noarch  10:svn56500-63.fc38              fedora      15 k
 texlive-yplan                             noarch  10:svn34398-58.fc38              copr_base   22 k
 texlive-yquant                            noarch  10:svn65351-63.fc38              fedora     891 k
 texlive-ytableau                          noarch  10:svn59580-63.fc38              fedora      18 k
 texlive-zapfchan                          noarch  10:svn61719-63.fc38              fedora     109 k
 texlive-zapfding                          noarch  10:svn61719-63.fc38              fedora      63 k
 texlive-zebra-goodies                     noarch  10:svn51554-63.fc38              fedora     128 k
 texlive-zed-csp                           noarch  10:svn17258.0-63.fc38            fedora      18 k
 texlive-zhmetrics                         noarch  10:svn22207.r206-63.fc38         fedora     1.6 M
 texlive-zhmetrics-uptex                   noarch  10:svn40728-63.fc38              fedora     121 k
 texlive-zhnumber                          noarch  10:svn63898-63.fc38              fedora      26 k
 texlive-ziffer                            noarch  10:svn32279.2.1-63.fc38          fedora      15 k
 texlive-zlmtt                             noarch  10:svn64076-63.fc38              fedora      26 k
 texlive-zootaxa-bst                       noarch  10:svn50619-63.fc38              fedora     149 k
 texlive-zref                              noarch  10:svn62977-63.fc38              fedora     688 k
 texlive-zref-check                        noarch  10:svn63845-63.fc38              fedora     1.0 M
 texlive-zref-clever                       noarch  10:svn63428-63.fc38              fedora     1.5 M
 texlive-zref-vario                        noarch  10:svn63874-63.fc38              fedora     748 k
 texlive-zwgetfdate                        noarch  10:svn15878.0-63.fc38            fedora      17 k
 texlive-zwpagelayout                      noarch  10:svn63074-63.fc38              fedora      22 k
 texlive-zx-calculus                       noarch  10:svn60838-63.fc38              fedora     640 k
 tk                                        x86_64  1:8.6.12-3.fc37                  fedora     1.6 M
 tre                                       x86_64  0.8.0-38.20140228gitc2f5d13.fc38 copr_base   43 k
 tre-common                                noarch  0.8.0-38.20140228gitc2f5d13.fc38 copr_base   32 k
 urw-base35-bookman-fonts                  noarch  20200910-15.fc37                 fedora     848 k
 urw-base35-c059-fonts                     noarch  20200910-15.fc37                 fedora     875 k
 urw-base35-d050000l-fonts                 noarch  20200910-15.fc37                 fedora      76 k
 urw-base35-fonts                          noarch  20200910-15.fc37                 fedora      11 k
 urw-base35-fonts-common                   noarch  20200910-15.fc37                 fedora      21 k
 urw-base35-fonts-legacy                   noarch  20200910-15.fc37                 fedora     3.0 M
 urw-base35-gothic-fonts                   noarch  20200910-15.fc37                 fedora     643 k
 urw-base35-nimbus-mono-ps-fonts           noarch  20200910-15.fc37                 fedora     796 k
 urw-base35-nimbus-roman-fonts             noarch  20200910-15.fc37                 fedora     857 k
 urw-base35-nimbus-sans-fonts              noarch  20200910-15.fc37                 fedora     1.3 M
 urw-base35-p052-fonts                     noarch  20200910-15.fc37                 fedora     974 k
 urw-base35-standard-symbols-ps-fonts      noarch  20200910-15.fc37                 fedora      42 k
 urw-base35-z003-fonts                     noarch  20200910-15.fc37                 fedora     276 k
 vim-data                                  noarch  2:9.0.1221-1.fc38                copr_base   24 k
 vim-minimal                               x86_64  2:9.0.1221-1.fc38                copr_base  791 k
 vulkan-loader                             x86_64  1.3.231.1-2.fc38                 copr_base  145 k
 webkit2gtk4.0                             x86_64  2.39.5-1.fc38                    fedora      23 M
 webrtc-audio-processing                   x86_64  0.3.1-9.fc37                     fedora     304 k
 woff2                                     x86_64  1.0.2-15.fc37                    fedora      61 k
 wpebackend-fdo                            x86_64  1.14.0-1.fc38                    fedora      46 k
 xdg-dbus-proxy                            x86_64  0.1.3-2.fc37                     fedora      43 k
 xdg-utils                                 noarch  1.1.3-12.fc37                    fedora      77 k
 xkeyboard-config                          noarch  2.36-3.fc38                      fedora     956 k
 xml-common                                noarch  0.6.3-59.fc37                    fedora      31 k
 xorg-x11-fonts-ISO8859-1-100dpi           noarch  7.5-34.fc37                      fedora     1.1 M
 xprop                                     x86_64  1.2.5-2.fc37                     fedora      35 k
 zlib-devel                                x86_64  1.2.13-2.fc38                    fedora      45 k
 zziplib                                   x86_64  0.13.72-2.fc37                   fedora      86 k

Transaction Summary
====================================================================================================
Install  3646 Packages

Total download size: 2.0 G
Installed size: 4.6 G
Downloading Packages:
(1/3646): ImageMagick-7.1.0.57-2.fc38.x86_64.rp 273 kB/s |  77 kB     00:00    
(2/3646): at-spi2-atk-2.47.1-2.fc38.x86_64.rpm  307 kB/s |  87 kB     00:00    
(3/3646): at-spi2-core-2.47.1-2.fc38.x86_64.rpm  13 MB/s | 353 kB     00:00    
(4/3646): atk-2.47.1-2.fc38.x86_64.rpm          3.1 MB/s |  82 kB     00:00    
(5/3646): boost-atomic-1.78.0-11.fc38.x86_64.rp 429 kB/s |  20 kB     00:00    
(6/3646): ImageMagick-libs-7.1.0.57-2.fc38.x86_ 6.8 MB/s | 2.5 MB     00:00    
(7/3646): boost-filesystem-1.78.0-11.fc38.x86_6 1.1 MB/s |  65 kB     00:00    
(8/3646): boost-system-1.78.0-11.fc38.x86_64.rp 1.0 MB/s |  16 kB     00:00    
(9/3646): crypto-policies-scripts-20221215-2.gi 9.4 MB/s | 104 kB     00:00    
(10/3646): glibc-devel-2.36.9000-23.fc38.x86_64 1.4 MB/s |  46 kB     00:00    
(11/3646): glibc-headers-x86-2.36.9000-23.fc38. 7.7 MB/s | 454 kB     00:00    
(12/3646): glib2-2.74.1-3.fc38.x86_64.rpm        24 MB/s | 2.7 MB     00:00    
(13/3646): graphite2-1.3.14-11.fc38.x86_64.rpm  1.7 MB/s |  95 kB     00:00    
(14/3646): gnutls-3.7.8-11.fc38.x86_64.rpm       11 MB/s | 1.1 MB     00:00    
(15/3646): fontforge-20230101-3.fc38.x86_64.rpm  25 MB/s | 6.1 MB     00:00    
(16/3646): gumbo-parser-0.10.1-27.fc38.x86_64.r 3.2 MB/s | 136 kB     00:00    
(17/3646): gstreamer1-plugins-bad-free-1.20.5-2  27 MB/s | 2.8 MB     00:00    
(18/3646): imath-3.1.6-2.fc38.x86_64.rpm        572 kB/s |  98 kB     00:00    
(19/3646): graphviz-7.0.6-2.fc38.x86_64.rpm      16 MB/s | 4.9 MB     00:00    
(20/3646): libevdev-1.13.0-2.fc38.x86_64.rpm    468 kB/s |  45 kB     00:00    
(21/3646): libaom-3.5.0-3.fc38.x86_64.rpm        12 MB/s | 1.8 MB     00:00    
(22/3646): libjxl-0.7.0-6.fc38.x86_64.rpm        11 MB/s | 1.1 MB     00:00    
(23/3646): libproxy-0.4.18-5.fc38.x86_64.rpm    790 kB/s |  72 kB     00:00    
(24/3646): libstemmer-2.2.0-4.fc38.x86_64.rpm   1.9 MB/s | 168 kB     00:00    
(25/3646): librevenge-0.0.5-4.fc38.x86_64.rpm   1.7 MB/s | 237 kB     00:00    
(26/3646): libxcb-1.13.1-11.fc38.x86_64.rpm     3.5 MB/s | 226 kB     00:00    
(27/3646): libxslt-1.1.37-2.fc38.x86_64.rpm     2.7 MB/s | 185 kB     00:00    
(28/3646): mesa-filesystem-22.3.3-2.fc38.x86_64 296 kB/s |  19 kB     00:00    
(29/3646): lilv-libs-0.24.14-4.fc38.x86_64.rpm  490 kB/s |  58 kB     00:00    
(30/3646): mesa-libEGL-22.3.3-2.fc38.x86_64.rpm 1.8 MB/s | 130 kB     00:00    
(31/3646): mesa-libGL-22.3.3-2.fc38.x86_64.rpm  2.6 MB/s | 176 kB     00:00    
(32/3646): mesa-libgbm-22.3.3-2.fc38.x86_64.rpm 542 kB/s |  45 kB     00:00    
(33/3646): mesa-libglapi-22.3.3-2.fc38.x86_64.r 710 kB/s |  54 kB     00:00    
(34/3646): inkscape-1.2.2-4.fc38.x86_64.rpm      26 MB/s |  23 MB     00:00    
(35/3646): python-unversioned-command-3.11.1-3. 147 kB/s |  11 kB     00:00    
(36/3646): python-pip-wheel-22.3.1-2.fc38.noarc  12 MB/s | 1.4 MB     00:00    
(37/3646): python3-3.11.1-3.fc38.x86_64.rpm     1.2 MB/s |  28 kB     00:00    
(38/3646): python3-appdirs-1.4.4-8.fc38.noarch. 1.8 MB/s |  24 kB     00:00    
(39/3646): python3-lxml-4.9.2-2.fc38.x86_64.rpm  18 MB/s | 1.3 MB     00:00    
(40/3646): python3-cssselect-1.1.0-4.fc38.noarc 579 kB/s |  49 kB     00:00    
(41/3646): python3-olefile-0.46-19.fc38.noarch. 871 kB/s |  68 kB     00:00    
(42/3646): python3-packaging-23.0-1.fc38.noarch 1.4 MB/s |  98 kB     00:00    
(43/3646): python3-pillow-9.4.0-2.fc38.x86_64.r 7.1 MB/s | 876 kB     00:00    
(44/3646): python3-libs-3.11.1-3.fc38.x86_64.rp  26 MB/s | 9.2 MB     00:00    
(45/3646): python3-scour-0.38.2-2.fc38.noarch.r 1.1 MB/s | 122 kB     00:00    
(46/3646): python3-numpy-1.23.5-2.fc38.x86_64.r  17 MB/s | 7.3 MB     00:00    
(47/3646): python3-pygments-2.13.0-2.fc38.noarc  13 MB/s | 2.3 MB     00:00    
(48/3646): python3-six-1.16.0-9.fc38.noarch.rpm 735 kB/s |  43 kB     00:00    
(49/3646): ruby-3.2.0-178.fc38.x86_64.rpm       1.7 MB/s |  42 kB     00:00    
(50/3646): ruby-libs-3.2.0-178.fc38.x86_64.rpm   33 MB/s | 4.0 MB     00:00    
(51/3646): serd-0.30.12-2.fc38.x86_64.rpm       510 kB/s |  61 kB     00:00    
(52/3646): sratom-0.6.10-2.fc38.x86_64.rpm      254 kB/s |  27 kB     00:00    
(53/3646): texlive-amstex-svn63708-58.fc38.noar  16 MB/s | 425 kB     00:00    
(54/3646): texlive-attachfile2-svn57959-58.fc38  12 MB/s | 447 kB     00:00    
(55/3646): texlive-axodraw2-svn58155-58.fc38.x8  21 MB/s | 532 kB     00:00    
(56/3646): texlive-base-20220321-58.fc38.x86_64  35 MB/s | 2.5 MB     00:00    
(57/3646): texlive-authorindex-svn51757-58.fc38 532 kB/s |  86 kB     00:00    
(58/3646): texlive-bibexport-svn50677-58.fc38.n 2.8 MB/s | 267 kB     00:00    
(59/3646): texlive-bibtex-svn64491-58.fc38.x86_ 6.4 MB/s | 446 kB     00:00    
(60/3646): texlive-cachepic-svn26313-58.fc38.no 2.2 MB/s | 173 kB     00:00    
(61/3646): texlive-bib2gls-svn64710-58.fc38.noa  28 MB/s | 6.9 MB     00:00    
(62/3646): texlive-citation-style-language-svn6 5.8 MB/s | 390 kB     00:00    
(63/3646): texlive-dvipdfmx-svn61101-58.fc38.x8  33 MB/s | 3.0 MB     00:00    
(64/3646): texlive-crossrefware-svn64754-58.fc3 2.9 MB/s | 282 kB     00:00    
(65/3646): texlive-dvips-svn62387-58.fc38.x86_6  26 MB/s | 721 kB     00:00    
(66/3646): texlive-dvisvgm-svn64182.3.0.1-58.fc  22 MB/s | 1.4 MB     00:00    
(67/3646): texlive-convbkmk-svn49252-58.fc38.no 104 kB/s |  18 kB     00:00    
(68/3646): texlive-epspdf-svn53472-58.fc38.noar 5.9 MB/s | 284 kB     00:00    
(69/3646): texlive-epstopdf-svn64439-58.fc38.no 2.0 MB/s |  29 kB     00:00    
(70/3646): texlive-exceltex-svn26313-58.fc38.no 1.1 MB/s |  22 kB     00:00    
(71/3646): texlive-fig4latex-svn26313-58.fc38.n 689 kB/s |  75 kB     00:00    
(72/3646): texlive-getmap-svn50589-58.fc38.noar  12 MB/s | 1.9 MB     00:00    
(73/3646): texlive-glyphlist-svn54074-58.fc38.n 483 kB/s |  38 kB     00:00    
(74/3646): texlive-gsftopk-svn52851-58.fc38.x86 462 kB/s |  35 kB     00:00    
(75/3646): texlive-kpathsea-svn64475-58.fc38.x8 6.9 MB/s | 1.1 MB     00:00    
(76/3646): texlive-hyperxmp-svn57004-58.fc38.x8 4.5 MB/s | 1.1 MB     00:00    
(77/3646): texlive-glossaries-svn64919-58.fc38.  23 MB/s |  10 MB     00:00    
(78/3646): texlive-l3build-svn64402-58.fc38.noa 9.6 MB/s | 762 kB     00:00    
(79/3646): texlive-lib-20220321-58.fc38.x86_64. 5.4 MB/s | 488 kB     00:00    
(80/3646): texlive-listbib-svn29349-58.fc38.noa 3.8 MB/s | 357 kB     00:00    
(81/3646): texlive-luaotfload-svn64616-58.fc38. 7.9 MB/s | 1.2 MB     00:00    
(82/3646): texlive-luahbtex-svn62387-58.fc38.x8  10 MB/s | 2.0 MB     00:00    
(83/3646): texlive-luatex-svn64839-58.fc38.x86_  11 MB/s | 3.8 MB     00:00    
(84/3646): texlive-make4ht-svn62953-58.fc38.noa 2.1 MB/s | 242 kB     00:00    
(85/3646): texlive-lwarp-svn63905-58.fc38.noarc 6.9 MB/s | 3.2 MB     00:00    
(86/3646): texlive-makedtx-svn46702-58.fc38.noa 2.3 MB/s | 282 kB     00:00    
(87/3646): texlive-makeindex-svn62517-58.fc38.x 4.3 MB/s | 431 kB     00:00    
(88/3646): texlive-metafont-svn62387-58.fc38.x8 2.6 MB/s | 268 kB     00:00    
(89/3646): texlive-mfware-svn62387-58.fc38.x86_ 1.3 MB/s | 100 kB     00:00    
(90/3646): texlive-mkpic-svn33700-58.fc38.noarc 2.3 MB/s | 215 kB     00:00    
(91/3646): texlive-mathspic-svn31957-58.fc38.no 8.6 MB/s | 2.4 MB     00:00    
(92/3646): texlive-mptopdf-svn61520-58.fc38.noa 564 kB/s |  52 kB     00:00    
(93/3646): texlive-multibibliography-svn30939-5 4.0 MB/s | 466 kB     00:00    
(94/3646): texlive-latex-svn63825-58.fc38.noarc  17 MB/s |  29 MB     00:01    
(95/3646): texlive-pax-svn63509-58.fc38.noarch. 8.8 MB/s | 5.0 MB     00:00    
(96/3646): texlive-pdfcrop-svn55435-58.fc38.noa 317 kB/s |  32 kB     00:00    
(97/3646): texlive-oberdiek-svn64463-58.fc38.no  12 MB/s | 7.9 MB     00:00    
(98/3646): texlive-perltex-svn52162-58.fc38.noa 3.3 MB/s | 287 kB     00:00    
(99/3646): texlive-pdftex-svn64690-58.fc38.x86_  16 MB/s | 2.4 MB     00:00    
(100/3646): texlive-petri-nets-svn39165-58.fc38 2.3 MB/s | 208 kB     00:00    
(101/3646): texlive-ptex-svn62464-58.fc38.x86_6  10 MB/s | 898 kB     00:00    
(102/3646): texlive-pygmentex-svn64131-58.fc38.  12 MB/s | 716 kB     00:00    
(103/3646): texlive-pythontex-svn59514-58.fc38.  15 MB/s | 1.5 MB     00:00    
(104/3646): texlive-splitindex-svn39766-58.fc38 5.1 MB/s | 434 kB     00:00    
(105/3646): texlive-svn-multi-svn56291-58.fc38. 5.5 MB/s | 415 kB     00:00    
(106/3646): texlive-tex-svn62387-58.fc38.x86_64 3.5 MB/s | 212 kB     00:00    
(107/3646): texlive-tex4ht-svn64837-58.fc38.x86  18 MB/s | 2.4 MB     00:00    
(108/3646): texlive-texlive-scripts-svn64830-58 1.1 MB/s | 111 kB     00:00    
(109/3646): texlive-texlive-en-svn64221-58.fc38  10 MB/s | 2.0 MB     00:00    
(110/3646): texlive-texlive.infra-svn63645-58.f 3.3 MB/s | 287 kB     00:00    
(111/3646): texlive-thumbpdf-svn62518-58.fc38.n 546 kB/s |  40 kB     00:00    
(112/3646): texlive-tikztosvg-svn60289-58.fc38. 7.9 MB/s | 202 kB     00:00    
(113/3646): texlive-ttfutils-svn62517-58.fc38.x 5.2 MB/s | 296 kB     00:00    
(114/3646): texlive-ulqda-svn26313-58.fc38.noar 3.6 MB/s | 264 kB     00:00    
(115/3646): texlive-uptex-svn62464-58.fc38.x86_  13 MB/s | 1.6 MB     00:00    
(116/3646): texlive-urlbst-svn55777-58.fc38.noa 2.6 MB/s | 251 kB     00:00    
(117/3646): texlive-vpe-svn26039-58.fc38.noarch 333 kB/s |  26 kB     00:00    
(118/3646): texlive-xdvi-svn62387-58.fc38.x86_6 3.9 MB/s | 351 kB     00:00    
(119/3646): texlive-wordcount-svn46165-58.fc38. 135 kB/s |  18 kB     00:00    
(120/3646): texlive-yplan-svn34398-58.fc38.noar 162 kB/s |  22 kB     00:00    
(121/3646): texlive-xetex-svn62387-58.fc38.x86_ 6.1 MB/s | 1.3 MB     00:00    
(122/3646): texlive-webquiz-svn58808-58.fc38.no  17 MB/s | 6.6 MB     00:00    
(123/3646): tre-0.8.0-38.20140228gitc2f5d13.fc3 396 kB/s |  43 kB     00:00    
(124/3646): tre-common-0.8.0-38.20140228gitc2f5 367 kB/s |  32 kB     00:00    
(125/3646): vim-data-9.0.1221-1.fc38.noarch.rpm 2.5 MB/s |  24 kB     00:00    
(126/3646): vim-minimal-9.0.1221-1.fc38.x86_64.  19 MB/s | 791 kB     00:00    
(127/3646): vulkan-loader-1.3.231.1-2.fc38.x86_ 3.1 MB/s | 145 kB     00:00    
(128/3646): GraphicsMagick-c++-1.3.38-4.fc38.x8 963 kB/s | 127 kB     00:00    
(129/3646): GraphicsMagick-1.3.38-4.fc38.x86_64 6.7 MB/s | 1.6 MB     00:00    
(130/3646): LibRaw-0.21.1-1.fc38.x86_64.rpm     1.8 MB/s | 414 kB     00:00    
(131/3646): R-cli-3.6.0-1.fc38.x86_64.rpm       7.7 MB/s | 1.4 MB     00:00    
(132/3646): R-evaluate-0.15-1.fc38.noarch.rpm   758 kB/s | 103 kB     00:00    
(133/3646): R-glue-1.6.2-1.fc38.x86_64.rpm      1.0 MB/s | 174 kB     00:00    
(134/3646): R-highr-0.10-1.fc38.noarch.rpm      429 kB/s |  58 kB     00:00    
(135/3646): R-knitr-1.39-1.fc38.noarch.rpm      8.8 MB/s | 1.3 MB     00:00    
(136/3646): R-lifecycle-1.0.3-1.fc38.noarch.rpm 1.0 MB/s | 144 kB     00:00    
(137/3646): R-magrittr-2.0.3-1.fc38.x86_64.rpm  1.7 MB/s | 239 kB     00:00    
(138/3646): R-rlang-1.0.6-1.fc38.x86_64.rpm     7.9 MB/s | 1.7 MB     00:00    
(139/3646): R-stringi-1.7.8-2.fc38.x86_64.rpm   6.7 MB/s | 1.0 MB     00:00    
[MIRROR] R-stringr-1.5.0-1.fc38.noarch.rpm: Status code: 404 for http://forksystems.mm.fcix.net/fedora/linux/development/rawhide/Everything/x86_64/os/Packages/r/R-stringr-1.5.0-1.fc38.noarch.rpm (IP: 2620:39:6000:103::2)
[MIRROR] R-stringr-1.5.0-1.fc38.noarch.rpm: Status code: 404 for https://forksystems.mm.fcix.net/fedora/linux/development/rawhide/Everything/x86_64/os/Packages/r/R-stringr-1.5.0-1.fc38.noarch.rpm (IP: 2620:39:6000:103::2)
(140/3646): R-vctrs-0.5.1-1.fc38.x86_64.rpm      11 MB/s | 1.3 MB     00:00    
(141/3646): R-xfun-0.36-1.fc38.x86_64.rpm       7.4 MB/s | 473 kB     00:00    
(142/3646): R-yaml-2.3.5-1.fc38.x86_64.rpm      1.4 MB/s | 127 kB     00:00    
(143/3646): R-core-4.2.2-6.fc38.x86_64.rpm       58 MB/s |  62 MB     00:01    
(144/3646): abc-1.01-36.git20221229.fc38.x86_64 285 kB/s |  19 kB     00:00    
(145/3646): adobe-mappings-cmap-20230118-1.fc38  11 MB/s | 2.1 MB     00:00    
(146/3646): adobe-mappings-cmap-deprecated-2023 3.3 MB/s | 113 kB     00:00    
(147/3646): abc-libs-1.01-36.git20221229.fc38.x  22 MB/s | 5.2 MB     00:00    
(148/3646): adwaita-cursor-theme-43-1.fc38.noar  22 MB/s | 633 kB     00:00    
(149/3646): adobe-mappings-pdf-20190401-2.fc37.  12 MB/s | 697 kB     00:00    
(150/3646): alsa-lib-1.2.8-2.fc38.x86_64.rpm    9.8 MB/s | 517 kB     00:00    
(151/3646): annobin-docs-11.06-2.fc38.noarch.rp 3.7 MB/s |  93 kB     00:00    
(152/3646): adwaita-icon-theme-43-1.fc38.noarch  47 MB/s | 4.9 MB     00:00    
(153/3646): atkmm-2.28.3-1.fc38.x86_64.rpm      2.7 MB/s |  94 kB     00:00    
(154/3646): annobin-plugin-gcc-11.06-2.fc38.x86  17 MB/s | 890 kB     00:00    
[MIRROR] R-stringr-1.5.0-1.fc38.noarch.rpm: Status code: 404 for https://mirrors.xmission.com/fedora/linux/development/rawhide/Everything/x86_64/os/Packages/r/R-stringr-1.5.0-1.fc38.noarch.rpm (IP: 2607:fa18:0:3::13)
(155/3646): avahi-libs-0.8-19.fc38.x86_64.rpm   2.2 MB/s |  67 kB     00:00    
(156/3646): autotrace-0.31.9-3.fc38.x86_64.rpm  3.7 MB/s | 148 kB     00:00    
(157/3646): bison-3.8.2-3.fc37.x86_64.rpm        23 MB/s | 1.0 MB     00:00    
(158/3646): biber-2.18-1.fc38.noarch.rpm        5.0 MB/s | 314 kB     00:00    
(159/3646): bubblewrap-0.5.0-3.fc37.x86_64.rpm  2.5 MB/s |  54 kB     00:00    
(160/3646): cairo-gobject-1.17.6-2.fc37.x86_64. 628 kB/s |  18 kB     00:00    
(161/3646): cairo-1.17.6-2.fc37.x86_64.rpm       13 MB/s | 676 kB     00:00    
(162/3646): cairomm-1.14.4-4.fc38.x86_64.rpm    2.1 MB/s |  64 kB     00:00    
(163/3646): cdparanoia-libs-10.2-40.fc37.x86_64 2.5 MB/s |  54 kB     00:00    
[MIRROR] R-stringr-1.5.0-1.fc38.noarch.rpm: Status code: 404 for http://mirrors.xmission.com/fedora/linux/development/rawhide/Everything/x86_64/os/Packages/r/R-stringr-1.5.0-1.fc38.noarch.rpm (IP: 2607:fa18:0:3::13)
(164/3646): colord-libs-1.4.6-2.fc37.x86_64.rpm 8.8 MB/s | 233 kB     00:00    
(165/3646): cups-libs-2.4.2-5.fc38.x86_64.rpm   7.5 MB/s | 268 kB     00:00    
(166/3646): dbus-1.14.4-1.fc38.x86_64.rpm       374 kB/s | 7.8 kB     00:00    
(167/3646): dbus-broker-32-1.fc37.x86_64.rpm    7.0 MB/s | 175 kB     00:00    
(168/3646): dbus-common-1.14.4-1.fc38.noarch.rp 701 kB/s |  15 kB     00:00    
(169/3646): dbus-libs-1.14.4-1.fc38.x86_64.rpm  6.4 MB/s | 156 kB     00:00    
[MIRROR] R-stringr-1.5.0-1.fc38.noarch.rpm: Status code: 404 for http://opencolo.mm.fcix.net/fedora/linux/development/rawhide/Everything/x86_64/os/Packages/r/R-stringr-1.5.0-1.fc38.noarch.rpm (IP: 2607:f358:1a:15::50)
(170/3646): desktop-file-utils-0.26-7.fc37.x86_ 3.1 MB/s |  72 kB     00:00    
(171/3646): double-conversion-3.1.5-7.fc37.x86_ 712 kB/s |  48 kB     00:00    
(172/3646): emacs-filesystem-28.2-1.fc38.noarch 285 kB/s | 7.1 kB     00:00    
(173/3646): cpp-13.0.1-0.1.fc38.x86_64.rpm       37 MB/s |  11 MB     00:00    
(174/3646): enchant2-2.3.3-5.fc38.x86_64.rpm    2.3 MB/s |  65 kB     00:00    
(175/3646): expat-2.5.0-1.fc38.x86_64.rpm       4.7 MB/s | 110 kB     00:00    
(176/3646): fdk-aac-free-2.0.0-9.fc37.x86_64.rp  12 MB/s | 332 kB     00:00    
(177/3646): flac-libs-1.4.2-1.fc38.x86_64.rpm   9.4 MB/s | 256 kB     00:00    
(178/3646): flex-2.6.4-11.fc37.x86_64.rpm        12 MB/s | 313 kB     00:00    
(179/3646): fftw-libs-double-3.3.10-3.fc37.x86_  12 MB/s | 918 kB     00:00    
[MIRROR] R-stringr-1.5.0-1.fc38.noarch.rpm: Status code: 404 for https://opencolo.mm.fcix.net/fedora/linux/development/rawhide/Everything/x86_64/os/Packages/r/R-stringr-1.5.0-1.fc38.noarch.rpm (IP: 2607:f358:1a:15::50)
(180/3646): flexiblas-3.3.0-1.fc38.x86_64.rpm   1.2 MB/s |  32 kB     00:00    
(181/3646): flexiblas-openblas-openmp-3.3.0-1.f 409 kB/s |  17 kB     00:00    
(182/3646): fontawesome-fonts-4.7.0-14.fc37.noa 7.4 MB/s | 204 kB     00:00    
(183/3646): flexiblas-netlib-3.3.0-1.fc38.x86_6  32 MB/s | 3.2 MB     00:00    
[MIRROR] R-stringr-1.5.0-1.fc38.noarch.rpm: Status code: 404 for https://nnenix.mm.fcix.net/fedora/linux/development/rawhide/Everything/x86_64/os/Packages/r/R-stringr-1.5.0-1.fc38.noarch.rpm (IP: 2610:48:200:974::47)
(184/3646): fontconfig-2.14.1-2.fc38.x86_64.rpm 6.8 MB/s | 294 kB     00:00    
(185/3646): fonts-filesystem-2.0.5-10.fc38.noar 342 kB/s | 8.0 kB     00:00    
(186/3646): fribidi-1.0.12-2.fc37.x86_64.rpm    3.4 MB/s |  89 kB     00:00    
(187/3646): freetype-2.12.1-3.fc37.x86_64.rpm    11 MB/s | 407 kB     00:00    
(188/3646): gc-8.2.2-1.fc38.x86_64.rpm          5.1 MB/s | 110 kB     00:00    
[MIRROR] R-stringr-1.5.0-1.fc38.noarch.rpm: Status code: 404 for http://nnenix.mm.fcix.net/fedora/linux/development/rawhide/Everything/x86_64/os/Packages/r/R-stringr-1.5.0-1.fc38.noarch.rpm (IP: 2610:48:200:974::47)
(189/3646): R-stringr-1.5.0-1.fc38.noarch.rpm   195 kB/s | 345 kB     00:01    
(190/3646): gcc-plugin-annobin-13.0.1-0.1.fc38. 1.1 MB/s |  30 kB     00:00    
(191/3646): gd-2.3.3-9.fc38.x86_64.rpm          4.7 MB/s | 138 kB     00:00    
(192/3646): gdk-pixbuf2-2.42.10-1.fc38.x86_64.r 5.1 MB/s | 485 kB     00:00    
(193/3646): gdk-pixbuf2-modules-2.42.10-1.fc38. 3.3 MB/s |  85 kB     00:00    
(194/3646): ghostscript-9.56.1-6.fc38.x86_64.rp 1.1 MB/s |  43 kB     00:00    
(195/3646): gcc-c++-13.0.1-0.1.fc38.x86_64.rpm   28 MB/s |  13 MB     00:00    
(196/3646): ghostscript-tools-fonts-9.56.1-6.fc 291 kB/s |  12 kB     00:00    
(197/3646): ghostscript-tools-printing-9.56.1-6 332 kB/s |  12 kB     00:00    
(198/3646): giflib-5.2.1-14.fc37.x86_64.rpm     1.4 MB/s |  51 kB     00:00    
(199/3646): glib-networking-2.74.0-1.fc38.x86_6 5.9 MB/s | 200 kB     00:00    
(200/3646): glibmm2.4-2.66.5-2.fc38.x86_64.rpm  5.7 MB/s | 681 kB     00:00    
(201/3646): google-droid-sans-fonts-20200215-13  25 MB/s | 2.7 MB     00:00    
(202/3646): google-noto-fonts-common-20201206^1 502 kB/s |  18 kB     00:00    
(203/3646): graphene-1.10.6-4.fc37.x86_64.rpm   2.4 MB/s |  62 kB     00:00    
(204/3646): google-noto-sans-vf-fonts-20201206^ 9.4 MB/s | 729 kB     00:00    
(205/3646): gsettings-desktop-schemas-43.0-1.fc  16 MB/s | 732 kB     00:00    
(206/3646): groff-base-1.22.4-10.fc37.x86_64.rp  14 MB/s | 1.1 MB     00:00    
(207/3646): gcc-13.0.1-0.1.fc38.x86_64.rpm       41 MB/s |  34 MB     00:00    
(208/3646): gsm-1.0.22-1.fc37.x86_64.rpm        930 kB/s |  35 kB     00:00    
(209/3646): gssdp-1.6.2-1.fc38.x86_64.rpm       2.5 MB/s |  58 kB     00:00    
(210/3646): gspell-1.12.0-2.fc38.x86_64.rpm     4.5 MB/s | 119 kB     00:00    
(211/3646): gsl-2.7.1-3.fc38.x86_64.rpm          14 MB/s | 1.1 MB     00:00    
(212/3646): gtk-update-icon-cache-3.24.36-1.fc3 1.6 MB/s |  34 kB     00:00    
(213/3646): gstreamer1-plugins-base-1.20.5-1.fc  33 MB/s | 2.1 MB     00:00    
(214/3646): gstreamer1-1.21.90-1.fc38.x86_64.rp  15 MB/s | 1.4 MB     00:00    
(215/3646): gtkmm3.0-3.24.7-2.fc38.x86_64.rpm    22 MB/s | 1.0 MB     00:00    
(216/3646): gts-0.7.6-43.20121130.fc38.x86_64.r 7.5 MB/s | 241 kB     00:00    
(217/3646): gupnp-1.6.3-1.fc38.x86_64.rpm       3.6 MB/s | 106 kB     00:00    
(218/3646): gupnp-igd-1.2.0-7.fc38.x86_64.rpm   1.3 MB/s |  34 kB     00:00    
(219/3646): gtk3-3.24.36-1.fc38.x86_64.rpm       31 MB/s | 5.0 MB     00:00    
(220/3646): harfbuzz-icu-6.0.0-2.fc38.x86_64.rp 677 kB/s |  16 kB     00:00    
(221/3646): guile22-2.2.7-6.fc37.x86_64.rpm      49 MB/s | 6.5 MB     00:00    
(222/3646): hicolor-icon-theme-0.17-14.fc37.noa 1.9 MB/s |  66 kB     00:00    
(223/3646): harfbuzz-6.0.0-2.fc38.x86_64.rpm     10 MB/s | 819 kB     00:00    
(224/3646): hunspell-1.7.2-2.fc38.x86_64.rpm     15 MB/s | 497 kB     00:00    
(225/3646): highway-1.0.2-1.fc38.x86_64.rpm      11 MB/s | 382 kB     00:00    
(226/3646): hunspell-en-US-0.20201207-2.fc38.no 6.3 MB/s | 181 kB     00:00    
(227/3646): hunspell-filesystem-1.7.2-2.fc38.x8 454 kB/s | 9.1 kB     00:00    
(228/3646): hyphen-2.8.8-18.fc37.x86_64.rpm     1.0 MB/s |  29 kB     00:00    
(229/3646): hwdata-0.366-1.fc38.noarch.rpm       32 MB/s | 1.5 MB     00:00    
(230/3646): iso-codes-4.12.0-2.fc38.noarch.rpm   39 MB/s | 3.5 MB     00:00    
(231/3646): jasper-libs-3.0.6-1.fc37.x86_64.rpm 2.0 MB/s | 162 kB     00:00    
(232/3646): jbig2dec-libs-0.19-7.fc37.x86_64.rp 2.6 MB/s |  73 kB     00:00    
(233/3646): jbigkit-libs-2.1-24.fc37.x86_64.rpm 1.8 MB/s |  53 kB     00:00    
(234/3646): iverilog-11.0-6.fc38.x86_64.rpm      14 MB/s | 2.2 MB     00:00    
(235/3646): json-glib-1.6.6-3.fc37.x86_64.rpm   5.3 MB/s | 162 kB     00:00    
(236/3646): lame-libs-3.100-13.fc37.x86_64.rpm  5.5 MB/s | 335 kB     00:00    
(237/3646): javascriptcoregtk4.0-2.39.5-1.fc38.  41 MB/s | 7.6 MB     00:00    
(238/3646): kernel-headers-6.2.0-0.rc4.git0.1.f  15 MB/s | 1.5 MB     00:00    
(239/3646): langpacks-core-font-en-3.0-27.fc38. 466 kB/s | 9.9 kB     00:00    
(240/3646): lasi-1.1.3-9.fc37.x86_64.rpm        2.5 MB/s |  54 kB     00:00    
(241/3646): leptonica-1.83.0-1.fc38.x86_64.rpm   19 MB/s | 1.1 MB     00:00    
(242/3646): latexmk-4.79-1.fc38.noarch.rpm      4.8 MB/s | 405 kB     00:00    
(243/3646): less-608-1.fc38.x86_64.rpm          7.1 MB/s | 167 kB     00:00    
(244/3646): lcms2-2.14-1.fc38.x86_64.rpm        1.7 MB/s | 176 kB     00:00    
(245/3646): libICE-1.0.10-9.fc37.x86_64.rpm     1.7 MB/s |  71 kB     00:00    
(246/3646): libEMF-1.0.13-6.fc37.x86_64.rpm     1.3 MB/s |  90 kB     00:00    
(247/3646): libRmath-4.2.2-6.fc38.x86_64.rpm    2.1 MB/s | 123 kB     00:00    
(248/3646): libSM-1.2.3-11.fc37.x86_64.rpm      1.2 MB/s |  42 kB     00:00    
(249/3646): libX11-common-1.8.3-2.fc38.noarch.r 7.7 MB/s | 178 kB     00:00    
(250/3646): libX11-xcb-1.8.3-2.fc38.x86_64.rpm  526 kB/s |  11 kB     00:00    
(251/3646): libX11-1.8.3-2.fc38.x86_64.rpm       11 MB/s | 649 kB     00:00    
(252/3646): libXau-1.0.11-1.fc38.x86_64.rpm     1.5 MB/s |  31 kB     00:00    
(253/3646): libXcomposite-0.4.5-8.fc37.x86_64.r 1.1 MB/s |  23 kB     00:00    
(254/3646): libXcursor-1.2.1-2.fc37.x86_64.rpm  1.3 MB/s |  30 kB     00:00    
(255/3646): libXdamage-1.1.5-8.fc37.x86_64.rpm  1.0 MB/s |  22 kB     00:00    
(256/3646): libXext-1.3.5-1.fc38.x86_64.rpm     1.2 MB/s |  39 kB     00:00    
(257/3646): libXfixes-6.0.0-4.fc37.x86_64.rpm   951 kB/s |  19 kB     00:00    
(258/3646): libXaw-1.0.14-3.fc37.x86_64.rpm     2.5 MB/s | 203 kB     00:00    
(259/3646): libXft-2.3.6-1.fc38.x86_64.rpm      2.5 MB/s |  71 kB     00:00    
(260/3646): libXi-1.8-3.fc37.x86_64.rpm         1.8 MB/s |  39 kB     00:00    
(261/3646): libXinerama-1.1.5-1.fc38.x86_64.rpm 637 kB/s |  14 kB     00:00    
(262/3646): libXpm-3.5.15-2.fc38.x86_64.rpm     2.6 MB/s |  63 kB     00:00    
(263/3646): libXmu-1.1.4-1.fc38.x86_64.rpm      2.6 MB/s |  76 kB     00:00    
(264/3646): libXrandr-1.5.2-9.fc37.x86_64.rpm   1.1 MB/s |  27 kB     00:00    
(265/3646): libXrender-0.9.11-1.fc38.x86_64.rpm 1.2 MB/s |  27 kB     00:00    
(266/3646): libXt-1.2.1-3.fc37.x86_64.rpm       6.4 MB/s | 180 kB     00:00    
(267/3646): libXtst-1.2.4-1.fc38.x86_64.rpm     866 kB/s |  20 kB     00:00    
(268/3646): libXv-1.0.11-17.fc37.x86_64.rpm     850 kB/s |  18 kB     00:00    
(269/3646): libXxf86vm-1.1.5-1.fc38.x86_64.rpm  870 kB/s |  18 kB     00:00    
(270/3646): libavif-0.11.1-4.fc38.x86_64.rpm    3.3 MB/s |  83 kB     00:00    
(271/3646): libatomic-13.0.1-0.1.fc38.x86_64.rp 575 kB/s |  19 kB     00:00    
(272/3646): libb2-0.98.1-7.fc37.x86_64.rpm      1.2 MB/s |  25 kB     00:00    
(273/3646): libdatrie-0.2.13-4.fc37.x86_64.rpm  1.3 MB/s |  32 kB     00:00    
(274/3646): libcloudproviders-0.3.1-6.fc37.x86_ 1.4 MB/s |  44 kB     00:00    
(275/3646): libdav1d-1.0.0-2.fc37.x86_64.rpm     15 MB/s | 584 kB     00:00    
(276/3646): libdvdnav-6.1.1-4.fc37.x86_64.rpm   2.4 MB/s |  55 kB     00:00    
(277/3646): libdvdread-6.1.3-2.fc37.x86_64.rpm  3.4 MB/s |  72 kB     00:00    
(278/3646): libdrm-2.4.114-1.fc38.x86_64.rpm    3.5 MB/s | 159 kB     00:00    
(279/3646): libepoxy-1.5.10-2.fc37.x86_64.rpm   7.7 MB/s | 242 kB     00:00    
(280/3646): libffi-devel-3.4.4-1.fc38.x86_64.rp 1.3 MB/s |  28 kB     00:00    
(281/3646): libfontenc-1.1.6-1.fc38.x86_64.rpm  1.3 MB/s |  32 kB     00:00    
(282/3646): libgee-0.20.6-1.fc38.x86_64.rpm     7.5 MB/s | 279 kB     00:00    
(283/3646): libgcrypt-1.10.1-6.fc38.x86_64.rpm   11 MB/s | 511 kB     00:00    
(284/3646): libglvnd-1.6.0-1.fc38.x86_64.rpm    5.8 MB/s | 134 kB     00:00    
(285/3646): libglvnd-egl-1.6.0-1.fc38.x86_64.rp 1.6 MB/s |  36 kB     00:00    
(286/3646): libglvnd-glx-1.6.0-1.fc38.x86_64.rp 6.2 MB/s | 140 kB     00:00    
(287/3646): libgpg-error-1.46-1.fc38.x86_64.rpm 7.4 MB/s | 227 kB     00:00    
(288/3646): libgudev-237-3.fc37.x86_64.rpm      1.2 MB/s |  35 kB     00:00    
(289/3646): libgs-9.56.1-6.fc38.x86_64.rpm       33 MB/s | 3.6 MB     00:00    
(290/3646): libgfortran-13.0.1-0.1.fc38.x86_64. 4.8 MB/s | 889 kB     00:00    
(291/3646): libijs-0.35-16.fc37.x86_64.rpm      1.4 MB/s |  29 kB     00:00    
(292/3646): libimagequant-2.17.0-3.fc37.x86_64. 2.8 MB/s |  62 kB     00:00    
(293/3646): libjpeg-turbo-2.1.4-1.fc38.x86_64.r 5.2 MB/s | 181 kB     00:00    
(294/3646): liblqr-1-0.4.2-20.fc37.x86_64.rpm   1.0 MB/s |  49 kB     00:00    
(295/3646): libmanette-0.2.6-5.fc37.x86_64.rpm  2.0 MB/s |  49 kB     00:00    
(296/3646): libmarkdown-2.2.7-3.fc38.x86_64.rpm 986 kB/s |  46 kB     00:00    
(297/3646): libmpc-1.3.1-1.fc38.x86_64.rpm      3.3 MB/s |  70 kB     00:00    
(298/3646): libnice-0.1.21-2.fc38.x86_64.rpm    7.7 MB/s | 199 kB     00:00    
(299/3646): libogg-1.3.5-4.fc37.x86_64.rpm      1.6 MB/s |  33 kB     00:00    
(300/3646): libpaper-2.0.4-2.fc38.x86_64.rpm    1.2 MB/s |  26 kB     00:00    
(301/3646): libgusb-0.4.3-1.fc38.x86_64.rpm     172 kB/s |  61 kB     00:00    
(302/3646): libpciaccess-0.16-7.fc37.x86_64.rpm 1.1 MB/s |  27 kB     00:00    
(303/3646): libquadmath-13.0.1-0.1.fc38.x86_64. 5.8 MB/s | 179 kB     00:00    
(304/3646): libraqm-0.8.0-3.fc38.x86_64.rpm     985 kB/s |  20 kB     00:00    
(305/3646): libicu-72.1-1.fc38.x86_64.rpm        22 MB/s |  10 MB     00:00    
(306/3646): librsvg2-2.55.1-3.fc38.x86_64.rpm    28 MB/s | 3.1 MB     00:00    
(307/3646): libseccomp-2.5.3-3.fc37.x86_64.rpm  3.2 MB/s |  70 kB     00:00    
(308/3646): libsecret-0.20.5-2.fc37.x86_64.rpm  6.0 MB/s | 196 kB     00:00    
(309/3646): libsigc++20-2.10.8-2.fc37.x86_64.rp 1.4 MB/s |  39 kB     00:00    
(310/3646): libsndfile-1.1.0-5.fc38.x86_64.rpm  8.4 MB/s | 212 kB     00:00    
(311/3646): libsoup3-3.2.2-1.fc38.x86_64.rpm    7.0 MB/s | 387 kB     00:00    
(312/3646): libsoup-2.74.3-1.fc38.x86_64.rpm    4.7 MB/s | 402 kB     00:00    
(313/3646): libspiro-20221101-1.fc38.x86_64.rpm 1.2 MB/s |  45 kB     00:00    
(314/3646): libsrtp-2.3.0-9.fc37.x86_64.rpm     2.5 MB/s |  57 kB     00:00    
(315/3646): libthai-0.1.29-3.fc37.x86_64.rpm    5.7 MB/s | 213 kB     00:00    
(316/3646): libtheora-1.1.1-32.fc37.x86_64.rpm  6.2 MB/s | 167 kB     00:00    
(317/3646): libstdc++-devel-13.0.1-0.1.fc38.x86  35 MB/s | 2.6 MB     00:00    
(318/3646): libtiff-4.4.0-4.fc37.x86_64.rpm     6.7 MB/s | 197 kB     00:00    
(319/3646): libtool-ltdl-2.4.7-5.fc38.x86_64.rp 1.4 MB/s |  37 kB     00:00    
(320/3646): libunwind-1.6.2-5.fc38.x86_64.rpm   3.0 MB/s |  67 kB     00:00    
(321/3646): libtracker-sparql-3.4.2-2.fc38.x86_  12 MB/s | 358 kB     00:00    
(322/3646): libusb1-1.0.26-1.fc38.x86_64.rpm    3.5 MB/s |  74 kB     00:00    
(323/3646): libva-2.17.0-1.fc38.x86_64.rpm      4.5 MB/s | 104 kB     00:00    
(324/3646): libvisual-0.4.0-37.fc38.x86_64.rpm  5.2 MB/s | 143 kB     00:00    
(325/3646): libvmaf-2.3.0-4.fc37.x86_64.rpm     5.1 MB/s | 180 kB     00:00    
(326/3646): libvorbis-1.3.7-6.fc37.x86_64.rpm   8.2 MB/s | 195 kB     00:00    
(327/3646): libwayland-client-1.21.0-1.fc37.x86 1.5 MB/s |  33 kB     00:00    
(328/3646): libwayland-cursor-1.21.0-1.fc37.x86 959 kB/s |  19 kB     00:00    
(329/3646): libwayland-egl-1.21.0-1.fc37.x86_64 591 kB/s |  13 kB     00:00    
(330/3646): libwayland-server-1.21.0-1.fc37.x86 1.6 MB/s |  41 kB     00:00    
(331/3646): libwmf-lite-0.2.12-9.fc37.x86_64.rp 1.5 MB/s |  73 kB     00:00    
(332/3646): libwebp-1.3.0-1.fc38.x86_64.rpm     4.3 MB/s | 283 kB     00:00    
(333/3646): libwpd-0.10.3-11.fc37.x86_64.rpm    6.8 MB/s | 244 kB     00:00    
(334/3646): libwpe-1.14.0-1.fc38.x86_64.rpm     610 kB/s |  29 kB     00:00    
(335/3646): libwpg-0.3.3-9.fc37.x86_64.rpm      1.6 MB/s |  71 kB     00:00    
(336/3646): libxcrypt-devel-4.4.33-5.fc38.x86_6 938 kB/s |  30 kB     00:00    
(337/3646): libpng-1.6.37-13.fc37.x86_64.rpm    169 kB/s | 119 kB     00:00    
(338/3646): libxkbcommon-1.5.0-1.fc38.x86_64.rp 6.1 MB/s | 140 kB     00:00    
(339/3646): libxshmfence-1.3-11.fc37.x86_64.rpm 476 kB/s |  12 kB     00:00    
(340/3646): m4-1.4.19-4.fc37.x86_64.rpm          12 MB/s | 303 kB     00:00    
(341/3646): mailcap-2.1.53-4.fc37.noarch.rpm    716 kB/s |  33 kB     00:00    
(342/3646): make-4.4-1.fc38.x86_64.rpm           19 MB/s | 580 kB     00:00    
(343/3646): mpdecimal-2.5.1-4.fc37.x86_64.rpm   4.8 MB/s | 102 kB     00:00    
(344/3646): mkfontscale-1.2.2-2.fc37.x86_64.rpm 982 kB/s |  32 kB     00:00    
(345/3646): mpg123-libs-1.31.2-1.fc38.x86_64.rp  13 MB/s | 340 kB     00:00    
(346/3646): libzip-1.9.2-2.fc37.x86_64.rpm      479 kB/s |  65 kB     00:00    
(347/3646): ncurses-6.4-2.20230114.fc38.x86_64.  15 MB/s | 412 kB     00:00    
(348/3646): ncurses-devel-6.4-2.20230114.fc38.x  19 MB/s | 549 kB     00:00    
(349/3646): netpbm-11.01.00-1.fc38.x86_64.rpm   7.7 MB/s | 185 kB     00:00    
(350/3646): ncurses-c++-libs-6.4-2.20230114.fc3 603 kB/s |  37 kB     00:00    
(351/3646): nspr-4.35.0-3.fc38.x86_64.rpm       5.7 MB/s | 136 kB     00:00    
(352/3646): nss-softokn-3.87.0-1.fc38.x86_64.rp  26 MB/s | 1.0 MB     00:00    
(353/3646): nss-softokn-freebl-3.87.0-1.fc38.x8  12 MB/s | 326 kB     00:00    
(354/3646): nss-sysinit-3.87.0-1.fc38.x86_64.rp 897 kB/s |  18 kB     00:00    
(355/3646): nss-util-3.87.0-1.fc38.x86_64.rpm   4.1 MB/s |  86 kB     00:00    
(356/3646): oldstandard-sfd-fonts-2.0.2-32.fc37  11 MB/s | 1.6 MB     00:00    
(357/3646): openblas-0.3.21-4.fc38.x86_64.rpm   692 kB/s |  35 kB     00:00    
(358/3646): nss-3.87.0-1.fc38.x86_64.rpm        2.0 MB/s | 692 kB     00:00    
(359/3646): openexr-libs-3.1.5-2.fc37.x86_64.rp 3.0 MB/s | 1.1 MB     00:00    
(360/3646): mupdf-1.21.1-5.fc38.x86_64.rpm       13 MB/s |  11 MB     00:00    
(361/3646): openjpeg2-2.5.0-2.fc37.x86_64.rpm   3.1 MB/s | 178 kB     00:00    
(362/3646): opus-1.3.1-11.fc37.x86_64.rpm       7.6 MB/s | 204 kB     00:00    
(363/3646): pango-1.50.12-2.fc38.x86_64.rpm      11 MB/s | 341 kB     00:00    
(364/3646): orc-0.4.33-1.fc38.x86_64.rpm        3.3 MB/s | 204 kB     00:00    
(365/3646): pangomm-2.46.3-1.fc38.x86_64.rpm    2.2 MB/s |  68 kB     00:00    
(366/3646): pcre-8.45-1.fc37.2.x86_64.rpm       3.5 MB/s | 202 kB     00:00    
(367/3646): pdfpc-4.5.0-8.fc37.x86_64.rpm       3.0 MB/s | 204 kB     00:00    
(368/3646): perl-AutoLoader-5.74-494.fc38.noarc 1.1 MB/s |  23 kB     00:00    
(369/3646): perl-Authen-SASL-2.16-28.fc37.noarc 1.3 MB/s |  57 kB     00:00    
(370/3646): perl-B-1.83-494.fc38.x86_64.rpm     7.1 MB/s | 183 kB     00:00    
(371/3646): perl-B-Hooks-EndOfScope-0.26-4.fc37 1.2 MB/s |  44 kB     00:00    
(372/3646): perl-BibTeX-Parser-1.03-6.fc37.noar 1.1 MB/s |  31 kB     00:00    
(373/3646): perl-Business-ISBN-3.007-4.fc37.noa 897 kB/s |  33 kB     00:00    
(374/3646): perl-Bit-Vector-7.4-28.fc38.x86_64. 2.6 MB/s | 167 kB     00:00    
(375/3646): perl-Business-ISBN-Data-20210112.00 1.6 MB/s |  36 kB     00:00    
(376/3646): openblas-openmp-0.3.21-4.fc38.x86_6 7.0 MB/s | 5.1 MB     00:00    
(377/3646): perl-Business-ISMN-1.202-8.fc38.noa 573 kB/s |  25 kB     00:00    
(378/3646): perl-Business-ISSN-1.005-5.fc38.noa 416 kB/s |  18 kB     00:00    
(379/3646): perl-Carp-1.52-489.fc37.noarch.rpm  1.3 MB/s |  29 kB     00:00    
(380/3646): perl-Carp-Clan-6.08-13.fc37.noarch. 527 kB/s |  24 kB     00:00    
(381/3646): perl-Class-Accessor-0.51-16.fc37.no 512 kB/s |  29 kB     00:00    
(382/3646): perl-Class-Data-Inheritable-0.09-4. 195 kB/s |  13 kB     00:00    
(383/3646): perl-Class-Inspector-1.36-12.fc38.n 578 kB/s |  31 kB     00:00    
(384/3646): perl-Class-Method-Modifiers-2.14-1. 1.0 MB/s |  50 kB     00:00    
(385/3646): perl-Class-Singleton-1.6-7.fc37.noa 710 kB/s |  27 kB     00:00    
(386/3646): perl-Class-Struct-0.66-494.fc38.noa 1.0 MB/s |  24 kB     00:00    
(387/3646): perl-Clone-0.46-1.fc38.x86_64.rpm   819 kB/s |  22 kB     00:00    
(388/3646): perl-Compress-Raw-Bzip2-2.201-2.fc3 671 kB/s |  34 kB     00:00    
(389/3646): perl-Compress-Raw-Zlib-2.202-4.fc38 1.2 MB/s |  63 kB     00:00    
(390/3646): perl-Convert-ASN1-0.33-4.fc37.noarc 1.4 MB/s |  60 kB     00:00    
(391/3646): perl-Crypt-RC4-2.02-33.fc37.noarch. 293 kB/s |  14 kB     00:00    
(392/3646): perl-Data-Compare-1.27-10.fc37.noar 720 kB/s |  35 kB     00:00    
(393/3646): perl-Data-Dump-1.25-5.fc37.noarch.r 639 kB/s |  33 kB     00:00    
(394/3646): perl-Data-Dumper-2.184-490.fc37.x86 2.5 MB/s |  56 kB     00:00    
(395/3646): perl-Data-Uniqid-0.12-29.fc37.noarc 431 kB/s |  12 kB     00:00    
(396/3646): perl-Data-OptList-0.113-1.fc38.noar 503 kB/s |  27 kB     00:00    
(397/3646): perl-Date-ISO8601-0.005-16.fc37.noa 517 kB/s |  20 kB     00:00    
(398/3646): perl-Date-Calc-6.4-23.fc37.noarch.r 3.3 MB/s | 206 kB     00:00    
(399/3646): perl-DateTime-1.59-1.fc38.x86_64.rp 4.0 MB/s | 134 kB     00:00    
(400/3646): perl-DateTime-Calendar-Julian-0.107 751 kB/s |  24 kB     00:00    
(401/3646): perl-DateTime-Format-Strptime-1.79- 1.5 MB/s |  42 kB     00:00    
(402/3646): perl-DateTime-Format-Builder-0.8300 2.1 MB/s |  93 kB     00:00    
(403/3646): perl-Date-Manip-6.90-1.fc38.noarch. 7.3 MB/s | 1.1 MB     00:00    
(404/3646): perl-DateTime-TimeZone-2.57-1.fc38. 5.9 MB/s | 391 kB     00:00    
(405/3646): perl-DateTime-TimeZone-SystemV-0.01 756 kB/s |  24 kB     00:00    
(406/3646): perl-DateTime-TimeZone-Tzfile-0.011 802 kB/s |  20 kB     00:00    
(407/3646): perl-Devel-CallChecker-0.008-18.fc3 358 kB/s |  22 kB     00:00    
(408/3646): perl-Devel-Caller-2.06-29.fc37.x86_ 275 kB/s |  19 kB     00:00    
(409/3646): perl-DateTime-Locale-1.37-1.fc38.no  18 MB/s | 3.4 MB     00:00    
(410/3646): perl-Devel-GlobalDestruction-0.14-1 525 kB/s |  17 kB     00:00    
(411/3646): perl-Devel-LexAlias-0.05-30.fc37.x8 655 kB/s |  16 kB     00:00    
(412/3646): perl-Digest-1.20-489.fc37.noarch.rp 1.2 MB/s |  26 kB     00:00    
(413/3646): perl-Devel-StackTrace-2.04-14.fc38. 709 kB/s |  30 kB     00:00    
(414/3646): perl-Digest-HMAC-1.04-6.fc37.noarch 553 kB/s |  23 kB     00:00    
(415/3646): perl-Digest-MD4-1.9-32.fc37.x86_64. 1.3 MB/s |  36 kB     00:00    
(416/3646): perl-Digest-MD5-2.58-489.fc37.x86_6 1.7 MB/s |  36 kB     00:00    
(417/3646): perl-Digest-Perl-MD5-1.9-27.fc37.no 835 kB/s |  18 kB     00:00    
(418/3646): perl-Digest-SHA1-2.13-37.fc37.x86_6 1.6 MB/s |  52 kB     00:00    
(419/3646): perl-Digest-SHA-6.03-1.fc37.x86_64. 1.2 MB/s |  62 kB     00:00    
(420/3646): perl-Dist-CheckConflicts-0.11-26.fc 725 kB/s |  23 kB     00:00    
(421/3646): perl-DynaLoader-1.52-494.fc38.x86_6 1.3 MB/s |  28 kB     00:00    
(422/3646): perl-Email-Date-Format-1.008-1.fc38 486 kB/s |  19 kB     00:00    
(423/3646): perl-DynaLoader-Functions-0.003-17. 351 kB/s |  18 kB     00:00    
(424/3646): perl-Encode-Locale-1.05-25.fc38.noa 882 kB/s |  18 kB     00:00    
(425/3646): perl-Errno-1.36-494.fc38.x86_64.rpm 734 kB/s |  17 kB     00:00    
(426/3646): perl-English-1.11-494.fc38.noarch.r 445 kB/s |  16 kB     00:00    
(427/3646): perl-Encode-3.19-492.fc38.x86_64.rp  21 MB/s | 1.7 MB     00:00    
(428/3646): perl-Exporter-5.77-489.fc37.noarch. 1.5 MB/s |  31 kB     00:00    
(429/3646): perl-Eval-Closure-0.14-19.fc37.noar 725 kB/s |  24 kB     00:00    
(430/3646): perl-Exception-Class-1.45-6.fc37.no 866 kB/s |  44 kB     00:00    
(431/3646): perl-Fcntl-1.15-494.fc38.x86_64.rpm 1.1 MB/s |  23 kB     00:00    
(432/3646): perl-ExtUtils-MM-Utils-7.66-1.fc38. 367 kB/s |  11 kB     00:00    
(433/3646): perl-File-Basename-2.85-494.fc38.no 878 kB/s |  19 kB     00:00    
(434/3646): perl-File-Compare-1.100.700-494.fc3 727 kB/s |  15 kB     00:00    
(435/3646): perl-File-Copy-2.39-494.fc38.noarch 1.0 MB/s |  22 kB     00:00    
(436/3646): perl-File-Find-1.40-494.fc38.noarch 1.2 MB/s |  27 kB     00:00    
(437/3646): perl-File-Find-Rule-0.34-24.fc38.no 975 kB/s |  32 kB     00:00    
(438/3646): perl-File-Listing-6.15-4.fc38.noarc 912 kB/s |  24 kB     00:00    
(439/3646): perl-File-Path-2.18-489.fc37.noarch 1.5 MB/s |  35 kB     00:00    
(440/3646): perl-File-Slurper-0.014-1.fc38.noar 746 kB/s |  21 kB     00:00    
(441/3646): perl-File-Temp-0.231.100-489.fc37.n 2.4 MB/s |  59 kB     00:00    
(442/3646): perl-File-ShareDir-1.118-7.fc37.noa 744 kB/s |  30 kB     00:00    
(443/3646): perl-FileHandle-2.03-494.fc38.noarc 816 kB/s |  18 kB     00:00    
(444/3646): perl-File-stat-1.12-494.fc38.noarch 606 kB/s |  19 kB     00:00    
(445/3646): perl-File-Which-1.27-6.fc37.noarch. 381 kB/s |  22 kB     00:00    
(446/3646): perl-Filter-1.64-1.fc38.x86_64.rpm  2.4 MB/s |  89 kB     00:00    
(447/3646): perl-Getopt-Long-2.54-1.fc38.noarch 2.7 MB/s |  60 kB     00:00    
(448/3646): perl-Getopt-Std-1.13-494.fc38.noarc 842 kB/s |  18 kB     00:00    
(449/3646): perl-GSSAPI-0.28-40.fc37.x86_64.rpm 845 kB/s |  56 kB     00:00    
(450/3646): perl-FindBin-1.53-494.fc38.noarch.r 167 kB/s |  16 kB     00:00    
(451/3646): perl-HTML-Parser-3.80-1.fc38.x86_64 2.0 MB/s | 126 kB     00:00    
(452/3646): perl-HTML-Tagset-3.20-52.fc37.noarc 314 kB/s |  19 kB     00:00    
(453/3646): perl-HTTP-Cookies-6.10-8.fc38.noarc 629 kB/s |  37 kB     00:00    
(454/3646): perl-HTTP-Message-6.44-1.fc38.noarc 1.2 MB/s | 104 kB     00:00    
(455/3646): perl-HTTP-Date-6.05-11.fc38.noarch. 225 kB/s |  23 kB     00:00    
(456/3646): perl-HTTP-Negotiate-6.01-34.fc38.no 222 kB/s |  19 kB     00:00    
(457/3646): perl-HTTP-Tiny-0.082-1.fc37.noarch. 2.4 MB/s |  55 kB     00:00    
(458/3646): perl-I18N-Langinfo-0.21-494.fc38.x8 1.2 MB/s |  25 kB     00:00    
(459/3646): perl-IO-1.50-494.fc38.x86_64.rpm    4.0 MB/s |  94 kB     00:00    
(460/3646): perl-I18N-LangTags-0.45-494.fc38.no 773 kB/s |  56 kB     00:00    
(461/3646): perl-Hash-Util-FieldHash-1.26-494.f 509 kB/s |  40 kB     00:00    
(462/3646): perl-IO-Socket-IP-0.41-490.fc37.noa 1.8 MB/s |  42 kB     00:00    
(463/3646): perl-IO-HTML-1.004-9.fc38.noarch.rp 626 kB/s |  28 kB     00:00    
(464/3646): perl-IO-Socket-SSL-2.080-1.fc38.noa 7.5 MB/s | 225 kB     00:00    
(465/3646): perl-IO-Compress-2.201-3.fc37.noarc 3.5 MB/s | 273 kB     00:00    
(466/3646): perl-IO-String-1.08-46.fc37.noarch. 370 kB/s |  17 kB     00:00    
(467/3646): perl-IPC-Cmd-1.04-490.fc37.noarch.r 888 kB/s |  40 kB     00:00    
(468/3646): perl-IO-stringy-2.113-10.fc37.noarc 1.4 MB/s |  68 kB     00:00    
(469/3646): perl-IPC-Open3-1.22-494.fc38.noarch 1.1 MB/s |  25 kB     00:00    
(470/3646): perl-IPC-Run3-0.048-27.fc38.noarch. 1.3 MB/s |  39 kB     00:00    
(471/3646): perl-IPC-SysV-2.09-490.fc37.x86_64. 1.1 MB/s |  42 kB     00:00    
(472/3646): perl-IPC-System-Simple-1.30-9.fc37. 1.3 MB/s |  39 kB     00:00    
(473/3646): perl-JSON-4.10-1.fc38.noarch.rpm    2.5 MB/s |  97 kB     00:00    
(474/3646): perl-Jcode-2.07-39.fc37.noarch.rpm  669 kB/s |  38 kB     00:00    
(475/3646): perl-LDAP-0.68-8.fc37.noarch.rpm    5.2 MB/s | 400 kB     00:00    
(476/3646): perl-LWP-Protocol-https-6.10-8.fc38 409 kB/s |  21 kB     00:00    
(477/3646): perl-LWP-MediaTypes-6.04-13.fc38.no 369 kB/s |  33 kB     00:00    
(478/3646): perl-LaTeX-ToUnicode-0.11-7.fc37.no 438 kB/s |  26 kB     00:00    
(479/3646): perl-List-AllUtils-0.19-8.fc38.noar 1.6 MB/s |  49 kB     00:00    
(480/3646): perl-Lingua-Translit-0.29-2.fc37.no 736 kB/s |  34 kB     00:00    
(481/3646): perl-List-UtilsBy-0.12-5.fc38.noarc 389 kB/s |  28 kB     00:00    
(482/3646): perl-List-SomeUtils-0.59-1.fc38.noa 454 kB/s |  45 kB     00:00    
(483/3646): perl-Locale-Maketext-1.32-1.fc38.no 977 kB/s |  95 kB     00:00    
(484/3646): perl-Locale-Maketext-Simple-0.21-49 443 kB/s |  20 kB     00:00    
(485/3646): perl-Log-Dispatch-2.70-9.fc38.noarc 2.1 MB/s |  85 kB     00:00    
(486/3646): perl-Log-Dispatch-FileRotate-1.38-5 626 kB/s |  33 kB     00:00    
(487/3646): perl-Log-Log4perl-1.57-1.fc38.noarc 6.6 MB/s | 372 kB     00:00    
(488/3646): perl-MIME-Base64-3.16-489.fc37.x86_ 439 kB/s |  30 kB     00:00    
(489/3646): perl-MIME-Charset-1.013.1-1.fc38.no 824 kB/s |  49 kB     00:00    
(490/3646): perl-MIME-Lite-3.033-5.fc37.noarch. 2.0 MB/s |  95 kB     00:00    
(491/3646): perl-MIME-Types-2.24-1.fc38.noarch. 1.7 MB/s |  70 kB     00:00    
(492/3646): perl-MRO-Compat-0.15-4.fc37.noarch. 535 kB/s |  26 kB     00:00    
(493/3646): perl-Mail-Sender-0.903-19.fc37.noar 620 kB/s |  51 kB     00:00    
(494/3646): perl-Mail-Sendmail-0.80-16.fc37.noa 463 kB/s |  36 kB     00:00    
(495/3646): perl-MailTools-2.21-12.fc37.noarch. 2.1 MB/s | 111 kB     00:00    
(496/3646): perl-Math-BigInt-1.9998.37-2.fc37.n 6.9 MB/s | 206 kB     00:00    
(497/3646): perl-Math-BigRat-0.2624-2.fc37.noar 1.7 MB/s |  42 kB     00:00    
(498/3646): perl-Math-Complex-1.59-494.fc38.noa 2.2 MB/s |  49 kB     00:00    
(499/3646): perl-Module-CoreList-5.20221220-1.f 1.8 MB/s |  84 kB     00:00    
(500/3646): perl-Module-Implementation-0.09-35. 289 kB/s |  19 kB     00:00    
(501/3646): perl-Module-Load-0.36-489.fc37.noar 247 kB/s |  17 kB     00:00    
(502/3646): perl-Module-Load-Conditional-0.74-4 629 kB/s |  22 kB     00:00    
(503/3646): perl-Mozilla-CA-20221114-1.fc38.noa 629 kB/s |  13 kB     00:00    
(504/3646): perl-Module-Metadata-1.000037-489.f 652 kB/s |  35 kB     00:00    
(505/3646): perl-Module-Runtime-0.016-18.fc38.n 389 kB/s |  23 kB     00:00    
(506/3646): perl-NTLM-1.09-33.fc37.noarch.rpm   465 kB/s |  22 kB     00:00    
(507/3646): perl-Net-HTTP-6.22-4.fc38.noarch.rp 1.2 MB/s |  39 kB     00:00    
(508/3646): perl-Net-SMTP-SSL-1.04-19.fc37.noar 270 kB/s |  12 kB     00:00    
(509/3646): perl-Net-SSLeay-1.92-4.fc37.x86_64.  11 MB/s | 362 kB     00:00    
(510/3646): perl-Number-Compare-0.03-34.fc38.no 403 kB/s |  12 kB     00:00    
(511/3646): perl-POSIX-2.03-494.fc38.x86_64.rpm 4.3 MB/s | 100 kB     00:00    
(512/3646): perl-Opcode-1.57-494.fc38.x86_64.rp 1.1 MB/s |  39 kB     00:00    
(513/3646): perl-OLE-Storage_Lite-0.20-10.fc37. 507 kB/s |  29 kB     00:00    
(514/3646): perl-Package-Generator-1.106-26.fc3 667 kB/s |  23 kB     00:00    
(515/3646): perl-Package-Stash-0.40-3.fc37.noar 781 kB/s |  33 kB     00:00    
(516/3646): perl-Package-Stash-XS-0.30-2.fc37.x 1.2 MB/s |  36 kB     00:00    
(517/3646): perl-PadWalker-2.5-7.fc37.x86_64.rp 1.1 MB/s |  27 kB     00:00    
(518/3646): perl-Params-Check-0.38-489.fc37.noa 1.0 MB/s |  22 kB     00:00    
(519/3646): perl-Params-Classify-0.015-17.fc37. 1.3 MB/s |  32 kB     00:00    
(520/3646): perl-Params-Util-1.102-9.fc38.x86_6 779 kB/s |  33 kB     00:00    
(521/3646): perl-Params-Validate-1.31-2.fc38.x8 1.5 MB/s |  69 kB     00:00    
(522/3646): perl-Params-ValidationCompiler-0.31 838 kB/s |  37 kB     00:00    
(523/3646): perl-PathTools-3.84-489.fc37.x86_64 4.0 MB/s |  89 kB     00:00    
(524/3646): perl-Parse-RecDescent-1.967015-18.f 5.4 MB/s | 209 kB     00:00    
(525/3646): perl-Pod-Escapes-1.07-489.fc37.noar 904 kB/s |  20 kB     00:00    
(526/3646): perl-Pod-Perldoc-3.28.01-490.fc37.n 3.6 MB/s |  90 kB     00:00    
(527/3646): perl-Pod-Html-1.33-494.fc38.noarch. 1.1 MB/s |  33 kB     00:00    
(528/3646): perl-Pod-Simple-3.43-490.fc37.noarc 5.9 MB/s | 225 kB     00:00    
(529/3646): perl-Pod-Usage-2.03-3.fc37.noarch.r 1.8 MB/s |  40 kB     00:00    
(530/3646): perl-Ref-Util-0.204-15.fc37.noarch. 791 kB/s |  24 kB     00:00    
(531/3646): perl-Ref-Util-XS-0.117-16.fc37.x86_ 1.1 MB/s |  23 kB     00:00    
(532/3646): perl-Regexp-Common-2017060201-20.fc 4.8 MB/s | 184 kB     00:00    
(533/3646): perl-Role-Tiny-2.002004-7.fc37.noar 1.0 MB/s |  33 kB     00:00    
(534/3646): perl-Safe-2.43-494.fc38.noarch.rpm  1.1 MB/s |  27 kB     00:00    
(535/3646): perl-Scalar-List-Utils-1.63-489.fc3 3.3 MB/s |  72 kB     00:00    
(536/3646): perl-SelectSaver-1.02-494.fc38.noar 685 kB/s |  14 kB     00:00    
(537/3646): perl-Socket-2.036-1.fc38.x86_64.rpm 2.2 MB/s |  55 kB     00:00    
(538/3646): perl-Sort-Key-1.33-25.fc37.x86_64.r 1.3 MB/s |  47 kB     00:00    
(539/3646): perl-Specio-0.48-2.fc37.noarch.rpm  4.4 MB/s | 166 kB     00:00    
(540/3646): perl-Spreadsheet-ParseExcel-0.6500- 3.1 MB/s | 161 kB     00:00    
(541/3646): perl-Storable-3.26-489.fc37.x86_64. 4.2 MB/s |  97 kB     00:00    
(542/3646): perl-Spreadsheet-WriteExcel-2.40-26  14 MB/s | 539 kB     00:00    
(543/3646): perl-Sub-Exporter-0.989-1.fc38.noar 1.9 MB/s |  77 kB     00:00    
(544/3646): perl-Sub-Exporter-Progressive-0.001 452 kB/s |  21 kB     00:00    
(545/3646): perl-Sub-Identify-0.14-20.fc37.x86_ 749 kB/s |  28 kB     00:00    
(546/3646): perl-Sub-Install-0.929-1.fc38.noarc 806 kB/s |  23 kB     00:00    
(547/3646): perl-Symbol-1.09-494.fc38.noarch.rp 804 kB/s |  16 kB     00:00    
(548/3646): perl-Sys-Hostname-1.24-494.fc38.x86 485 kB/s |  19 kB     00:00    
(549/3646): perl-Term-ANSIColor-5.01-490.fc37.n 2.2 MB/s |  48 kB     00:00    
(550/3646): perl-Sys-Syslog-0.36-490.fc37.x86_6 1.2 MB/s |  47 kB     00:00    
(551/3646): perl-Term-Cap-1.17-489.fc37.noarch. 1.0 MB/s |  22 kB     00:00    
(552/3646): perl-Text-Balanced-2.06-2.fc37.noar 1.7 MB/s |  49 kB     00:00    
(553/3646): perl-Text-CSV-2.02-1.fc38.noarch.rp 4.1 MB/s | 115 kB     00:00    
(554/3646): perl-Text-BibTeX-0.88-12.fc37.x86_6 6.3 MB/s | 271 kB     00:00    
(555/3646): perl-Text-CSV_XS-1.49-1.fc38.x86_64 3.9 MB/s | 137 kB     00:00    
(556/3646): perl-Text-ParseWords-3.31-489.fc37. 770 kB/s |  16 kB     00:00    
(557/3646): perl-Text-Glob-0.11-19.fc38.noarch. 453 kB/s |  13 kB     00:00    
(558/3646): perl-Text-Roman-3.5-23.fc37.noarch. 838 kB/s |  23 kB     00:00    
(559/3646): perl-Text-Tabs+Wrap-2021.0814-489.f 991 kB/s |  22 kB     00:00    
(560/3646): perl-Text-Unidecode-1.30-19.fc37.no 6.8 MB/s | 181 kB     00:00    
(561/3646): perl-Text-Soundex-3.05-24.fc38.x86_ 670 kB/s |  30 kB     00:00    
(562/3646): perl-Tie-4.6-494.fc38.noarch.rpm    1.3 MB/s |  34 kB     00:00    
(563/3646): perl-Time-HiRes-1.9770-489.fc37.x86 2.6 MB/s |  57 kB     00:00    
(564/3646): perl-Tie-Cycle-1.227-6.fc38.noarch. 486 kB/s |  19 kB     00:00    
(565/3646): perl-Tie-RefHash-1.40-489.fc37.noar 532 kB/s |  23 kB     00:00    
(566/3646): perl-Time-Local-1.300-489.fc37.noar 1.5 MB/s |  33 kB     00:00    
(567/3646): perl-TimeDate-2.33-9.fc37.noarch.rp 2.8 MB/s |  60 kB     00:00    
(568/3646): perl-Try-Tiny-0.31-4.fc37.noarch.rp 1.3 MB/s |  42 kB     00:00    
(569/3646): perl-URI-5.17-1.fc38.noarch.rpm     5.3 MB/s | 123 kB     00:00    
(570/3646): perl-UNIVERSAL-isa-1.20171012-15.fc 470 kB/s |  21 kB     00:00    
(571/3646): perl-Unicode-LineBreak-2019.001-14. 4.3 MB/s | 121 kB     00:00    
(572/3646): perl-Unicode-Normalize-1.31-489.fc3 4.1 MB/s |  94 kB     00:00    
(573/3646): perl-Unicode-Map-0.112-58.fc37.x86_ 6.1 MB/s | 242 kB     00:00    
(574/3646): perl-Unicode-Collate-1.31-489.fc37.  10 MB/s | 750 kB     00:00    
(575/3646): perl-Unicode-UCD-0.78-494.fc38.noar 2.8 MB/s |  80 kB     00:00    
(576/3646): perl-Variable-Magic-0.63-1.fc38.x86 934 kB/s |  54 kB     00:00    
(577/3646): perl-XML-LibXML-2.0208-1.fc38.x86_6 6.8 MB/s | 361 kB     00:00    
(578/3646): perl-WWW-RobotRules-6.02-35.fc38.no 312 kB/s |  20 kB     00:00    
(579/3646): perl-XML-LibXML-Simple-1.01-10.fc37 939 kB/s |  33 kB     00:00    
(580/3646): perl-XML-LibXSLT-2.002.000-3.fc37.x 3.2 MB/s |  69 kB     00:00    
(581/3646): perl-XML-NamespaceSupport-1.12-18.f 1.2 MB/s |  26 kB     00:00    
(582/3646): perl-XML-SAX-1.02-11.fc37.noarch.rp 2.9 MB/s |  64 kB     00:00    
(583/3646): perl-XML-SAX-Base-1.09-18.fc37.noar 1.4 MB/s |  32 kB     00:00    
(584/3646): perl-XML-Parser-2.46-12.fc37.x86_64 8.3 MB/s | 237 kB     00:00    
(585/3646): perl-XString-0.005-7.fc37.x86_64.rp 976 kB/s |  23 kB     00:00    
(586/3646): perl-XML-XPath-1.48-2.fc38.noarch.r 3.5 MB/s |  91 kB     00:00    
(587/3646): perl-XML-Writer-0.900-9.fc38.noarch 956 kB/s |  34 kB     00:00    
(588/3646): perl-autouse-1.11-494.fc38.noarch.r 499 kB/s |  16 kB     00:00    
(589/3646): perl-autovivification-0.18-17.fc37. 1.3 MB/s |  33 kB     00:00    
(590/3646): perl-autodie-2.34-490.fc37.noarch.r 2.8 MB/s |  99 kB     00:00    
(591/3646): perl-base-2.27-494.fc38.noarch.rpm  906 kB/s |  18 kB     00:00    
(592/3646): perl-constant-1.33-490.fc37.noarch. 1.1 MB/s |  23 kB     00:00    
(593/3646): perl-deprecate-0.04-494.fc38.noarch 783 kB/s |  17 kB     00:00    
(594/3646): perl-if-0.61.000-494.fc38.noarch.rp 759 kB/s |  16 kB     00:00    
(595/3646): perl-interpreter-5.36.0-494.fc38.x8 3.3 MB/s |  74 kB     00:00    
(596/3646): perl-encoding-3.00-492.fc38.x86_64. 2.4 MB/s |  63 kB     00:00    
(597/3646): perl-lib-0.65-494.fc38.x86_64.rpm   803 kB/s |  17 kB     00:00    
(598/3646): perl-libnet-3.14-490.fc37.noarch.rp 5.5 MB/s | 132 kB     00:00    
(599/3646): perl-locale-1.10-494.fc38.noarch.rp 746 kB/s |  16 kB     00:00    
(600/3646): perl-libwww-perl-6.67-3.fc38.noarch 4.9 MB/s | 212 kB     00:00    
(601/3646): perl-libs-5.36.0-494.fc38.x86_64.rp  28 MB/s | 2.2 MB     00:00    
(602/3646): perl-mro-1.26-494.fc38.x86_64.rpm   1.4 MB/s |  31 kB     00:00    
(603/3646): perl-meta-notation-5.36.0-494.fc38. 282 kB/s |  12 kB     00:00    
(604/3646): perl-open-1.13-494.fc38.noarch.rpm  665 kB/s |  19 kB     00:00    
(605/3646): perl-namespace-autoclean-0.29-13.fc 573 kB/s |  26 kB     00:00    
(606/3646): perl-namespace-clean-0.27-21.fc37.n 841 kB/s |  35 kB     00:00    
(607/3646): perl-overload-1.35-494.fc38.noarch. 2.2 MB/s |  48 kB     00:00    
(608/3646): perl-overloading-0.02-494.fc38.noar 745 kB/s |  15 kB     00:00    
(609/3646): perl-parent-0.239-1.fc38.noarch.rpm 671 kB/s |  14 kB     00:00    
(610/3646): perl-podlators-5.01-1.fc38.noarch.r 5.2 MB/s | 125 kB     00:00    
(611/3646): perl-subs-1.04-494.fc38.noarch.rpm  458 kB/s |  14 kB     00:00    
(612/3646): perl-sigtrap-1.10-494.fc38.noarch.r 540 kB/s |  18 kB     00:00    
(613/3646): perl-vars-1.05-494.fc38.noarch.rpm  720 kB/s |  15 kB     00:00    
(614/3646): perl-version-0.99.29-490.fc37.x86_6 2.6 MB/s |  63 kB     00:00    
(615/3646): pixman-0.40.0-6.fc37.x86_64.rpm     6.2 MB/s | 277 kB     00:00    
(616/3646): perltidy-20221112-1.fc38.noarch.rpm  13 MB/s | 673 kB     00:00    
(617/3646): poppler-22.08.0-4.fc38.x86_64.rpm    19 MB/s | 1.2 MB     00:00    
(618/3646): poppler-data-0.4.11-3.fc37.noarch.r  27 MB/s | 2.0 MB     00:00    
(619/3646): poppler-glib-22.08.0-4.fc38.x86_64. 6.5 MB/s | 176 kB     00:00    
(620/3646): plotutils-2.6-30.fc38.x86_64.rpm    9.7 MB/s | 1.2 MB     00:00    
(621/3646): potrace-1.16-8.fc37.x86_64.rpm      3.3 MB/s | 122 kB     00:00    
(622/3646): python-setuptools-wheel-65.5.1-1.fc  11 MB/s | 715 kB     00:00    
(623/3646): pstoedit-3.78-6.fc38.x86_64.rpm     9.8 MB/s | 804 kB     00:00    
(624/3646): rav1e-libs-0.5.1-6.fc38.x86_64.rpm   13 MB/s | 919 kB     00:00    
(625/3646): shared-mime-info-2.2-2.fc37.x86_64.  13 MB/s | 381 kB     00:00    
(626/3646): rubypick-1.1.1-17.fc37.noarch.rpm   172 kB/s | 9.9 kB     00:00    
(627/3646): readline-devel-8.2-2.fc38.x86_64.rp 2.9 MB/s | 207 kB     00:00    
(628/3646): sombok-2.4.0-17.fc37.x86_64.rpm     1.3 MB/s |  47 kB     00:00    
(629/3646): sord-0.16.10-1.fc37.x86_64.rpm      2.1 MB/s |  46 kB     00:00    
(630/3646): soundtouch-2.3.1-3.fc37.x86_64.rpm  3.7 MB/s |  94 kB     00:00    
(631/3646): tcl-8.6.12-3.fc38.x86_64.rpm         16 MB/s | 1.1 MB     00:00    
(632/3646): tcl-devel-8.6.12-3.fc38.x86_64.rpm  2.1 MB/s | 169 kB     00:00    
(633/3646): svt-av1-libs-1.4.1-2.fc38.x86_64.rp  21 MB/s | 2.0 MB     00:00    
(634/3646): teckit-2.5.9-9.fc37.x86_64.rpm      4.6 MB/s | 433 kB     00:00    
(635/3646): tesseract-5.3.0-3.fc38.x86_64.rpm    13 MB/s | 1.3 MB     00:00    
(636/3646): tesseract-langpack-eng-4.1.0-4.fc37  16 MB/s | 1.7 MB     00:00    
(637/3646): tesseract-tessdata-doc-4.1.0-4.fc37 296 kB/s |  13 kB     00:00    
(638/3646): tex-preview-13.1-2.fc37.noarch.rpm  5.4 MB/s | 329 kB     00:00    
(639/3646): texlive-12many-svn15878.0.3-63.fc38 246 kB/s |  16 kB     00:00    
(640/3646): texlive-2up-svn55076-63.fc38.noarch 215 kB/s |  14 kB     00:00    
(641/3646): texlive-a0poster-svn54071-63.fc38.n 2.3 MB/s | 133 kB     00:00    
(642/3646): texlive-a4wide-svn20943.0-63.fc38.n 237 kB/s |  14 kB     00:00    
(643/3646): texlive-a5comb-svn17020.4-63.fc38.n 218 kB/s |  11 kB     00:00    
(644/3646): texlive-aaai-named-svn52470-63.fc38 375 kB/s |  15 kB     00:00    
(645/3646): texlive-aastex-svn58057-63.fc38.noa 2.0 MB/s |  64 kB     00:00    
(646/3646): texlive-abntex2-svn49248-63.fc38.no 1.1 MB/s |  47 kB     00:00    
(647/3646): texlive-abnt-svn55471-63.fc38.noarc 2.1 MB/s | 136 kB     00:00    
(648/3646): texlive-abntexto-svn64694-63.fc38.n 4.9 MB/s | 258 kB     00:00    
(649/3646): texlive-abraces-svn64967-63.fc38.no 259 kB/s |  17 kB     00:00    
(650/3646): texlive-abstract-svn15878.1.2a-63.f 237 kB/s |  15 kB     00:00    
(651/3646): texlive-academicons-svn62622-63.fc3 825 kB/s |  54 kB     00:00    
(652/3646): texlive-abspos-svn64465-63.fc38.noa 2.3 MB/s | 443 kB     00:00    
(653/3646): texlive-accents-svn51497-63.fc38.no 4.3 MB/s | 243 kB     00:00    
(654/3646): texlive-accanthis-svn64844-63.fc38. 6.5 MB/s | 427 kB     00:00    
(655/3646): texlive-accessibility-svn55777-63.f  12 MB/s | 531 kB     00:00    
(656/3646): texlive-accsupp-svn53052-63.fc38.no 7.3 MB/s | 336 kB     00:00    
(657/3646): texlive-achemso-svn65103-63.fc38.no 2.5 MB/s |  60 kB     00:00    
(658/3646): texlive-acmart-svn64967-63.fc38.noa 1.9 MB/s |  60 kB     00:00    
(659/3646): texlive-acmconf-svn15878.1.3-63.fc3 545 kB/s |  18 kB     00:00    
(660/3646): texlive-acro-svn62925-63.fc38.noarc 2.7 MB/s |  60 kB     00:00    
(661/3646): texlive-acronym-svn54758-63.fc38.no 1.0 MB/s |  21 kB     00:00    
(662/3646): texlive-acroterm-svn61719-63.fc38.n 834 kB/s |  18 kB     00:00    
(663/3646): texlive-active-conf-svn15878.0.3a-6 894 kB/s |  18 kB     00:00    
(664/3646): texlive-actuarialangle-svn51376-63. 498 kB/s |  13 kB     00:00    
(665/3646): texlive-actuarialsymbol-svn54080-63  11 MB/s | 293 kB     00:00    
(666/3646): texlive-addfont-svn58559-63.fc38.no 2.8 MB/s |  63 kB     00:00    
(667/3646): texlive-addlines-svn49326-63.fc38.n 568 kB/s |  15 kB     00:00    
(668/3646): texlive-adfathesis-svn26048.2.42-63 685 kB/s |  21 kB     00:00    
(669/3646): texlive-adforn-svn54512-63.fc38.noa 2.2 MB/s |  51 kB     00:00    
(670/3646): texlive-adfsymbols-svn54512-63.fc38 1.8 MB/s |  43 kB     00:00    
(671/3646): texlive-adjmulticol-svn63320-63.fc3 654 kB/s |  19 kB     00:00    
(672/3646): texlive-adigraph-svn49862-63.fc38.n 6.4 MB/s | 487 kB     00:00    
(673/3646): texlive-adjustbox-svn64967-63.fc38. 312 kB/s |  31 kB     00:00    
(674/3646): texlive-adrconv-svn46817-63.fc38.no 483 kB/s |  17 kB     00:00    
(675/3646): texlive-advdate-svn20538.0-63.fc38. 552 kB/s |  18 kB     00:00    
(676/3646): texlive-adobemapping-svn51787-63.fc  20 MB/s | 2.9 MB     00:00    
(677/3646): texlive-ae-svn15878.1.4-63.fc38.noa 2.6 MB/s | 115 kB     00:00    
(678/3646): texlive-aeguill-svn15878.0-63.fc38. 438 kB/s |  16 kB     00:00    
(679/3646): texlive-afparticle-svn35900.1.3-63. 336 kB/s |  20 kB     00:00    
(680/3646): texlive-aboensis-svn62977-63.fc38.n  39 MB/s |  34 MB     00:00    
(681/3646): texlive-afthesis-svn15878.2.7-63.fc 443 kB/s |  24 kB     00:00    
(682/3646): texlive-aesupp-svn58253-63.fc38.noa  18 MB/s | 3.0 MB     00:00    
(683/3646): texlive-aguplus-svn17156.1.6b-63.fc 1.0 MB/s |  43 kB     00:00    
(684/3646): texlive-aiaa-svn15878.3.6-63.fc38.n 564 kB/s |  22 kB     00:00    
(685/3646): texlive-ajl-svn34016.0-63.fc38.noar 741 kB/s |  19 kB     00:00    
(686/3646): texlive-aichej-svn15878.0-63.fc38.n 701 kB/s |  19 kB     00:00    
(687/3646): texlive-akktex-svn26055.0.3.2-63.fc 1.3 MB/s |  37 kB     00:00    
(688/3646): texlive-akletter-svn15878.1.5i-63.f 1.0 MB/s |  23 kB     00:00    
(689/3646): texlive-alertmessage-svn38055.1.1-6 831 kB/s |  24 kB     00:00    
(690/3646): texlive-alg-svn15878.0-63.fc38.noar 605 kB/s |  16 kB     00:00    
(691/3646): texlive-algobox-svn52204-63.fc38.no 2.3 MB/s | 136 kB     00:00    
(692/3646): texlive-alfaslabone-svn57452-63.fc3 2.4 MB/s | 231 kB     00:00    
(693/3646): texlive-algorithm2e-svn44846-63.fc3 793 kB/s |  46 kB     00:00    
(694/3646): texlive-algolrevived-svn56864-63.fc  16 MB/s | 1.0 MB     00:00    
(695/3646): texlive-algorithmicx-svn15878.0-63. 635 kB/s |  22 kB     00:00    
(696/3646): texlive-algorithms-svn42428-63.fc38 715 kB/s |  22 kB     00:00    
(697/3646): texlive-algpseudocodex-svn64654-63. 6.2 MB/s | 364 kB     00:00    
(698/3646): texlive-algxpar-svn56006-63.fc38.no 3.2 MB/s | 189 kB     00:00    
(699/3646): texlive-aligned-overset-svn47290-63 2.4 MB/s | 385 kB     00:00    
(700/3646): texlive-allrunes-svn42221-63.fc38.n  29 MB/s | 7.7 MB     00:00    
(701/3646): texlive-almendra-svn64539-63.fc38.n 3.1 MB/s | 386 kB     00:00    
(702/3646): texlive-alegreya-svn64384-63.fc38.n  42 MB/s |  24 MB     00:00    
(703/3646): texlive-almfixed-svn35065.0.92-63.f 5.2 MB/s | 286 kB     00:00    
(704/3646): texlive-alnumsec-svn15878.v0.03-63. 381 kB/s |  16 kB     00:00    
(705/3646): texlive-alphalph-svn53087-63.fc38.n 7.7 MB/s | 360 kB     00:00    
(706/3646): texlive-alterqcm-svn59265-63.fc38.n  14 MB/s | 1.1 MB     00:00    
(707/3646): texlive-altfont-svn15878.1.1-63.fc3 249 kB/s |  19 kB     00:00    
(708/3646): texlive-altsubsup-svn62738-63.fc38. 6.6 MB/s | 352 kB     00:00    
(709/3646): texlive-amsaddr-svn64357-63.fc38.no 501 kB/s |  15 kB     00:00    
(710/3646): texlive-amscls-svn55378-63.fc38.noa  18 MB/s | 1.1 MB     00:00    
(711/3646): texlive-amscdx-svn51532-63.fc38.noa 2.7 MB/s | 224 kB     00:00    
(712/3646): texlive-amsmath-svn63514-63.fc38.no 1.3 MB/s |  46 kB     00:00    
(713/3646): texlive-amsrefs-svn61937-63.fc38.no 1.5 MB/s |  45 kB     00:00    
(714/3646): texlive-amsfonts-svn61937-63.fc38.n  35 MB/s | 3.7 MB     00:00    
(715/3646): texlive-annotate-equations-svn62932 4.9 MB/s | 136 kB     00:00    
(716/3646): texlive-annotate-svn52824-63.fc38.n 513 kB/s |  14 kB     00:00    
(717/3646): texlive-animate-svn64401-63.fc38.no 467 kB/s |  37 kB     00:00    
(718/3646): texlive-andika-svn64540-63.fc38.noa  32 MB/s | 3.3 MB     00:00    
(719/3646): texlive-anonchap-svn17049.1.1a-63.f 582 kB/s |  15 kB     00:00    
(720/3646): texlive-answers-svn35032.2.16-63.fc 455 kB/s |  15 kB     00:00    
(721/3646): texlive-anonymouspro-svn51631-63.fc 8.8 MB/s | 462 kB     00:00    
(722/3646): texlive-antiqua-svn24266.001.003-63 2.1 MB/s |  90 kB     00:00    
(723/3646): texlive-anonymous-acm-svn55121-63.f 3.7 MB/s | 389 kB     00:00    
(724/3646): texlive-anyfontsize-svn17050.0-63.f 427 kB/s |  16 kB     00:00    
(725/3646): texlive-anufinalexam-doc-svn26053.0 355 kB/s |  17 kB     00:00    
(726/3646): texlive-antt-svn18651.2.08-63.fc38.  43 MB/s | 4.8 MB     00:00    
(727/3646): texlive-anysize-svn15878.0-63.fc38. 272 kB/s |  12 kB     00:00    
(728/3646): texlive-aobs-tikz-svn32662.1.0-63.f 266 kB/s |  18 kB     00:00    
(729/3646): texlive-apa-svn54080-63.fc38.noarch 769 kB/s |  27 kB     00:00    
(730/3646): texlive-aomart-svn63442-63.fc38.noa 834 kB/s |  30 kB     00:00    
(731/3646): texlive-apa6e-svn23350.0.3-63.fc38. 495 kB/s |  13 kB     00:00    
(732/3646): texlive-apa6-svn53406-63.fc38.noarc 687 kB/s |  32 kB     00:00    
(733/3646): texlive-apalike-ejor-svn59667-63.fc 3.6 MB/s | 151 kB     00:00    
(734/3646): texlive-apa7-svn63974-63.fc38.noarc 9.0 MB/s | 761 kB     00:00    
(735/3646): texlive-apacite-svn54080-63.fc38.no 992 kB/s |  58 kB     00:00    
(736/3646): texlive-apalike2-svn54080-63.fc38.n 291 kB/s |  16 kB     00:00    
(737/3646): texlive-appendix-svn53718-63.fc38.n 299 kB/s |  16 kB     00:00    
(738/3646): texlive-appendixnumberbeamer-svn463 418 kB/s |  23 kB     00:00    
(739/3646): texlive-arabicfront-svn51474-63.fc3 493 kB/s |  12 kB     00:00    
(740/3646): texlive-apptools-svn28400.1.0-63.fc 299 kB/s |  17 kB     00:00    
(741/3646): texlive-apxproof-svn64715-63.fc38.n 8.5 MB/s | 584 kB     00:00    
(742/3646): texlive-archaeologie-svn57090-63.fc 1.7 MB/s |  93 kB     00:00    
(743/3646): texlive-archaic-svn38005.0-63.fc38. 8.6 MB/s | 415 kB     00:00    
(744/3646): texlive-arcs-svn15878.1-63.fc38.noa 349 kB/s |  15 kB     00:00    
(745/3646): texlive-arimo-svn64548-63.fc38.noar  12 MB/s | 2.9 MB     00:00    
(746/3646): texlive-arraycols-svn61719-63.fc38. 8.2 MB/s | 463 kB     00:00    
(747/3646): texlive-arrayjobx-svn18125.1.04-63. 535 kB/s |  17 kB     00:00    
(748/3646): texlive-arraysort-svn31576.1.0-63.f 378 kB/s |  17 kB     00:00    
(749/3646): texlive-arsclassica-svn45656-63.fc3 513 kB/s |  16 kB     00:00    
(750/3646): texlive-articleingud-svn38741-63.fc 626 kB/s |  19 kB     00:00    
(751/3646): texlive-arvo-svn57213-63.fc38.noarc 7.9 MB/s | 203 kB     00:00    
(752/3646): texlive-arev-svn15878.0-63.fc38.noa 2.0 MB/s | 982 kB     00:00    
(753/3646): texlive-arydshln-svn50084-63.fc38.n 827 kB/s |  23 kB     00:00    
(754/3646): texlive-asaetr-svn15878.1.0a-63.fc3 658 kB/s |  24 kB     00:00    
(755/3646): texlive-asana-math-svn59629-63.fc38 9.7 MB/s | 721 kB     00:00    
(756/3646): texlive-asapsym-svn40201-63.fc38.no 1.0 MB/s |  68 kB     00:00    
(757/3646): texlive-arphic-svn15878.0-63.fc38.n  46 MB/s |  27 MB     00:00    
(758/3646): texlive-ascelike-svn29129.2.3-63.fc 762 kB/s |  27 kB     00:00    
(759/3646): texlive-ascii-font-svn29989.2.0-63. 2.1 MB/s |  62 kB     00:00    
(760/3646): texlive-asciilist-svn49060-63.fc38. 813 kB/s |  18 kB     00:00    
(761/3646): texlive-ascmac-svn53411-63.fc38.noa 7.3 MB/s | 186 kB     00:00    
(762/3646): texlive-askmaps-svn56730-63.fc38.no 564 kB/s |  17 kB     00:00    
(763/3646): texlive-askinclude-svn54725-63.fc38 4.3 MB/s | 594 kB     00:00    
(764/3646): texlive-asmeconf-svn64435-63.fc38.n  22 MB/s | 2.6 MB     00:00    
(765/3646): texlive-asmejour-svn63736-63.fc38.n  19 MB/s | 1.8 MB     00:00    
(766/3646): texlive-assignment-svn20431.0-63.fc 655 kB/s |  15 kB     00:00    
(767/3646): texlive-aspectratio-svn25243.2.0-63 2.5 MB/s |  97 kB     00:00    
(768/3646): texlive-assoccnt-svn38497-63.fc38.n 647 kB/s |  20 kB     00:00    
(769/3646): texlive-association-matrix-svn64845 8.6 MB/s | 275 kB     00:00    
(770/3646): texlive-asyfig-svn17512.0.1c-63.fc3 748 kB/s |  17 kB     00:00    
(771/3646): texlive-astro-svn15878.2.20-63.fc38 985 kB/s |  28 kB     00:00    
(772/3646): texlive-asypictureb-svn33490.0.3-63 901 kB/s |  20 kB     00:00    
(773/3646): texlive-atbegshi-svn53051-63.fc38.n  10 MB/s | 408 kB     00:00    
(774/3646): texlive-atendofenv-svn62164-63.fc38 3.9 MB/s | 100 kB     00:00    
(775/3646): texlive-atenddvi-svn56922-63.fc38.n 4.7 MB/s | 290 kB     00:00    
(776/3646): texlive-attachfile-svn42099-63.fc38 844 kB/s |  22 kB     00:00    
(777/3646): texlive-atkinson-svn64385-63.fc38.n  25 MB/s | 1.0 MB     00:00    
(778/3646): texlive-aucklandthesis-svn51323-63. 918 kB/s |  22 kB     00:00    
(779/3646): texlive-augie-svn61719-63.fc38.noar 3.1 MB/s |  78 kB     00:00    
(780/3646): texlive-auncial-new-svn62977-63.fc3 7.1 MB/s | 212 kB     00:00    
(781/3646): texlive-atveryend-svn53108-63.fc38. 5.4 MB/s | 385 kB     00:00    
(782/3646): texlive-authoraftertitle-svn55889-6 375 kB/s |  11 kB     00:00    
(783/3646): texlive-aurl-svn41853-63.fc38.noarc 712 kB/s |  25 kB     00:00    
(784/3646): texlive-aurical-svn15878.1.5-63.fc3  31 MB/s | 2.4 MB     00:00    
(785/3646): texlive-authordate-svn52564-63.fc38 730 kB/s |  22 kB     00:00    
(786/3646): texlive-auto-pst-pdf-svn56596-63.fc 563 kB/s |  16 kB     00:00    
(787/3646): texlive-authorarchive-svn63146-63.f  20 MB/s | 1.2 MB     00:00    
(788/3646): texlive-autoarea-svn59552-63.fc38.n 628 kB/s |  17 kB     00:00    
(789/3646): texlive-autofancyhdr-svn54049-63.fc 766 kB/s |  18 kB     00:00    
(790/3646): texlive-autonum-svn36084.0.3.11-63. 808 kB/s |  20 kB     00:00    
(791/3646): texlive-autobreak-svn43337-63.fc38.  14 MB/s | 548 kB     00:00    
(792/3646): texlive-autopdf-svn32377.1.1-63.fc3 880 kB/s |  20 kB     00:00    
(793/3646): texlive-autopuncitems-svn63045-63.f 1.9 MB/s |  50 kB     00:00    
(794/3646): texlive-auxhook-svn53173-63.fc38.no 8.7 MB/s | 294 kB     00:00    
(795/3646): texlive-avantgar-svn61983-63.fc38.n 9.7 MB/s | 322 kB     00:00    
(796/3646): texlive-avremu-svn35373.0.1-63.fc38 1.0 MB/s |  31 kB     00:00    
(797/3646): texlive-axessibility-svn57105-63.fc 9.0 MB/s | 238 kB     00:00    
(798/3646): texlive-b1encoding-svn21271.1.0-63. 908 kB/s |  21 kB     00:00    
(799/3646): texlive-babel-dutch-svn60362-63.fc3 563 kB/s |  19 kB     00:00    
(800/3646): texlive-babel-english-svn44495-63.f 724 kB/s |  20 kB     00:00    
(801/3646): texlive-babel-svn65366-63.fc38.noar  11 MB/s | 479 kB     00:00    
(802/3646): texlive-background-svn42428-63.fc38 286 kB/s |  16 kB     00:00    
(803/3646): texlive-backnaur-svn54080-63.fc38.n 671 kB/s |  17 kB     00:00    
(804/3646): texlive-babelbib-svn57349-63.fc38.n 921 kB/s |  53 kB     00:00    
(805/3646): texlive-bangorcsthesis-svn61770-63. 1.9 MB/s |  73 kB     00:00    
(806/3646): texlive-bangorexam-svn65140-63.fc38 7.7 MB/s | 357 kB     00:00    
(807/3646): texlive-bankstatement-svn38857-63.f 401 kB/s |  18 kB     00:00    
(808/3646): texlive-barcodes-svn15878.0-63.fc38 878 kB/s |  22 kB     00:00    
(809/3646): texlive-bardiag-svn22013.0.4a-63.fc 1.0 MB/s |  26 kB     00:00    
(810/3646): texlive-bashful-svn25597.0.93-63.fc 598 kB/s |  21 kB     00:00    
(811/3646): texlive-basicarith-svn35460.1.1-63. 732 kB/s |  19 kB     00:00    
(812/3646): texlive-baskervald-svn19490.1.016-6 7.4 MB/s | 471 kB     00:00    
(813/3646): texlive-baskervillef-svn55475-63.fc  25 MB/s | 1.5 MB     00:00    
(814/3646): texlive-bbding-svn17186.1.01-63.fc3 689 kB/s |  26 kB     00:00    
(815/3646): texlive-bath-bst-svn63398-63.fc38.n  16 MB/s | 929 kB     00:00    
(816/3646): texlive-baskervaldx-svn57080-63.fc3 6.3 MB/s | 913 kB     00:00    
(817/3646): texlive-bbm-macros-svn17224.0-63.fc 412 kB/s |  16 kB     00:00    
(818/3646): texlive-bbm-svn15878.0-63.fc38.noar 2.0 MB/s |  72 kB     00:00    
(819/3646): texlive-bbold-type1-svn33143.0-63.f 2.7 MB/s |  79 kB     00:00    
(820/3646): texlive-bbold-svn17187.1.01-63.fc38 1.2 MB/s |  37 kB     00:00    
(821/3646): texlive-bboldx-svn60919-63.fc38.noa 5.9 MB/s | 237 kB     00:00    
(822/3646): texlive-bchart-svn43928-63.fc38.noa 418 kB/s |  12 kB     00:00    
(823/3646): texlive-beamer-fuberlin-svn63161-63 617 kB/s |  18 kB     00:00    
(824/3646): texlive-beamer-verona-svn39180-63.f 665 kB/s |  20 kB     00:00    
(825/3646): texlive-beamer-svn64388-63.fc38.noa 6.8 MB/s | 227 kB     00:00    
(826/3646): texlive-beamer-rl-svn63668-63.fc38. 4.6 MB/s | 236 kB     00:00    
(827/3646): texlive-beameraudience-svn23427.0.1 537 kB/s |  15 kB     00:00    
(828/3646): texlive-beamerappendixnote-svn55732 3.0 MB/s |  87 kB     00:00    
(829/3646): texlive-beamer2thesis-svn27539.2.2- 5.0 MB/s | 301 kB     00:00    
(830/3646): texlive-beamerauxtheme-svn56087-63. 6.4 MB/s | 197 kB     00:00    
(831/3646): texlive-beamercolorthemeowl-svn4010 536 kB/s |  18 kB     00:00    
(832/3646): texlive-beamerdarkthemes-svn55117-6 669 kB/s |  18 kB     00:00    
(833/3646): texlive-beamerposter-svn54512-63.fc 799 kB/s |  22 kB     00:00    
(834/3646): texlive-beamersubframe-svn23510.0.2 619 kB/s |  17 kB     00:00    
(835/3646): texlive-beamertheme-arguelles-svn65  18 MB/s | 1.0 MB     00:00    
(836/3646): texlive-beamertheme-cuerna-svn42161 6.8 MB/s | 343 kB     00:00    
(837/3646): texlive-beamerswitch-svn64182-63.fc 4.4 MB/s | 278 kB     00:00    
(838/3646): texlive-beamertheme-detlevcm-svn390 724 kB/s |  19 kB     00:00    
(839/3646): texlive-beamertheme-epyt-svn41404-6 781 kB/s |  20 kB     00:00    
(840/3646): texlive-beamertheme-metropolis-svn4 676 kB/s |  18 kB     00:00    
(841/3646): texlive-beamertheme-light-svn49867- 4.1 MB/s | 119 kB     00:00    
(842/3646): texlive-beamertheme-focus-svn62551- 4.9 MB/s | 263 kB     00:00    
(843/3646): texlive-beamertheme-phnompenh-svn39 391 kB/s |  11 kB     00:00    
(844/3646): texlive-beamertheme-npbt-svn54512-6  31 MB/s | 1.8 MB     00:00    
(845/3646): texlive-beamertheme-saintpetersburg 7.8 MB/s | 264 kB     00:00    
(846/3646): texlive-beamertheme-pure-minimalist 5.5 MB/s | 375 kB     00:00    
(847/3646): texlive-beamertheme-simpledarkblue- 1.8 MB/s |  52 kB     00:00    
(848/3646): texlive-beamertheme-simpleplus-svn6 1.7 MB/s |  49 kB     00:00    
(849/3646): texlive-beamertheme-upenn-bc-svn299 538 kB/s |  16 kB     00:00    
(850/3646): texlive-beamertheme-tcolorbox-svn64 3.9 MB/s | 184 kB     00:00    
(851/3646): texlive-beamertheme-trigon-svn63270  16 MB/s | 587 kB     00:00    
(852/3646): texlive-beamerthemejltree-svn21977. 566 kB/s |  16 kB     00:00    
(853/3646): texlive-beamerthemelalic-svn58777-6 7.0 MB/s | 232 kB     00:00    
(854/3646): texlive-beamerthemeamurmaple-svn643  15 MB/s | 692 kB     00:00    
(855/3646): texlive-beamerthemenirma-svn20765.0 575 kB/s |  16 kB     00:00    
(856/3646): texlive-beamerthemenord-svn56180-63  31 MB/s | 2.1 MB     00:00    
(857/3646): texlive-bearwear-svn54826-63.fc38.n  13 MB/s | 787 kB     00:00    
(858/3646): texlive-begriff-svn15878.1.6-63.fc3 582 kB/s |  17 kB     00:00    
(859/3646): texlive-beaulivre-svn64280-63.fc38. 5.5 MB/s | 479 kB     00:00    
(860/3646): texlive-beebe-svn65356-63.fc38.noar  22 MB/s | 907 kB     00:00    
(861/3646): texlive-bera-svn20031.0-63.fc38.noa  11 MB/s | 356 kB     00:00    
(862/3646): texlive-beilstein-svn56193-63.fc38.  15 MB/s | 678 kB     00:00    
(863/3646): texlive-belleek-svn18651.0-63.fc38. 2.6 MB/s |  96 kB     00:00    
(864/3646): texlive-besjournals-svn45662-63.fc3 573 kB/s |  19 kB     00:00    
(865/3646): texlive-bestpapers-svn38708-63.fc38 564 kB/s |  18 kB     00:00    
(866/3646): texlive-berenisadf-svn32215.1.004-6  27 MB/s | 1.5 MB     00:00    
(867/3646): texlive-beton-svn15878.0-63.fc38.no 401 kB/s |  15 kB     00:00    
(868/3646): texlive-bewerbung-svn61632-63.fc38. 862 kB/s |  27 kB     00:00    
(869/3646): texlive-bez123-svn15878.1.1b-63.fc3 677 kB/s |  19 kB     00:00    
(870/3646): texlive-beuron-svn46374-63.fc38.noa 3.7 MB/s | 290 kB     00:00    
(871/3646): texlive-bfh-ci-svn65019-63.fc38.noa 7.8 MB/s | 287 kB     00:00    
(872/3646): texlive-bgteubner-svn54080-63.fc38. 1.5 MB/s |  45 kB     00:00    
(873/3646): texlive-bguq-svn27401.0.4-63.fc38.n 1.2 MB/s |  43 kB     00:00    
(874/3646): texlive-bhcexam-svn64093-63.fc38.no 627 kB/s |  18 kB     00:00    
(875/3646): texlive-bibarts-svn64579-63.fc38.no 1.1 MB/s |  44 kB     00:00    
(876/3646): texlive-bibhtml-svn31607.2.0.2-63.f 919 kB/s |  27 kB     00:00    
(877/3646): texlive-biblatex-abnt-svn49179-63.f 1.2 MB/s |  36 kB     00:00    
(878/3646): texlive-biblatex-ajc2020unofficial- 656 kB/s |  19 kB     00:00    
(879/3646): texlive-bibcop-svn65306-63.fc38.noa 5.0 MB/s | 352 kB     00:00    
(880/3646): texlive-biblatex-anonymous-svn48548 616 kB/s |  18 kB     00:00    
(881/3646): texlive-biblatex-apa-svn63719-63.fc 1.8 MB/s |  55 kB     00:00    
(882/3646): texlive-biblatex-apa6-svn56209-63.f 4.5 MB/s | 268 kB     00:00    
(883/3646): texlive-biblatex-arthistory-bonn-sv 5.8 MB/s | 203 kB     00:00    
(884/3646): texlive-biblatex-bookinarticle-svn4 648 kB/s |  18 kB     00:00    
(885/3646): texlive-biblatex-bookinother-svn540 680 kB/s |  19 kB     00:00    
(886/3646): texlive-biblatex-bath-svn63401-63.f 5.8 MB/s | 467 kB     00:00    
(887/3646): texlive-biblatex-bwl-svn26556.0.02- 629 kB/s |  18 kB     00:00    
(888/3646): texlive-biblatex-archaeology-svn532  31 MB/s | 4.8 MB     00:00    
(889/3646): texlive-biblatex-caspervector-svn64 699 kB/s |  22 kB     00:00    
(890/3646): texlive-biblatex-chem-svn57904-63.f 794 kB/s |  23 kB     00:00    
(891/3646): texlive-biblatex-claves-svn43723-63 645 kB/s |  19 kB     00:00    
(892/3646): texlive-biblatex-chicago-svn65037-6 4.1 MB/s | 133 kB     00:00    
(893/3646): texlive-biblatex-cv-svn59433-63.fc3 5.8 MB/s | 189 kB     00:00    
(894/3646): texlive-biblatex-enc-svn44627-63.fc 717 kB/s |  26 kB     00:00    
(895/3646): texlive-biblatex-dw-svn42649-63.fc3 779 kB/s |  31 kB     00:00    
(896/3646): texlive-biblatex-ext-svn63638-63.fc  19 MB/s | 923 kB     00:00    
(897/3646): texlive-biblatex-fiwi-svn45876-63.f 1.2 MB/s |  34 kB     00:00    
(898/3646): texlive-biblatex-german-legal-svn56 5.6 MB/s | 185 kB     00:00    
(899/3646): texlive-biblatex-gost-svn59135-63.f 1.4 MB/s |  53 kB     00:00    
(900/3646): texlive-biblatex-historian-svn19787 1.4 MB/s |  38 kB     00:00    
(901/3646): texlive-biblatex-ieee-svn61243-63.f 814 kB/s |  22 kB     00:00    
(902/3646): texlive-biblatex-ijsra-svn41634-63. 637 kB/s |  18 kB     00:00    
(903/3646): texlive-biblatex-iso690-svn62866-63 1.5 MB/s |  42 kB     00:00    
(904/3646): texlive-biblatex-jura2-svn64762-63.  11 MB/s | 390 kB     00:00    
(905/3646): texlive-biblatex-juradiss-svn56502- 578 kB/s |  20 kB     00:00    
(906/3646): texlive-biblatex-gb7714-2015-svn649 7.7 MB/s | 1.4 MB     00:00    
(907/3646): texlive-biblatex-license-svn58437-6 4.9 MB/s | 242 kB     00:00    
(908/3646): texlive-biblatex-lncs-svn65280-63.f 422 kB/s |  22 kB     00:00    
(909/3646): texlive-biblatex-lni-svn61719-63.fc 593 kB/s |  19 kB     00:00    
(910/3646): texlive-biblatex-luh-ipw-svn32180.0 686 kB/s |  23 kB     00:00    
(911/3646): texlive-biblatex-manuscripts-philol 744 kB/s |  22 kB     00:00    
(912/3646): texlive-biblatex-mla-svn62138-63.fc 1.2 MB/s |  40 kB     00:00    
(913/3646): texlive-biblatex-morenames-svn43049 642 kB/s |  19 kB     00:00    
(914/3646): texlive-biblatex-multiple-dm-svn370 455 kB/s |  18 kB     00:00    
(915/3646): texlive-biblatex-musuos-svn24097.1. 481 kB/s |  17 kB     00:00    
(916/3646): texlive-biblatex-nature-svn57262-63 296 kB/s |  17 kB     00:00    
(917/3646): texlive-biblatex-nejm-svn49839-63.f 334 kB/s |  18 kB     00:00    
(918/3646): texlive-biblatex-nottsclassic-svn41 660 kB/s |  20 kB     00:00    
(919/3646): texlive-biblatex-opcit-booktitle-sv 658 kB/s |  19 kB     00:00    
(920/3646): texlive-biblatex-philosophy-svn6441 720 kB/s |  31 kB     00:00    
(921/3646): texlive-biblatex-phys-svn55643-63.f 675 kB/s |  20 kB     00:00    
(922/3646): texlive-biblatex-ms-svn64180-63.fc3  34 MB/s | 7.4 MB     00:00    
(923/3646): texlive-biblatex-publist-svn65182-6 973 kB/s |  29 kB     00:00    
(924/3646): texlive-biblatex-readbbl-svn61549-6 1.5 MB/s |  45 kB     00:00    
(925/3646): texlive-biblatex-realauthor-svn4586 623 kB/s |  19 kB     00:00    
(926/3646): texlive-biblatex-science-svn48945-6 566 kB/s |  16 kB     00:00    
(927/3646): texlive-biblatex-sbl-svn63639-63.fc  11 MB/s | 687 kB     00:00    
(928/3646): texlive-biblatex-shortfields-svn458 1.9 MB/s |  99 kB     00:00    
(929/3646): texlive-biblatex-socialscienceshube 2.7 MB/s | 128 kB     00:00    
(930/3646): texlive-biblatex-oxref-svn57513-63. 8.3 MB/s | 1.9 MB     00:00    
(931/3646): texlive-biblatex-source-division-sv 614 kB/s |  18 kB     00:00    
(932/3646): texlive-biblatex-software-svn64030- 8.6 MB/s | 330 kB     00:00    
(933/3646): texlive-biblatex-spbasic-svn61439-6 2.7 MB/s |  98 kB     00:00    
(934/3646): texlive-biblatex-subseries-svn43330 565 kB/s |  18 kB     00:00    
(935/3646): texlive-biblatex-svn63878-63.fc38.n 8.6 MB/s | 304 kB     00:00    
(936/3646): texlive-biblatex-swiss-legal-svn644 1.1 MB/s |  36 kB     00:00    
(937/3646): texlive-biblatex-trad-svn58169-63.f 650 kB/s |  19 kB     00:00    
(938/3646): texlive-biblatex-true-citepages-omi 618 kB/s |  18 kB     00:00    
(939/3646): texlive-biblatex-vancouver-svn55339 828 kB/s |  24 kB     00:00    
(940/3646): texlive-biblatex2bibitem-svn61648-6 6.8 MB/s | 232 kB     00:00    
(941/3646): texlive-bibletext-svn45196-63.fc38. 407 kB/s |  12 kB     00:00    
(942/3646): texlive-biblist-svn17116.0-63.fc38. 797 kB/s |  23 kB     00:00    
(943/3646): texlive-biblatex-unified-svn64975-6 7.5 MB/s | 629 kB     00:00    
(944/3646): texlive-bibtexperllibs-svn57137-63. 353 kB/s |  10 kB     00:00    
(945/3646): texlive-bibtopic-svn15878.1.1a-63.f 786 kB/s |  23 kB     00:00    
(946/3646): texlive-bibtopicprefix-svn15878.1.1 519 kB/s |  15 kB     00:00    
(947/3646): texlive-bibunits-svn15878.2.2-63.fc 557 kB/s |  16 kB     00:00    
(948/3646): texlive-bidi-svn64967-63.fc38.noarc 4.8 MB/s | 201 kB     00:00    
(949/3646): texlive-bigfoot-svn38248.2.1-63.fc3 576 kB/s |  28 kB     00:00    
(950/3646): texlive-bigintcalc-svn53172-63.fc38  10 MB/s | 465 kB     00:00    
(951/3646): texlive-bigints-svn29803.0-63.fc38. 512 kB/s |  15 kB     00:00    
(952/3646): texlive-bilingualpages-svn59643-63. 613 kB/s |  17 kB     00:00    
(953/3646): texlive-binomexp-svn15878.1.0-63.fc 521 kB/s |  16 kB     00:00    
(954/3646): texlive-binarytree-svn41777-63.fc38  12 MB/s | 456 kB     00:00    
(955/3646): texlive-biochemistry-colors-svn5451 2.6 MB/s |  93 kB     00:00    
(956/3646): texlive-biolett-bst-svn61719-63.fc3 716 kB/s |  20 kB     00:00    
(957/3646): texlive-biocon-svn15878.0-63.fc38.n 568 kB/s |  18 kB     00:00    
(958/3646): texlive-bitpattern-svn39073-63.fc38 655 kB/s |  18 kB     00:00    
(959/3646): texlive-bitset-svn53837-63.fc38.noa  16 MB/s | 620 kB     00:00    
(960/3646): texlive-bitter-svn64541-63.fc38.noa 7.6 MB/s | 272 kB     00:00    
(961/3646): texlive-bizcard-svn15878.1.1-63.fc3 590 kB/s |  17 kB     00:00    
(962/3646): texlive-blacklettert1-svn15878.0-63 892 kB/s |  26 kB     00:00    
(963/3646): texlive-bithesis-svn65314-63.fc38.n 8.3 MB/s | 1.0 MB     00:00    
(964/3646): texlive-blindtext-svn25039.2.0-63.f 306 kB/s |  24 kB     00:00    
(965/3646): texlive-blkarray-svn36406.0.07-63.f 450 kB/s |  32 kB     00:00    
(966/3646): texlive-blochsphere-svn38388-63.fc3 687 kB/s |  20 kB     00:00    
(967/3646): texlive-block-svn17209.0-63.fc38.no 396 kB/s |  12 kB     00:00    
(968/3646): texlive-bloques-svn22490.1.0-63.fc3 334 kB/s |  18 kB     00:00    
(969/3646): texlive-blowup-svn64466-63.fc38.noa 5.0 MB/s | 305 kB     00:00    
(970/3646): texlive-blox-svn57949-63.fc38.noarc 541 kB/s |  16 kB     00:00    
(971/3646): texlive-bmstu-iu8-svn61937-63.fc38. 6.4 MB/s | 202 kB     00:00    
(972/3646): texlive-bjfuthesis-svn59809-63.fc38  39 MB/s | 9.5 MB     00:00    
(973/3646): texlive-bnumexpr-svn59244-63.fc38.n 729 kB/s |  21 kB     00:00    
(974/3646): texlive-bodegraph-svn61719-63.fc38. 1.1 MB/s |  31 kB     00:00    
(975/3646): texlive-bohr-svn62977-63.fc38.noarc 693 kB/s |  20 kB     00:00    
(976/3646): texlive-bodeplot-svn65074-63.fc38.n  18 MB/s | 739 kB     00:00    
(977/3646): texlive-boisik-svn15878.0.5-63.fc38 7.8 MB/s | 273 kB     00:00    
(978/3646): texlive-boites-svn32235.1.1-63.fc38 620 kB/s |  19 kB     00:00    
(979/3646): texlive-bold-extra-svn17076.0.1-63. 523 kB/s |  15 kB     00:00    
(980/3646): texlive-boldtensors-svn15878.0-63.f 613 kB/s |  18 kB     00:00    
(981/3646): texlive-bmstu-svn62012-63.fc38.noar 8.8 MB/s | 1.3 MB     00:00    
(982/3646): texlive-bondgraph-svn21670.1.0-63.f 618 kB/s |  18 kB     00:00    
(983/3646): texlive-bondgraphs-svn36605.1.0.1-6 644 kB/s |  19 kB     00:00    
(984/3646): texlive-book-of-common-prayer-svn62 3.5 MB/s | 145 kB     00:00    
(985/3646): texlive-bookcover-svn64078-63.fc38. 704 kB/s |  21 kB     00:00    
(986/3646): texlive-bookdb-svn37536.0.2-63.fc38 787 kB/s |  23 kB     00:00    
(987/3646): texlive-bookest-svn15878.1.1-63.fc3 627 kB/s |  18 kB     00:00    
(988/3646): texlive-booklet-svn15878.0.7b-63.fc 473 kB/s |  19 kB     00:00    
(989/3646): texlive-bookhands-svn46480-63.fc38. 5.3 MB/s | 234 kB     00:00    
(990/3646): texlive-bookman-svn61719-63.fc38.no 5.8 MB/s | 368 kB     00:00    
(991/3646): texlive-bookmark-svn56885-63.fc38.n  12 MB/s | 479 kB     00:00    
(992/3646): texlive-bookshelf-svn55475-63.fc38.  21 MB/s | 985 kB     00:00    
(993/3646): texlive-booktabs-svn53402-63.fc38.n 615 kB/s |  17 kB     00:00    
(994/3646): texlive-boolexpr-svn17830.3.14-63.f 626 kB/s |  16 kB     00:00    
(995/3646): texlive-boondox-svn54512-63.fc38.no 7.5 MB/s | 231 kB     00:00    
(996/3646): texlive-bophook-svn17062.0.02-63.fc 577 kB/s |  15 kB     00:00    
(997/3646): texlive-bosisio-svn16989.0-63.fc38. 789 kB/s |  21 kB     00:00    
(998/3646): texlive-boxedminipage-svn54827-63.f  10 MB/s | 344 kB     00:00    
(999/3646): texlive-boxhandler-svn28031.1.30-63 730 kB/s |  19 kB     00:00    
(1000/3646): texlive-bpchem-svn45120-63.fc38.no 609 kB/s |  16 kB     00:00    
(1001/3646): texlive-br-lex-svn44939-63.fc38.no 686 kB/s |  19 kB     00:00    
(1002/3646): texlive-bracketkey-svn17129.1.0-63 663 kB/s |  18 kB     00:00    
(1003/3646): texlive-braids-svn64817-63.fc38.no 882 kB/s |  24 kB     00:00    
(1004/3646): texlive-braille-svn20655.0-63.fc38 584 kB/s |  16 kB     00:00    
(1005/3646): texlive-braket-svn17127.0-63.fc38. 465 kB/s |  13 kB     00:00    
(1006/3646): texlive-brandeis-dissertation-svn6 603 kB/s |  17 kB     00:00    
(1007/3646): texlive-brandeis-problemset-svn509 5.3 MB/s | 162 kB     00:00    
(1008/3646): texlive-breakcites-svn21014-63.fc3 423 kB/s |  12 kB     00:00    
(1009/3646): texlive-brandeis-thesis-svn59832-6 3.9 MB/s | 164 kB     00:00    
(1010/3646): texlive-breakurl-svn29901.1.40-63. 448 kB/s |  16 kB     00:00    
(1011/3646): texlive-breqn-svn60881-63.fc38.noa 1.4 MB/s |  42 kB     00:00    
(1012/3646): texlive-bropd-svn35383.1.2-63.fc38 634 kB/s |  18 kB     00:00    
(1013/3646): texlive-brushscr-svn28363.0-63.fc3 2.0 MB/s |  62 kB     00:00    
(1014/3646): texlive-bubblesort-svn56070-63.fc3 6.6 MB/s | 234 kB     00:00    
(1015/3646): texlive-bullcntr-svn15878.0.04-63. 647 kB/s |  19 kB     00:00    
(1016/3646): texlive-bussproofs-extra-svn51299- 7.1 MB/s | 237 kB     00:00    
(1017/3646): texlive-buctthesis-svn64004-63.fc3 5.7 MB/s | 474 kB     00:00    
(1018/3646): texlive-bussproofs-svn54080-63.fc3 852 kB/s |  25 kB     00:00    
(1019/3646): texlive-bxcalc-svn56431-63.fc38.no 8.7 MB/s | 298 kB     00:00    
(1020/3646): texlive-bxdpx-beamer-svn41813-63.f 430 kB/s |  12 kB     00:00    
(1021/3646): texlive-bxdvidriver-svn43219-63.fc 471 kB/s |  13 kB     00:00    
(1022/3646): texlive-bxeepic-svn30559.0.2-63.fc 610 kB/s |  18 kB     00:00    
(1023/3646): texlive-bxenclose-svn40213-63.fc38 444 kB/s |  12 kB     00:00    
(1024/3646): texlive-bxnewfont-svn44173-63.fc38 397 kB/s |  15 kB     00:00    
(1025/3646): texlive-bxpapersize-svn63174-63.fc 543 kB/s |  15 kB     00:00    
(1026/3646): texlive-bxpdfver-svn63185-63.fc38. 611 kB/s |  18 kB     00:00    
(1027/3646): texlive-bxtexlogo-svn63231-63.fc38  10 MB/s | 531 kB     00:00    
(1028/3646): texlive-byo-twemojis-svn58917-63.f 7.9 MB/s | 393 kB     00:00    
(1029/3646): texlive-byrne-svn61943-63.fc38.noa 4.1 MB/s | 205 kB     00:00    
(1030/3646): texlive-bytefield-svn60265-63.fc38 659 kB/s |  18 kB     00:00    
(1031/3646): texlive-cabin-svn65358-63.fc38.noa  30 MB/s | 3.0 MB     00:00    
(1032/3646): texlive-calcage-svn27725.0.90-63.f 236 kB/s |  18 kB     00:00    
(1033/3646): texlive-caladea-svn64549-63.fc38.n 4.0 MB/s | 385 kB     00:00    
(1034/3646): texlive-calctab-svn15878.v0.6.1-63 814 kB/s |  18 kB     00:00    
(1035/3646): texlive-calculation-svn35973.1.0-6 876 kB/s |  20 kB     00:00    
(1036/3646): texlive-calculator-svn64424-63.fc3 1.1 MB/s |  25 kB     00:00    
(1037/3646): texlive-calligra-svn15878.0-63.fc3 2.2 MB/s |  57 kB     00:00    
(1038/3646): texlive-calligra-type1-svn24302.00 2.7 MB/s |  69 kB     00:00    
(1039/3646): texlive-calrsfs-svn17125.0-63.fc38 497 kB/s |  11 kB     00:00    
(1040/3646): texlive-callouts-svn44899-63.fc38. 4.4 MB/s | 213 kB     00:00    
(1041/3646): texlive-cals-svn43003-63.fc38.noar 880 kB/s |  21 kB     00:00    
(1042/3646): texlive-calxxxx-yyyy-svn61463-63.f 911 kB/s |  22 kB     00:00    
(1043/3646): texlive-canoniclayout-svn64889-63. 713 kB/s |  19 kB     00:00    
(1044/3646): texlive-cancel-svn32508.2.2-63.fc3 448 kB/s |  13 kB     00:00    
(1045/3646): texlive-capt-of-svn29803.0-63.fc38 602 kB/s |  14 kB     00:00    
(1046/3646): texlive-captcont-svn15878.2.0-63.f 626 kB/s |  16 kB     00:00    
(1047/3646): texlive-captdef-svn17353.0-63.fc38 474 kB/s |  14 kB     00:00    
(1048/3646): texlive-caption-svn62757-63.fc38.n 2.2 MB/s |  85 kB     00:00    
(1049/3646): texlive-carbohydrates-svn39000-63. 727 kB/s |  21 kB     00:00    
(1050/3646): texlive-carlisle-svn59577-63.fc38. 1.0 MB/s |  23 kB     00:00    
(1051/3646): texlive-cantarell-svn54512-63.fc38  12 MB/s | 1.4 MB     00:00    
(1052/3646): texlive-carolmin-ps-svn15878.0-63. 3.7 MB/s | 118 kB     00:00    
(1053/3646): texlive-cascade-svn60310-63.fc38.n 4.0 MB/s | 192 kB     00:00    
(1054/3646): texlive-cartonaugh-svn59938-63.fc3 3.0 MB/s | 186 kB     00:00    
(1055/3646): texlive-cascadilla-svn25144.1.8.2- 862 kB/s |  23 kB     00:00    
(1056/3646): texlive-cases-svn54682-63.fc38.noa 475 kB/s |  15 kB     00:00    
(1057/3646): texlive-cascadia-code-svn57478-63.  27 MB/s | 1.9 MB     00:00    
(1058/3646): texlive-casyl-svn15878.2.0-63.fc38 576 kB/s |  17 kB     00:00    
(1059/3646): texlive-catchfile-svn53084-63.fc38 7.5 MB/s | 307 kB     00:00    
(1060/3646): texlive-catchfilebetweentags-svn21 596 kB/s |  18 kB     00:00    
(1061/3646): texlive-catcodes-svn38859-63.fc38. 928 kB/s |  23 kB     00:00    
(1062/3646): texlive-catechis-svn59998-63.fc38. 603 kB/s |  15 kB     00:00    
(1063/3646): texlive-carlito-svn64624-63.fc38.n  11 MB/s | 2.6 MB     00:00    
(1064/3646): texlive-catoptions-svn35069.0.2.7h 1.6 MB/s |  54 kB     00:00    
(1065/3646): texlive-cbcoptic-svn16666.0.2-63.f 2.8 MB/s | 118 kB     00:00    
(1066/3646): texlive-cbfonts-fd-svn54080-63.fc3 564 kB/s |  21 kB     00:00    
(1067/3646): texlive-causets-svn63366-63.fc38.n 5.0 MB/s | 528 kB     00:00    
(1068/3646): texlive-ccaption-svn23443.3.2c-63. 298 kB/s |  22 kB     00:00    
(1069/3646): texlive-ccfonts-svn61431-63.fc38.n 394 kB/s |  16 kB     00:00    
(1070/3646): texlive-cclicenses-svn15878.0-63.f 298 kB/s |  15 kB     00:00    
(1071/3646): texlive-ccicons-svn54512-63.fc38.n 496 kB/s |  34 kB     00:00    
(1072/3646): texlive-cd-cover-svn17121.1.0-63.f 615 kB/s |  18 kB     00:00    
(1073/3646): texlive-cd-svn34452.1.4-63.fc38.no 618 kB/s |  19 kB     00:00    
(1074/3646): texlive-cdcmd-svn60742-63.fc38.noa  13 MB/s | 609 kB     00:00    
(1075/3646): texlive-ccool-svn60600-63.fc38.noa 5.7 MB/s | 798 kB     00:00    
(1076/3646): texlive-cdpbundl-svn61719-63.fc38. 1.1 MB/s |  34 kB     00:00    
(1077/3646): texlive-cell-svn42428-63.fc38.noar 903 kB/s |  22 kB     00:00    
(1078/3646): texlive-cellprops-svn57599-63.fc38  15 MB/s | 571 kB     00:00    
(1079/3646): texlive-cellspace-svn61501-63.fc38 720 kB/s |  16 kB     00:00    
(1080/3646): texlive-celtic-svn39797-63.fc38.no 894 kB/s |  20 kB     00:00    
(1081/3646): texlive-censor-svn63126-63.fc38.no 650 kB/s |  19 kB     00:00    
(1082/3646): texlive-centeredline-svn64672-63.f 725 kB/s |  19 kB     00:00    
(1083/3646): texlive-cesenaexam-svn44960-63.fc3  14 MB/s | 519 kB     00:00    
(1084/3646): texlive-centerlastline-svn56644-63 4.3 MB/s | 236 kB     00:00    
(1085/3646): texlive-cfr-initials-svn61719-63.f 963 kB/s |  27 kB     00:00    
(1086/3646): texlive-changebar-svn63259-63.fc38 844 kB/s |  20 kB     00:00    
(1087/3646): texlive-changelayout-svn16094.1.0- 622 kB/s |  17 kB     00:00    
(1088/3646): texlive-cfr-lm-svn36195.1.5-63.fc3 3.8 MB/s | 451 kB     00:00    
(1089/3646): texlive-changelog-svn56338-63.fc38 5.1 MB/s | 185 kB     00:00    
(1090/3646): texlive-changepage-svn15878.1.0c-6 820 kB/s |  19 kB     00:00    
(1091/3646): texlive-changes-svn59950-63.fc38.n 769 kB/s |  29 kB     00:00    
(1092/3646): texlive-chapterfolder-svn15878.2.0 493 kB/s |  15 kB     00:00    
(1093/3646): texlive-chappg-svn15878.2.1b-63.fc 237 kB/s |  15 kB     00:00    
(1094/3646): texlive-charter-svn15878.0-63.fc38 2.1 MB/s | 206 kB     00:00    
(1095/3646): texlive-chbibref-svn17120.1.0-63.f 505 kB/s |  14 kB     00:00    
(1096/3646): texlive-cheatsheet-svn45069-63.fc3 7.6 MB/s | 407 kB     00:00    
(1097/3646): texlive-checkend-svn51475-63.fc38. 182 kB/s |  12 kB     00:00    
(1098/3646): texlive-charissil-svn64998-63.fc38  11 MB/s | 3.6 MB     00:00    
(1099/3646): texlive-chem-journal-svn15878.0-63 341 kB/s |  20 kB     00:00    
(1100/3646): texlive-chemarrow-svn17146.0.9-63. 693 kB/s |  18 kB     00:00    
(1101/3646): texlive-chembst-svn15878.0.2.5-63. 221 kB/s |  21 kB     00:00    
(1102/3646): texlive-chemcompounds-svn15878.0-6 202 kB/s |  15 kB     00:00    
(1103/3646): texlive-chemexec-svn21632.1.0-63.f 155 kB/s |  24 kB     00:00    
(1104/3646): texlive-chemcono-svn17119.1.3-63.f 133 kB/s |  22 kB     00:00    
(1105/3646): texlive-chemfig-svn64529-63.fc38.n 1.4 MB/s |  43 kB     00:00    
(1106/3646): texlive-chemformula-svn61719-63.fc 1.1 MB/s |  32 kB     00:00    
(1107/3646): texlive-cbfonts-svn54080-63.fc38.n  50 MB/s |  63 MB     00:01    
(1108/3646): texlive-chemgreek-svn53437-63.fc38 341 kB/s |  23 kB     00:00    
(1109/3646): texlive-chemmacros-svn62655-63.fc3 945 kB/s |  59 kB     00:00    
(1110/3646): texlive-chemnum-svn57490-63.fc38.n 1.0 MB/s |  27 kB     00:00    
(1111/3646): texlive-chemobabel-svn64778-63.fc3  20 MB/s | 916 kB     00:00    
(1112/3646): texlive-chemschemex-svn46723-63.fc 965 kB/s |  21 kB     00:00    
(1113/3646): texlive-chemstyle-svn31096.2.0m-63 1.1 MB/s |  24 kB     00:00    
(1114/3646): texlive-chemsec-svn46972-63.fc38.n 7.3 MB/s | 264 kB     00:00    
(1115/3646): texlive-chemplants-svn60606-63.fc3  10 MB/s | 966 kB     00:00    
(1116/3646): texlive-cherokee-svn21046.0-63.fc3 655 kB/s |  15 kB     00:00    
(1117/3646): texlive-chessfss-svn19440.1.2a-63. 1.5 MB/s |  35 kB     00:00    
(1118/3646): texlive-chet-svn45081-63.fc38.noar 941 kB/s |  22 kB     00:00    
(1119/3646): texlive-chextras-svn27118.1.01-63. 949 kB/s |  22 kB     00:00    
(1120/3646): texlive-chicago-annote-svn15878.0- 988 kB/s |  22 kB     00:00    
(1121/3646): texlive-chicago-svn15878.0-63.fc38 898 kB/s |  21 kB     00:00    
(1122/3646): texlive-chicagoa-svn52567-63.fc38. 803 kB/s |  19 kB     00:00    
(1123/3646): texlive-chifoot-svn57312-63.fc38.n 664 kB/s |  18 kB     00:00    
(1124/3646): texlive-childdoc-svn49543-63.fc38. 7.9 MB/s | 271 kB     00:00    
(1125/3646): texlive-chkfloat-svn27473.0.1-63.f 747 kB/s |  17 kB     00:00    
(1126/3646): texlive-chngcntr-svn47577-63.fc38. 625 kB/s |  15 kB     00:00    
(1127/3646): texlive-chletter-svn20060.2.0-63.f 655 kB/s |  17 kB     00:00    
(1128/3646): texlive-chs-physics-report-svn5451 5.2 MB/s | 201 kB     00:00    
(1129/3646): texlive-chronology-svn37934.1.1.1- 387 kB/s |  18 kB     00:00    
(1130/3646): texlive-chscite-svn28552.2.9999-63 749 kB/s |  21 kB     00:00    
(1131/3646): texlive-cinzel-svn64550-63.fc38.no  17 MB/s | 584 kB     00:00    
(1132/3646): texlive-circ-svn62977-63.fc38.noar 1.8 MB/s |  46 kB     00:00    
(1133/3646): texlive-circledsteps-svn63255-63.f  15 MB/s | 429 kB     00:00    
(1134/3646): texlive-chivo-svn65029-63.fc38.noa  16 MB/s | 2.8 MB     00:00    
(1135/3646): texlive-circledtext-svn63166-63.fc 8.5 MB/s | 232 kB     00:00    
(1136/3646): texlive-circuitikz-svn65240-63.fc3 2.8 MB/s | 195 kB     00:00    
(1137/3646): texlive-cite-svn36428.5.5-63.fc38. 486 kB/s |  31 kB     00:00    
(1138/3646): texlive-circuit-macros-svn65149-63  36 MB/s | 3.4 MB     00:00    
(1139/3646): texlive-citeall-svn45975-63.fc38.n 837 kB/s |  18 kB     00:00    
(1140/3646): texlive-citeref-svn47407-63.fc38.n 3.3 MB/s |  77 kB     00:00    
(1141/3646): texlive-cjkpunct-svn41119-63.fc38. 824 kB/s |  17 kB     00:00    
(1142/3646): texlive-cjk-svn60865-63.fc38.noarc 3.0 MB/s | 142 kB     00:00    
(1143/3646): texlive-classics-svn53671-63.fc38. 809 kB/s |  18 kB     00:00    
(1144/3646): texlive-clara-svn54512-63.fc38.noa  17 MB/s | 1.2 MB     00:00    
(1145/3646): texlive-classicthesis-svn48041-63. 828 kB/s |  26 kB     00:00    
(1146/3646): texlive-classpack-svn55218-63.fc38 848 kB/s |  19 kB     00:00    
(1147/3646): texlive-cleanthesis-svn51472-63.fc 1.0 MB/s |  23 kB     00:00    
(1148/3646): texlive-clefval-svn55985-63.fc38.n 442 kB/s |  15 kB     00:00    
(1149/3646): texlive-cleveref-svn61719-63.fc38. 1.8 MB/s |  42 kB     00:00    
(1150/3646): texlive-clearsans-svn64400-63.fc38  19 MB/s | 1.4 MB     00:00    
(1151/3646): texlive-clipboard-svn47747-63.fc38 771 kB/s |  17 kB     00:00    
(1152/3646): texlive-clicks-svn64602-63.fc38.no 5.1 MB/s | 249 kB     00:00    
(1153/3646): texlive-clock-svn15878.0-63.fc38.n 582 kB/s |  14 kB     00:00    
(1154/3646): texlive-clistmap-svn61811-63.fc38.  15 MB/s | 669 kB     00:00    
(1155/3646): texlive-clrscode-svn51136-63.fc38. 762 kB/s |  18 kB     00:00    
(1156/3646): texlive-clrdblpg-svn47511-63.fc38. 6.5 MB/s | 293 kB     00:00    
(1157/3646): texlive-clrscode3e-svn51137-63.fc3 794 kB/s |  19 kB     00:00    
(1158/3646): texlive-clrstrip-svn60363-63.fc38. 4.5 MB/s | 208 kB     00:00    
(1159/3646): texlive-cm-mf-extra-bold-svn54512- 435 kB/s |  22 kB     00:00    
(1160/3646): texlive-cm-lgc-svn28250.0.5-63.fc3  37 MB/s | 4.9 MB     00:00    
(1161/3646): texlive-cm-svn57963-63.fc38.noarch 7.4 MB/s | 322 kB     00:00    
(1162/3646): texlive-cje-svn46721-63.fc38.noarc 1.2 MB/s | 659 kB     00:00    
(1163/3646): texlive-cmap-svn57640-63.fc38.noar 277 kB/s |  21 kB     00:00    
(1164/3646): texlive-cm-unicode-svn58661-63.fc3  56 MB/s |  14 MB     00:00    
(1165/3646): texlive-cmbright-svn21107.8.1-63.f 6.5 MB/s | 182 kB     00:00    
(1166/3646): texlive-cmathbb-svn56414-63.fc38.n 1.4 MB/s | 183 kB     00:00    
(1167/3646): texlive-cmdstring-svn15878.1.1-63. 411 kB/s |  14 kB     00:00    
(1168/3646): texlive-cmdtrack-svn28910-63.fc38. 334 kB/s |  16 kB     00:00    
(1169/3646): texlive-cmexb-svn54074-63.fc38.noa 1.2 MB/s |  42 kB     00:00    
(1170/3646): texlive-cmll-svn17964.0-63.fc38.no  11 MB/s | 368 kB     00:00    
(1171/3646): texlive-cmextra-svn57866-63.fc38.n 767 kB/s |  49 kB     00:00    
(1172/3646): texlive-cmpica-svn15878.0-63.fc38. 749 kB/s |  18 kB     00:00    
(1173/3646): texlive-cmsd-svn18787.0-63.fc38.no 537 kB/s |  15 kB     00:00    
(1174/3646): texlive-cmpj-svn58506-63.fc38.noar 1.1 MB/s |  67 kB     00:00    
(1175/3646): texlive-cmsrb-svn54706-63.fc38.noa  38 MB/s | 3.0 MB     00:00    
(1176/3646): texlive-cmtiup-svn39728-63.fc38.no 1.2 MB/s |  92 kB     00:00    
(1177/3646): texlive-cmupint-svn54735-63.fc38.n 9.3 MB/s | 364 kB     00:00    
(1178/3646): texlive-cnltx-svn55265-63.fc38.noa 1.1 MB/s |  54 kB     00:00    
(1179/3646): texlive-cntformats-svn34668.0.7-63 234 kB/s |  19 kB     00:00    
(1180/3646): texlive-cns-svn45677-63.fc38.noarc  24 MB/s | 3.4 MB     00:00    
(1181/3646): texlive-cntperchap-svn37572.0.3-63 436 kB/s |  20 kB     00:00    
(1182/3646): texlive-cochineal-svn62063-63.fc38  18 MB/s | 3.2 MB     00:00    
(1183/3646): texlive-codebox-svn61771-63.fc38.n 8.8 MB/s | 394 kB     00:00    
(1184/3646): texlive-codeanatomy-svn51627-63.fc 1.2 MB/s | 268 kB     00:00    
(1185/3646): texlive-codehigh-svn63175-63.fc38. 1.4 MB/s | 113 kB     00:00    
(1186/3646): texlive-codedoc-svn17630.0.3-63.fc 213 kB/s |  24 kB     00:00    
(1187/3646): texlive-codepage-svn51502-63.fc38. 602 kB/s |  23 kB     00:00    
(1188/3646): texlive-codesection-svn34481.0.1-6 763 kB/s |  18 kB     00:00    
(1189/3646): texlive-coffeestains-svn59703-63.f 5.8 MB/s | 462 kB     00:00    
(1190/3646): texlive-cm-super-svn15878.0-63.fc3  48 MB/s |  62 MB     00:01    
(1191/3646): texlive-collcell-svn64967-63.fc38. 243 kB/s |  18 kB     00:00    
(1192/3646): texlive-collectbox-svn64967-63.fc3 838 kB/s |  19 kB     00:00    
(1193/3646): texlive-collection-basic-svn59159- 378 kB/s |  11 kB     00:00    
(1194/3646): texlive-collection-bibtexextra-svn 425 kB/s |  14 kB     00:00    
(1195/3646): texlive-collection-fontsextra-svn6 563 kB/s |  17 kB     00:00    
(1196/3646): texlive-collection-fontsrecommende 401 kB/s |  11 kB     00:00    
(1197/3646): texlive-collection-latex-svn63515- 390 kB/s |  11 kB     00:00    
(1198/3646): texlive-collection-latexextra-svn6 1.0 MB/s |  43 kB     00:00    
(1199/3646): texlive-collection-latexrecommende 252 kB/s |  11 kB     00:00    
(1200/3646): texlive-collection-mathscience-svn 484 kB/s |  16 kB     00:00    
(1201/3646): texlive-collection-pictures-svn653 456 kB/s |  15 kB     00:00    
(1202/3646): texlive-collection-publishers-svn6 522 kB/s |  16 kB     00:00    
(1203/3646): texlive-collref-svn46358-63.fc38.n 750 kB/s |  18 kB     00:00    
(1204/3646): texlive-color-edits-svn56707-63.fc 8.4 MB/s | 242 kB     00:00    
(1205/3646): texlive-colophon-svn47913-63.fc38.  10 MB/s | 379 kB     00:00    
(1206/3646): texlive-colordoc-svn18270.0-63.fc3 327 kB/s |  16 kB     00:00    
(1207/3646): texlive-colorframed-svn64551-63.fc 1.0 MB/s |  49 kB     00:00    
(1208/3646): texlive-colorinfo-svn15878.0.3c-63 705 kB/s |  15 kB     00:00    
(1209/3646): texlive-coloring-svn41042-63.fc38. 375 kB/s |  18 kB     00:00    
(1210/3646): texlive-colorist-svn64280-63.fc38. 3.3 MB/s | 130 kB     00:00    
(1211/3646): texlive-colorprofiles-svn49086-63. 5.4 MB/s | 145 kB     00:00    
(1212/3646): texlive-colorspace-svn50585-63.fc3 703 kB/s |  23 kB     00:00    
(1213/3646): texlive-colortab-svn22155.1.0-63.f 745 kB/s |  21 kB     00:00    
(1214/3646): texlive-colortbl-svn64015-63.fc38. 751 kB/s |  17 kB     00:00    
(1215/3646): texlive-colorwav-svn15878.1.0-63.f 927 kB/s |  21 kB     00:00    
(1216/3646): texlive-colorweb-svn31490.1.3-63.f 852 kB/s |  19 kB     00:00    
(1217/3646): texlive-colourchange-svn21741.1.22 1.0 MB/s |  24 kB     00:00    
(1218/3646): texlive-combelow-svn18462.0.99f-63 748 kB/s |  17 kB     00:00    
(1219/3646): texlive-combine-svn19361.0.7a-63.f 1.1 MB/s |  27 kB     00:00    
(1220/3646): texlive-combinedgraphics-svn27198. 587 kB/s |  19 kB     00:00    
(1221/3646): texlive-coelacanth-svn64558-63.fc3  10 MB/s | 7.0 MB     00:00    
(1222/3646): texlive-comicneue-svn54891-63.fc38  24 MB/s | 958 kB     00:00    
(1223/3646): texlive-comfortaa-svn54512-63.fc38  11 MB/s | 636 kB     00:00    
(1224/3646): texlive-comma-svn18259.1.2-63.fc38 674 kB/s |  15 kB     00:00    
(1225/3646): texlive-commado-svn38875-63.fc38.n 847 kB/s |  19 kB     00:00    
(1226/3646): texlive-commath-svn15878.0.3-63.fc 694 kB/s |  16 kB     00:00    
(1227/3646): texlive-commedit-svn50116-63.fc38.  16 MB/s | 602 kB     00:00    
(1228/3646): texlive-comment-svn41927-63.fc38.n 546 kB/s |  19 kB     00:00    
(1229/3646): texlive-commonunicode-svn62901-63. 7.6 MB/s | 335 kB     00:00    
(1230/3646): texlive-compactbib-svn15878.0-63.f 632 kB/s |  15 kB     00:00    
(1231/3646): texlive-competences-svn47573-63.fc 824 kB/s |  20 kB     00:00    
(1232/3646): texlive-commutative-diagrams-svn55  15 MB/s | 567 kB     00:00    
(1233/3646): texlive-complexity-svn45322-63.fc3 817 kB/s |  19 kB     00:00    
(1234/3646): texlive-concepts-svn29020.0.0.5_r1 833 kB/s |  21 kB     00:00    
(1235/3646): texlive-computational-complexity-s 1.9 MB/s |  55 kB     00:00    
(1236/3646): texlive-concmath-fonts-svn17218.0- 1.9 MB/s |  48 kB     00:00    
(1237/3646): texlive-concmath-svn17219.0-63.fc3 407 kB/s |  17 kB     00:00    
(1238/3646): texlive-concprog-svn18791.0-63.fc3 546 kB/s |  19 kB     00:00    
(1239/3646): texlive-concmath-otf-svn64924-63.f  28 MB/s | 1.9 MB     00:00    
(1240/3646): texlive-concrete-svn57963-63.fc38. 1.2 MB/s |  30 kB     00:00    
(1241/3646): texlive-conditext-svn55387-63.fc38  14 MB/s | 538 kB     00:00    
(1242/3646): texlive-constants-svn15878.1.0-63. 667 kB/s |  16 kB     00:00    
(1243/3646): texlive-confproc-svn29349.0.8-63.f 1.2 MB/s |  31 kB     00:00    
(1244/3646): texlive-conteq-svn37868.0.1.1-63.f 732 kB/s |  18 kB     00:00    
(1245/3646): texlive-continue-svn49449-63.fc38. 539 kB/s |  19 kB     00:00    
(1246/3646): texlive-contour-svn18950.2.14-63.f 453 kB/s |  17 kB     00:00    
(1247/3646): texlive-contracard-svn50217-63.fc3 876 kB/s |  21 kB     00:00    
(1248/3646): texlive-conv-xkv-svn43558-63.fc38. 3.6 MB/s |  95 kB     00:00    
(1249/3646): texlive-cooking-svn15878.0.9b-63.f 648 kB/s |  17 kB     00:00    
(1250/3646): texlive-cooking-units-svn65241-63.  22 MB/s | 741 kB     00:00    
(1251/3646): texlive-cookingsymbols-svn35929.1. 740 kB/s |  18 kB     00:00    
(1252/3646): texlive-cool-svn15878.1.35-63.fc38 1.1 MB/s |  29 kB     00:00    
(1253/3646): texlive-coolfn-svn64639-63.fc38.no 3.2 MB/s |  84 kB     00:00    
(1254/3646): texlive-coollist-svn63523-63.fc38. 884 kB/s |  21 kB     00:00    
(1255/3646): texlive-coolstr-svn15878.2.2-63.fc 857 kB/s |  22 kB     00:00    
(1256/3646): texlive-coolthms-svn29062.1.2-63.f 650 kB/s |  16 kB     00:00    
(1257/3646): texlive-cooltooltips-svn60201-63.f 642 kB/s |  16 kB     00:00    
(1258/3646): texlive-coop-writing-svn61607-63.f 8.4 MB/s | 305 kB     00:00    
(1259/3646): texlive-coordsys-svn15878.1.4-63.f 714 kB/s |  18 kB     00:00    
(1260/3646): texlive-cooperhewitt-svn64967-63.f  28 MB/s | 1.1 MB     00:00    
(1261/3646): texlive-copyedit-svn37928.1.6-63.f 772 kB/s |  20 kB     00:00    
(1262/3646): texlive-copyrightbox-svn24829.0.1- 595 kB/s |  15 kB     00:00    
(1263/3646): texlive-correctmathalign-svn44131- 8.2 MB/s | 545 kB     00:00    
(1264/3646): texlive-cormorantgaramond-svn64411  63 MB/s | 6.0 MB     00:00    
(1265/3646): texlive-coseoul-svn23862.1.1-63.fc 241 kB/s |  17 kB     00:00    
(1266/3646): texlive-countriesofeurope-svn54512 6.8 MB/s | 236 kB     00:00    
(1267/3646): texlive-counttexruns-svn27576.1.00 786 kB/s |  17 kB     00:00    
(1268/3646): texlive-courier-scaled-svn24940.0- 804 kB/s |  18 kB     00:00    
(1269/3646): texlive-courseoutline-svn15878.1.0 564 kB/s |  13 kB     00:00    
(1270/3646): texlive-courierten-svn55436-63.fc3 9.8 MB/s | 279 kB     00:00    
(1271/3646): texlive-courier-svn61719-63.fc38.n  13 MB/s | 525 kB     00:00    
(1272/3646): texlive-coursepaper-svn15878.2.0-6 638 kB/s |  14 kB     00:00    
(1273/3646): texlive-coverpage-svn63509-63.fc38 852 kB/s |  19 kB     00:00    
(1274/3646): texlive-cprotect-svn21209.1.0e-63. 831 kB/s |  19 kB     00:00    
(1275/3646): texlive-cquthesis-svn55643-63.fc38 1.4 MB/s |  33 kB     00:00    
(1276/3646): texlive-cprotectinside-svn63833-63  11 MB/s | 308 kB     00:00    
(1277/3646): texlive-crbox-svn29803.0.1-63.fc38 649 kB/s |  15 kB     00:00    
(1278/3646): texlive-create-theorem-svn64104-63 4.6 MB/s | 114 kB     00:00    
(1279/3646): texlive-crefthe-svn64498-63.fc38.n 3.0 MB/s |  74 kB     00:00    
(1280/3646): texlive-crop-svn55424-63.fc38.noar 727 kB/s |  18 kB     00:00    
(1281/3646): texlive-crimson-svn64559-63.fc38.n  21 MB/s | 1.4 MB     00:00    
(1282/3646): texlive-crossreference-svn15878.0- 579 kB/s |  15 kB     00:00    
(1283/3646): texlive-crimsonpro-svn64565-63.fc3  35 MB/s | 3.0 MB     00:00    
(1284/3646): texlive-crossreftools-svn55879-63. 9.9 MB/s | 356 kB     00:00    
(1285/3646): texlive-crumbs-svn64602-63.fc38.no 6.3 MB/s | 262 kB     00:00    
(1286/3646): texlive-cryptocode-svn60249-63.fc3 1.5 MB/s |  38 kB     00:00    
(1287/3646): texlive-cryst-svn15878.0-63.fc38.n 1.9 MB/s |  50 kB     00:00    
(1288/3646): texlive-csassignments-svn63992-63. 4.4 MB/s | 120 kB     00:00    
(1289/3646): texlive-csquotes-svn64389-63.fc38. 1.2 MB/s |  35 kB     00:00    
(1290/3646): texlive-csvsimple-svn64450-63.fc38 1.1 MB/s |  28 kB     00:00    
(1291/3646): texlive-csvmerge-svn51857-63.fc38. 4.1 MB/s | 231 kB     00:00    
(1292/3646): texlive-ctable-svn38672-63.fc38.no 683 kB/s |  17 kB     00:00    
(1293/3646): texlive-ctablestack-svn38514-63.fc 678 kB/s |  17 kB     00:00    
(1294/3646): texlive-css-colors-svn54512-63.fc3  22 MB/s | 2.0 MB     00:00    
(1295/3646): texlive-ctex-svn63891-63.fc38.noar 5.2 MB/s | 203 kB     00:00    
(1296/3646): texlive-cuisine-svn34453.0.7-63.fc 606 kB/s |  16 kB     00:00    
(1297/3646): texlive-ctib-svn15878.0-63.fc38.no 4.7 MB/s | 146 kB     00:00    
(1298/3646): texlive-cuprum-svn49909-63.fc38.no 6.4 MB/s | 150 kB     00:00    
(1299/3646): texlive-currfile-svn64673-63.fc38. 963 kB/s |  20 kB     00:00    
(1300/3646): texlive-currvita-svn15878.0-63.fc3 858 kB/s |  17 kB     00:00    
(1301/3646): texlive-currency-svn48990-63.fc38.  16 MB/s | 706 kB     00:00    
(1302/3646): texlive-curve-svn20745.1.16-63.fc3 688 kB/s |  20 kB     00:00    
(1303/3646): texlive-curve2e-svn57402-63.fc38.n 1.0 MB/s |  26 kB     00:00    
(1304/3646): texlive-curves-svn45255-63.fc38.no 689 kB/s |  19 kB     00:00    
(1305/3646): texlive-custom-bib-svn24729.4.33-6 3.9 MB/s | 105 kB     00:00    
(1306/3646): texlive-cutwin-svn60901-63.fc38.no 930 kB/s |  19 kB     00:00    
(1307/3646): texlive-cv-svn15878.0-63.fc38.noar 714 kB/s |  16 kB     00:00    
(1308/3646): texlive-cv4tw-svn34577.0.2-63.fc38 815 kB/s |  17 kB     00:00    
(1309/3646): texlive-cweb-latex-svn28878.0-63.f 2.3 MB/s |  51 kB     00:00    
(1310/3646): texlive-cvss-svn65169-63.fc38.noar  18 MB/s | 572 kB     00:00    
(1311/3646): texlive-cyber-svn46776-63.fc38.noa 686 kB/s |  14 kB     00:00    
(1312/3646): texlive-cybercic-svn37659.2.1-63.f 634 kB/s |  13 kB     00:00    
(1313/3646): texlive-dancers-svn13293.0-63.fc38 653 kB/s |  15 kB     00:00    
(1314/3646): texlive-cyklop-svn18651.0.915-63.f  13 MB/s | 359 kB     00:00    
(1315/3646): texlive-dantelogo-svn38599-63.fc38 1.9 MB/s |  42 kB     00:00    
(1316/3646): texlive-dashbox-svn23425.1.14-63.f 743 kB/s |  15 kB     00:00    
(1317/3646): texlive-dashrule-svn29579.1.3-63.f 702 kB/s |  15 kB     00:00    
(1318/3646): texlive-darkmode-svn64271-63.fc38.  19 MB/s | 748 kB     00:00    
(1319/3646): texlive-dashundergaps-svn58150-63. 828 kB/s |  17 kB     00:00    
(1320/3646): texlive-dataref-svn62942-63.fc38.n 1.1 MB/s |  24 kB     00:00    
(1321/3646): texlive-datatool-svn52663-63.fc38. 3.3 MB/s |  74 kB     00:00    
(1322/3646): texlive-datax-svn61772-63.fc38.noa 7.2 MB/s | 210 kB     00:00    
(1323/3646): texlive-datenumber-svn61761-63.fc3 732 kB/s |  18 kB     00:00    
(1324/3646): texlive-dateiliste-svn27974.0.6-63 661 kB/s |  18 kB     00:00    
(1325/3646): texlive-datestamp-svn61719-63.fc38 4.2 MB/s | 140 kB     00:00    
(1326/3646): texlive-datetime-svn36650.2.60-63. 2.0 MB/s |  46 kB     00:00    
(1327/3646): texlive-datetime2-bahasai-svn46287 765 kB/s |  18 kB     00:00    
(1328/3646): texlive-datetime2-basque-svn47064- 905 kB/s |  19 kB     00:00    
(1329/3646): texlive-datetime2-breton-svn52647- 912 kB/s |  19 kB     00:00    
(1330/3646): texlive-datetime2-bulgarian-svn470 709 kB/s |  19 kB     00:00    
(1331/3646): texlive-datetime2-catalan-svn47032 934 kB/s |  19 kB     00:00    
(1332/3646): texlive-datetime2-croatian-svn3668 911 kB/s |  19 kB     00:00    
(1333/3646): texlive-datetime2-czech-svn47033-6 906 kB/s |  19 kB     00:00    
(1334/3646): texlive-datetime2-danish-svn47034- 938 kB/s |  19 kB     00:00    
(1335/3646): texlive-datetime2-dutch-svn47355-6 878 kB/s |  19 kB     00:00    
(1336/3646): texlive-datetime2-en-fulltext-svn3 907 kB/s |  19 kB     00:00    
(1337/3646): texlive-datetime2-english-svn52479 939 kB/s |  24 kB     00:00    
(1338/3646): texlive-datetime2-esperanto-svn473 840 kB/s |  19 kB     00:00    
(1339/3646): texlive-datetime2-estonian-svn4756 908 kB/s |  19 kB     00:00    
(1340/3646): texlive-datetime2-finnish-svn47047 957 kB/s |  19 kB     00:00    
(1341/3646): texlive-datetime2-french-svn56393- 932 kB/s |  20 kB     00:00    
(1342/3646): texlive-datetime2-galician-svn4763 914 kB/s |  19 kB     00:00    
(1343/3646): texlive-datetime2-german-svn53125- 1.0 MB/s |  21 kB     00:00    
(1344/3646): texlive-datetime2-greek-svn47533-6 907 kB/s |  19 kB     00:00    
(1345/3646): texlive-datetime2-hebrew-svn47534- 876 kB/s |  18 kB     00:00    
(1346/3646): texlive-datetime2-icelandic-svn652 946 kB/s |  19 kB     00:00    
(1347/3646): texlive-datetime2-irish-svn47632-6 936 kB/s |  20 kB     00:00    
(1348/3646): texlive-datetime2-it-fulltext-svn5 979 kB/s |  21 kB     00:00    
(1349/3646): texlive-datetime2-italian-svn37146 959 kB/s |  19 kB     00:00    
(1350/3646): texlive-datetime2-latin-svn47748-6 878 kB/s |  19 kB     00:00    
(1351/3646): texlive-datetime2-lsorbian-svn4774 928 kB/s |  19 kB     00:00    
(1352/3646): texlive-datetime2-magyar-svn48266- 774 kB/s |  19 kB     00:00    
(1353/3646): texlive-datetime2-norsk-svn48267-6 901 kB/s |  19 kB     00:00    
(1354/3646): texlive-datetime2-polish-svn48456- 648 kB/s |  19 kB     00:00    
(1355/3646): texlive-datetime2-portuges-svn4845 939 kB/s |  19 kB     00:00    
(1356/3646): texlive-datetime2-romanian-svn5639 707 kB/s |  19 kB     00:00    
(1357/3646): texlive-datetime2-russian-svn49345 911 kB/s |  19 kB     00:00    
(1358/3646): texlive-datetime2-samin-svn49346-6 942 kB/s |  19 kB     00:00    
(1359/3646): texlive-datetime2-scottish-svn5210 898 kB/s |  19 kB     00:00    
(1360/3646): texlive-datetime2-serbian-svn52893 1.1 MB/s |  25 kB     00:00    
(1361/3646): texlive-datetime2-slovak-svn52281- 938 kB/s |  19 kB     00:00    
(1362/3646): texlive-datetime2-slovene-svn52282 892 kB/s |  19 kB     00:00    
(1363/3646): texlive-datetime2-spanish-svn45785 908 kB/s |  19 kB     00:00    
(1364/3646): texlive-datetime2-svn63102-63.fc38 1.2 MB/s |  25 kB     00:00    
(1365/3646): texlive-datetime2-swedish-svn36700 905 kB/s |  19 kB     00:00    
(1366/3646): texlive-datetime2-turkish-svn52331 899 kB/s |  19 kB     00:00    
(1367/3646): texlive-datetime2-ukrainian-svn475 987 kB/s |  20 kB     00:00    
(1368/3646): texlive-datetime2-usorbian-svn5237 915 kB/s |  19 kB     00:00    
(1369/3646): texlive-datetime2-welsh-svn52553-6 894 kB/s |  19 kB     00:00    
(1370/3646): texlive-dblfloatfix-svn28983.1.0a- 717 kB/s |  19 kB     00:00    
(1371/3646): texlive-dccpaper-svn61763-63.fc38. 1.9 MB/s |  42 kB     00:00    
(1372/3646): texlive-dbshow-svn61634-63.fc38.no  15 MB/s | 596 kB     00:00    
(1373/3646): texlive-dcpic-svn30206.5.0.0-63.fc 1.1 MB/s |  30 kB     00:00    
(1374/3646): texlive-debate-svn64846-63.fc38.no  11 MB/s | 290 kB     00:00    
(1375/3646): texlive-decimal-svn23374.0-63.fc38 695 kB/s |  15 kB     00:00    
(1376/3646): texlive-decision-table-svn60673-63 5.4 MB/s | 123 kB     00:00    
(1377/3646): texlive-decorule-svn55230-63.fc38. 856 kB/s |  18 kB     00:00    
(1378/3646): texlive-dehyph-svn48599-63.fc38.no 2.9 MB/s |  65 kB     00:00    
(1379/3646): texlive-dejavu-otf-svn45991-63.fc3  13 MB/s | 342 kB     00:00    
(1380/3646): texlive-delim-svn23974.1.0-63.fc38 748 kB/s |  16 kB     00:00    
(1381/3646): texlive-delimseasy-svn39589-63.fc3 1.0 MB/s |  21 kB     00:00    
(1382/3646): texlive-delimset-svn49544-63.fc38. 9.4 MB/s | 327 kB     00:00    
(1383/3646): texlive-delimtxt-svn16549.0-63.fc3 210 kB/s |  15 kB     00:00    
(1384/3646): texlive-democodetools-svn64314-63. 5.7 MB/s | 367 kB     00:00    
(1385/3646): texlive-denisbdoc-svn63589-63.fc38 970 kB/s |  33 kB     00:00    
(1386/3646): texlive-derivative-svn63850-63.fc3 6.6 MB/s | 218 kB     00:00    
(1387/3646): texlive-dhua-svn24035.0.11-63.fc38 663 kB/s |  21 kB     00:00    
(1388/3646): texlive-dejavu-svn31771.2.34-63.fc  56 MB/s |  11 MB     00:00    
(1389/3646): texlive-diabetes-logbook-svn54810- 7.9 MB/s | 294 kB     00:00    
(1390/3646): texlive-diagbox-svn54080-63.fc38.n 970 kB/s |  20 kB     00:00    
(1391/3646): texlive-diagmac2-svn15878.2.1-63.f 1.1 MB/s |  24 kB     00:00    
(1392/3646): texlive-diagnose-svn19387.0.2-63.f 756 kB/s |  16 kB     00:00    
(1393/3646): texlive-dialogl-svn28946.0-63.fc38 1.4 MB/s |  36 kB     00:00    
(1394/3646): texlive-dice-svn28501.0-63.fc38.no 805 kB/s |  17 kB     00:00    
(1395/3646): texlive-dichokey-svn17192.0-63.fc3 601 kB/s |  13 kB     00:00    
(1396/3646): texlive-dictsym-svn20031.0-63.fc38 2.2 MB/s |  47 kB     00:00    
(1397/3646): texlive-digiconfigs-svn15878.0.5-6 677 kB/s |  16 kB     00:00    
(1398/3646): texlive-diffcoeff-svn63590-63.fc38  23 MB/s | 686 kB     00:00    
(1399/3646): texlive-dijkstra-svn64580-63.fc38. 7.2 MB/s | 176 kB     00:00    
(1400/3646): texlive-din1505-svn19441.0-63.fc38 1.3 MB/s |  27 kB     00:00    
(1401/3646): texlive-dinbrief-svn15878.0-63.fc3 1.2 MB/s |  26 kB     00:00    
(1402/3646): texlive-dimnum-svn58774-63.fc38.no 7.9 MB/s | 220 kB     00:00    
(1403/3646): texlive-dingbat-svn27918.1.0-63.fc 1.1 MB/s |  24 kB     00:00    
(1404/3646): texlive-directory-svn15878.1.20-63 1.2 MB/s |  27 kB     00:00    
(1405/3646): texlive-dirtree-svn42428-63.fc38.n 530 kB/s |  16 kB     00:00    
(1406/3646): texlive-dirtytalk-svn20520.1.0-63. 577 kB/s |  12 kB     00:00    
(1407/3646): texlive-ditaa-svn48932-63.fc38.noa 5.2 MB/s | 121 kB     00:00    
(1408/3646): texlive-dithesis-svn34295.0.2-63.f 902 kB/s |  19 kB     00:00    
(1409/3646): texlive-dk-bib-svn15878.0.6-63.fc3 1.2 MB/s |  26 kB     00:00    
(1410/3646): texlive-dlfltxb-svn17337.0-63.fc38 1.7 MB/s |  36 kB     00:00    
(1411/3646): texlive-dnaseq-svn17194.0.01-63.fc 719 kB/s |  15 kB     00:00    
(1412/3646): texlive-doc-pictex-doc-svn24927.0- 572 kB/s |  12 kB     00:00    
(1413/3646): texlive-doclicense-svn63340-63.fc3 9.0 MB/s | 297 kB     00:00    
(1414/3646): texlive-docmfp-svn15878.1.2d-63.fc 560 kB/s |  15 kB     00:00    
(1415/3646): texlive-docmute-svn25741.1.4-63.fc 837 kB/s |  17 kB     00:00    
(1416/3646): texlive-doctools-svn34474.0.1-63.f 1.0 MB/s |  22 kB     00:00    
(1417/3646): texlive-documentation-svn34521.0.1 783 kB/s |  17 kB     00:00    
(1418/3646): texlive-docshots-svn65141-63.fc38.  11 MB/s | 340 kB     00:00    
(1419/3646): texlive-doi-svn48634-63.fc38.noarc 772 kB/s |  16 kB     00:00    
(1420/3646): texlive-docutils-svn56594-63.fc38. 950 kB/s |  20 kB     00:00    
(1421/3646): texlive-doipubmed-svn15878.1.01-63 735 kB/s |  15 kB     00:00    
(1422/3646): texlive-dot2texi-svn26237.3.0-63.f 840 kB/s |  18 kB     00:00    
(1423/3646): texlive-dotarrow-svn15878.0.01a-63 645 kB/s |  15 kB     00:00    
(1424/3646): texlive-dotlessi-svn51476-63.fc38. 575 kB/s |  12 kB     00:00    
(1425/3646): texlive-domitian-svn55286-63.fc38.  33 MB/s | 1.6 MB     00:00    
(1426/3646): texlive-dotseqn-svn17195.1.1-63.fc 543 kB/s |  12 kB     00:00    
(1427/3646): texlive-dottex-svn15878.0.6-63.fc3 819 kB/s |  17 kB     00:00    
(1428/3646): texlive-doublestroke-svn15878.1.11 3.9 MB/s |  85 kB     00:00    
(1429/3646): texlive-doulossil-svn63255-63.fc38  18 MB/s | 517 kB     00:00    
(1430/3646): texlive-dowith-svn38860-63.fc38.no 872 kB/s |  23 kB     00:00    
(1431/3646): texlive-download-svn52257-63.fc38. 849 kB/s |  18 kB     00:00    
(1432/3646): texlive-dox-svn46011-63.fc38.noarc 769 kB/s |  16 kB     00:00    
(1433/3646): texlive-dpcircling-svn54994-63.fc3 2.0 MB/s |  43 kB     00:00    
(1434/3646): texlive-dozenal-svn47680-63.fc38.n 6.0 MB/s | 152 kB     00:00    
(1435/3646): texlive-dpfloat-svn17196.0-63.fc38 719 kB/s |  15 kB     00:00    
(1436/3646): texlive-dprogress-svn15878.0.1-63. 739 kB/s |  15 kB     00:00    
(1437/3646): texlive-drac-svn15878.1-63.fc38.no 707 kB/s |  15 kB     00:00    
(1438/3646): texlive-draftcopy-svn15878.2.16-63 845 kB/s |  18 kB     00:00    
(1439/3646): texlive-draftfigure-svn44854-63.fc 7.5 MB/s | 177 kB     00:00    
(1440/3646): texlive-draftwatermark-svn57099-63 918 kB/s |  19 kB     00:00    
(1441/3646): texlive-dratex-svn15878.0-63.fc38. 2.3 MB/s |  59 kB     00:00    
(1442/3646): texlive-drawmatrix-svn44471-63.fc3 643 kB/s |  13 kB     00:00    
(1443/3646): texlive-drawstack-svn28582.0-63.fc 871 kB/s |  18 kB     00:00    
(1444/3646): texlive-droid-svn54512-63.fc38.noa  18 MB/s | 3.2 MB     00:00    
(1445/3646): texlive-drm-svn38157.4.4-63.fc38.n  58 MB/s |  13 MB     00:00    
(1446/3646): texlive-dsserif-svn60898-63.fc38.n 8.4 MB/s | 387 kB     00:00    
(1447/3646): texlive-dtk-svn65315-63.fc38.noarc 1.5 MB/s |  33 kB     00:00    
(1448/3646): texlive-dtxdescribe-svn65223-63.fc  14 MB/s | 423 kB     00:00    
(1449/3646): texlive-dtxgallery-doc-svn49504-63  13 MB/s | 357 kB     00:00    
(1450/3646): texlive-ducksay-svn64655-63.fc38.n  14 MB/s | 550 kB     00:00    
(1451/3646): texlive-duckuments-svn52271-63.fc3  19 MB/s | 971 kB     00:00    
(1452/3646): texlive-duerer-latex-svn15878.1.1- 818 kB/s |  17 kB     00:00    
(1453/3646): texlive-duerer-svn20741.0-63.fc38. 1.3 MB/s |  28 kB     00:00    
(1454/3646): texlive-duotenzor-svn18728.1.00-63 833 kB/s |  21 kB     00:00    
(1455/3646): texlive-dutchcal-svn54080-63.fc38. 2.4 MB/s |  52 kB     00:00    
(1456/3646): texlive-dvdcoll-svn15878.v1.1a-63. 1.9 MB/s |  39 kB     00:00    
(1457/3646): texlive-dynamicnumber-svn38726-63. 496 kB/s |  12 kB     00:00    
(1458/3646): texlive-dynblocks-svn35193.0.2b-63 667 kB/s |  18 kB     00:00    
(1459/3646): texlive-drs-svn19232.1.1b-63.fc38.  53 kB/s |  21 kB     00:00    
(1460/3646): texlive-dynkin-diagrams-svn58758-6  24 MB/s | 863 kB     00:00    
(1461/3646): texlive-ean-svn20851.0-63.fc38.noa 884 kB/s |  18 kB     00:00    
(1462/3646): texlive-ean13isbn-svn57514-63.fc38 728 kB/s |  15 kB     00:00    
(1463/3646): texlive-easing-svn59975-63.fc38.no  12 MB/s | 322 kB     00:00    
(1464/3646): texlive-easy-svn19440.0.99-63.fc38 1.2 MB/s |  27 kB     00:00    
(1465/3646): texlive-easy-todo-svn32677.0-63.fc 755 kB/s |  15 kB     00:00    
(1466/3646): texlive-easyfig-svn64967-63.fc38.n 870 kB/s |  18 kB     00:00    
(1467/3646): texlive-easybook-svn64976-63.fc38.  22 MB/s | 622 kB     00:00    
(1468/3646): texlive-easyformat-svn44543-63.fc3 2.3 MB/s |  72 kB     00:00    
(1469/3646): texlive-easyfloats-svn57204-63.fc3  17 MB/s | 603 kB     00:00    
(1470/3646): texlive-easyreview-svn38352.1.0-63 589 kB/s |  15 kB     00:00    
(1471/3646): texlive-easylist-svn32661.1.3-63.f 642 kB/s |  19 kB     00:00    
(1472/3646): texlive-ebezier-svn15878.4-63.fc38 803 kB/s |  17 kB     00:00    
(1473/3646): texlive-ebgaramond-maths-svn52168- 1.0 MB/s |  21 kB     00:00    
(1474/3646): texlive-ebook-svn29466.0-63.fc38.n 593 kB/s |  12 kB     00:00    
(1475/3646): texlive-ebproof-svn57544-63.fc38.n 317 kB/s |  20 kB     00:00    
(1476/3646): texlive-ebsthesis-svn15878.1.0-63. 611 kB/s |  20 kB     00:00    
(1477/3646): texlive-ebgaramond-svn64343-63.fc3  71 MB/s |  12 MB     00:00    
(1478/3646): texlive-ec-svn25033.1.0-63.fc38.no  15 MB/s | 681 kB     00:00    
(1479/3646): texlive-ecc-svn15878.0-63.fc38.noa 2.5 MB/s |  53 kB     00:00    
(1480/3646): texlive-ecclesiastic-svn38172.0.3- 894 kB/s |  19 kB     00:00    
(1481/3646): texlive-eco-svn29349.1.3-63.fc38.n  13 MB/s | 351 kB     00:00    
(1482/3646): texlive-ecgdraw-svn41617-63.fc38.n  22 MB/s | 723 kB     00:00    
(1483/3646): texlive-ecobiblatex-svn39233-63.fc 848 kB/s |  18 kB     00:00    
(1484/3646): texlive-econ-bst-svn61499-63.fc38.  29 MB/s | 1.3 MB     00:00    
(1485/3646): texlive-econlipsum-svn58390-63.fc3  21 MB/s | 646 kB     00:00    
(1486/3646): texlive-econometrics-svn39396-63.f 931 kB/s |  19 kB     00:00    
(1487/3646): texlive-economic-svn32639.0-63.fc3 2.5 MB/s |  54 kB     00:00    
(1488/3646): texlive-ecv-svn24928.0.3-63.fc38.n 688 kB/s |  15 kB     00:00    
(1489/3646): texlive-ecothesis-svn48007-63.fc38  13 MB/s | 355 kB     00:00    
(1490/3646): texlive-dyntree-svn15878.1.0-63.fc  45 kB/s |  22 kB     00:00    
(1491/3646): texlive-ed-svn25231.1.8-63.fc38.no 775 kB/s |  16 kB     00:00    
(1492/3646): texlive-eczar-svn57716-63.fc38.noa  18 MB/s | 505 kB     00:00    
(1493/3646): texlive-edmargin-svn27599.1.2-63.f 773 kB/s |  18 kB     00:00    
(1494/3646): texlive-eemeir-svn15878.1.1b-63.fc 728 kB/s |  15 kB     00:00    
(1495/3646): texlive-eepic-svn15878.1.1e-63.fc3 1.1 MB/s |  23 kB     00:00    
(1496/3646): texlive-efbox-svn33236.1.0-63.fc38 852 kB/s |  18 kB     00:00    
(1497/3646): texlive-egplot-svn20617.1.02a-63.f 888 kB/s |  18 kB     00:00    
(1498/3646): texlive-ehhline-svn54676-63.fc38.n 1.9 MB/s |  41 kB     00:00    
(1499/3646): texlive-eiad-ltx-svn15878.1.0-63.f 853 kB/s |  17 kB     00:00    
(1500/3646): texlive-eiad-svn15878.0-63.fc38.no 2.7 MB/s |  60 kB     00:00    
(1501/3646): texlive-einfart-svn64280-63.fc38.n  14 MB/s | 385 kB     00:00    
(1502/3646): texlive-ejpecp-svn60950-63.fc38.no 766 kB/s |  21 kB     00:00    
(1503/3646): texlive-ekaia-svn49594-63.fc38.noa 853 kB/s |  17 kB     00:00    
(1504/3646): texlive-elbioimp-svn21758.1.2-63.f 751 kB/s |  16 kB     00:00    
(1505/3646): texlive-ektype-tanka-svn63255-63.f  45 MB/s | 2.1 MB     00:00    
(1506/3646): texlive-electrum-svn19705.1.005_b-  23 MB/s | 828 kB     00:00    
(1507/3646): texlive-elegantbook-svn64122-63.fc  33 MB/s | 1.8 MB     00:00    
(1508/3646): texlive-elegantnote-svn62989-63.fc  20 MB/s | 881 kB     00:00    
(1509/3646): texlive-elements-svn61792-63.fc38. 1.1 MB/s |  27 kB     00:00    
(1510/3646): texlive-elegantpaper-svn62989-63.f  13 MB/s | 558 kB     00:00    
(1511/3646): texlive-ellipsis-svn55418-63.fc38. 717 kB/s |  15 kB     00:00    
(1512/3646): texlive-ellipse-svn39025-63.fc38.n 655 kB/s |  19 kB     00:00    
(1513/3646): texlive-edichokey-svn56223-63.fc38 507 kB/s | 152 kB     00:00    
(1514/3646): texlive-elmath-svn15878.v1.2-63.fc 737 kB/s |  16 kB     00:00    
(1515/3646): texlive-elocalloc-svn42712-63.fc38 713 kB/s |  15 kB     00:00    
(1516/3646): texlive-els-cas-templates-svn62931  15 MB/s | 404 kB     00:00    
(1517/3646): texlive-elsarticle-svn56999-63.fc3 1.3 MB/s |  34 kB     00:00    
(1518/3646): texlive-elteikthesis-svn63186-63.f 971 kB/s |  21 kB     00:00    
(1519/3646): texlive-eltex-svn15878.2.0-63.fc38 949 kB/s |  27 kB     00:00    
(1520/3646): texlive-elvish-svn15878.0-63.fc38. 1.0 MB/s |  22 kB     00:00    
(1521/3646): texlive-elzcards-svn51894-63.fc38. 1.0 MB/s |  21 kB     00:00    
(1522/3646): texlive-elpres-svn60209-63.fc38.no 988 kB/s |  93 kB     00:00    
(1523/3646): texlive-emarks-svn24504.1.0-63.fc3 870 kB/s |  18 kB     00:00    
(1524/3646): texlive-embedall-svn51177-63.fc38. 816 kB/s |  17 kB     00:00    
(1525/3646): texlive-embrac-svn57814-63.fc38.no 953 kB/s |  20 kB     00:00    
(1526/3646): texlive-emf-svn42023-63.fc38.noarc  12 MB/s | 328 kB     00:00    
(1527/3646): texlive-emisa-svn60068-63.fc38.noa 1.5 MB/s |  32 kB     00:00    
(1528/3646): texlive-emptypage-svn18064.1.2-63. 764 kB/s |  16 kB     00:00    
(1529/3646): texlive-emulateapj-svn28469.0-63.f 1.4 MB/s |  31 kB     00:00    
(1530/3646): texlive-enctex-svn34957.0-63.fc38. 2.5 MB/s |  53 kB     00:00    
(1531/3646): texlive-endfloat-svn57090-63.fc38. 776 kB/s |  20 kB     00:00    
(1532/3646): texlive-endheads-svn43750-63.fc38. 628 kB/s |  16 kB     00:00    
(1533/3646): texlive-endiagram-svn34486.0.1d-63 1.1 MB/s |  25 kB     00:00    
(1534/3646): texlive-endnotes-hy-svn54758-63.fc  12 MB/s | 312 kB     00:00    
(1535/3646): texlive-endnotes-svn53319-63.fc38. 943 kB/s |  20 kB     00:00    
(1536/3646): texlive-endofproofwd-svn55643-63.f 686 kB/s |  19 kB     00:00    
(1537/3646): texlive-engpron-svn16558.2-63.fc38 550 kB/s |  18 kB     00:00    
(1538/3646): texlive-embedfile-svn54865-63.fc38 2.1 MB/s | 402 kB     00:00    
(1539/3646): texlive-engrec-svn15878.1.1-63.fc3 748 kB/s |  15 kB     00:00    
(1540/3646): texlive-engtlc-svn28571.3.2-63.fc3 950 kB/s |  20 kB     00:00    
(1541/3646): texlive-enumitem-svn51423-63.fc38. 1.3 MB/s |  27 kB     00:00    
(1542/3646): texlive-enotez-svn61490-63.fc38.no 879 kB/s |  23 kB     00:00    
(1543/3646): texlive-enumitem-zref-svn21472.1.8 1.1 MB/s |  24 kB     00:00    
(1544/3646): texlive-envbig-svn15878.0-63.fc38. 727 kB/s |  15 kB     00:00    
(1545/3646): texlive-environ-svn56615-63.fc38.n 631 kB/s |  15 kB     00:00    
(1546/3646): texlive-envlab-svn61937-63.fc38.no 901 kB/s |  19 kB     00:00    
(1547/3646): texlive-epigraph-keys-svn61719-63.  12 MB/s | 321 kB     00:00    
(1548/3646): texlive-eolang-svn65289-63.fc38.no  32 MB/s | 1.5 MB     00:00    
(1549/3646): texlive-epigraph-svn54857-63.fc38. 867 kB/s |  18 kB     00:00    
(1550/3646): texlive-epiolmec-svn15878.0-63.fc3 5.3 MB/s | 120 kB     00:00    
(1551/3646): texlive-epsdice-svn15878.2.1-63.fc 916 kB/s |  19 kB     00:00    
(1552/3646): texlive-epsf-svn21461.2.7.4-63.fc3 897 kB/s |  18 kB     00:00    
(1553/3646): texlive-epspdfconversion-svn18703. 810 kB/s |  17 kB     00:00    
(1554/3646): texlive-epigrafica-svn17210.1.01-6 3.7 MB/s | 373 kB     00:00    
(1555/3646): texlive-epstopdf-pkg-svn53546-63.f  13 MB/s | 364 kB     00:00    
(1556/3646): texlive-eq-pin2corr-svn59477-63.fc  20 MB/s | 565 kB     00:00    
(1557/3646): texlive-eqell-svn22931.0-63.fc38.n 684 kB/s |  16 kB     00:00    
(1558/3646): texlive-eqexpl-svn63629-63.fc38.no 4.5 MB/s |  97 kB     00:00    
(1559/3646): texlive-eqlist-svn32257.2.1-63.fc3 705 kB/s |  15 kB     00:00    
(1560/3646): texlive-eqname-svn20678.0-63.fc38. 539 kB/s |  11 kB     00:00    
(1561/3646): texlive-eqnarray-svn20641.1.3-63.f 1.1 MB/s |  24 kB     00:00    
(1562/3646): texlive-eqnnumwarn-svn45511-63.fc3 3.6 MB/s | 110 kB     00:00    
(1563/3646): texlive-eqparbox-svn45215-63.fc38. 891 kB/s |  19 kB     00:00    
(1564/3646): texlive-eqnalign-svn43278-63.fc38. 4.4 MB/s | 298 kB     00:00    
(1565/3646): texlive-erdc-svn15878.1.1-63.fc38. 961 kB/s |  20 kB     00:00    
(1566/3646): texlive-errata-svn42428-63.fc38.no 705 kB/s |  15 kB     00:00    
(1567/3646): texlive-erewhon-math-svn64925-63.f  38 MB/s | 2.2 MB     00:00    
(1568/3646): texlive-erw-l3-svn61799-63.fc38.no  13 MB/s | 489 kB     00:00    
(1569/3646): texlive-esami-svn61596-63.fc38.noa 1.6 MB/s |  36 kB     00:00    
(1570/3646): texlive-esdiff-svn21385.1.2-63.fc3 743 kB/s |  15 kB     00:00    
(1571/3646): texlive-esieecv-svn59638-63.fc38.n 712 kB/s |  15 kB     00:00    
(1572/3646): texlive-esindex-svn52342-63.fc38.n 3.5 MB/s |  80 kB     00:00    
(1573/3646): texlive-esint-svn52240-63.fc38.noa 826 kB/s |  17 kB     00:00    
(1574/3646): texlive-esint-type1-svn15878.0-63. 2.0 MB/s |  43 kB     00:00    
(1575/3646): texlive-esk-svn18115.1.0-63.fc38.n 877 kB/s |  19 kB     00:00    
(1576/3646): texlive-eso-pic-svn56658-63.fc38.n 777 kB/s |  19 kB     00:00    
(1577/3646): texlive-esrelation-svn37236.0-63.f 1.9 MB/s |  51 kB     00:00    
(1578/3646): texlive-esstix-svn22426.1.0-63.fc3 9.3 MB/s | 231 kB     00:00    
(1579/3646): texlive-estcpmm-svn17335.0.4-63.fc 693 kB/s |  17 kB     00:00    
(1580/3646): texlive-etaremune-svn15878.v1.2-63 723 kB/s |  15 kB     00:00    
(1581/3646): texlive-esvect-svn32098.1.3-63.fc3 3.3 MB/s |  89 kB     00:00    
(1582/3646): texlive-erewhon-svn63312-63.fc38.n  12 MB/s | 3.0 MB     00:00    
(1583/3646): texlive-etex-pkg-svn41784-63.fc38. 346 kB/s |  16 kB     00:00    
(1584/3646): texlive-etbb-svn61872-63.fc38.noar  34 MB/s | 1.7 MB     00:00    
(1585/3646): texlive-etex-svn56291-63.fc38.noar 1.3 MB/s |  28 kB     00:00    
(1586/3646): texlive-etextools-svn20694.3.14159 1.2 MB/s |  25 kB     00:00    
(1587/3646): texlive-etexcmds-svn53171-63.fc38.  12 MB/s | 309 kB     00:00    
(1588/3646): texlive-etoc-svn65068-63.fc38.noar 1.2 MB/s |  27 kB     00:00    
(1589/3646): texlive-etoolbox-svn56554-63.fc38. 1.2 MB/s |  25 kB     00:00    
(1590/3646): texlive-etl-svn60998-63.fc38.noarc 8.6 MB/s | 368 kB     00:00    
(1591/3646): texlive-etsvthor-svn48186-63.fc38. 845 kB/s |  18 kB     00:00    
(1592/3646): texlive-euenc-svn19795.0.1h-63.fc3 1.0 MB/s |  21 kB     00:00    
(1593/3646): texlive-euclideangeometry-svn60697  26 MB/s | 1.1 MB     00:00    
(1594/3646): texlive-euflag-svn55265-63.fc38.no 5.2 MB/s | 120 kB     00:00    
(1595/3646): texlive-eukdate-svn15878.1.04-63.f 473 kB/s |  15 kB     00:00    
(1596/3646): texlive-euler-svn42428-63.fc38.noa 798 kB/s |  17 kB     00:00    
(1597/3646): texlive-eulervm-svn15878.4.0-63.fc 1.4 MB/s |  39 kB     00:00    
(1598/3646): texlive-euler-math-svn65010-63.fc3  27 MB/s | 1.8 MB     00:00    
(1599/3646): texlive-eulerpx-svn63967-63.fc38.n 5.9 MB/s | 348 kB     00:00    
(1600/3646): texlive-euro-ce-svn25714-63.fc38.n 1.4 MB/s |  31 kB     00:00    
(1601/3646): texlive-euro-svn22191.1.1-63.fc38. 776 kB/s |  16 kB     00:00    
(1602/3646): texlive-europecv-svn64037-63.fc38. 3.8 MB/s |  86 kB     00:00    
(1603/3646): texlive-europasscv-svn56829-63.fc3 1.8 MB/s |  59 kB     00:00    
(1604/3646): texlive-eurosym-svn17265.1.4_subrf 5.4 MB/s | 156 kB     00:00    
(1605/3646): texlive-euxm-svn54074-63.fc38.noar 1.5 MB/s |  38 kB     00:00    
(1606/3646): texlive-everyhook-svn35675.1.2-63. 586 kB/s |  18 kB     00:00    
(1607/3646): texlive-everypage-svn56694-63.fc38 739 kB/s |  15 kB     00:00    
(1608/3646): texlive-everysel-svn57489-63.fc38.  12 MB/s | 448 kB     00:00    
(1609/3646): texlive-everyshi-svn57001-63.fc38. 5.3 MB/s | 146 kB     00:00    
(1610/3646): texlive-exam-n-svn64674-63.fc38.no 907 kB/s |  27 kB     00:00    
(1611/3646): texlive-exam-svn64134-63.fc38.noar 2.8 MB/s |  66 kB     00:00    
(1612/3646): texlive-examdesign-svn15878.1.02-6 1.2 MB/s |  26 kB     00:00    
(1613/3646): texlive-exam-randomizechoices-svn6 8.8 MB/s | 326 kB     00:00    
(1614/3646): texlive-example-svn33398.0-63.fc38 935 kB/s |  20 kB     00:00    
(1615/3646): texlive-examplep-svn55265-63.fc38. 1.4 MB/s |  49 kB     00:00    
(1616/3646): texlive-excludeonly-svn17262.1.0-6 413 kB/s |  12 kB     00:00    
(1617/3646): texlive-exercise-svn35417.1.6-63.f 1.1 MB/s |  24 kB     00:00    
(1618/3646): texlive-exercises-svn55188-63.fc38 787 kB/s |  17 kB     00:00    
(1619/3646): texlive-exercisepoints-svn49590-63 6.9 MB/s | 241 kB     00:00    
(1620/3646): texlive-exercisebank-svn50448-63.f 8.9 MB/s | 327 kB     00:00    
(1621/3646): texlive-exesheet-svn61061-63.fc38. 6.6 MB/s | 195 kB     00:00    
(1622/3646): texlive-exp-testopt-svn15878.0.3-6 681 kB/s |  15 kB     00:00    
(1623/3646): texlive-exframe-svn53911-63.fc38.n  15 MB/s | 417 kB     00:00    
(1624/3646): texlive-expdlist-svn15878.2.4-63.f 735 kB/s |  16 kB     00:00    
(1625/3646): texlive-export-svn27206.1.8-63.fc3 945 kB/s |  20 kB     00:00    
(1626/3646): texlive-expkv-svn60573-63.fc38.noa  17 MB/s | 487 kB     00:00    
(1627/3646): texlive-exsheets-svn52227-63.fc38. 1.8 MB/s |  41 kB     00:00    
(1628/3646): texlive-exsol-svn48977-63.fc38.noa 711 kB/s |  20 kB     00:00    
(1629/3646): texlive-extarrows-svn54400-63.fc38 542 kB/s |  20 kB     00:00    
(1630/3646): texlive-extpfeil-svn16243.0.4-63.f 799 kB/s |  18 kB     00:00    
(1631/3646): texlive-extract-svn52117-63.fc38.n 879 kB/s |  18 kB     00:00    
(1632/3646): texlive-extsizes-svn17263.1.4a-63. 1.2 MB/s |  26 kB     00:00    
(1633/3646): texlive-factura-svn61697-63.fc38.n 1.9 MB/s |  44 kB     00:00    
(1634/3646): texlive-facsimile-svn21328.1.0-63. 591 kB/s |  18 kB     00:00    
(1635/3646): texlive-facture-belge-simple-sans- 9.3 MB/s | 286 kB     00:00    
(1636/3646): texlive-faktor-svn15878.0.1b-63.fc 696 kB/s |  15 kB     00:00    
(1637/3646): texlive-fancybox-svn18304.1.4-63.f 1.0 MB/s |  22 kB     00:00    
(1638/3646): texlive-fancyhandout-svn46411-63.f 3.6 MB/s |  88 kB     00:00    
(1639/3646): texlive-familytree-svn63739-63.fc3  20 MB/s | 949 kB     00:00    
(1640/3646): texlive-fancyhdr-svn64977-63.fc38. 899 kB/s |  19 kB     00:00    
(1641/3646): texlive-fancylabel-svn46736-63.fc3 935 kB/s |  20 kB     00:00    
(1642/3646): texlive-fancynum-svn15878.0.92-63. 557 kB/s |  11 kB     00:00    
(1643/3646): texlive-fancypar-svn58895-63.fc38. 911 kB/s |  19 kB     00:00    
(1644/3646): texlive-fancyref-svn15878.0.9c-63. 868 kB/s |  18 kB     00:00    
(1645/3646): texlive-fancyqr-svn64182-63.fc38.n 8.4 MB/s | 268 kB     00:00    
(1646/3646): texlive-fancyslides-svn36263.1.0-6 869 kB/s |  18 kB     00:00    
(1647/3646): texlive-fancytabs-svn41549-63.fc38 862 kB/s |  18 kB     00:00    
(1648/3646): texlive-fancytooltips-svn56291-63. 809 kB/s |  23 kB     00:00    
(1649/3646): texlive-fancyvrb-svn63496-63.fc38. 1.1 MB/s |  26 kB     00:00    
(1650/3646): texlive-fast-diagram-svn29264.1.1- 197 kB/s |  20 kB     00:00    
(1651/3646): texlive-fbb-svn55728-63.fc38.noarc  18 MB/s | 1.4 MB     00:00    
(1652/3646): texlive-fascicules-svn54080-63.fc3 9.5 MB/s | 2.0 MB     00:00    
(1653/3646): texlive-fbithesis-svn21340.1.2m-63 322 kB/s |  16 kB     00:00    
(1654/3646): texlive-fbox-svn62126-63.fc38.noar 1.9 MB/s |  64 kB     00:00    
(1655/3646): texlive-fbs-svn15878.0-63.fc38.noa 670 kB/s |  19 kB     00:00    
(1656/3646): texlive-fcavtex-svn38074.1.1-63.fc 651 kB/s |  33 kB     00:00    
(1657/3646): texlive-fandol-svn37889.0.3-63.fc3  66 MB/s |  22 MB     00:00    
(1658/3646): texlive-fcltxdoc-svn24500.1.0-63.f 562 kB/s |  27 kB     00:00    
(1659/3646): texlive-fcolumn-svn61855-63.fc38.n 659 kB/s |  19 kB     00:00    
(1660/3646): texlive-fei-svn65352-63.fc38.noarc 648 kB/s |  25 kB     00:00    
(1661/3646): texlive-fdsymbol-svn61719-63.fc38.  23 MB/s | 963 kB     00:00    
(1662/3646): texlive-fetchcls-svn45245-63.fc38. 3.6 MB/s | 138 kB     00:00    
(1663/3646): texlive-fewerfloatpages-svn58058-6  19 MB/s | 886 kB     00:00    
(1664/3646): texlive-feyn-svn63945-63.fc38.noar 1.4 MB/s |  40 kB     00:00    
(1665/3646): texlive-feynmf-svn17259.1.08-63.fc 1.7 MB/s |  35 kB     00:00    
(1666/3646): texlive-ffslides-svn38895-63.fc38. 1.0 MB/s |  21 kB     00:00    
(1667/3646): texlive-ffcode-svn65170-63.fc38.no  13 MB/s | 542 kB     00:00    
(1668/3646): texlive-fge-svn37628.1.25-63.fc38. 2.5 MB/s |  53 kB     00:00    
(1669/3646): texlive-fetamont-svn43812-63.fc38.  24 MB/s | 3.7 MB     00:00    
(1670/3646): texlive-fifo-stack-svn33288.1.0-63 490 kB/s |  15 kB     00:00    
(1671/3646): texlive-figbib-svn19388.0-63.fc38. 578 kB/s |  17 kB     00:00    
(1672/3646): texlive-fgruler-svn63721-63.fc38.n 9.2 MB/s | 482 kB     00:00    
(1673/3646): texlive-figchild-svn62945-63.fc38.  36 MB/s | 1.3 MB     00:00    
(1674/3646): texlive-figsize-svn18784.0.1-63.fc 715 kB/s |  15 kB     00:00    
(1675/3646): texlive-figput-svn63957-63.fc38.no  21 MB/s | 882 kB     00:00    
(1676/3646): texlive-filecontents-svn52142-63.f 777 kB/s |  16 kB     00:00    
(1677/3646): texlive-filecontentsdef-svn52208-6 5.6 MB/s | 145 kB     00:00    
(1678/3646): texlive-filedate-svn29529.0-63.fc3 952 kB/s |  20 kB     00:00    
(1679/3646): texlive-filehook-svn64822-63.fc38. 1.1 MB/s |  22 kB     00:00    
(1680/3646): texlive-fileinfo-svn28421.0.81a-63 1.1 MB/s |  23 kB     00:00    
(1681/3646): texlive-filemod-svn64967-63.fc38.n 664 kB/s |  20 kB     00:00    
(1682/3646): texlive-fink-svn24329.2.2.1-63.fc3 613 kB/s |  16 kB     00:00    
(1683/3646): texlive-finstrut-svn21719.0.5-63.f 902 kB/s |  19 kB     00:00    
(1684/3646): texlive-firamath-otf-svn50732-63.f 4.6 MB/s | 139 kB     00:00    
(1685/3646): texlive-firamath-svn56672-63.fc38.  13 MB/s | 655 kB     00:00    
(1686/3646): texlive-firstaid-svn64892-63.fc38. 5.3 MB/s | 274 kB     00:00    
(1687/3646): texlive-fitbox-svn50088-63.fc38.no 230 kB/s |  18 kB     00:00    
(1688/3646): texlive-fithesis-svn64135-63.fc38.  12 MB/s | 898 kB     00:00    
(1689/3646): texlive-fix2col-svn38770-63.fc38.n 554 kB/s |  16 kB     00:00    
(1690/3646): texlive-fixcmex-svn51825-63.fc38.n 597 kB/s |  18 kB     00:00    
(1691/3646): texlive-fixdif-svn64835-63.fc38.no 7.1 MB/s | 272 kB     00:00    
(1692/3646): texlive-fixfoot-svn17131.0.3a-63.f 798 kB/s |  16 kB     00:00    
(1693/3646): texlive-fixltxhyph-svn25832.0.4-63 674 kB/s |  18 kB     00:00    
(1694/3646): texlive-fira-svn64422-63.fc38.noar  65 MB/s |  16 MB     00:00    
(1695/3646): texlive-fixmath-svn64648-63.fc38.n 4.9 MB/s | 195 kB     00:00    
(1696/3646): texlive-fixme-svn63708-63.fc38.noa 1.3 MB/s |  30 kB     00:00    
(1697/3646): texlive-fixmetodonotes-svn30168.0. 585 kB/s |  12 kB     00:00    
(1698/3646): texlive-fjodor-svn53207-63.fc38.no 771 kB/s |  16 kB     00:00    
(1699/3646): texlive-flabels-svn17272.1.0-63.fc 719 kB/s |  16 kB     00:00    
(1700/3646): texlive-flagderiv-svn15878.0.10-63 834 kB/s |  17 kB     00:00    
(1701/3646): texlive-flacards-svn19440.0.1.1b-6 786 kB/s |  17 kB     00:00    
(1702/3646): texlive-flashcards-svn62104-63.fc3 803 kB/s |  19 kB     00:00    
(1703/3646): texlive-flashmovie-svn25768.0.4-63 1.6 MB/s |  36 kB     00:00    
(1704/3646): texlive-flipbook-svn25584.0.2-63.f 726 kB/s |  15 kB     00:00    
(1705/3646): texlive-flexipage-svn64572-63.fc38 9.2 MB/s | 305 kB     00:00    
(1706/3646): texlive-flippdf-svn56782-63.fc38.n 719 kB/s |  15 kB     00:00    
(1707/3646): texlive-float-svn15878.1.3d-63.fc3 765 kB/s |  16 kB     00:00    
(1708/3646): texlive-floatflt-svn25540.1.31-63. 626 kB/s |  13 kB     00:00    
(1709/3646): texlive-floatrow-svn15878.0.3b-63. 1.2 MB/s |  30 kB     00:00    
(1710/3646): texlive-flowchart-svn36572.3.3-63. 780 kB/s |  18 kB     00:00    
(1711/3646): texlive-flowfram-svn35291.1.17-63. 1.9 MB/s |  41 kB     00:00    
(1712/3646): texlive-fltpoint-svn56594-63.fc38. 670 kB/s |  14 kB     00:00    
(1713/3646): texlive-fmp-svn15878.0-63.fc38.noa 716 kB/s |  15 kB     00:00    
(1714/3646): texlive-fmtcount-svn53912-63.fc38. 2.4 MB/s |  51 kB     00:00    
(1715/3646): texlive-fn2end-svn15878.1.1-63.fc3 558 kB/s |  12 kB     00:00    
(1716/3646): texlive-fnbreak-svn25003.1.30-63.f 719 kB/s |  15 kB     00:00    
(1717/3646): texlive-fncychap-svn20710.v1.34-63 892 kB/s |  21 kB     00:00    
(1718/3646): texlive-fncylab-svn52090-63.fc38.n 561 kB/s |  15 kB     00:00    
(1719/3646): texlive-fnpara-svn25607.0-63.fc38. 745 kB/s |  19 kB     00:00    
(1720/3646): texlive-fnpct-svn62248-63.fc38.noa 1.5 MB/s |  31 kB     00:00    
(1721/3646): texlive-fnumprint-svn29173.1.1a-63 803 kB/s |  18 kB     00:00    
(1722/3646): texlive-foekfont-svn15878.0-63.fc3 1.2 MB/s |  25 kB     00:00    
(1723/3646): texlive-fnspe-svn45360-63.fc38.noa 5.3 MB/s | 184 kB     00:00    
(1724/3646): texlive-foilhtml-svn61937-63.fc38. 809 kB/s |  17 kB     00:00    
(1725/3646): texlive-foliono-svn58877-63.fc38.n  10 MB/s | 242 kB     00:00    
(1726/3646): texlive-fonetika-svn21326.0-63.fc3 3.7 MB/s |  83 kB     00:00    
(1727/3646): texlive-fontawesome-svn48145-63.fc 8.6 MB/s | 297 kB     00:00    
(1728/3646): texlive-fontawesome5-svn63207-63.f  41 MB/s | 1.6 MB     00:00    
(1729/3646): texlive-fontaxes-svn55920-63.fc38. 636 kB/s |  19 kB     00:00    
(1730/3646): texlive-fontmfizz-svn43546-63.fc38 2.1 MB/s |  53 kB     00:00    
(1731/3646): texlive-fonts-tlwg-svn60817-63.fc3  42 MB/s | 5.0 MB     00:00    
(1732/3646): texlive-fonts-churchslavonic-svn56  14 MB/s | 1.7 MB     00:00    
(1733/3646): texlive-fontspec-svn63386-63.fc38. 2.0 MB/s |  44 kB     00:00    
(1734/3646): texlive-fontsize-svn60161-63.fc38. 9.8 MB/s | 389 kB     00:00    
(1735/3646): texlive-fonttable-svn44799-63.fc38 1.0 MB/s |  22 kB     00:00    
(1736/3646): texlive-footbib-svn17115.2.0.7-63. 680 kB/s |  20 kB     00:00    
(1737/3646): texlive-footmisc-svn62524-63.fc38. 888 kB/s |  24 kB     00:00    
(1738/3646): texlive-footmisx-svn42621-63.fc38. 1.0 MB/s |  23 kB     00:00    
(1739/3646): texlive-footnotebackref-svn27034.1 869 kB/s |  18 kB     00:00    
(1740/3646): texlive-footnotehyper-svn60374-63. 935 kB/s |  20 kB     00:00    
(1741/3646): texlive-footnoterange-svn52910-63. 924 kB/s |  19 kB     00:00    
(1742/3646): texlive-footnpag-svn15878.0-63.fc3 1.0 MB/s |  22 kB     00:00    
(1743/3646): texlive-forarray-svn15878.1.01-63. 870 kB/s |  18 kB     00:00    
(1744/3646): texlive-fontsetup-svn62477-63.fc38 2.3 MB/s | 604 kB     00:00    
(1745/3646): texlive-foreign-svn27819.2.7-63.fc 836 kB/s |  18 kB     00:00    
(1746/3646): texlive-forest-svn57398-63.fc38.no 3.2 MB/s |  73 kB     00:00    
(1747/3646): texlive-formlett-svn21480.2.3-63.f 1.2 MB/s |  26 kB     00:00    
(1748/3646): texlive-formal-grammar-svn61955-63 7.5 MB/s | 246 kB     00:00    
(1749/3646): texlive-forloop-svn15878.3.0-63.fc 426 kB/s |  20 kB     00:00    
(1750/3646): texlive-formular-svn15878.1.0a-63. 779 kB/s |  17 kB     00:00    
(1751/3646): texlive-fouridx-svn32214.2.00-63.f 679 kB/s |  15 kB     00:00    
(1752/3646): texlive-forms16be-svn51305-63.fc38  22 MB/s | 1.4 MB     00:00    
(1753/3646): texlive-fouriernc-svn29646.0-63.fc 1.0 MB/s |  36 kB     00:00    
(1754/3646): texlive-fourier-svn61937-63.fc38.n 6.1 MB/s | 369 kB     00:00    
(1755/3646): texlive-fp-svn49719-63.fc38.noarch 1.7 MB/s |  35 kB     00:00    
(1756/3646): texlive-fragments-svn15878.0-63.fc 644 kB/s |  13 kB     00:00    
(1757/3646): texlive-fpl-svn54512-63.fc38.noarc 8.4 MB/s | 302 kB     00:00    
(1758/3646): texlive-frame-svn18312.1.0-63.fc38 730 kB/s |  15 kB     00:00    
(1759/3646): texlive-framed-svn26789.0.96-63.fc 848 kB/s |  18 kB     00:00    
(1760/3646): texlive-francais-bst-svn38922-63.f 1.2 MB/s |  25 kB     00:00    
(1761/3646): texlive-frankenstein-svn15878.0-63 4.7 MB/s | 157 kB     00:00    
(1762/3646): texlive-frcursive-svn24559.0-63.fc  28 MB/s | 1.7 MB     00:00    
(1763/3646): texlive-frederika2016-svn42157-63. 9.5 MB/s | 381 kB     00:00    
(1764/3646): texlive-frege-svn27417.1.3-63.fc38 895 kB/s |  19 kB     00:00    
(1765/3646): texlive-frimurer-svn56704-63.fc38. 8.5 MB/s | 214 kB     00:00    
(1766/3646): texlive-froufrou-svn59103-63.fc38. 3.5 MB/s |  84 kB     00:00    
(1767/3646): texlive-ftcap-svn17275.1.4-63.fc38 403 kB/s |  17 kB     00:00    
(1768/3646): texlive-ftnxtra-svn29652.0.1-63.fc 606 kB/s |  15 kB     00:00    
(1769/3646): texlive-ftc-notebook-svn50043-63.f  38 MB/s | 3.5 MB     00:00    
(1770/3646): texlive-fullblck-svn25434.1.03-63. 685 kB/s |  14 kB     00:00    
(1771/3646): texlive-forum-svn64566-63.fc38.noa 2.0 MB/s | 746 kB     00:00    
(1772/3646): texlive-fullminipage-svn34545.0.1. 1.1 MB/s |  23 kB     00:00    
(1773/3646): texlive-fullwidth-svn24684.0.1-63. 813 kB/s |  17 kB     00:00    
(1774/3646): texlive-fundus-calligra-svn26018.1 576 kB/s |  12 kB     00:00    
(1775/3646): texlive-functional-svn63640-63.fc3  16 MB/s | 743 kB     00:00    
(1776/3646): texlive-functan-svn15878.0-63.fc38 334 kB/s |  16 kB     00:00    
(1777/3646): texlive-fundus-cyr-svn26019.0-63.f 828 kB/s |  18 kB     00:00    
(1778/3646): texlive-fundus-sueterlin-svn26030. 872 kB/s |  18 kB     00:00    
(1779/3646): texlive-g-brief-svn50415-63.fc38.n 748 kB/s |  19 kB     00:00    
(1780/3646): texlive-fwlw-svn29803.0-63.fc38.no 420 kB/s |  13 kB     00:00    
(1781/3646): texlive-gaceta-svn15878.1.06-63.fc 1.3 MB/s |  31 kB     00:00    
(1782/3646): texlive-galois-svn15878.1.5-63.fc3 663 kB/s |  16 kB     00:00    
(1783/3646): texlive-gammas-svn56403-63.fc38.no 1.4 MB/s |  29 kB     00:00    
(1784/3646): texlive-fvextra-svn65158-63.fc38.n 6.1 MB/s | 849 kB     00:00    
(1785/3646): texlive-garamond-math-svn61481-63.  13 MB/s | 763 kB     00:00    
(1786/3646): texlive-garuda-c90-svn60832-63.fc3 692 kB/s |  15 kB     00:00    
(1787/3646): texlive-gastex-svn58505-63.fc38.no 1.2 MB/s |  32 kB     00:00    
(1788/3646): texlive-gauss-svn32934.0-63.fc38.n 1.4 MB/s |  30 kB     00:00    
(1789/3646): texlive-gatherenum-svn52209-63.fc3 6.8 MB/s | 406 kB     00:00    
(1790/3646): texlive-gb4e-svn19216.0-63.fc38.no 1.0 MB/s |  21 kB     00:00    
(1791/3646): texlive-gcard-svn15878.0-63.fc38.n 736 kB/s |  15 kB     00:00    
(1792/3646): texlive-gcite-svn15878.1.0.1-63.fc 861 kB/s |  18 kB     00:00    
(1793/3646): texlive-gbt7714-svn64633-63.fc38.n 8.1 MB/s | 492 kB     00:00    
(1794/3646): texlive-garamond-libre-svn64412-63  34 MB/s | 7.3 MB     00:00    
(1795/3646): texlive-gender-svn36464.1.0-63.fc3 826 kB/s |  18 kB     00:00    
(1796/3646): texlive-gene-logic-svn15878.1.4-63 571 kB/s |  12 kB     00:00    
(1797/3646): texlive-genealogy-svn25112.0-63.fc 811 kB/s |  17 kB     00:00    
(1798/3646): texlive-genealogytree-svn62759-63. 1.9 MB/s |  59 kB     00:00    
(1799/3646): texlive-genmpage-svn15878.0.3.1-63 731 kB/s |  15 kB     00:00    
(1800/3646): texlive-gensymb-svn64740-63.fc38.n 9.4 MB/s | 227 kB     00:00    
(1801/3646): texlive-geometry-svn61719-63.fc38. 905 kB/s |  22 kB     00:00    
(1802/3646): texlive-geradwp-svn63134-63.fc38.n  13 MB/s | 838 kB     00:00    
(1803/3646): texlive-geschichtsfrkl-svn42121-63 374 kB/s |  19 kB     00:00    
(1804/3646): texlive-getfiledate-svn16189.1.2-6 755 kB/s |  16 kB     00:00    
(1805/3646): texlive-getitems-svn39365-63.fc38. 836 kB/s |  18 kB     00:00    
(1806/3646): texlive-gettitlestring-svn53170-63 6.5 MB/s | 327 kB     00:00    
(1807/3646): texlive-gfsartemisia-svn19469.1.0-  16 MB/s | 1.0 MB     00:00    
(1808/3646): texlive-gfscomplutum-svn19469.1.0- 5.6 MB/s | 136 kB     00:00    
(1809/3646): texlive-gfsbodoni-svn28484.1.01-63  22 MB/s | 960 kB     00:00    
(1810/3646): texlive-gfsdidotclassic-svn52778-6 1.7 MB/s |  43 kB     00:00    
(1811/3646): texlive-gfsdidot-svn54080-63.fc38.  29 MB/s | 1.4 MB     00:00    
(1812/3646): texlive-gfsneohellenic-svn63944-63  27 MB/s | 1.0 MB     00:00    
(1813/3646): texlive-gfsneohellenicmath-svn6392  12 MB/s | 331 kB     00:00    
(1814/3646): texlive-gfssolomos-svn18651.1.0-63 5.0 MB/s | 115 kB     00:00    
(1815/3646): texlive-gentium-tug-svn63470-63.fc  30 MB/s | 8.6 MB     00:00    
(1816/3646): texlive-gillcm-svn19878.1.1-63.fc3 1.7 MB/s |  37 kB     00:00    
(1817/3646): texlive-ghsystem-svn53822-63.fc38.  37 MB/s | 1.9 MB     00:00    
(1818/3646): texlive-gincltex-svn64967-63.fc38. 896 kB/s |  18 kB     00:00    
(1819/3646): texlive-gillius-svn64865-63.fc38.n  26 MB/s | 1.1 MB     00:00    
(1820/3646): texlive-gindex-svn52311-63.fc38.no 2.1 MB/s |  45 kB     00:00    
(1821/3646): texlive-ginpenc-svn24980.1.0-63.fc 1.0 MB/s |  22 kB     00:00    
(1822/3646): texlive-gitinfo-svn34049.1.0-63.fc 870 kB/s |  18 kB     00:00    
(1823/3646): texlive-gitfile-info-svn51928-63.f  18 MB/s | 572 kB     00:00    
(1824/3646): texlive-gitinfo2-svn38913-63.fc38. 979 kB/s |  20 kB     00:00    
(1825/3646): texlive-gitlog-svn38932-63.fc38.no 896 kB/s |  19 kB     00:00    
(1826/3646): texlive-gitver-svn63920-63.fc38.no 6.3 MB/s | 150 kB     00:00    
(1827/3646): texlive-gitstatus-svn64662-63.fc38  11 MB/s | 294 kB     00:00    
(1828/3646): texlive-globalvals-svn49962-63.fc3 6.9 MB/s | 164 kB     00:00    
(1829/3646): texlive-gloss-svn15878.1.5.2-63.fc 547 kB/s |  19 kB     00:00    
(1830/3646): texlive-glosmathtools-svn55920-63.  12 MB/s | 536 kB     00:00    
(1831/3646): texlive-glossaries-danish-svn35665 696 kB/s |  18 kB     00:00    
(1832/3646): texlive-glossaries-dutch-svn35685. 846 kB/s |  18 kB     00:00    
(1833/3646): texlive-glossaries-estonian-svn499 1.9 MB/s |  40 kB     00:00    
(1834/3646): texlive-glossaries-english-svn3566 842 kB/s |  18 kB     00:00    
(1835/3646): texlive-glossaries-extra-svn64973- 5.5 MB/s | 129 kB     00:00    
(1836/3646): texlive-glossaries-french-svn42873 867 kB/s |  18 kB     00:00    
(1837/3646): texlive-glossaries-finnish-svn5408 6.5 MB/s | 153 kB     00:00    
(1838/3646): texlive-glossaries-german-svn35665 843 kB/s |  18 kB     00:00    
(1839/3646): texlive-glossaries-irish-svn35665. 904 kB/s |  18 kB     00:00    
(1840/3646): texlive-glossaries-italian-svn3566 574 kB/s |  18 kB     00:00    
(1841/3646): texlive-glossaries-magyar-svn35665 875 kB/s |  18 kB     00:00    
(1842/3646): texlive-glossaries-nynorsk-svn5518 6.1 MB/s | 138 kB     00:00    
(1843/3646): texlive-glossaries-polish-svn35665 870 kB/s |  18 kB     00:00    
(1844/3646): texlive-glossaries-portuges-svn360 885 kB/s |  19 kB     00:00    
(1845/3646): texlive-glossaries-serbian-svn3566 897 kB/s |  18 kB     00:00    
(1846/3646): texlive-glossaries-slovene-svn5121 5.8 MB/s | 138 kB     00:00    
(1847/3646): texlive-glossaries-spanish-svn3566 870 kB/s |  18 kB     00:00    
(1848/3646): texlive-gmdoc-enhance-svn15878.v0. 831 kB/s |  17 kB     00:00    
(1849/3646): texlive-gmdoc-svn21292.0.993-63.fc 2.7 MB/s |  60 kB     00:00    
(1850/3646): texlive-gmiflink-svn15878.v0.97-63 792 kB/s |  17 kB     00:00    
(1851/3646): texlive-gmp-svn21691.1.0-63.fc38.n 975 kB/s |  20 kB     00:00    
(1852/3646): texlive-gmutils-svn24287.v0.996-63 3.6 MB/s |  81 kB     00:00    
(1853/3646): texlive-gmverb-svn24288.v0.98-63.f 1.4 MB/s |  30 kB     00:00    
(1854/3646): texlive-gnuplottex-svn54758-63.fc3 267 kB/s |  20 kB     00:00    
(1855/3646): texlive-gothic-svn49869-63.fc38.no 3.6 MB/s | 165 kB     00:00    
(1856/3646): texlive-gofonts-svn64358-63.fc38.n  12 MB/s | 1.7 MB     00:00    
(1857/3646): texlive-gnu-freefont-svn29349.0-63  43 MB/s | 7.4 MB     00:00    
(1858/3646): texlive-gotoh-svn44764-63.fc38.noa 8.5 MB/s | 295 kB     00:00    
(1859/3646): texlive-gradient-text-svn65353-63. 4.1 MB/s | 100 kB     00:00    
(1860/3646): texlive-gradientframe-svn21387.0.2 727 kB/s |  18 kB     00:00    
(1861/3646): texlive-grabbox-svn65223-63.fc38.n  10 MB/s | 360 kB     00:00    
(1862/3646): texlive-grafcet-svn22509.1.3.5-63. 818 kB/s |  17 kB     00:00    
(1863/3646): texlive-gradstudentresume-svn38832 631 kB/s |  18 kB     00:00    
(1864/3646): texlive-grading-scheme-svn62505-63  18 MB/s | 768 kB     00:00    
(1865/3646): texlive-grant-svn56852-63.fc38.noa 4.2 MB/s | 124 kB     00:00    
(1866/3646): texlive-graphbox-svn46360-63.fc38. 778 kB/s |  18 kB     00:00    
(1867/3646): texlive-graphics-cfg-svn41448-63.f 568 kB/s |  12 kB     00:00    
(1868/3646): texlive-graphics-def-svn64487-63.f 1.3 MB/s |  28 kB     00:00    
(1869/3646): texlive-graphics-svn64892-63.fc38. 1.8 MB/s |  39 kB     00:00    
(1870/3646): texlive-graphicscache-svn65318-63. 7.8 MB/s | 185 kB     00:00    
(1871/3646): texlive-graphicx-psmin-svn56931-63 773 kB/s |  16 kB     00:00    
(1872/3646): texlive-graph35-svn65138-63.fc38.n  21 MB/s | 2.2 MB     00:00    
(1873/3646): texlive-graphicxbox-svn32630.1.0-6 572 kB/s |  15 kB     00:00    
(1874/3646): texlive-graphicxpsd-svn57341-63.fc  14 MB/s | 430 kB     00:00    
(1875/3646): texlive-graphviz-svn31517.0.94-63. 887 kB/s |  18 kB     00:00    
(1876/3646): texlive-graphpaper-svn63116-63.fc3  12 MB/s | 435 kB     00:00    
(1877/3646): texlive-grayhints-svn49052-63.fc38  17 MB/s | 502 kB     00:00    
(1878/3646): texlive-greek-fontenc-svn63604-63. 2.3 MB/s |  50 kB     00:00    
(1879/3646): texlive-greek-inputenc-svn51612-63 1.1 MB/s |  23 kB     00:00    
(1880/3646): texlive-greenpoint-svn15878.0-63.f 791 kB/s |  17 kB     00:00    
(1881/3646): texlive-grfext-svn53024-63.fc38.no  13 MB/s | 317 kB     00:00    
(1882/3646): texlive-grfpaste-svn17354.0.2-63.f 772 kB/s |  16 kB     00:00    
(1883/3646): texlive-grffile-svn52756-63.fc38.n  11 MB/s | 360 kB     00:00    
(1884/3646): texlive-grid-svn61719-63.fc38.noar 798 kB/s |  17 kB     00:00    
(1885/3646): texlive-grid-system-svn32981.0.3.0 732 kB/s |  15 kB     00:00    
(1886/3646): texlive-gridset-svn53762-63.fc38.n 790 kB/s |  16 kB     00:00    
(1887/3646): texlive-gridpapers-svn58723-63.fc3 8.4 MB/s | 246 kB     00:00    
(1888/3646): texlive-grotesq-svn35859.0-63.fc38 3.4 MB/s |  73 kB     00:00    
(1889/3646): texlive-grundgesetze-svn58997-63.f 740 kB/s |  19 kB     00:00    
(1890/3646): texlive-gs1-svn59620-63.fc38.noarc 956 kB/s |  20 kB     00:00    
(1891/3646): texlive-gridslides-svn54512-63.fc3  12 MB/s | 580 kB     00:00    
(1892/3646): texlive-gsemthesis-svn56291-63.fc3 645 kB/s |  19 kB     00:00    
(1893/3646): texlive-gtl-svn49527-63.fc38.noarc 845 kB/s |  17 kB     00:00    
(1894/3646): texlive-gu-svn15878.0-63.fc38.noar 933 kB/s |  20 kB     00:00    
(1895/3646): texlive-gtrlib-largetrees-svn49062 8.1 MB/s | 321 kB     00:00    
(1896/3646): texlive-gudea-svn57359-63.fc38.noa 7.8 MB/s | 265 kB     00:00    
(1897/3646): texlive-guitlogo-svn55741-63.fc38. 807 kB/s |  17 kB     00:00    
(1898/3646): texlive-gzt-svn63591-63.fc38.noarc 2.0 MB/s |  53 kB     00:00    
(1899/3646): texlive-h2020proposal-svn38428-63. 1.4 MB/s |  29 kB     00:00    
(1900/3646): texlive-ha-prosper-svn59651-63.fc3 2.3 MB/s |  50 kB     00:00    
(1901/3646): texlive-hacm-svn27671.0.1-63.fc38.  10 MB/s | 253 kB     00:00    
(1902/3646): texlive-hackthefootline-svn46494-6 6.1 MB/s | 198 kB     00:00    
(1903/3646): texlive-hamnosys-svn61941-63.fc38. 5.4 MB/s | 214 kB     00:00    
(1904/3646): texlive-halloweenmath-svn52602-63.  14 MB/s | 886 kB     00:00    
(1905/3646): texlive-hagenberg-thesis-svn56798-  42 MB/s | 3.8 MB     00:00    
(1906/3646): texlive-handout-svn43962-63.fc38.n 888 kB/s |  18 kB     00:00    
(1907/3646): texlive-handin-svn48255-63.fc38.no 9.4 MB/s | 447 kB     00:00    
(1908/3646): texlive-handoutwithnotes-svn62140-  13 MB/s | 328 kB     00:00    
(1909/3646): texlive-hands-svn13293.0-63.fc38.n 681 kB/s |  14 kB     00:00    
(1910/3646): texlive-hang-svn43280-63.fc38.noar 813 kB/s |  17 kB     00:00    
(1911/3646): texlive-hanging-svn15878.1.2b-63.f 860 kB/s |  18 kB     00:00    
(1912/3646): texlive-har2nat-svn54080-63.fc38.n 725 kB/s |  15 kB     00:00    
(1913/3646): texlive-hardwrap-svn21396.0.2-63.f 888 kB/s |  19 kB     00:00    
(1914/3646): texlive-harnon-cv-svn26543.1.0-63. 605 kB/s |  13 kB     00:00    
(1915/3646): texlive-harpoon-svn21327.1.0-63.fc 580 kB/s |  12 kB     00:00    
(1916/3646): texlive-harvard-svn15878.2.0.5-63. 1.4 MB/s |  31 kB     00:00    
(1917/3646): texlive-harveyballs-svn32003.1.1-6 1.0 MB/s |  23 kB     00:00    
(1918/3646): texlive-harvmac-svn15878.0-63.fc38 621 kB/s |  15 kB     00:00    
(1919/3646): texlive-hc-svn15878.0-63.fc38.noar 850 kB/s |  24 kB     00:00    
(1920/3646): texlive-he-she-svn41359-63.fc38.no 905 kB/s |  19 kB     00:00    
(1921/3646): texlive-hecthese-svn60455-63.fc38.  21 MB/s | 898 kB     00:00    
(1922/3646): texlive-helmholtz-ellis-ji-notatio 2.6 MB/s | 104 kB     00:00    
(1923/3646): texlive-helvetic-svn61719-63.fc38.  13 MB/s | 655 kB     00:00    
(1924/3646): texlive-hep-acronym-svn64890-63.fc  15 MB/s | 479 kB     00:00    
(1925/3646): texlive-hep-math-svn64905-63.fc38.  15 MB/s | 611 kB     00:00    
(1926/3646): texlive-hep-bibliography-svn64888- 7.0 MB/s | 492 kB     00:00    
(1927/3646): texlive-hep-float-svn64904-63.fc38 8.8 MB/s | 464 kB     00:00    
(1928/3646): texlive-hep-svn15878.1.0-63.fc38.n 712 kB/s |  16 kB     00:00    
(1929/3646): texlive-hep-reference-svn64853-63.  12 MB/s | 460 kB     00:00    
(1930/3646): texlive-hep-paper-svn64917-63.fc38  13 MB/s | 693 kB     00:00    
(1931/3646): texlive-hep-text-svn64906-63.fc38.  12 MB/s | 507 kB     00:00    
(1932/3646): texlive-hep-title-svn64907-63.fc38  18 MB/s | 514 kB     00:00    
(1933/3646): texlive-hepnames-svn35722.2.0-63.f 699 kB/s |  21 kB     00:00    
(1934/3646): texlive-hepparticles-svn35723.2.0- 695 kB/s |  18 kB     00:00    
(1935/3646): texlive-hepthesis-svn46054-63.fc38 789 kB/s |  21 kB     00:00    
(1936/3646): texlive-hepunits-svn54758-63.fc38. 750 kB/s |  15 kB     00:00    
(1937/3646): texlive-here-svn16135.0-63.fc38.no 546 kB/s |  12 kB     00:00    
(1938/3646): texlive-hf-tikz-svn34733.0.3a-63.f 892 kB/s |  19 kB     00:00    
(1939/3646): texlive-heuristica-svn51362-63.fc3  27 MB/s | 1.2 MB     00:00    
(1940/3646): texlive-hereapplies-svn65251-63.fc 7.8 MB/s | 427 kB     00:00    
(1941/3646): texlive-hfoldsty-svn29349.1.15-63.  16 MB/s | 431 kB     00:00    
(1942/3646): texlive-hfutexam-svn65223-63.fc38.  16 MB/s | 483 kB     00:00    
(1943/3646): texlive-hfbright-svn29349.0-63.fc3  15 MB/s | 841 kB     00:00    
(1944/3646): texlive-hhtensor-svn54080-63.fc38. 680 kB/s |  15 kB     00:00    
(1945/3646): texlive-hfutthesis-svn64025-63.fc3  19 MB/s | 825 kB     00:00    
(1946/3646): texlive-highlightlatex-svn58392-63 9.1 MB/s | 230 kB     00:00    
(1947/3646): texlive-hideanswer-svn63852-63.fc3 3.8 MB/s | 129 kB     00:00    
(1948/3646): texlive-histogr-svn15878.1.01-63.f 824 kB/s |  17 kB     00:00    
(1949/3646): texlive-historische-zeitschrift-sv 882 kB/s |  19 kB     00:00    
(1950/3646): texlive-hindmadurai-svn57360-63.fc  29 MB/s | 1.3 MB     00:00    
(1951/3646): texlive-hitec-svn15878.0.0_beta_-6 907 kB/s |  19 kB     00:00    
(1952/3646): texlive-hithesis-svn64005-63.fc38.  19 MB/s | 1.0 MB     00:00    
(1953/3646): texlive-hitszbeamer-svn54381-63.fc  20 MB/s | 1.1 MB     00:00    
(1954/3646): texlive-hitreport-svn58357-63.fc38  19 MB/s | 1.3 MB     00:00    
(1955/3646): texlive-hletter-svn30002.4.2-63.fc 1.0 MB/s |  24 kB     00:00    
(1956/3646): texlive-hobby-svn44474-63.fc38.noa 1.2 MB/s |  26 kB     00:00    
(1957/3646): texlive-hobete-svn27036.0-63.fc38. 943 kB/s |  20 kB     00:00    
(1958/3646): texlive-hobsub-svn52810-63.fc38.no 3.4 MB/s |  77 kB     00:00    
(1959/3646): texlive-hitszthesis-svn61073-63.fc  18 MB/s | 1.6 MB     00:00    
(1960/3646): texlive-hopatch-svn56106-63.fc38.n  11 MB/s | 312 kB     00:00    
(1961/3646): texlive-hologo-svn61719-63.fc38.no  18 MB/s | 586 kB     00:00    
(1962/3646): texlive-hpsdiss-svn15878.1.0-63.fc 773 kB/s |  21 kB     00:00    
(1963/3646): texlive-hrefhide-svn22255.1.0f-63. 878 kB/s |  19 kB     00:00    
(1964/3646): texlive-href-ul-svn64880-63.fc38.n  11 MB/s | 274 kB     00:00    
(1965/3646): texlive-hu-berlin-bundle-svn57580- 8.6 MB/s | 239 kB     00:00    
(1966/3646): texlive-huawei-svn65264-63.fc38.no  18 MB/s | 776 kB     00:00    
(1967/3646): texlive-hustthesis-svn42547-63.fc3  17 MB/s | 788 kB     00:00    
(1968/3646): texlive-hvfloat-svn65069-63.fc38.n 1.2 MB/s |  26 kB     00:00    
(1969/3646): texlive-hvindex-svn46051-63.fc38.n 739 kB/s |  15 kB     00:00    
(1970/3646): texlive-hvextern-svn63711-63.fc38.  21 MB/s | 1.1 MB     00:00    
(1971/3646): texlive-hvlogos-svn63261-63.fc38.n 4.5 MB/s | 104 kB     00:00    
(1972/3646): texlive-hvpygmentex-svn62405-63.fc 2.0 MB/s |  42 kB     00:00    
(1973/3646): texlive-hvqrurl-svn59256-63.fc38.n 5.0 MB/s | 120 kB     00:00    
(1974/3646): texlive-hycolor-svn53584-63.fc38.n  15 MB/s | 383 kB     00:00    
(1975/3646): texlive-hypdoc-svn63808-63.fc38.no 6.4 MB/s | 334 kB     00:00    
(1976/3646): texlive-hypdestopt-svn56253-63.fc3 4.1 MB/s | 336 kB     00:00    
(1977/3646): texlive-hwemoji-svn65001-63.fc38.n  45 MB/s | 4.3 MB     00:00    
(1978/3646): texlive-hypdvips-svn53197-63.fc38. 1.9 MB/s |  40 kB     00:00    
(1979/3646): texlive-hyper-svn17357.4.2d-63.fc3 1.7 MB/s |  38 kB     00:00    
(1980/3646): texlive-hypernat-svn17358.1.0b-63. 829 kB/s |  17 kB     00:00    
(1981/3646): texlive-hyperbar-svn48147-63.fc38.  13 MB/s | 448 kB     00:00    
(1982/3646): texlive-hyperref-svn65014-63.fc38. 4.9 MB/s | 119 kB     00:00    
(1983/3646): texlive-hyph-utf8-svn61719-63.fc38 1.4 MB/s |  29 kB     00:00    
(1984/3646): texlive-hyphen-base-svn62751-63.fc 1.5 MB/s |  33 kB     00:00    
(1985/3646): texlive-hyphenex-svn57387-63.fc38. 877 kB/s |  19 kB     00:00    
(1986/3646): texlive-hyphenat-svn15878.2.3c-63. 487 kB/s |  18 kB     00:00    
(1987/3646): texlive-hyphen-polish-svn58609-63. 628 kB/s |  26 kB     00:00    
(1988/3646): texlive-ibarra-svn64567-63.fc38.no  28 MB/s | 1.4 MB     00:00    
(1989/3646): texlive-icite-svn54512-63.fc38.noa 5.6 MB/s | 289 kB     00:00    
(1990/3646): texlive-ibrackets-svn65312-63.fc38 1.8 MB/s |  97 kB     00:00    
(1991/3646): texlive-idxcmds-svn54554-63.fc38.n 705 kB/s |  18 kB     00:00    
(1992/3646): texlive-icsv-svn15878.0.2-63.fc38. 577 kB/s |  17 kB     00:00    
(1993/3646): texlive-identkey-svn61719-63.fc38. 478 kB/s |  23 kB     00:00    
(1994/3646): texlive-idxlayout-svn25821.0.4d-63 781 kB/s |  16 kB     00:00    
(1995/3646): texlive-ieeeconf-svn59665-63.fc38. 7.1 MB/s | 192 kB     00:00    
(1996/3646): texlive-ieeepes-svn17359.4.0-63.fc 1.1 MB/s |  23 kB     00:00    
(1997/3646): texlive-ietfbibs-doc-svn41332-63.f 718 kB/s |  15 kB     00:00    
(1998/3646): texlive-ieeetran-svn59672-63.fc38.  20 MB/s | 589 kB     00:00    
(1999/3646): texlive-iexec-svn64908-63.fc38.noa  11 MB/s | 336 kB     00:00    
(2000/3646): texlive-iffont-svn38823-63.fc38.no 816 kB/s |  17 kB     00:00    
(2001/3646): texlive-ifallfalse-svn60027-63.fc3 7.8 MB/s | 187 kB     00:00    
(2002/3646): texlive-ifmtarg-svn47544-63.fc38.n 681 kB/s |  14 kB     00:00    
(2003/3646): texlive-ifnextok-svn23379.0.3-63.f 1.2 MB/s |  27 kB     00:00    
(2004/3646): texlive-ifmslide-svn20727.0.47-63.  10 MB/s | 287 kB     00:00    
(2005/3646): texlive-ifoddpage-svn64967-63.fc38 830 kB/s |  18 kB     00:00    
(2006/3646): texlive-ifplatform-svn45533-63.fc3 739 kB/s |  16 kB     00:00    
(2007/3646): texlive-ifsym-svn24868.0-63.fc38.n 1.5 MB/s |  32 kB     00:00    
(2008/3646): texlive-iftex-svn61910-63.fc38.noa 970 kB/s |  20 kB     00:00    
(2009/3646): texlive-ifthenx-svn25819.0.1a-63.f 820 kB/s |  17 kB     00:00    
(2010/3646): texlive-iitem-svn29613.1.0-63.fc38 697 kB/s |  15 kB     00:00    
(2011/3646): texlive-ijmart-svn30958.1.7-63.fc3 1.2 MB/s |  24 kB     00:00    
(2012/3646): texlive-ijqc-svn15878.1.2-63.fc38. 914 kB/s |  19 kB     00:00    
(2013/3646): texlive-imac-svn17347.0-63.fc38.no 1.0 MB/s |  22 kB     00:00    
(2014/3646): texlive-ijsra-svn44886-63.fc38.noa  18 MB/s | 704 kB     00:00    
(2015/3646): texlive-image-gallery-svn15878.v1. 733 kB/s |  16 kB     00:00    
(2016/3646): texlive-import-svn54683-63.fc38.no 557 kB/s |  13 kB     00:00    
(2017/3646): texlive-imakeidx-svn42287-63.fc38. 581 kB/s |  20 kB     00:00    
(2018/3646): texlive-imtekda-svn17667.1.7-63.fc 437 kB/s |  18 kB     00:00    
(2019/3646): texlive-incgraph-svn60810-63.fc38. 590 kB/s |  21 kB     00:00    
(2020/3646): texlive-imfellenglish-svn64568-63.  40 MB/s | 3.1 MB     00:00    
(2021/3646): texlive-includernw-svn47557-63.fc3 6.8 MB/s | 172 kB     00:00    
(2022/3646): texlive-inconsolata-svn54512-63.fc  14 MB/s | 365 kB     00:00    
(2023/3646): texlive-index-svn24099.4.1beta-63. 1.0 MB/s |  22 kB     00:00    
(2024/3646): texlive-indextools-svn38931-63.fc3 627 kB/s |  21 kB     00:00    
(2025/3646): texlive-infwarerr-svn53023-63.fc38 6.8 MB/s | 294 kB     00:00    
(2026/3646): texlive-initials-svn54080-63.fc38.  35 MB/s | 3.0 MB     00:00    
(2027/3646): texlive-inline-images-svn61719-63. 2.8 MB/s | 135 kB     00:00    
(2028/3646): texlive-inkpaper-svn54080-63.fc38. 4.2 MB/s | 296 kB     00:00    
(2029/3646): texlive-inlinebib-svn22018.0-63.fc 781 kB/s |  20 kB     00:00    
(2030/3646): texlive-inlinedef-svn15878.1.0-63. 563 kB/s |  17 kB     00:00    
(2031/3646): texlive-inlinelabel-svn63853-63.fc 4.5 MB/s | 166 kB     00:00    
(2032/3646): texlive-inputtrc-svn28019.0.3-63.f 885 kB/s |  19 kB     00:00    
(2033/3646): texlive-inputenx-svn52986-63.fc38.  14 MB/s | 827 kB     00:00    
(2034/3646): texlive-intcalc-svn53168-63.fc38.n  11 MB/s | 431 kB     00:00    
(2035/3646): texlive-inriafonts-svn54512-63.fc3  33 MB/s | 2.6 MB     00:00    
(2036/3646): texlive-interactiveworkbook-svn158 590 kB/s |  19 kB     00:00    
(2037/3646): texlive-interfaces-svn21474.3.1-63 1.4 MB/s |  52 kB     00:00    
(2038/3646): texlive-interval-svn50265-63.fc38. 423 kB/s |  18 kB     00:00    
(2039/3646): texlive-inter-svn58892-63.fc38.noa  59 MB/s | 6.8 MB     00:00    
(2040/3646): texlive-intopdf-svn63987-63.fc38.n  10 MB/s | 386 kB     00:00    
(2041/3646): texlive-inversepath-svn15878.0.2-6 567 kB/s |  18 kB     00:00    
(2042/3646): texlive-invoice-class-svn49749-63. 4.5 MB/s | 120 kB     00:00    
(2043/3646): texlive-invoice-svn48359-63.fc38.n 668 kB/s |  24 kB     00:00    
(2044/3646): texlive-invoice2-svn46364-63.fc38.  11 MB/s | 624 kB     00:00    
(2045/3646): texlive-ionumbers-svn33457.0.3.3-6 597 kB/s |  20 kB     00:00    
(2046/3646): texlive-iopart-num-svn15878.2.1-63 903 kB/s |  21 kB     00:00    
(2047/3646): texlive-iodhbwm-svn57773-63.fc38.n  51 MB/s | 3.0 MB     00:00    
(2048/3646): texlive-is-bst-svn52623-63.fc38.no 214 kB/s |  34 kB     00:00    
(2049/3646): texlive-iscram-svn45801-63.fc38.no 2.8 MB/s | 282 kB     00:00    
(2050/3646): texlive-ipaex-svn61719-63.fc38.noa  51 MB/s |  16 MB     00:00    
(2051/3646): texlive-iso-svn15878.2.4-63.fc38.n 987 kB/s |  29 kB     00:00    
(2052/3646): texlive-isodate-svn16613.2.28-63.f 1.0 MB/s |  22 kB     00:00    
(2053/3646): texlive-iso10303-svn15878.1.5-63.f 3.2 MB/s |  72 kB     00:00    
(2054/3646): texlive-isodoc-svn59709-63.fc38.no 1.2 MB/s |  26 kB     00:00    
(2055/3646): texlive-isomath-svn27654.0.6.1-63. 849 kB/s |  18 kB     00:00    
(2056/3646): texlive-ipaex-type1-svn47700-63.fc  36 MB/s |  13 MB     00:00    
(2057/3646): texlive-isonums-svn17362.1.0-63.fc 774 kB/s |  16 kB     00:00    
(2058/3646): texlive-isopt-svn45509-63.fc38.noa 3.5 MB/s |  78 kB     00:00    
(2059/3646): texlive-isorot-svn15878.0-63.fc38. 757 kB/s |  16 kB     00:00    
(2060/3646): texlive-isotope-svn23711.v0.3-63.f 587 kB/s |  15 kB     00:00    
(2061/3646): texlive-issuulinks-svn25742.1.1-63 588 kB/s |  19 kB     00:00    
(2062/3646): texlive-istgame-svn62946-63.fc38.n  22 MB/s | 865 kB     00:00    
(2063/3646): texlive-itnumpar-svn15878.1.0-63.f 465 kB/s |  16 kB     00:00    
(2064/3646): texlive-iwhdp-svn37552.0.50-63.fc3 885 kB/s |  26 kB     00:00    
(2065/3646): texlive-jablantile-svn16364.0-63.f 232 kB/s |  14 kB     00:00    
(2066/3646): texlive-jacow-svn63060-63.fc38.noa 408 kB/s |  23 kB     00:00    
(2067/3646): texlive-iwona-svn19611.0.995b-63.f  46 MB/s | 4.1 MB     00:00    
(2068/3646): texlive-jamtimes-svn20408.1.12-63. 4.4 MB/s |  97 kB     00:00    
(2069/3646): texlive-japanese-otf-svn64072-63.f  34 MB/s | 1.4 MB     00:00    
(2070/3646): texlive-jbact-svn52717-63.fc38.noa 887 kB/s |  20 kB     00:00    
(2071/3646): texlive-jkmath-svn47109-63.fc38.no 6.2 MB/s | 142 kB     00:00    
(2072/3646): texlive-jlabels-svn24858.0-63.fc38 573 kB/s |  12 kB     00:00    
(2073/3646): texlive-jknapltx-svn19440.0-63.fc3 1.2 MB/s |  26 kB     00:00    
(2074/3646): texlive-jmb-svn52718-63.fc38.noarc 974 kB/s |  20 kB     00:00    
(2075/3646): texlive-jmlr-svn61957-63.fc38.noar 1.6 MB/s |  34 kB     00:00    
(2076/3646): texlive-jneurosci-svn17346.1.00-63 897 kB/s |  20 kB     00:00    
(2077/3646): texlive-jmsdelim-svn62630-63.fc38.  15 MB/s | 409 kB     00:00    
(2078/3646): texlive-jnuexam-svn56867-63.fc38.n  15 MB/s | 608 kB     00:00    
(2079/3646): texlive-jobname-suffix-svn64797-63  11 MB/s | 445 kB     00:00    
(2080/3646): texlive-josefin-svn64569-63.fc38.n  28 MB/s | 1.3 MB     00:00    
(2081/3646): texlive-jourcl-svn65290-63.fc38.no 4.7 MB/s | 129 kB     00:00    
(2082/3646): texlive-jpsj-svn15878.1.2.2-63.fc3 736 kB/s |  21 kB     00:00    
(2083/3646): texlive-jumplines-svn37553.0.2-63. 912 kB/s |  20 kB     00:00    
(2084/3646): texlive-jslectureplanner-svn57095- 817 kB/s |  30 kB     00:00    
(2085/3646): texlive-jupynotex-svn56715-63.fc38 1.9 MB/s |  42 kB     00:00    
(2086/3646): texlive-junicode-svn61719-63.fc38.  25 MB/s | 1.1 MB     00:00    
(2087/3646): texlive-jurabib-svn15878.0.6-63.fc 2.6 MB/s |  74 kB     00:00    
(2088/3646): texlive-jvlisting-svn24638.0.7-63. 540 kB/s |  16 kB     00:00    
(2089/3646): texlive-kantlipsum-svn51727-63.fc3 1.5 MB/s |  40 kB     00:00    
(2090/3646): texlive-kalendarium-svn48744-63.fc  10 MB/s | 374 kB     00:00    
(2091/3646): texlive-karnaugh-svn21338.0-63.fc3 828 kB/s |  18 kB     00:00    
(2092/3646): texlive-karnaugh-map-svn61614-63.f 8.4 MB/s | 295 kB     00:00    
(2093/3646): texlive-karnaughmap-svn36989.2.0-6 598 kB/s |  18 kB     00:00    
(2094/3646): texlive-kastrup-svn15878.0-63.fc38 567 kB/s |  12 kB     00:00    
(2095/3646): texlive-kblocks-svn57617-63.fc38.n  11 MB/s | 349 kB     00:00    
(2096/3646): texlive-kdgdocs-svn24498.1.0-63.fc 839 kB/s |  20 kB     00:00    
(2097/3646): texlive-kdpcover-svn65150-63.fc38.  10 MB/s | 371 kB     00:00    
(2098/3646): texlive-keycommand-svn18042.3.1415 742 kB/s |  18 kB     00:00    
(2099/3646): texlive-kerntest-svn15878.1.32-63. 991 kB/s |  26 kB     00:00    
(2100/3646): texlive-keyindex-svn50828-63.fc38. 4.3 MB/s | 159 kB     00:00    
(2101/3646): texlive-keyfloat-svn61561-63.fc38.  22 MB/s | 1.0 MB     00:00    
(2102/3646): texlive-keyparse-svn60277-63.fc38.  11 MB/s | 561 kB     00:00    
(2103/3646): texlive-keyreader-svn28195.0.5b-63 1.2 MB/s |  25 kB     00:00    
(2104/3646): texlive-keystroke-svn17992.v1.6-63 1.0 MB/s |  22 kB     00:00    
(2105/3646): texlive-keyval2e-svn23698.0.0.2-63 953 kB/s |  19 kB     00:00    
(2106/3646): texlive-keyvaltable-svn54677-63.fc 1.1 MB/s |  23 kB     00:00    
(2107/3646): texlive-kfupm-math-exam-svn63977-6 3.4 MB/s |  77 kB     00:00    
(2108/3646): texlive-kinematikz-svn61392-63.fc3 2.1 MB/s |  45 kB     00:00    
(2109/3646): texlive-kix-svn21606.0-63.fc38.noa 700 kB/s |  15 kB     00:00    
(2110/3646): texlive-kixfont-svn18488.0-63.fc38 587 kB/s |  12 kB     00:00    
(2111/3646): texlive-knittingpattern-svn17205.0 844 kB/s |  18 kB     00:00    
(2112/3646): texlive-kluwer-svn54074-63.fc38.no 1.9 MB/s |  72 kB     00:00    
(2113/3646): texlive-knitting-svn50782-63.fc38.  14 MB/s | 605 kB     00:00    
(2114/3646): texlive-knowledge-svn61991-63.fc38  26 MB/s | 826 kB     00:00    
(2115/3646): texlive-knuth-lib-svn57963-63.fc38 1.9 MB/s |  52 kB     00:00    
(2116/3646): texlive-knuth-local-svn57963-63.fc 1.7 MB/s |  45 kB     00:00    
(2117/3646): texlive-koma-moderncvclassic-svn25 1.0 MB/s |  20 kB     00:00    
(2118/3646): texlive-koma-script-sfs-svn26137.1 805 kB/s |  17 kB     00:00    
(2119/3646): texlive-komacv-svn57721-63.fc38.no 1.1 MB/s |  24 kB     00:00    
(2120/3646): texlive-koma-script-svn64685-63.fc  47 MB/s | 6.4 MB     00:00    
(2121/3646): texlive-komacv-rg-svn49064-63.fc38 5.8 MB/s | 848 kB     00:00    
(2122/3646): texlive-kpfonts-otf-svn65082-63.fc  22 MB/s | 3.2 MB     00:00    
(2123/3646): texlive-ksfh_nat-svn24825.1.1-63.f 644 kB/s |  20 kB     00:00    
(2124/3646): texlive-ksp-thesis-svn39080-63.fc3 977 kB/s |  21 kB     00:00    
(2125/3646): texlive-ktv-texdata-svn27369.05.34 628 kB/s |  18 kB     00:00    
(2126/3646): texlive-ku-template-svn45935-63.fc 8.7 MB/s | 233 kB     00:00    
(2127/3646): texlive-kvdefinekeys-svn53193-63.f 6.4 MB/s | 305 kB     00:00    
(2128/3646): texlive-kvmap-svn56361-63.fc38.noa 3.2 MB/s | 110 kB     00:00    
(2129/3646): texlive-kvoptions-svn63622-63.fc38  15 MB/s | 493 kB     00:00    
(2130/3646): texlive-kvsetkeys-svn64632-63.fc38  13 MB/s | 398 kB     00:00    
(2131/3646): texlive-l3backend-svn64836-63.fc38  22 MB/s | 896 kB     00:00    
(2132/3646): texlive-l3experimental-svn63089-63 1.3 MB/s |  43 kB     00:00    
(2133/3646): texlive-kpfonts-svn64440-63.fc38.n 8.1 MB/s | 2.7 MB     00:00    
(2134/3646): texlive-l3kernel-svn65299-63.fc38. 5.5 MB/s | 201 kB     00:00    
(2135/3646): texlive-labbook-svn15878.0-63.fc38 739 kB/s |  18 kB     00:00    
(2136/3646): texlive-l3packages-svn65300-63.fc3 1.6 MB/s |  40 kB     00:00    
(2137/3646): texlive-labels-svn15878.13-63.fc38 749 kB/s |  18 kB     00:00    
(2138/3646): texlive-labels4easylist-svn51124-6 1.2 MB/s |  36 kB     00:00    
(2139/3646): texlive-kurier-svn19612.0.995b-63.  12 MB/s | 4.0 MB     00:00    
(2140/3646): texlive-labelschanged-svn46040-63. 6.3 MB/s | 201 kB     00:00    
(2141/3646): texlive-ladder-svn44394-63.fc38.no 8.4 MB/s | 219 kB     00:00    
(2142/3646): texlive-lambda-lists-svn31402.0-63 611 kB/s |  15 kB     00:00    
(2143/3646): texlive-langcode-svn27764.0.2-63.f 914 kB/s |  19 kB     00:00    
(2144/3646): texlive-langsci-avm-svn55846-63.fc 4.3 MB/s | 128 kB     00:00    
(2145/3646): texlive-langsci-svn62061-63.fc38.n 3.1 MB/s |  90 kB     00:00    
(2146/3646): texlive-lambdax-svn60278-63.fc38.n 9.0 MB/s | 543 kB     00:00    
(2147/3646): texlive-lapdf-svn23806.1.1-63.fc38 1.3 MB/s |  27 kB     00:00    
(2148/3646): texlive-lastpackage-svn34481.0.1-6 820 kB/s |  17 kB     00:00    
(2149/3646): texlive-lastpage-svn60414-63.fc38. 910 kB/s |  20 kB     00:00    
(2150/3646): texlive-latex-fonts-svn28888.0-63. 404 kB/s |  49 kB     00:00    
(2151/3646): texlive-latex-firstaid-dev-svn6518 2.0 MB/s | 277 kB     00:00    
(2152/3646): texlive-latex-make-svn60874-63.fc3 1.4 MB/s |  38 kB     00:00    
(2153/3646): texlive-latex-uni8-svn49729-63.fc3 328 kB/s |  25 kB     00:00    
(2154/3646): texlive-latex-lab-svn64892-63.fc38  15 MB/s | 1.8 MB     00:00    
(2155/3646): texlive-latexcolors-svn49888-63.fc 4.4 MB/s | 388 kB     00:00    
(2156/3646): texlive-latexbug-svn63596-63.fc38. 2.2 MB/s | 237 kB     00:00    
(2157/3646): texlive-latexconfig-svn53525-63.fc 691 kB/s |  15 kB     00:00    
(2158/3646): texlive-latexdemo-svn55265-63.fc38 653 kB/s |  19 kB     00:00    
(2159/3646): texlive-latexgit-svn54811-63.fc38.  10 MB/s | 446 kB     00:00    
(2160/3646): texlive-latex-base-dev-svn65180-63  68 MB/s |  30 MB     00:00    
(2161/3646): texlive-lazylist-svn17691.1.0a-63. 707 kB/s |  16 kB     00:00    
(2162/3646): texlive-layouts-svn42428-63.fc38.n 485 kB/s |  29 kB     00:00    
(2163/3646): texlive-lccaps-svn46432-63.fc38.no 6.9 MB/s | 163 kB     00:00    
(2164/3646): texlive-lcd-svn16549.0.3-63.fc38.n 729 kB/s |  17 kB     00:00    
(2165/3646): texlive-leading-svn15878.0.3-63.fc 720 kB/s |  15 kB     00:00    
(2166/3646): texlive-lcg-svn31474.1.3-63.fc38.n 721 kB/s |  16 kB     00:00    
(2167/3646): texlive-leaflet-svn56878-63.fc38.n 568 kB/s |  19 kB     00:00    
(2168/3646): texlive-lebhart-svn64280-63.fc38.n 8.0 MB/s | 403 kB     00:00    
(2169/3646): texlive-lectures-svn53642-63.fc38. 782 kB/s |  18 kB     00:00    
(2170/3646): texlive-lectureslides-svn62292-63. 2.5 MB/s |  59 kB     00:00    
(2171/3646): texlive-leftidx-svn15878.0-63.fc38 705 kB/s |  14 kB     00:00    
(2172/3646): texlive-leipzig-svn52450-63.fc38.n 1.0 MB/s |  22 kB     00:00    
(2173/3646): texlive-leftindex-svn56182-63.fc38 3.5 MB/s |  90 kB     00:00    
(2174/3646): texlive-lato-svn54512-63.fc38.noar  49 MB/s |  13 MB     00:00    
(2175/3646): texlive-lengthconvert-svn55064-63. 595 kB/s |  18 kB     00:00    
(2176/3646): texlive-letltxmacro-svn53022-63.fc 9.6 MB/s | 299 kB     00:00    
(2177/3646): texlive-lettre-svn54722-63.fc38.no 2.0 MB/s |  43 kB     00:00    
(2178/3646): texlive-lettrine-svn64511-63.fc38. 1.1 MB/s |  25 kB     00:00    
(2179/3646): texlive-letterswitharrows-svn59993  11 MB/s | 486 kB     00:00    
(2180/3646): texlive-lewis-svn15878.0.1-63.fc38 358 kB/s |  12 kB     00:00    
(2181/3646): texlive-lexend-svn57564-63.fc38.no  11 MB/s | 373 kB     00:00    
(2182/3646): texlive-lfb-svn15878.1.0-63.fc38.n 2.3 MB/s |  52 kB     00:00    
(2183/3646): texlive-lhelp-svn23638.2.0-63.fc38 1.0 MB/s |  21 kB     00:00    
(2184/3646): texlive-libertinegc-svn44616-63.fc 682 kB/s |  94 kB     00:00    
(2185/3646): texlive-libertinus-otf-svn60023-63 9.8 MB/s | 599 kB     00:00    
(2186/3646): texlive-libertinus-fonts-svn57948-  12 MB/s | 2.3 MB     00:00    
(2187/3646): texlive-libertinus-svn61719-63.fc3 624 kB/s |  13 kB     00:00    
(2188/3646): texlive-libertine-svn64359-63.fc38  55 MB/s |  14 MB     00:00    
(2189/3646): texlive-libgreek-svn65004-63.fc38. 843 kB/s |  21 kB     00:00    
(2190/3646): texlive-librebaskerville-svn64421-  20 MB/s | 642 kB     00:00    
(2191/3646): texlive-libertinust1math-svn61751-  10 MB/s | 1.2 MB     00:00    
(2192/3646): texlive-librecaslon-svn64432-63.fc  13 MB/s | 831 kB     00:00    
(2193/3646): texlive-librefranklin-svn64441-63.  24 MB/s | 3.1 MB     00:00    
(2194/3646): texlive-librebodoni-svn64431-63.fc 2.6 MB/s | 610 kB     00:00    
(2195/3646): texlive-lie-hasse-svn61719-63.fc38  20 MB/s | 587 kB     00:00    
(2196/3646): texlive-liftarm-svn62981-63.fc38.n  36 MB/s | 1.8 MB     00:00    
(2197/3646): texlive-limap-svn44863-63.fc38.noa 865 kB/s |  18 kB     00:00    
(2198/3646): texlive-limecv-svn61199-63.fc38.no  14 MB/s | 391 kB     00:00    
(2199/3646): texlive-libertinus-type1-svn64958-  21 MB/s |  10 MB     00:00    
(2200/3646): texlive-lineara-svn63169-63.fc38.n 4.9 MB/s | 164 kB     00:00    
(2201/3646): texlive-linegoal-svn21523.2.9-63.f 900 kB/s |  18 kB     00:00    
(2202/3646): texlive-lineno-svn65204-63.fc38.no 3.4 MB/s |  75 kB     00:00    
(2203/3646): texlive-linguex-svn30815.4.3-63.fc 1.0 MB/s |  21 kB     00:00    
(2204/3646): texlive-linguisticspro-svn64858-63  40 MB/s | 1.5 MB     00:00    
(2205/3646): texlive-linop-svn41304-63.fc38.noa 847 kB/s |  17 kB     00:00    
(2206/3646): texlive-libris-svn19409.1.007-63.f 1.1 MB/s | 278 kB     00:00    
(2207/3646): texlive-lipsum-svn60561-63.fc38.no 6.1 MB/s | 138 kB     00:00    
(2208/3646): texlive-lisp-on-tex-svn38722-63.fc 1.1 MB/s |  26 kB     00:00    
(2209/3646): texlive-lion-msc-svn55415-63.fc38.  36 MB/s | 1.4 MB     00:00    
(2210/3646): texlive-listing-svn17373.1.2-63.fc 761 kB/s |  15 kB     00:00    
(2211/3646): texlive-listings-svn55265-63.fc38. 6.5 MB/s | 166 kB     00:00    
(2212/3646): texlive-listingsutf8-svn53097-63.f  12 MB/s | 308 kB     00:00    
(2213/3646): texlive-listlbls-svn34893.1.03-63. 894 kB/s |  18 kB     00:00    
(2214/3646): texlive-listliketab-svn15878.0-63. 709 kB/s |  15 kB     00:00    
(2215/3646): texlive-listofsymbols-svn16134.0.2 803 kB/s |  16 kB     00:00    
(2216/3646): texlive-listofitems-svn51923-63.fc  27 MB/s | 806 kB     00:00    
(2217/3646): texlive-lkproof-svn20021.3.1-63.fc 723 kB/s |  17 kB     00:00    
(2218/3646): texlive-llncs-svn64299-63.fc38.noa 9.5 MB/s | 236 kB     00:00    
(2219/3646): texlive-llncsconf-svn63136-63.fc38  11 MB/s | 281 kB     00:00    
(2220/3646): texlive-lm-math-svn36915.1.959-63.  13 MB/s | 447 kB     00:00    
(2221/3646): texlive-lmake-svn25552.1.0-63.fc38 221 kB/s |  17 kB     00:00    
(2222/3646): texlive-lni-svn58061-63.fc38.noarc 3.5 MB/s | 387 kB     00:00    
(2223/3646): texlive-lobster2-svn64442-63.fc38. 8.5 MB/s | 572 kB     00:00    
(2224/3646): texlive-locality-svn20422.0.2-63.f 657 kB/s |  19 kB     00:00    
(2225/3646): texlive-logbox-svn24499.1.0-63.fc3 809 kB/s |  18 kB     00:00    
(2226/3646): texlive-lm-svn61719-63.fc38.noarch  63 MB/s |  12 MB     00:00    
(2227/3646): texlive-logical-markup-utils-svn15 786 kB/s |  23 kB     00:00    
(2228/3646): texlive-logicproof-svn33254.0-63.f 873 kB/s |  18 kB     00:00    
(2229/3646): texlive-logpap-svn15878.0.6-63.fc3 891 kB/s |  20 kB     00:00    
(2230/3646): texlive-logreq-svn53003-63.fc38.no 618 kB/s |  20 kB     00:00    
(2231/3646): texlive-longdivision-svn59979-63.f 7.1 MB/s | 166 kB     00:00    
(2232/3646): texlive-longfbox-svn39028-63.fc38. 1.5 MB/s |  33 kB     00:00    
(2233/3646): texlive-longfigure-svn34302.1.0-63 1.0 MB/s |  21 kB     00:00    
(2234/3646): texlive-longnamefilelist-svn27889. 948 kB/s |  20 kB     00:00    
(2235/3646): texlive-loops-svn30704.1.3-63.fc38 1.3 MB/s |  28 kB     00:00    
(2236/3646): texlive-logix-svn63688-63.fc38.noa  36 MB/s | 3.3 MB     00:00    
(2237/3646): texlive-lpform-svn36918.0-63.fc38. 728 kB/s |  15 kB     00:00    
(2238/3646): texlive-lpic-svn20843.0.8-63.fc38. 1.0 MB/s |  22 kB     00:00    
(2239/3646): texlive-lplfitch-svn31077.0.9-63.f 859 kB/s |  18 kB     00:00    
(2240/3646): texlive-lps-svn21322.0.7-63.fc38.n 749 kB/s |  16 kB     00:00    
(2241/3646): texlive-lroundrect-svn39804-63.fc3 926 kB/s |  19 kB     00:00    
(2242/3646): texlive-lsc-svn15878.0-63.fc38.noa 1.3 MB/s |  28 kB     00:00    
(2243/3646): texlive-lstaddons-svn64967-63.fc38 674 kB/s |  19 kB     00:00    
(2244/3646): texlive-lstbayes-svn48160-63.fc38. 942 kB/s |  19 kB     00:00    
(2245/3646): texlive-lstfiracode-svn49503-63.fc 3.3 MB/s |  73 kB     00:00    
(2246/3646): texlive-lt3graph-svn45913-63.fc38. 1.3 MB/s |  27 kB     00:00    
(2247/3646): texlive-lt3rawobjects-svn65230-63.  20 MB/s | 550 kB     00:00    
(2248/3646): texlive-ltablex-svn34923.1.1-63.fc 758 kB/s |  16 kB     00:00    
(2249/3646): texlive-ltabptch-svn17533.1.74d-63 854 kB/s |  18 kB     00:00    
(2250/3646): texlive-ltb2bib-svn43746-63.fc38.n 2.8 MB/s |  60 kB     00:00    
(2251/3646): texlive-ltxcmds-svn56421-63.fc38.n  14 MB/s | 399 kB     00:00    
(2252/3646): texlive-ltxdockit-svn21869.1.2d-63 978 kB/s |  20 kB     00:00    
(2253/3646): texlive-ltxguidex-svn50992-63.fc38 4.0 MB/s |  86 kB     00:00    
(2254/3646): texlive-ltxkeys-svn28332.0.0.3c-63 1.8 MB/s |  39 kB     00:00    
(2255/3646): texlive-ltxmisc-svn21927.0-63.fc38 1.3 MB/s |  27 kB     00:00    
(2256/3646): texlive-ltxnew-svn21586.1.3-63.fc3 924 kB/s |  19 kB     00:00    
(2257/3646): texlive-ltxtools-svn24897.0.0.1a-6 3.0 MB/s |  68 kB     00:00    
(2258/3646): texlive-lua-alt-getopt-svn56414-63 572 kB/s |  12 kB     00:00    
(2259/3646): texlive-lua-check-hyphen-svn47527- 722 kB/s |  15 kB     00:00    
(2260/3646): texlive-lua-uca-svn61023-63.fc38.n 7.7 MB/s | 192 kB     00:00    
(2261/3646): texlive-lua-uni-algos-svn62204-63. 4.4 MB/s |  96 kB     00:00    
(2262/3646): texlive-lua-physical-svn59138-63.f  22 MB/s | 786 kB     00:00    
(2263/3646): texlive-luabibentry-svn55777-63.fc 913 kB/s |  18 kB     00:00    
(2264/3646): texlive-luabidi-svn54512-63.fc38.n 908 kB/s |  19 kB     00:00    
(2265/3646): texlive-lua-widow-control-svn65084  29 MB/s | 1.1 MB     00:00    
(2266/3646): texlive-luacode-svn25193.1.2a-63.f 916 kB/s |  19 kB     00:00    
(2267/3646): texlive-luacolor-svn57829-63.fc38.  12 MB/s | 338 kB     00:00    
(2268/3646): texlive-luainputenc-svn20491.0.973 774 kB/s |  16 kB     00:00    
(2269/3646): texlive-lualatex-math-svn61464-63. 848 kB/s |  20 kB     00:00    
(2270/3646): texlive-lualibs-svn64615-63.fc38.n 6.7 MB/s | 159 kB     00:00    
(2271/3646): texlive-luamesh-svn63875-63.fc38.n  11 MB/s | 280 kB     00:00    
(2272/3646): texlive-luamplib-svn61587-63.fc38. 1.4 MB/s |  29 kB     00:00    
(2273/3646): texlive-luasseq-svn37877.0-63.fc38 1.1 MB/s |  24 kB     00:00    
(2274/3646): texlive-luatexja-svn65267-63.fc38. 8.5 MB/s | 241 kB     00:00    
(2275/3646): texlive-luatexbase-svn52663-63.fc3 411 kB/s |  15 kB     00:00    
(2276/3646): texlive-luatextra-svn20747.1.0.1-6 427 kB/s |  12 kB     00:00    
(2277/3646): texlive-luatodonotes-svn53825-63.f 1.4 MB/s |  42 kB     00:00    
(2278/3646): texlive-luaxml-svn60709-63.fc38.no 1.6 MB/s |  45 kB     00:00    
(2279/3646): texlive-ly1-svn63565-63.fc38.noarc 4.5 MB/s |  99 kB     00:00    
(2280/3646): texlive-lxfonts-svn32354.2.0b-63.f  19 MB/s | 949 kB     00:00    
(2281/3646): texlive-macrolist-svn60139-63.fc38 6.6 MB/s | 203 kB     00:00    
(2282/3646): texlive-macroswap-svn31498.1.1-63. 647 kB/s |  16 kB     00:00    
(2283/3646): texlive-magaz-svn24694.0.4-63.fc38 678 kB/s |  14 kB     00:00    
(2284/3646): texlive-magicnum-svn52983-63.fc38. 9.6 MB/s | 333 kB     00:00    
(2285/3646): texlive-magicwatermark-svn63656-63 9.6 MB/s | 230 kB     00:00    
(2286/3646): texlive-magra-svn57373-63.fc38.noa 9.5 MB/s | 242 kB     00:00    
(2287/3646): texlive-mailing-svn15878.0-63.fc38 727 kB/s |  15 kB     00:00    
(2288/3646): texlive-mailmerge-svn15878.1.0-63. 830 kB/s |  17 kB     00:00    
(2289/3646): texlive-makebarcode-svn15878.1.0-6 887 kB/s |  19 kB     00:00    
(2290/3646): texlive-makebase-svn41012-63.fc38. 839 kB/s |  18 kB     00:00    
(2291/3646): texlive-makebox-svn15878.0.1-63.fc 698 kB/s |  14 kB     00:00    
(2292/3646): texlive-makecell-svn15878.0.1e-63. 860 kB/s |  18 kB     00:00    
(2293/3646): texlive-makecirc-svn15878.0-63.fc3 1.2 MB/s |  26 kB     00:00    
(2294/3646): texlive-makecmds-svn15878.0-63.fc3 706 kB/s |  15 kB     00:00    
(2295/3646): texlive-makecookbook-svn49311-63.f  16 MB/s | 475 kB     00:00    
(2296/3646): texlive-makeglos-svn15878.0-63.fc3 763 kB/s |  16 kB     00:00    
(2297/3646): texlive-makelabels-svn60255-63.fc3  24 MB/s | 711 kB     00:00    
(2298/3646): texlive-maker-svn44823-63.fc38.noa 1.0 MB/s |  21 kB     00:00    
(2299/3646): texlive-makerobust-svn52811-63.fc3 3.8 MB/s |  82 kB     00:00    
(2300/3646): texlive-makeshape-svn28973.2.1-63. 853 kB/s |  18 kB     00:00    
(2301/3646): texlive-mandi-svn61764-63.fc38.noa 1.3 MB/s |  29 kB     00:00    
(2302/3646): texlive-manfnt-font-svn45777-63.fc 1.7 MB/s |  36 kB     00:00    
(2303/3646): texlive-manfnt-svn54684-63.fc38.no 702 kB/s |  15 kB     00:00    
(2304/3646): texlive-manuscript-svn36110.1.7-63 683 kB/s |  15 kB     00:00    
(2305/3646): texlive-manyind-svn49874-63.fc38.n 7.5 MB/s | 172 kB     00:00    
(2306/3646): texlive-marcellus-svn64451-63.fc38 7.9 MB/s | 234 kB     00:00    
(2307/3646): texlive-margbib-svn15878.1.0c-63.f 766 kB/s |  16 kB     00:00    
(2308/3646): texlive-marginfit-svn48281-63.fc38 7.3 MB/s | 226 kB     00:00    
(2309/3646): texlive-marginfix-svn55064-63.fc38 820 kB/s |  17 kB     00:00    
(2310/3646): texlive-marginnote-svn48383-63.fc3 747 kB/s |  17 kB     00:00    
(2311/3646): texlive-markdown-svn65346-63.fc38. 3.2 MB/s |  69 kB     00:00    
(2312/3646): texlive-marvosym-svn29349.2.2a-63. 6.3 MB/s | 146 kB     00:00    
(2313/3646): texlive-matc3-svn29845.1.0.1-63.fc 765 kB/s |  18 kB     00:00    
(2314/3646): texlive-matc3mem-svn35773.1.1-63.f 924 kB/s |  19 kB     00:00    
(2315/3646): texlive-mathabx-svn15878.0-63.fc38 4.8 MB/s | 146 kB     00:00    
(2316/3646): texlive-mathalpha-svn61089-63.fc38 1.1 MB/s |  24 kB     00:00    
(2317/3646): texlive-mathastext-svn64930-63.fc3 1.2 MB/s |  34 kB     00:00    
(2318/3646): texlive-mathcommand-svn59512-63.fc  12 MB/s | 460 kB     00:00    
(2319/3646): texlive-mathabx-type1-svn21129.0-6  25 MB/s | 1.8 MB     00:00    
(2320/3646): texlive-mathcomp-svn15878.0.1f-63. 686 kB/s |  14 kB     00:00    
(2321/3646): texlive-mathexam-svn15878.1.00-63. 707 kB/s |  15 kB     00:00    
(2322/3646): texlive-mathfam256-svn53519-63.fc3 4.4 MB/s | 100 kB     00:00    
(2323/3646): texlive-mathfixs-svn49547-63.fc38. 9.0 MB/s | 303 kB     00:00    
(2324/3646): texlive-mathlig-svn54244-63.fc38.n 589 kB/s |  12 kB     00:00    
(2325/3646): texlive-mathdesign-svn31639.2.31-6  28 MB/s | 2.6 MB     00:00    
(2326/3646): texlive-mathpartir-svn39864-63.fc3 1.0 MB/s |  21 kB     00:00    
(2327/3646): texlive-mathpazo-svn52663-63.fc38. 2.1 MB/s |  89 kB     00:00    
(2328/3646): texlive-mathpunctspace-svn46754-63 5.6 MB/s | 197 kB     00:00    
(2329/3646): texlive-mathspec-svn42773-63.fc38. 996 kB/s |  23 kB     00:00    
(2330/3646): texlive-mathsemantics-svn63241-63.  19 MB/s | 645 kB     00:00    
(2331/3646): texlive-mathtools-svn63767-63.fc38 1.4 MB/s |  37 kB     00:00    
(2332/3646): texlive-matlab-prettifier-svn34323 964 kB/s |  20 kB     00:00    
(2333/3646): texlive-mattens-svn62326-63.fc38.n 773 kB/s |  16 kB     00:00    
(2334/3646): texlive-maybemath-svn15878.0-63.fc 726 kB/s |  15 kB     00:00    
(2335/3646): texlive-matrix-skeleton-svn65013-6 3.3 MB/s | 163 kB     00:00    
(2336/3646): texlive-mcaption-svn15878.3.0-63.f 715 kB/s |  15 kB     00:00    
(2337/3646): texlive-mceinleger-svn15878.0-63.f 727 kB/s |  16 kB     00:00    
(2338/3646): texlive-mcite-svn18173.1.6-63.fc38 767 kB/s |  17 kB     00:00    
(2339/3646): texlive-mcexam-svn60481-63.fc38.no 9.6 MB/s | 253 kB     00:00    
(2340/3646): texlive-mcmthesis-svn57333-63.fc38 855 kB/s |  20 kB     00:00    
(2341/3646): texlive-mciteplus-svn31648.1.2-63. 1.4 MB/s |  48 kB     00:00    
(2342/3646): texlive-mdputu-svn20298.1.2-63.fc3 1.4 MB/s |  31 kB     00:00    
(2343/3646): texlive-mdframed-svn31075.1.9b-63. 1.1 MB/s |  36 kB     00:00    
(2344/3646): texlive-mdwtools-svn15878.1.05.4-6 1.3 MB/s |  35 kB     00:00    
(2345/3646): texlive-mdsymbol-svn28399.0.5-63.f  21 MB/s | 950 kB     00:00    
(2346/3646): texlive-media4svg-svn64686-63.fc38 7.8 MB/s | 194 kB     00:00    
(2347/3646): texlive-mecaso-svn60346-63.fc38.no 3.6 MB/s | 240 kB     00:00    
(2348/3646): texlive-medstarbeamer-svn38828-63. 867 kB/s |  19 kB     00:00    
(2349/3646): texlive-media9-svn64047-63.fc38.no  31 MB/s | 1.8 MB     00:00    
(2350/3646): texlive-meetingmins-svn31878.1.6-6 863 kB/s |  19 kB     00:00    
(2351/3646): texlive-membranecomputing-svn64627  11 MB/s | 295 kB     00:00    
(2352/3646): texlive-memexsupp-svn15878.0.1-63. 703 kB/s |  15 kB     00:00    
(2353/3646): texlive-mathfont-svn65205-63.fc38. 1.8 MB/s | 889 kB     00:00    
(2354/3646): texlive-memory-svn30452.1.2-63.fc3 798 kB/s |  17 kB     00:00    
(2355/3646): texlive-memoir-svn65040-63.fc38.no 3.3 MB/s |  93 kB     00:00    
(2356/3646): texlive-mentis-svn15878.1.5-63.fc3 520 kB/s |  18 kB     00:00    
(2357/3646): texlive-mensa-tex-svn45997-63.fc38 3.4 MB/s | 183 kB     00:00    
(2358/3646): texlive-menu-svn15878.0.994-63.fc3 372 kB/s |  12 kB     00:00    
(2359/3646): texlive-menukeys-svn64314-63.fc38. 1.0 MB/s |  21 kB     00:00    
(2360/3646): texlive-menucard-svn55643-63.fc38. 3.8 MB/s | 188 kB     00:00    
(2361/3646): texlive-memorygraphs-svn49631-63.f 1.1 MB/s | 203 kB     00:00    
(2362/3646): texlive-messagepassing-svn63116-63 1.7 MB/s | 278 kB     00:00    
(2363/3646): texlive-metalogo-svn18611.0.12-63. 471 kB/s |  16 kB     00:00    
(2364/3646): texlive-mercatormap-svn56060-63.fc  74 MB/s |  23 MB     00:00    
(2365/3646): texlive-metanorma-svn55010-63.fc38 4.4 MB/s | 101 kB     00:00    
(2366/3646): texlive-metastr-svn56246-63.fc38.n 9.4 MB/s | 341 kB     00:00    
(2367/3646): texlive-method-svn17485.2.0b-63.fc 768 kB/s |  16 kB     00:00    
(2368/3646): texlive-metre-svn18489.1.0-63.fc38 1.1 MB/s |  24 kB     00:00    
(2369/3646): texlive-mfirstuc-svn64743-63.fc38. 1.0 MB/s |  23 kB     00:00    
(2370/3646): texlive-metalogox-svn49774-63.fc38 2.6 MB/s | 435 kB     00:00    
(2371/3646): texlive-mflogo-font-svn54512-63.fc 1.2 MB/s |  31 kB     00:00    
(2372/3646): texlive-mflogo-svn42428-63.fc38.no 674 kB/s |  16 kB     00:00    
(2373/3646): texlive-mfnfss-svn46036-63.fc38.no 502 kB/s |  16 kB     00:00    
(2374/3646): texlive-mftinc-svn15878.1.0a-63.fc 639 kB/s |  16 kB     00:00    
(2375/3646): texlive-mgltex-svn63255-63.fc38.no 1.4 MB/s |  35 kB     00:00    
(2376/3646): texlive-mhequ-svn64978-63.fc38.noa 678 kB/s |  14 kB     00:00    
(2377/3646): texlive-mi-solns-svn49651-63.fc38.  13 MB/s | 466 kB     00:00    
(2378/3646): texlive-merriweather-svn64452-63.f  10 MB/s | 5.9 MB     00:00    
(2379/3646): texlive-mhchem-svn61456-63.fc38.no 2.1 MB/s | 223 kB     00:00    
(2380/3646): texlive-miama-svn54512-63.fc38.noa  20 MB/s | 558 kB     00:00    
(2381/3646): texlive-microtype-svn63708-63.fc38 2.7 MB/s |  81 kB     00:00    
(2382/3646): texlive-miller-svn18789.1.2-63.fc3 609 kB/s |  15 kB     00:00    
(2383/3646): texlive-midpage-svn17484.1.1a-63.f 393 kB/s |  14 kB     00:00    
(2384/3646): texlive-minibox-svn30914.0.2a-63.f 634 kB/s |  15 kB     00:00    
(2385/3646): texlive-mindflow-svn65236-63.fc38. 3.8 MB/s | 210 kB     00:00    
(2386/3646): texlive-milsymb-svn54361-63.fc38.n  20 MB/s | 1.5 MB     00:00    
(2387/3646): texlive-minifp-svn32559.0.96-63.fc 1.2 MB/s |  27 kB     00:00    
(2388/3646): texlive-minidocument-svn43752-63.f 3.1 MB/s | 200 kB     00:00    
(2389/3646): texlive-minipage-marginpar-svn1587 735 kB/s |  15 kB     00:00    
(2390/3646): texlive-minimalist-svn64280-63.fc3 4.7 MB/s | 133 kB     00:00    
(2391/3646): texlive-miniplot-svn17483.0-63.fc3 849 kB/s |  20 kB     00:00    
(2392/3646): texlive-minitoc-svn61719-63.fc38.n 3.6 MB/s | 121 kB     00:00    
(2393/3646): texlive-minorrevision-svn32165.1.1 572 kB/s |  16 kB     00:00    
(2394/3646): texlive-minted-svn65252-63.fc38.no 1.1 MB/s |  26 kB     00:00    
(2395/3646): texlive-minutes-svn42186-63.fc38.n 916 kB/s |  19 kB     00:00    
(2396/3646): texlive-mintspirit-svn64461-63.fc3  21 MB/s | 1.3 MB     00:00    
(2397/3646): texlive-mismath-svn65365-63.fc38.n 3.7 MB/s | 239 kB     00:00    
(2398/3646): texlive-mla-paper-svn54080-63.fc38 214 kB/s |  16 kB     00:00    
(2399/3646): texlive-mleftright-svn53021-63.fc3 9.5 MB/s | 317 kB     00:00    
(2400/3646): texlive-mlist-svn15878.0.6a-63.fc3 607 kB/s |  17 kB     00:00    
(2401/3646): texlive-missaali-svn61719-63.fc38.  71 MB/s |  14 MB     00:00    
(2402/3646): texlive-mluexercise-svn56927-63.fc 6.1 MB/s | 459 kB     00:00    
(2403/3646): texlive-mmap-svn15878.1.03-63.fc38 2.2 MB/s |  48 kB     00:00    
(2404/3646): texlive-mnotes-svn63406-63.fc38.no 874 kB/s |  18 kB     00:00    
(2405/3646): texlive-mlmodern-svn57458-63.fc38.  50 MB/s | 7.5 MB     00:00    
(2406/3646): texlive-mnras-svn55729-63.fc38.noa 1.9 MB/s |  42 kB     00:00    
(2407/3646): texlive-modeles-factures-belges-as  11 MB/s | 272 kB     00:00    
(2408/3646): texlive-moderncv-svn62128-63.fc38. 2.9 MB/s |  64 kB     00:00    
(2409/3646): texlive-modernposter-svn47269-63.f  12 MB/s | 393 kB     00:00    
(2410/3646): texlive-mnsymbol-svn18651.1.4-63.f  48 MB/s | 4.4 MB     00:00    
(2411/3646): texlive-moderntimeline-svn55518-63 877 kB/s |  19 kB     00:00    
(2412/3646): texlive-modes-svn61719-63.fc38.noa  12 MB/s | 305 kB     00:00    
(2413/3646): texlive-modiagram-svn56886-63.fc38 1.2 MB/s |  25 kB     00:00    
(2414/3646): texlive-modref-svn15878.1.0-63.fc3 788 kB/s |  16 kB     00:00    
(2415/3646): texlive-modroman-svn29803.1-63.fc3 765 kB/s |  16 kB     00:00    
(2416/3646): texlive-modular-svn44142-63.fc38.n 7.0 MB/s | 159 kB     00:00    
(2417/3646): texlive-monofill-svn28140.0.2-63.f 951 kB/s |  20 kB     00:00    
(2418/3646): texlive-mlacls-svn60508-63.fc38.no 6.4 MB/s | 2.9 MB     00:00    
(2419/3646): texlive-moodle-svn57683-63.fc38.no 2.0 MB/s |  45 kB     00:00    
(2420/3646): texlive-moreenum-svn24479.1.03-63. 235 kB/s |  19 kB     00:00    
(2421/3646): texlive-morefloats-svn37927.1.0h-6 250 kB/s |  21 kB     00:00    
(2422/3646): texlive-morehype-svn38815-63.fc38. 2.3 MB/s |  79 kB     00:00    
(2423/3646): texlive-moresize-svn17513.1.9-63.f 577 kB/s |  15 kB     00:00    
(2424/3646): texlive-montserrat-svn54512-63.fc3  78 MB/s |  14 MB     00:00    
(2425/3646): texlive-moreverb-svn22126.2.3a-63. 406 kB/s |  16 kB     00:00    
(2426/3646): texlive-morewrites-svn49531-63.fc3 576 kB/s |  22 kB     00:00    
(2427/3646): texlive-movie15-svn26473-63.fc38.n  12 MB/s | 512 kB     00:00    
(2428/3646): texlive-mparhack-svn59066-63.fc38. 362 kB/s |  17 kB     00:00    
(2429/3646): texlive-mpostinl-svn49559-63.fc38. 9.3 MB/s | 341 kB     00:00    
(2430/3646): texlive-ms-svn57473-63.fc38.noarch 535 kB/s |  17 kB     00:00    
(2431/3646): texlive-msc-svn63291-63.fc38.noarc 1.5 MB/s |  33 kB     00:00    
(2432/3646): texlive-msg-svn49578-63.fc38.noarc 1.0 MB/s |  22 kB     00:00    
(2433/3646): texlive-msu-thesis-svn65282-63.fc3 1.4 MB/s |  29 kB     00:00    
(2434/3646): texlive-mslapa-svn54080-63.fc38.no 900 kB/s |  19 kB     00:00    
(2435/3646): texlive-mtgreek-svn17967.1.1+-63.f 709 kB/s |  15 kB     00:00    
(2436/3646): texlive-mucproc-svn43445-63.fc38.n  13 MB/s | 346 kB     00:00    
(2437/3646): texlive-mugsthesis-svn64259-63.fc3 900 kB/s |  19 kB     00:00    
(2438/3646): texlive-muling-svn61719-63.fc38.no 6.9 MB/s | 158 kB     00:00    
(2439/3646): texlive-multenum-svn21775.0-63.fc3 715 kB/s |  15 kB     00:00    
(2440/3646): texlive-multiaudience-svn60688-63. 873 kB/s |  18 kB     00:00    
(2441/3646): texlive-multibbl-svn15878.v1.1-63. 696 kB/s |  15 kB     00:00    
(2442/3646): texlive-multibib-svn15878.1.4-63.f 1.4 MB/s |  31 kB     00:00    
(2443/3646): texlive-multicap-svn15878.0-63.fc3 718 kB/s |  15 kB     00:00    
(2444/3646): texlive-multicolrule-svn56366-63.f  20 MB/s | 585 kB     00:00    
(2445/3646): texlive-mpfonts-svn54512-63.fc38.n  24 MB/s | 6.0 MB     00:00    
(2446/3646): texlive-multidef-svn40637-63.fc38. 879 kB/s |  19 kB     00:00    
(2447/3646): texlive-multido-svn18302.1.42-63.f 854 kB/s |  17 kB     00:00    
(2448/3646): texlive-multienv-svn64967-63.fc38. 835 kB/s |  18 kB     00:00    
(2449/3646): texlive-multiexpand-svn45943-63.fc 808 kB/s |  18 kB     00:00    
(2450/3646): texlive-multifootnote-svn63456-63. 5.1 MB/s | 112 kB     00:00    
(2451/3646): texlive-multiobjective-svn15878.1. 640 kB/s |  15 kB     00:00    
(2452/3646): texlive-multilang-svn49065-63.fc38  15 MB/s | 419 kB     00:00    
(2453/3646): texlive-multiple-choice-svn63722-6 6.5 MB/s | 145 kB     00:00    
(2454/3646): texlive-multirow-svn58396-63.fc38. 785 kB/s |  16 kB     00:00    
(2455/3646): texlive-munich-svn15878.0-63.fc38. 954 kB/s |  20 kB     00:00    
(2456/3646): texlive-musuos-svn24857.1.1d-63.fc 644 kB/s |  17 kB     00:00    
(2457/3646): texlive-muthesis-svn23861.0-63.fc3 1.0 MB/s |  21 kB     00:00    
(2458/3646): texlive-mversion-svn29370.1.0.1-63 760 kB/s |  16 kB     00:00    
(2459/3646): texlive-mweights-svn53520-63.fc38. 684 kB/s |  15 kB     00:00    
(2460/3646): texlive-mwe-svn64967-63.fc38.noarc  28 MB/s | 840 kB     00:00    
(2461/3646): texlive-mycv-svn26807.1.5.6-63.fc3 1.2 MB/s |  26 kB     00:00    
(2462/3646): texlive-mylatex-svn56751-63.fc38.n 978 kB/s |  20 kB     00:00    
(2463/3646): texlive-mylatexformat-svn21392.3.4 1.0 MB/s |  21 kB     00:00    
(2464/3646): texlive-mynsfc-svn60280-63.fc38.no 893 kB/s |  19 kB     00:00    
(2465/3646): texlive-nag-svn24741.0.7-63.fc38.n 986 kB/s |  22 kB     00:00    
(2466/3646): texlive-nameauth-svn58026-63.fc38. 1.0 MB/s |  23 kB     00:00    
(2467/3646): texlive-namedtensor-svn65346-63.fc 614 kB/s |  13 kB     00:00    
(2468/3646): texlive-namespc-svn15878.0-63.fc38 717 kB/s |  14 kB     00:00    
(2469/3646): texlive-nar-svn38100.3.19-63.fc38. 582 kB/s |  17 kB     00:00    
(2470/3646): texlive-natbib-svn20668.8.31b-63.f 957 kB/s |  30 kB     00:00    
(2471/3646): texlive-natded-svn32693.0.1-63.fc3 946 kB/s |  19 kB     00:00    
(2472/3646): texlive-nath-svn15878.0-63.fc38.no 1.6 MB/s |  37 kB     00:00    
(2473/3646): texlive-nature-svn21819.1.0-63.fc3 928 kB/s |  20 kB     00:00    
(2474/3646): texlive-navydocs-svn41643-63.fc38.  33 MB/s | 1.0 MB     00:00    
(2475/3646): texlive-ncclatex-svn15878.1.5-63.f 1.7 MB/s |  40 kB     00:00    
(2476/3646): texlive-ncctools-svn51810-63.fc38. 2.1 MB/s |  47 kB     00:00    
(2477/3646): texlive-nddiss-svn45107-63.fc38.no 1.1 MB/s |  26 kB     00:00    
(2478/3646): texlive-nchairx-svn60196-63.fc38.n  23 MB/s | 681 kB     00:00    
(2479/3646): texlive-ncntrsbk-svn61719-63.fc38.  12 MB/s | 363 kB     00:00    
(2480/3646): texlive-ndsu-thesis-svn46639-63.fc 784 kB/s |  20 kB     00:00    
(2481/3646): texlive-needspace-svn29601.1.3d-63 607 kB/s |  14 kB     00:00    
(2482/3646): texlive-ndsu-thesis-2022-svn63881-  41 MB/s | 1.5 MB     00:00    
(2483/3646): texlive-nestquot-svn27323.0-63.fc3 634 kB/s |  13 kB     00:00    
(2484/3646): texlive-neuralnetwork-svn31500.1.0 889 kB/s |  19 kB     00:00    
(2485/3646): texlive-newcastle-bst-svn62856-63. 6.8 MB/s | 160 kB     00:00    
(2486/3646): texlive-newcommand-doc-svn18704.2.  15 MB/s | 409 kB     00:00    
(2487/3646): texlive-newenviron-svn29331.1.0-63 692 kB/s |  18 kB     00:00    
(2488/3646): texlive-newfile-svn15878.1.0c-63.f 689 kB/s |  16 kB     00:00    
(2489/3646): texlive-newfloat-svn52906-63.fc38. 5.1 MB/s | 119 kB     00:00    
(2490/3646): texlive-newlfm-svn15878.9.4-63.fc3 1.3 MB/s |  36 kB     00:00    
(2491/3646): texlive-newspaper-svn15878.1.0-63. 228 kB/s |  15 kB     00:00    
(2492/3646): texlive-newpx-svn61806-63.fc38.noa  30 MB/s | 3.1 MB     00:00    
(2493/3646): texlive-newtxsf-svn59227-63.fc38.n 4.8 MB/s | 126 kB     00:00    
(2494/3646): texlive-newcomputermodern-svn61310  46 MB/s | 9.4 MB     00:00    
(2495/3646): texlive-newtxtt-svn54512-63.fc38.n 6.3 MB/s | 179 kB     00:00    
(2496/3646): texlive-newunicodechar-svn47382-63 875 kB/s |  18 kB     00:00    
(2497/3646): texlive-newvbtm-svn23996.1.1-63.fc 781 kB/s |  17 kB     00:00    
(2498/3646): texlive-newverbs-svn64833-63.fc38. 907 kB/s |  19 kB     00:00    
(2499/3646): texlive-nextpage-svn15878.1.1a-63. 695 kB/s |  15 kB     00:00    
(2500/3646): texlive-nfssext-cfr-svn43640-63.fc 1.0 MB/s |  21 kB     00:00    
(2501/3646): texlive-nicefilelist-svn65235-63.f 1.0 MB/s |  22 kB     00:00    
(2502/3646): texlive-niceframe-svn36086.1.1c-63 2.3 MB/s |  51 kB     00:00    
(2503/3646): texlive-niceframe-type1-svn44671-6 8.7 MB/s | 287 kB     00:00    
(2504/3646): texlive-nicematrix-svn65253-63.fc3  38 MB/s | 1.8 MB     00:00    
(2505/3646): texlive-nicetext-svn38914-63.fc38. 3.2 MB/s |  75 kB     00:00    
(2506/3646): texlive-nih-svn15878.0-63.fc38.noa 756 kB/s |  16 kB     00:00    
(2507/3646): texlive-nidanfloat-svn48295-63.fc3 9.4 MB/s | 234 kB     00:00    
(2508/3646): texlive-nihbiosketch-svn54191-63.f 883 kB/s |  19 kB     00:00    
(2509/3646): texlive-ninecolors-svn62006-63.fc3 1.8 MB/s |  67 kB     00:00    
(2510/3646): texlive-nimbus15-svn58839-63.fc38.  46 MB/s | 3.9 MB     00:00    
(2511/3646): texlive-njustthesis-svn62451-63.fc 7.5 MB/s | 230 kB     00:00    
(2512/3646): texlive-njuthesis-svn65196-63.fc38  32 MB/s | 1.1 MB     00:00    
(2513/3646): texlive-nkarta-svn16437.0.2-63.fc3 1.8 MB/s |  40 kB     00:00    
(2514/3646): texlive-njuvisual-svn65261-63.fc38  15 MB/s | 919 kB     00:00    
(2515/3646): texlive-nlctdoc-svn64708-63.fc38.n 1.7 MB/s |  37 kB     00:00    
(2516/3646): texlive-nl-interval-svn58328-63.fc 2.5 MB/s |  80 kB     00:00    
(2517/3646): texlive-nmbib-svn37984.1.04-63.fc3 815 kB/s |  26 kB     00:00    
(2518/3646): texlive-nndraw-svn59674-63.fc38.no 3.5 MB/s | 118 kB     00:00    
(2519/3646): texlive-noconflict-svn30140.1.0-63 676 kB/s |  18 kB     00:00    
(2520/3646): texlive-noindentafter-svn59195-63. 837 kB/s |  18 kB     00:00    
(2521/3646): texlive-noitcrul-svn15878.0.2-63.f 700 kB/s |  15 kB     00:00    
(2522/3646): texlive-nolbreaks-svn26786.1.2-63. 637 kB/s |  13 kB     00:00    
(2523/3646): texlive-nomencl-svn61029-63.fc38.n 820 kB/s |  17 kB     00:00    
(2524/3646): texlive-nomentbl-svn16549.0.4-63.f 775 kB/s |  16 kB     00:00    
(2525/3646): texlive-nonfloat-svn17598.1.0-63.f 577 kB/s |  12 kB     00:00    
(2526/3646): texlive-nonumonpart-svn22114.1-63. 761 kB/s |  16 kB     00:00    
(2527/3646): texlive-nopageno-svn18128.0-63.fc3 703 kB/s |  15 kB     00:00    
(2528/3646): texlive-norasi-c90-svn60831-63.fc3 1.0 MB/s |  21 kB     00:00    
(2529/3646): texlive-normalcolor-svn40125-63.fc 843 kB/s |  18 kB     00:00    
(2530/3646): texlive-nostarch-svn15878.1.3-63.f 1.0 MB/s |  22 kB     00:00    
(2531/3646): texlive-notes-svn42428-63.fc38.noa 1.0 MB/s |  22 kB     00:00    
(2532/3646): texlive-notes2bib-svn52231-63.fc38 858 kB/s |  18 kB     00:00    
(2533/3646): texlive-notestex-svn45396-63.fc38. 8.9 MB/s | 544 kB     00:00    
(2534/3646): texlive-notespages-svn41906-63.fc3  11 MB/s | 883 kB     00:00    
(2535/3646): texlive-notex-bst-svn42361-63.fc38 680 kB/s |  14 kB     00:00    
(2536/3646): texlive-newtx-svn62369-63.fc38.noa 7.0 MB/s | 6.5 MB     00:00    
(2537/3646): texlive-notoccite-svn18129.0-63.fc 490 kB/s |  12 kB     00:00    
(2538/3646): texlive-noto-emoji-svn62950-63.fc3  26 MB/s | 8.9 MB     00:00    
(2539/3646): texlive-notomath-svn58726-63.fc38.  11 MB/s | 647 kB     00:00    
(2540/3646): texlive-nowidow-svn24066.1.0-63.fc 574 kB/s |  17 kB     00:00    
(2541/3646): texlive-nox-svn30991.1.0-63.fc38.n 727 kB/s |  18 kB     00:00    
(2542/3646): texlive-nrc-svn29027.2.01a-63.fc38 966 kB/s |  28 kB     00:00    
(2543/3646): texlive-noto-svn64351-63.fc38.noar  52 MB/s |  28 MB     00:00    
(2544/3646): texlive-novel-svn54512-63.fc38.noa  23 MB/s | 4.7 MB     00:00    
(2545/3646): texlive-ntgclass-svn56959-63.fc38. 385 kB/s |  34 kB     00:00    
(2546/3646): texlive-nth-svn54252-63.fc38.noarc 381 kB/s |  12 kB     00:00    
(2547/3646): texlive-ntheorem-svn27609.1.33-63. 652 kB/s |  23 kB     00:00    
(2548/3646): texlive-nuc-svn22256.0.1-63.fc38.n 386 kB/s |  15 kB     00:00    
(2549/3646): texlive-nucleardata-svn47307-63.fc 6.0 MB/s | 151 kB     00:00    
(2550/3646): texlive-numberedblock-svn33109.1.1 906 kB/s |  19 kB     00:00    
(2551/3646): texlive-numerica-plus-svn61289-63.  15 MB/s | 574 kB     00:00    
(2552/3646): texlive-numerica-svn61283-63.fc38.  21 MB/s | 1.0 MB     00:00    
(2553/3646): texlive-numericplots-svn31729.2.0. 1.4 MB/s |  31 kB     00:00    
(2554/3646): texlive-numerica-tables-svn61288-6  11 MB/s | 585 kB     00:00    
(2555/3646): texlive-numname-svn18130.0-63.fc38 767 kB/s |  16 kB     00:00    
(2556/3646): texlive-numprint-svn27498.1.39-63. 1.1 MB/s |  24 kB     00:00    
(2557/3646): texlive-numspell-svn61132-63.fc38. 6.5 MB/s | 293 kB     00:00    
(2558/3646): texlive-nunito-svn57429-63.fc38.no  35 MB/s | 4.8 MB     00:00    
(2559/3646): texlive-nwafuthesis-svn63438-63.fc  15 MB/s | 2.2 MB     00:00    
(2560/3646): texlive-objectz-svn61719-63.fc38.n 1.0 MB/s |  23 kB     00:00    
(2561/3646): texlive-obnov-svn33355.0.11-63.fc3 3.8 MB/s |  88 kB     00:00    
(2562/3646): texlive-ocg-p-svn28803.0.4-63.fc38 843 kB/s |  18 kB     00:00    
(2563/3646): texlive-ocgx-svn54512-63.fc38.noar 750 kB/s |  16 kB     00:00    
(2564/3646): texlive-ocgx2-svn65292-63.fc38.noa 1.5 MB/s |  34 kB     00:00    
(2565/3646): texlive-ocherokee-svn25689.0-63.fc 2.6 MB/s |  93 kB     00:00    
(2566/3646): texlive-ocr-b-outline-svn20969.0-6 6.0 MB/s | 249 kB     00:00    
(2567/3646): texlive-ocr-b-svn20852.0-63.fc38.n 1.3 MB/s |  27 kB     00:00    
(2568/3646): texlive-ocr-latex-svn15878.0-63.fc 833 kB/s |  18 kB     00:00    
(2569/3646): texlive-nwejm-svn64462-63.fc38.noa  17 MB/s | 3.9 MB     00:00    
(2570/3646): texlive-octavo-svn15878.1.2-63.fc3 973 kB/s |  21 kB     00:00    
(2571/3646): texlive-ogham-svn24876.0-63.fc38.n 574 kB/s |  12 kB     00:00    
(2572/3646): texlive-old-arrows-svn42872-63.fc3 1.1 MB/s |  63 kB     00:00    
(2573/3646): texlive-oinuit-svn28668.0-63.fc38. 2.5 MB/s | 168 kB     00:00    
(2574/3646): texlive-oldlatin-svn17932.1.00-63. 1.3 MB/s |  79 kB     00:00    
(2575/3646): texlive-oldstyle-svn15878.0.2-63.f 331 kB/s |  15 kB     00:00    
(2576/3646): texlive-onlyamsmath-svn42927-63.fc 354 kB/s |  15 kB     00:00    
(2577/3646): texlive-onrannual-svn17474.1.1-63. 670 kB/s |  19 kB     00:00    
(2578/3646): texlive-opcit-svn15878.1.1-63.fc38 707 kB/s |  21 kB     00:00    
(2579/3646): texlive-oldstandard-svn64464-63.fc  19 MB/s | 1.8 MB     00:00    
(2580/3646): texlive-opencolor-svn64403-63.fc38 3.3 MB/s | 206 kB     00:00    
(2581/3646): texlive-oplotsymbl-svn44951-63.fc3 4.6 MB/s | 243 kB     00:00    
(2582/3646): texlive-opensans-svn54512-63.fc38.  32 MB/s | 2.4 MB     00:00    
(2583/3646): texlive-opteng-svn27331.1.0-63.fc3 922 kB/s |  19 kB     00:00    
(2584/3646): texlive-optidef-svn50941-63.fc38.n 1.0 MB/s |  21 kB     00:00    
(2585/3646): texlive-optional-svn18131.2.2b-63. 545 kB/s |  17 kB     00:00    
(2586/3646): texlive-orcidlink-svn59560-63.fc38 7.8 MB/s | 187 kB     00:00    
(2587/3646): texlive-options-svn39030-63.fc38.n 945 kB/s |  27 kB     00:00    
(2588/3646): texlive-orientation-svn57390-63.fc 553 kB/s |  12 kB     00:00    
(2589/3646): texlive-orkhun-svn15878.0-63.fc38. 844 kB/s |  18 kB     00:00    
(2590/3646): texlive-oscola-svn54328-63.fc38.no 1.8 MB/s |  39 kB     00:00    
(2591/3646): texlive-ot-tableau-svn59318-63.fc3 516 kB/s |  16 kB     00:00    
(2592/3646): texlive-oubraces-svn21833.0-63.fc3 346 kB/s |  12 kB     00:00    
(2593/3646): texlive-oswald-svn60784-63.fc38.no  23 MB/s | 1.0 MB     00:00    
(2594/3646): texlive-outline-svn18360.0-63.fc38 727 kB/s |  15 kB     00:00    
(2595/3646): texlive-outliner-svn21095.0.94-63. 842 kB/s |  18 kB     00:00    
(2596/3646): texlive-oup-authoring-template-svn 9.5 MB/s | 349 kB     00:00    
(2597/3646): texlive-outlines-svn25192.1.1-63.f 564 kB/s |  15 kB     00:00    
(2598/3646): texlive-overlays-svn57866-63.fc38. 5.1 MB/s | 119 kB     00:00    
(2599/3646): texlive-outlining-svn45601-63.fc38 6.2 MB/s | 152 kB     00:00    
(2600/3646): texlive-overpic-svn53889-63.fc38.n 722 kB/s |  15 kB     00:00    
(2601/3646): texlive-pacioli-svn24947.0-63.fc38 1.4 MB/s |  30 kB     00:00    
(2602/3646): texlive-pagecolor-svn65120-63.fc38 847 kB/s |  19 kB     00:00    
(2603/3646): texlive-padcount-svn47621-63.fc38. 6.3 MB/s | 155 kB     00:00    
(2604/3646): texlive-overlock-svn64495-63.fc38.  12 MB/s | 683 kB     00:00    
(2605/3646): texlive-pagecont-svn15878.1.0-63.f 694 kB/s |  15 kB     00:00    
(2606/3646): texlive-pagegrid-svn64470-63.fc38.  13 MB/s | 337 kB     00:00    
(2607/3646): texlive-pagella-otf-svn64705-63.fc 9.6 MB/s | 305 kB     00:00    
(2608/3646): texlive-pagenote-svn63708-63.fc38. 854 kB/s |  18 kB     00:00    
(2609/3646): texlive-pagerange-svn16915.0.5-63. 829 kB/s |  17 kB     00:00    
(2610/3646): texlive-pagesel-svn56105-63.fc38.n  10 MB/s | 339 kB     00:00    
(2611/3646): texlive-palatino-svn61719-63.fc38. 7.8 MB/s | 422 kB     00:00    
(2612/3646): texlive-pageslts-svn39164-63.fc38. 425 kB/s |  26 kB     00:00    
(2613/3646): texlive-palette-svn60119-63.fc38.n 5.1 MB/s | 192 kB     00:00    
(2614/3646): texlive-pangram-svn64783-63.fc38.n 4.9 MB/s | 112 kB     00:00    
(2615/3646): texlive-papercdcase-svn15878.0-63. 849 kB/s |  17 kB     00:00    
(2616/3646): texlive-paper-svn34521.1.0l-63.fc3 1.1 MB/s |  23 kB     00:00    
(2617/3646): texlive-papermas-svn23667.1.0h-63. 924 kB/s |  20 kB     00:00    
(2618/3646): texlive-papertex-svn19230.1.2b-63. 817 kB/s |  19 kB     00:00    
(2619/3646): texlive-paracol-svn49560-63.fc38.n 1.3 MB/s |  32 kB     00:00    
(2620/3646): texlive-parades-svn40042-63.fc38.n 817 kB/s |  17 kB     00:00    
(2621/3646): texlive-parallel-svn15878.0-63.fc3 832 kB/s |  17 kB     00:00    
(2622/3646): texlive-paralist-svn43021-63.fc38. 792 kB/s |  17 kB     00:00    
(2623/3646): texlive-paresse-svn59228-63.fc38.n 816 kB/s |  17 kB     00:00    
(2624/3646): texlive-parnotes-svn51720-63.fc38. 939 kB/s |  20 kB     00:00    
(2625/3646): texlive-parsa-svn54840-63.fc38.noa 1.5 MB/s | 148 kB     00:00    
(2626/3646): texlive-paratype-svn32859.0-63.fc3  36 MB/s | 4.6 MB     00:00    
(2627/3646): texlive-parskip-svn58358-63.fc38.n 792 kB/s |  16 kB     00:00    
(2628/3646): texlive-pas-cours-svn55859-63.fc38 722 kB/s |  16 kB     00:00    
(2629/3646): texlive-pas-cv-svn32263.2.01-63.fc 768 kB/s |  25 kB     00:00    
(2630/3646): texlive-pas-tableur-svn39542-63.fc 598 kB/s |  17 kB     00:00    
(2631/3646): texlive-parselines-svn21475.1.4-63 106 kB/s |  18 kB     00:00    
(2632/3646): texlive-patchcmd-svn41379-63.fc38. 362 kB/s |  13 kB     00:00    
(2633/3646): texlive-pascaltriangle-svn61774-63 4.3 MB/s | 180 kB     00:00    
(2634/3646): texlive-pauldoc-svn16005.0.5-63.fc 735 kB/s |  15 kB     00:00    
(2635/3646): texlive-pawpict-svn21629.1.0-63.fc 640 kB/s |  16 kB     00:00    
(2636/3646): texlive-pb-diagram-svn15878.5.0-63 929 kB/s |  26 kB     00:00    
(2637/3646): texlive-pbalance-svn64002-63.fc38. 3.1 MB/s |  71 kB     00:00    
(2638/3646): texlive-pbox-svn24807.1.2-63.fc38. 525 kB/s |  18 kB     00:00    
(2639/3646): texlive-pbsheet-svn24830.0.1-63.fc 547 kB/s |  19 kB     00:00    
(2640/3646): texlive-path-svn22045.3.05-63.fc38 122 kB/s |  15 kB     00:00    
(2641/3646): texlive-pdf14-svn17583.0.1-63.fc38 368 kB/s |  17 kB     00:00    
(2642/3646): texlive-pdfcol-svn64469-63.fc38.no 5.5 MB/s | 326 kB     00:00    
(2643/3646): texlive-pdfcomment-svn49047-63.fc3 977 kB/s |  28 kB     00:00    
(2644/3646): texlive-pdfcprot-svn18735.1.7a-63. 800 kB/s |  21 kB     00:00    
(2645/3646): texlive-pdfescape-svn53082-63.fc38  13 MB/s | 352 kB     00:00    
(2646/3646): texlive-pdfcolmk-svn52912-63.fc38. 190 kB/s |  18 kB     00:00    
(2647/3646): texlive-pdflscape-svn64851-63.fc38 5.7 MB/s | 323 kB     00:00    
(2648/3646): texlive-pdfoverlay-svn64210-63.fc3 6.9 MB/s | 568 kB     00:00    
(2649/3646): texlive-pdfmanagement-testphase-sv  62 MB/s | 8.4 MB     00:00    
(2650/3646): texlive-pdfmarginpar-svn23492.0.92 133 kB/s |  17 kB     00:00    
(2651/3646): texlive-pdfpagediff-svn37946.1.4-6 565 kB/s |  16 kB     00:00    
(2652/3646): texlive-pdfpages-svn65319-63.fc38. 1.4 MB/s |  31 kB     00:00    
(2653/3646): texlive-pdfprivacy-svn45985-63.fc3 7.2 MB/s | 268 kB     00:00    
(2654/3646): texlive-pdfpc-svn63866-63.fc38.noa 4.5 MB/s | 205 kB     00:00    
(2655/3646): texlive-pdfreview-svn50100-63.fc38  12 MB/s | 584 kB     00:00    
(2656/3646): texlive-pdfscreen-svn42428-63.fc38 2.0 MB/s |  99 kB     00:00    
(2657/3646): texlive-pdfslide-svn15878.0-63.fc3 2.6 MB/s |  58 kB     00:00    
(2658/3646): texlive-pdfsync-svn20373.0-63.fc38 677 kB/s |  17 kB     00:00    
(2659/3646): texlive-pdftexcmds-svn55777-63.fc3  10 MB/s | 390 kB     00:00    
(2660/3646): texlive-pdfwin-svn54074-63.fc38.no 784 kB/s |  26 kB     00:00    
(2661/3646): texlive-pdfx-svn50338-63.fc38.noar 3.1 MB/s | 119 kB     00:00    
(2662/3646): texlive-pecha-svn15878.0.1-63.fc38 711 kB/s |  25 kB     00:00    
(2663/3646): texlive-perception-svn48861-63.fc3 888 kB/s |  19 kB     00:00    
(2664/3646): texlive-perfectcut-svn54080-63.fc3 1.0 MB/s |  21 kB     00:00    
(2665/3646): texlive-penrose-svn57508-63.fc38.n  18 MB/s | 962 kB     00:00    
(2666/3646): texlive-permute-svn15878.0-63.fc38 769 kB/s |  16 kB     00:00    
(2667/3646): texlive-petiteannonce-svn25915.1.0 822 kB/s |  17 kB     00:00    
(2668/3646): texlive-pdfpc-movie-svn48245-63.fc 327 kB/s |  91 kB     00:00    
(2669/3646): texlive-pgf-blur-svn54512-63.fc38. 770 kB/s |  16 kB     00:00    
(2670/3646): texlive-pfdicons-svn60089-63.fc38.  30 MB/s | 959 kB     00:00    
(2671/3646): texlive-pgf-interference-svn61562-  12 MB/s | 743 kB     00:00    
(2672/3646): texlive-pgf-pie-svn63603-63.fc38.n 7.0 MB/s | 241 kB     00:00    
(2673/3646): texlive-pgf-periodictable-svn64974  45 MB/s | 4.7 MB     00:00    
(2674/3646): texlive-pgf-soroban-svn32269.1.1-6 752 kB/s |  15 kB     00:00    
(2675/3646): texlive-pgf-spectra-svn59827-63.fc 6.8 MB/s | 283 kB     00:00    
(2676/3646): texlive-pgf-umlcd-svn63386-63.fc38 873 kB/s |  18 kB     00:00    
(2677/3646): texlive-pgf-svn59210-63.fc38.noarc  14 MB/s | 902 kB     00:00    
(2678/3646): texlive-pgf-umlsd-svn55342-63.fc38 709 kB/s |  18 kB     00:00    
(2679/3646): texlive-pgfgantt-svn52662-63.fc38. 813 kB/s |  24 kB     00:00    
(2680/3646): texlive-pgfkeyx-svn26093.0.0.1-63. 1.1 MB/s |  23 kB     00:00    
(2681/3646): texlive-pgfmath-xfp-svn59268-63.fc 9.1 MB/s | 267 kB     00:00    
(2682/3646): texlive-pgfmolbio-svn35152.0.21-63 1.1 MB/s |  31 kB     00:00    
(2683/3646): texlive-pgfmorepages-svn54770-63.f 2.6 MB/s |  83 kB     00:00    
(2684/3646): texlive-pgfopts-svn56615-63.fc38.n 694 kB/s |  18 kB     00:00    
(2685/3646): texlive-pgfplots-svn61719-63.fc38.  12 MB/s | 599 kB     00:00    
(2686/3646): texlive-pgfornament-svn55326-63.fc  10 MB/s | 730 kB     00:00    
(2687/3646): texlive-phaistos-svn18651.1.0-63.f 5.6 MB/s | 196 kB     00:00    
(2688/3646): texlive-phfcc-svn60731-63.fc38.noa  12 MB/s | 416 kB     00:00    
(2689/3646): texlive-pgf-cmykshadings-svn52635- 1.3 MB/s | 529 kB     00:00    
(2690/3646): texlive-phfextendedabstract-svn607 3.9 MB/s | 293 kB     00:00    
(2691/3646): texlive-phffullpagefigure-svn41857 4.2 MB/s | 255 kB     00:00    
(2692/3646): texlive-phfparen-svn41859-63.fc38.  12 MB/s | 315 kB     00:00    
(2693/3646): texlive-phfqit-svn60734-63.fc38.no  11 MB/s | 463 kB     00:00    
(2694/3646): texlive-phfquotetext-svn41869-63.f 8.8 MB/s | 211 kB     00:00    
(2695/3646): texlive-phfsvnwatermark-svn41870-6 7.7 MB/s | 217 kB     00:00    
(2696/3646): texlive-phfthm-svn60735-63.fc38.no  15 MB/s | 404 kB     00:00    
(2697/3646): texlive-philex-svn36396.1.3-63.fc3 889 kB/s |  18 kB     00:00    
(2698/3646): texlive-philosophersimprint-svn569 854 kB/s |  18 kB     00:00    
(2699/3646): texlive-phonetic-svn56468-63.fc38. 1.1 MB/s |  48 kB     00:00    
(2700/3646): texlive-phonenumbers-svn63774-63.f  22 MB/s | 1.2 MB     00:00    
(2701/3646): texlive-photo-svn18739.0-63.fc38.n 384 kB/s |  16 kB     00:00    
(2702/3646): texlive-photobook-svn65022-63.fc38 4.8 MB/s | 177 kB     00:00    
(2703/3646): texlive-phfnote-svn60733-63.fc38.n 3.1 MB/s | 765 kB     00:00    
(2704/3646): texlive-physconst-svn58727-63.fc38 9.3 MB/s | 226 kB     00:00    
(2705/3646): texlive-physics-svn28590.1.3-63.fc 935 kB/s |  19 kB     00:00    
(2706/3646): texlive-picinpar-svn65097-63.fc38. 957 kB/s |  20 kB     00:00    
(2707/3646): texlive-pict2e-svn56504-63.fc38.no 1.1 MB/s |  23 kB     00:00    
(2708/3646): texlive-physunits-svn58728-63.fc38 3.2 MB/s | 119 kB     00:00    
(2709/3646): texlive-pictex2-svn15878.0-63.fc38 850 kB/s |  17 kB     00:00    
(2710/3646): texlive-pictex-svn59551-63.fc38.no 2.6 MB/s |  57 kB     00:00    
(2711/3646): texlive-piff-svn21894.0-63.fc38.no 667 kB/s |  14 kB     00:00    
(2712/3646): texlive-pigpen-svn15878.0.2-63.fc3 741 kB/s |  22 kB     00:00    
(2713/3646): texlive-pinlabel-svn24769.1.2-63.f 1.2 MB/s |  24 kB     00:00    
(2714/3646): texlive-picture-svn54867-63.fc38.n 5.1 MB/s | 312 kB     00:00    
(2715/3646): texlive-pittetd-svn15878.1.618-63. 1.1 MB/s |  23 kB     00:00    
(2716/3646): texlive-pinoutikz-svn55966-63.fc38 2.2 MB/s |  92 kB     00:00    
(2717/3646): texlive-pkgloader-svn47486-63.fc38 927 kB/s |  28 kB     00:00    
(2718/3646): texlive-pkuthss-svn64869-63.fc38.n 987 kB/s |  29 kB     00:00    
(2719/3646): texlive-pixelart-svn65075-63.fc38. 5.5 MB/s | 392 kB     00:00    
(2720/3646): texlive-placeins-svn19848.2.2-63.f 255 kB/s |  13 kB     00:00    
(2721/3646): texlive-plain-svn57963-63.fc38.noa 1.4 MB/s |  47 kB     00:00    
(2722/3646): texlive-pl-svn58661-63.fc38.noarch  24 MB/s | 2.0 MB     00:00    
(2723/3646): texlive-plainpkg-svn27765.0.4a-63. 859 kB/s |  19 kB     00:00    
(2724/3646): texlive-plainyr-svn52783-63.fc38.n 695 kB/s |  15 kB     00:00    
(2725/3646): texlive-plantslabels-svn29803.1.0- 731 kB/s |  15 kB     00:00    
(2726/3646): texlive-plates-svn15878.0.1-63.fc3 915 kB/s |  20 kB     00:00    
(2727/3646): texlive-platex-svn65305-63.fc38.no 1.9 MB/s |  68 kB     00:00    
(2728/3646): texlive-platex-tools-svn64072-63.f  14 MB/s | 587 kB     00:00    
(2729/3646): texlive-playfair-svn64857-63.fc38.  27 MB/s | 1.8 MB     00:00    
(2730/3646): texlive-plex-otf-svn47562-63.fc38. 5.7 MB/s | 556 kB     00:00    
(2731/3646): texlive-plimsoll-svn56605-63.fc38. 2.0 MB/s | 231 kB     00:00    
(2732/3646): texlive-plweb-svn15878.3.0-63.fc38 182 kB/s |  14 kB     00:00    
(2733/3646): texlive-pm-isomath-svn60368-63.fc3  14 MB/s | 680 kB     00:00    
(2734/3646): texlive-plex-svn64496-63.fc38.noar  55 MB/s |  11 MB     00:00    
(2735/3646): texlive-pmgraph-svn15878.1.0-63.fc 904 kB/s |  25 kB     00:00    
(2736/3646): texlive-pnas2009-svn16287.1.0-63.f 504 kB/s |  14 kB     00:00    
(2737/3646): texlive-pmboxdraw-svn53046-63.fc38 7.0 MB/s | 602 kB     00:00    
(2738/3646): texlive-poiretone-svn64856-63.fc38 5.9 MB/s | 217 kB     00:00    
(2739/3646): texlive-polexpr-svn63337-63.fc38.n 7.7 MB/s | 176 kB     00:00    
(2740/3646): texlive-polski-svn60322-63.fc38.no 724 kB/s |  27 kB     00:00    
(2741/3646): texlive-polynom-svn44832-63.fc38.n 908 kB/s |  24 kB     00:00    
(2742/3646): texlive-polynomial-svn15878.1.0-63 325 kB/s |  16 kB     00:00    
(2743/3646): texlive-polyglossia-svn65144-63.fc 2.0 MB/s | 220 kB     00:00    
(2744/3646): texlive-poltawski-svn20075.1.101-6  58 MB/s | 7.0 MB     00:00    
(2745/3646): texlive-polytable-svn55837-63.fc38 731 kB/s |  20 kB     00:00    
(2746/3646): texlive-postage-svn55920-63.fc38.n  13 MB/s | 318 kB     00:00    
(2747/3646): texlive-poster-mac-svn18305.1.1-63 800 kB/s |  17 kB     00:00    
(2748/3646): texlive-postcards-svn21641.0-63.fc 708 kB/s |  15 kB     00:00    
(2749/3646): texlive-postnotes-svn65007-63.fc38  31 MB/s | 967 kB     00:00    
(2750/3646): texlive-powerdot-svn59272-63.fc38. 1.3 MB/s |  50 kB     00:00    
(2751/3646): texlive-powerdot-fuberlin-svn52922 5.7 MB/s | 290 kB     00:00    
(2752/3646): texlive-ppr-prv-svn15878.0.13c-63. 763 kB/s |  19 kB     00:00    
(2753/3646): texlive-powerdot-tuliplab-svn47963  26 MB/s | 1.3 MB     00:00    
(2754/3646): texlive-practicalreports-svn52312- 5.2 MB/s | 200 kB     00:00    
(2755/3646): texlive-pracjourn-svn61719-63.fc38 286 kB/s |  19 kB     00:00    
(2756/3646): texlive-precattl-svn63967-63.fc38. 7.7 MB/s | 388 kB     00:00    
(2757/3646): texlive-prelim2e-svn57000-63.fc38.  12 MB/s | 432 kB     00:00    
(2758/3646): texlive-preprint-svn30447.2011-63. 734 kB/s |  18 kB     00:00    
(2759/3646): texlive-ppt-slides-svn65194-63.fc3 5.3 MB/s | 781 kB     00:00    
(2760/3646): texlive-prerex-svn54512-63.fc38.no 878 kB/s |  23 kB     00:00    
(2761/3646): texlive-pressrelease-svn35147.1.0- 995 kB/s |  20 kB     00:00    
(2762/3646): texlive-prettyref-svn15878.3.0-63. 556 kB/s |  12 kB     00:00    
(2763/3646): texlive-prftree-svn54080-63.fc38.n 1.3 MB/s |  26 kB     00:00    
(2764/3646): texlive-prettytok-svn63842-63.fc38  11 MB/s | 383 kB     00:00    
(2765/3646): texlive-printlen-svn19847.1.1a-63. 763 kB/s |  15 kB     00:00    
(2766/3646): texlive-proba-svn15878.0-63.fc38.n 712 kB/s |  15 kB     00:00    
(2767/3646): texlive-principia-svn58927-63.fc38 5.7 MB/s | 280 kB     00:00    
(2768/3646): texlive-probsoln-svn44783-63.fc38. 986 kB/s |  21 kB     00:00    
(2769/3646): texlive-prociagssymp-svn63242-63.f 767 kB/s |  16 kB     00:00    
(2770/3646): texlive-prodint-svn21893.0-63.fc38 670 kB/s |  15 kB     00:00    
(2771/3646): texlive-productbox-svn20886.1.1-63 513 kB/s |  15 kB     00:00    
(2772/3646): texlive-program-svn44214-63.fc38.n 1.0 MB/s |  33 kB     00:00    
(2773/3646): texlive-progress-svn19519.1.10-63. 774 kB/s |  18 kB     00:00    
(2774/3646): texlive-progressbar-svn33822.v1.0b 784 kB/s |  16 kB     00:00    
(2775/3646): texlive-projlib-svn65023-63.fc38.n 1.8 MB/s |  47 kB     00:00    
(2776/3646): texlive-proofread-svn61719-63.fc38 348 kB/s |  19 kB     00:00    
(2777/3646): texlive-prooftrees-svn52221-63.fc3 652 kB/s |  26 kB     00:00    
(2778/3646): texlive-proof-at-the-end-svn64188- 6.7 MB/s | 472 kB     00:00    
(2779/3646): texlive-properties-svn15878.0.2-63 720 kB/s |  15 kB     00:00    
(2780/3646): texlive-proposal-svn40538-63.fc38. 1.2 MB/s |  25 kB     00:00    
(2781/3646): texlive-prosper-svn33033.1.0h-63.f 4.5 MB/s | 131 kB     00:00    
(2782/3646): texlive-protex-svn41633-63.fc38.no 1.3 MB/s |  28 kB     00:00    
(2783/3646): texlive-protocol-svn25562.1.13-63. 505 kB/s |  19 kB     00:00    
(2784/3646): texlive-prtec-svn51919-63.fc38.noa 6.8 MB/s | 373 kB     00:00    
(2785/3646): texlive-pseudo-svn64182-63.fc38.no  18 MB/s | 972 kB     00:00    
(2786/3646): texlive-pseudocode-svn54080-63.fc3 372 kB/s |  16 kB     00:00    
(2787/3646): texlive-pslatex-svn57434-63.fc38.n 823 kB/s |  22 kB     00:00    
(2788/3646): texlive-psfrag-svn15878.3.04-63.fc 366 kB/s |  15 kB     00:00    
(2789/3646): texlive-psfragx-svn26243.1.1-63.fc 356 kB/s |  18 kB     00:00    
(2790/3646): texlive-psnfss-svn54694-63.fc38.no 2.2 MB/s |  59 kB     00:00    
(2791/3646): texlive-pspicture-svn15878.0-63.fc 614 kB/s |  15 kB     00:00    
(2792/3646): texlive-pst-3d-svn17257.1.10-63.fc 607 kB/s |  17 kB     00:00    
(2793/3646): texlive-pst-blur-svn15878.2.0-63.f 635 kB/s |  16 kB     00:00    
(2794/3646): texlive-pst-coil-svn62977-63.fc38. 678 kB/s |  18 kB     00:00    
(2795/3646): texlive-pst-eps-svn15878.1.0-63.fc 529 kB/s |  17 kB     00:00    
(2796/3646): texlive-pst-grad-svn15878.1.06-63. 565 kB/s |  18 kB     00:00    
(2797/3646): texlive-pst-fill-svn60671-63.fc38. 470 kB/s |  17 kB     00:00    
(2798/3646): texlive-pst-math-svn64732-63.fc38. 754 kB/s |  20 kB     00:00    
(2799/3646): texlive-pst-node-svn61838-63.fc38. 1.5 MB/s |  40 kB     00:00    
(2800/3646): texlive-pst-ovl-svn54963-63.fc38.n 495 kB/s |  16 kB     00:00    
(2801/3646): texlive-pst-plot-svn65346-63.fc38. 1.2 MB/s |  37 kB     00:00    
(2802/3646): texlive-pst-slpe-svn24391.1.31-63. 621 kB/s |  17 kB     00:00    
(2803/3646): texlive-pst-text-svn49542-63.fc38. 871 kB/s |  18 kB     00:00    
(2804/3646): texlive-pst-tools-svn60621-63.fc38 755 kB/s |  20 kB     00:00    
(2805/3646): texlive-pstool-svn46393-63.fc38.no 963 kB/s |  21 kB     00:00    
(2806/3646): texlive-pst-tree-svn60421-63.fc38. 719 kB/s |  20 kB     00:00    
(2807/3646): texlive-pstricks-add-svn65067-63.f 1.2 MB/s |  36 kB     00:00    
(2808/3646): texlive-pstricks-svn65346-63.fc38. 3.8 MB/s | 117 kB     00:00    
(2809/3646): texlive-pstring-svn42857-63.fc38.n 3.4 MB/s | 138 kB     00:00    
(2810/3646): texlive-ptex-base-svn64072-63.fc38 776 kB/s |  22 kB     00:00    
(2811/3646): texlive-ptex-fonts-svn64330-63.fc3 1.7 MB/s |  67 kB     00:00    
(2812/3646): texlive-ptptex-svn19440.0.91-63.fc 677 kB/s |  23 kB     00:00    
(2813/3646): texlive-ptolemaicastronomy-svn5081 5.4 MB/s | 256 kB     00:00    
(2814/3646): texlive-punk-latex-svn27389.1.1-63 503 kB/s |  16 kB     00:00    
(2815/3646): texlive-punk-svn27388.0-63.fc38.no 778 kB/s |  23 kB     00:00    
(2816/3646): texlive-puyotikz-svn57254-63.fc38. 5.6 MB/s | 210 kB     00:00    
(2817/3646): texlive-pxgreeks-svn21838.1.0-63.f 708 kB/s |  18 kB     00:00    
(2818/3646): texlive-pxfonts-svn15878.0-63.fc38 9.2 MB/s | 523 kB     00:00    
(2819/3646): texlive-punknova-svn24649.1.003-63 9.9 MB/s | 893 kB     00:00    
(2820/3646): texlive-pxpgfmark-svn30212.0.2-63. 548 kB/s |  11 kB     00:00    
(2821/3646): texlive-pxpic-svn61294-63.fc38.noa 9.6 MB/s | 325 kB     00:00    
(2822/3646): texlive-pxtxalfa-svn60847-63.fc38. 986 kB/s |  33 kB     00:00    
(2823/3646): texlive-python-svn60162-63.fc38.no 575 kB/s |  17 kB     00:00    
(2824/3646): texlive-pythonhighlight-svn43191-6 541 kB/s |  14 kB     00:00    
(2825/3646): texlive-qcircuit-svn48400-63.fc38. 603 kB/s |  21 kB     00:00    
(2826/3646): texlive-qcm-svn63833-63.fc38.noarc 646 kB/s |  17 kB     00:00    
(2827/3646): texlive-pythonimmediate-svn65349-6 9.3 MB/s | 471 kB     00:00    
(2828/3646): texlive-qrbill-svn64773-63.fc38.no 3.6 MB/s | 109 kB     00:00    
(2829/3646): texlive-qrcode-svn36065.1.51-63.fc 1.3 MB/s |  35 kB     00:00    
(2830/3646): texlive-qsharp-svn49722-63.fc38.no 594 kB/s |  19 kB     00:00    
(2831/3646): texlive-qstest-svn15878.0-63.fc38. 593 kB/s |  19 kB     00:00    
(2832/3646): texlive-qsymbols-svn15878.0-63.fc3 802 kB/s |  23 kB     00:00    
(2833/3646): texlive-qtree-svn15878.3.1b-63.fc3 735 kB/s |  22 kB     00:00    
(2834/3646): texlive-qualitype-svn54512-63.fc38  40 MB/s | 2.5 MB     00:00    
(2835/3646): texlive-quantikz-svn54911-63.fc38. 5.7 MB/s | 465 kB     00:00    
(2836/3646): texlive-quattrocento-svn64372-63.f  18 MB/s | 821 kB     00:00    
(2837/3646): texlive-quantumarticle-svn65242-63  13 MB/s | 1.3 MB     00:00    
(2838/3646): texlive-quicktype-svn42183-63.fc38 5.7 MB/s | 194 kB     00:00    
(2839/3646): texlive-quiz2socrative-svn52276-63 6.2 MB/s | 226 kB     00:00    
(2840/3646): texlive-quotchap-svn56926-63.fc38. 501 kB/s |  18 kB     00:00    
(2841/3646): texlive-quoting-svn32818.v0.1c-63. 458 kB/s |  18 kB     00:00    
(2842/3646): texlive-quotmark-svn15878.1.0-63.f 1.0 MB/s |  31 kB     00:00    
(2843/3646): texlive-ragged2e-svn65008-63.fc38.  12 MB/s | 677 kB     00:00    
(2844/3646): texlive-raleway-svn42629-63.fc38.n  38 MB/s | 2.6 MB     00:00    
(2845/3646): texlive-ran_toks-svn59515-63.fc38. 360 kB/s |  19 kB     00:00    
(2846/3646): texlive-randbild-svn15878.0.2-63.f 521 kB/s |  15 kB     00:00    
(2847/3646): texlive-random-svn54723-63.fc38.no 3.0 MB/s |  88 kB     00:00    
(2848/3646): texlive-randomwalk-svn49513-63.fc3 458 kB/s |  16 kB     00:00    
(2849/3646): texlive-randtext-svn15878.0-63.fc3 425 kB/s |  16 kB     00:00    
(2850/3646): texlive-rank-2-roots-svn61719-63.f  11 MB/s | 409 kB     00:00    
(2851/3646): texlive-rbt-mathnotes-svn61193-63. 6.4 MB/s | 233 kB     00:00    
(2852/3646): texlive-rccol-svn15878.1.2c-63.fc3 356 kB/s |  12 kB     00:00    
(2853/3646): texlive-rcs-multi-svn64967-63.fc38 793 kB/s |  16 kB     00:00    
(2854/3646): texlive-rcs-svn15878.0-63.fc38.noa 912 kB/s |  27 kB     00:00    
(2855/3646): texlive-readablecv-svn61719-63.fc3 5.9 MB/s | 223 kB     00:00    
(2856/3646): texlive-readarray-svn60540-63.fc38 878 kB/s |  22 kB     00:00    
(2857/3646): texlive-rcsinfo-svn15878.1.11-63.f 321 kB/s |  17 kB     00:00    
(2858/3646): texlive-realboxes-svn64967-63.fc38 937 kB/s |  19 kB     00:00    
(2859/3646): texlive-realscripts-svn56594-63.fc 859 kB/s |  18 kB     00:00    
(2860/3646): texlive-realhats-svn63595-63.fc38.  33 MB/s | 1.8 MB     00:00    
(2861/3646): texlive-recipe-svn54080-63.fc38.no 369 kB/s |  12 kB     00:00    
(2862/3646): texlive-rec-thy-svn63982-63.fc38.n 520 kB/s |  26 kB     00:00    
(2863/3646): texlive-recipebook-svn37026.0-63.f 841 kB/s |  21 kB     00:00    
(2864/3646): texlive-recipecard-svn15878.2.0-63 412 kB/s |  16 kB     00:00    
(2865/3646): texlive-rectopma-svn19980.0-63.fc3 357 kB/s |  15 kB     00:00    
(2866/3646): texlive-recycle-svn15878.0-63.fc38 633 kB/s |  26 kB     00:00    
(2867/3646): texlive-refcount-svn53164-63.fc38.  12 MB/s | 334 kB     00:00    
(2868/3646): texlive-refcheck-svn29128.1.9.1-63 475 kB/s |  18 kB     00:00    
(2869/3646): texlive-refenums-svn44131-63.fc38. 704 kB/s |  19 kB     00:00    
(2870/3646): texlive-refman-svn15878.2.0e-63.fc 735 kB/s |  23 kB     00:00    
(2871/3646): texlive-refstyle-svn20318.0.5-63.f 930 kB/s |  20 kB     00:00    
(2872/3646): texlive-reflectgraphics-svn40612-6 466 kB/s |  18 kB     00:00    
(2873/3646): texlive-regcount-svn19979.1.0-63.f 716 kB/s |  15 kB     00:00    
(2874/3646): texlive-register-svn54485-63.fc38. 613 kB/s |  18 kB     00:00    
(2875/3646): texlive-regstats-svn25050.1.0h-63. 932 kB/s |  20 kB     00:00    
(2876/3646): texlive-regexpatch-svn58668-63.fc3 429 kB/s |  20 kB     00:00    
(2877/3646): texlive-relenc-svn22050.0-63.fc38. 736 kB/s |  27 kB     00:00    
(2878/3646): texlive-reotex-svn34924.1.1-63.fc3 635 kB/s |  17 kB     00:00    
(2879/3646): texlive-relsize-svn30707.4.1-63.fc 512 kB/s |  16 kB     00:00    
(2880/3646): texlive-repeatindex-svn24305.0.01- 690 kB/s |  16 kB     00:00    
(2881/3646): texlive-repltext-svn56433-63.fc38. 567 kB/s |  18 kB     00:00    
(2882/3646): texlive-rerunfilecheck-svn63869-63 8.0 MB/s | 340 kB     00:00    
(2883/3646): texlive-rescansync-svn63856-63.fc3  15 MB/s | 388 kB     00:00    
(2884/3646): texlive-resphilosophica-svn50935-6 1.0 MB/s |  29 kB     00:00    
(2885/3646): texlive-resumecls-svn54815-63.fc38 857 kB/s |  18 kB     00:00    
(2886/3646): texlive-rest-api-svn57068-63.fc38. 4.7 MB/s | 157 kB     00:00    
(2887/3646): texlive-returntogrid-svn48485-63.f 5.0 MB/s | 165 kB     00:00    
(2888/3646): texlive-revtex-svn56591-63.fc38.no 3.7 MB/s |  92 kB     00:00    
(2889/3646): texlive-revquantum-svn43505-63.fc3 8.1 MB/s | 265 kB     00:00    
(2890/3646): texlive-revtex4-svn56589-63.fc38.n 1.6 MB/s |  58 kB     00:00    
(2891/3646): texlive-rgltxdoc-svn53858-63.fc38. 4.5 MB/s | 174 kB     00:00    
(2892/3646): texlive-ribbonproofs-svn31137.1.0- 777 kB/s |  27 kB     00:00    
(2893/3646): texlive-rjlparshap-svn15878.1.0-63 491 kB/s |  17 kB     00:00    
(2894/3646): texlive-rlepsf-svn19082.0-63.fc38. 290 kB/s |  16 kB     00:00    
(2895/3646): texlive-rmathbr-svn57173-63.fc38.n 438 kB/s |  25 kB     00:00    
(2896/3646): texlive-revtex4-1-svn56590-63.fc38  30 MB/s | 5.3 MB     00:00    
(2897/3646): texlive-rmpage-svn54080-63.fc38.no 2.1 MB/s |  77 kB     00:00    
(2898/3646): texlive-robotarm-svn63116-63.fc38. 5.5 MB/s | 198 kB     00:00    
(2899/3646): texlive-robustcommand-svn15878.0.1  66 kB/s |  15 kB     00:00    
(2900/3646): texlive-robustindex-svn49877-63.fc  83 kB/s |  18 kB     00:00    
(2901/3646): texlive-romanbarpagenumber-svn3623 276 kB/s |  17 kB     00:00    
(2902/3646): texlive-romanbar-svn25005.1.0f-63. 277 kB/s |  18 kB     00:00    
(2903/3646): texlive-romanneg-svn20087.0-63.fc3 391 kB/s |  12 kB     00:00    
(2904/3646): texlive-roboto-svn64350-63.fc38.no  76 MB/s |  27 MB     00:00    
(2905/3646): texlive-romande-svn19537.1.008_v7_ 7.6 MB/s | 518 kB     00:00    
(2906/3646): texlive-romannum-svn15878.1.0b-63. 453 kB/s |  15 kB     00:00    
(2907/3646): texlive-rotfloat-svn18292.1.2-63.f 508 kB/s |  15 kB     00:00    
(2908/3646): texlive-rotpages-svn18740.3.0-63.f 521 kB/s |  16 kB     00:00    
(2909/3646): texlive-rosario-svn51688-63.fc38.n  41 MB/s | 1.6 MB     00:00    
(2910/3646): texlive-roundbox-svn29675.0.2-63.f 786 kB/s |  18 kB     00:00    
(2911/3646): texlive-rsc-svn41923-63.fc38.noarc 758 kB/s |  22 kB     00:00    
(2912/3646): texlive-rsfs-svn15878.0-63.fc38.no 2.3 MB/s |  73 kB     00:00    
(2913/3646): texlive-rsfso-svn60849-63.fc38.noa 692 kB/s |  19 kB     00:00    
(2914/3646): texlive-rterface-svn30084.0-63.fc3 765 kB/s |  16 kB     00:00    
(2915/3646): texlive-rtkinenc-svn20003.1.0-63.f 759 kB/s |  16 kB     00:00    
(2916/3646): texlive-rulerbox-svn50984-63.fc38. 2.4 MB/s |  75 kB     00:00    
(2917/3646): texlive-runcode-svn64161-63.fc38.n  15 MB/s | 416 kB     00:00    
(2918/3646): texlive-rulercompass-svn32392.1-63 506 kB/s |  20 kB     00:00    
(2919/3646): texlive-rviewport-svn23739.v1.0-63 720 kB/s |  15 kB     00:00    
(2920/3646): texlive-rvwrite-svn19614.1.2-63.fc 641 kB/s |  15 kB     00:00    
(2921/3646): texlive-ryersonsgsthesis-svn50119- 3.0 MB/s |  81 kB     00:00    
(2922/3646): texlive-rutitlepage-svn62143-63.fc  40 MB/s | 2.7 MB     00:00    
(2923/3646): texlive-ryethesis-svn33945.1.36-63 614 kB/s |  21 kB     00:00    
(2924/3646): texlive-sa-tikz-svn32815.0.7a-63.f 861 kB/s |  22 kB     00:00    
(2925/3646): texlive-sanitize-umlaut-svn63770-6 849 kB/s |  18 kB     00:00    
(2926/3646): texlive-sageep-svn15878.1.0-63.fc3 701 kB/s |  21 kB     00:00    
(2927/3646): texlive-sansmath-svn17997.1.1-63.f 498 kB/s |  14 kB     00:00    
(2928/3646): texlive-sankey-svn61874-63.fc38.no  24 MB/s | 973 kB     00:00    
(2929/3646): texlive-sansmathaccent-svn53628-63 1.4 MB/s |  36 kB     00:00    
(2930/3646): texlive-sapthesis-svn63810-63.fc38 647 kB/s |  47 kB     00:00    
(2931/3646): texlive-sasnrdisplay-svn63255-63.f 341 kB/s |  24 kB     00:00    
(2932/3646): texlive-sansmathfonts-svn64661-63.  48 MB/s | 4.2 MB     00:00    
(2933/3646): texlive-sauerj-svn15878.0-63.fc38. 770 kB/s |  19 kB     00:00    
(2934/3646): texlive-sauter-svn13293.2.4-63.fc3 1.7 MB/s |  41 kB     00:00    
(2935/3646): texlive-sauterfonts-svn15878.0-63. 1.2 MB/s |  29 kB     00:00    
(2936/3646): texlive-savefnmark-svn15878.1.0-63 804 kB/s |  16 kB     00:00    
(2937/3646): texlive-saveenv-svn65346-63.fc38.n  12 MB/s | 407 kB     00:00    
(2938/3646): texlive-savesym-svn31565.1.2-63.fc 685 kB/s |  15 kB     00:00    
(2939/3646): texlive-savetrees-svn40525-63.fc38 1.2 MB/s |  25 kB     00:00    
(2940/3646): texlive-scale-svn15878.1.1.2-63.fc 763 kB/s |  16 kB     00:00    
(2941/3646): texlive-scalebar-svn15878.1.0-63.f 683 kB/s |  15 kB     00:00    
(2942/3646): texlive-scalerel-svn42809-63.fc38. 939 kB/s |  19 kB     00:00    
(2943/3646): texlive-schedule-svn51805-63.fc38.  10 MB/s | 257 kB     00:00    
(2944/3646): texlive-scanpages-svn42633-63.fc38 755 kB/s |  22 kB     00:00    
(2945/3646): texlive-schemabloc-svn58212-63.fc3 623 kB/s |  16 kB     00:00    
(2946/3646): texlive-scholax-svn61836-63.fc38.n  39 MB/s | 2.4 MB     00:00    
(2947/3646): texlive-schooldocs-svn61719-63.fc3  14 MB/s | 897 kB     00:00    
(2948/3646): texlive-schola-otf-svn64734-63.fc3 6.5 MB/s | 490 kB     00:00    
(2949/3646): texlive-schule-svn60210-63.fc38.no 3.5 MB/s |  82 kB     00:00    
(2950/3646): texlive-schulschriften-svn59388-63 4.8 MB/s | 108 kB     00:00    
(2951/3646): texlive-scientific-thesis-cover-sv 6.3 MB/s | 149 kB     00:00    
(2952/3646): texlive-sclang-prettifier-svn35087 906 kB/s |  18 kB     00:00    
(2953/3646): texlive-sciposter-svn15878.1.18-63 724 kB/s |  22 kB     00:00    
(2954/3646): texlive-scontents-svn62902-63.fc38 9.6 MB/s | 381 kB     00:00    
(2955/3646): texlive-scrambledenvs-svn60615-63. 8.5 MB/s | 206 kB     00:00    
(2956/3646): texlive-scratch-svn50073-63.fc38.n  19 MB/s | 518 kB     00:00    
(2957/3646): texlive-scratch3-svn61921-63.fc38.  20 MB/s | 540 kB     00:00    
(2958/3646): texlive-scratchx-svn44906-63.fc38.  12 MB/s | 439 kB     00:00    
(2959/3646): texlive-scrjrnl-svn27810.0.1-63.fc 881 kB/s |  19 kB     00:00    
(2960/3646): texlive-scripture-svn64910-63.fc38  22 MB/s | 876 kB     00:00    
(2961/3646): texlive-scrlayer-fancyhdr-svn63844  13 MB/s | 331 kB     00:00    
(2962/3646): texlive-scrlttr2copy-svn56733-63.f 870 kB/s |  18 kB     00:00    
(2963/3646): texlive-scsnowman-svn54080-63.fc38  18 MB/s | 489 kB     00:00    
(2964/3646): texlive-sdrt-svn15878.1.0-63.fc38. 700 kB/s |  16 kB     00:00    
(2965/3646): texlive-sdaps-svn65345-63.fc38.noa  11 MB/s | 359 kB     00:00    
(2966/3646): texlive-sduthesis-svn41401-63.fc38  33 MB/s | 1.2 MB     00:00    
(2967/3646): texlive-secdot-svn20208.1.0-63.fc3 443 kB/s |  15 kB     00:00    
(2968/3646): texlive-se2thesis-svn65093-63.fc38  32 MB/s | 1.4 MB     00:00    
(2969/3646): texlive-secnum-svn61813-63.fc38.no  17 MB/s | 451 kB     00:00    
(2970/3646): texlive-section-svn20180.0-63.fc38 1.1 MB/s |  23 kB     00:00    
(2971/3646): texlive-sectionbox-svn37749.1.01-6 762 kB/s |  15 kB     00:00    
(2972/3646): texlive-sectionbreak-svn50339-63.f 3.0 MB/s |  67 kB     00:00    
(2973/3646): texlive-sectsty-svn15878.2.0.2-63. 843 kB/s |  18 kB     00:00    
(2974/3646): texlive-seealso-svn43595-63.fc38.n 901 kB/s |  18 kB     00:00    
(2975/3646): texlive-selectp-svn20185.1.0-63.fc 701 kB/s |  15 kB     00:00    
(2976/3646): texlive-selinput-svn53098-63.fc38.  18 MB/s | 539 kB     00:00    
(2977/3646): texlive-semantex-svn65183-63.fc38.  16 MB/s | 449 kB     00:00    
(2978/3646): texlive-semantic-markup-svn53607-6 8.6 MB/s | 281 kB     00:00    
(2979/3646): texlive-semantic-svn15878.2.0-63.f 978 kB/s |  21 kB     00:00    
(2980/3646): texlive-semaphor-svn18651.0-63.fc3  12 MB/s | 300 kB     00:00    
(2981/3646): texlive-semesterplanner-svn56841-6 4.2 MB/s |  94 kB     00:00    
(2982/3646): texlive-seminar-svn59801-63.fc38.n 2.3 MB/s |  52 kB     00:00    
(2983/3646): texlive-semioneside-svn15878.v0.41 726 kB/s |  15 kB     00:00    
(2984/3646): texlive-semproc-svn37568.0.1-63.fc 904 kB/s |  19 kB     00:00    
(2985/3646): texlive-sepfootnotes-svn41732-63.f 895 kB/s |  19 kB     00:00    
(2986/3646): texlive-semtex-svn56530-63.fc38.no 2.7 MB/s |  82 kB     00:00    
(2987/3646): texlive-sepnum-svn20186.2.0-63.fc3 760 kB/s |  16 kB     00:00    
(2988/3646): texlive-seqsplit-svn15878.0.1-63.f 726 kB/s |  15 kB     00:00    
(2989/3646): texlive-sesamanuel-svn36613.0.6-63 1.3 MB/s |  46 kB     00:00    
(2990/3646): texlive-setdeck-svn40613-63.fc38.n 1.1 MB/s |  24 kB     00:00    
(2991/3646): texlive-sesstime-svn49750-63.fc38. 9.4 MB/s | 324 kB     00:00    
(2992/3646): texlive-setspace-svn65206-63.fc38. 830 kB/s |  17 kB     00:00    
(2993/3646): texlive-seu-ml-assign-svn62933-63. 8.0 MB/s | 185 kB     00:00    
(2994/3646): texlive-seuthesis-svn33042.2.1.2-6 1.4 MB/s |  32 kB     00:00    
(2995/3646): texlive-seuthesix-svn40088-63.fc38 1.5 MB/s |  32 kB     00:00    
(2996/3646): texlive-sffms-svn15878.2.0-63.fc38 825 kB/s |  17 kB     00:00    
(2997/3646): texlive-sf298-svn41653-63.fc38.noa 934 kB/s |  20 kB     00:00    
(2998/3646): texlive-sfg-svn20209.0.91-63.fc38. 923 kB/s |  19 kB     00:00    
(2999/3646): texlive-sfmath-svn15878.0.8-63.fc3 874 kB/s |  18 kB     00:00    
(3000/3646): texlive-shadethm-svn53350-63.fc38. 1.0 MB/s |  21 kB     00:00    
(3001/3646): texlive-shadowtext-svn26522.0.3-63 640 kB/s |  15 kB     00:00    
(3002/3646): texlive-shadow-svn20312.0-63.fc38. 549 kB/s |  15 kB     00:00    
(3003/3646): texlive-shapepar-svn30708.2.2-63.f 1.2 MB/s |  27 kB     00:00    
(3004/3646): texlive-shdoc-svn41991-63.fc38.noa 927 kB/s |  19 kB     00:00    
(3005/3646): texlive-shobhika-svn50555-63.fc38.  14 MB/s | 488 kB     00:00    
(3006/3646): texlive-shipunov-svn52334-63.fc38. 1.0 MB/s |  42 kB     00:00    
(3007/3646): texlive-shortmathj-svn54407-63.fc3 5.1 MB/s | 179 kB     00:00    
(3008/3646): texlive-shorttoc-svn15878.1.3-63.f 706 kB/s |  15 kB     00:00    
(3009/3646): texlive-show2e-svn15878.1.0-63.fc3 701 kB/s |  15 kB     00:00    
(3010/3646): texlive-showcharinbox-svn29803.0.1 902 kB/s |  18 kB     00:00    
(3011/3646): texlive-showdim-svn28918.1.2-63.fc 716 kB/s |  15 kB     00:00    
(3012/3646): texlive-showexpl-svn57414-63.fc38. 835 kB/s |  17 kB     00:00    
(3013/3646): texlive-showlabels-svn63940-63.fc3 890 kB/s |  18 kB     00:00    
(3014/3646): texlive-showtags-svn20336.1.05-63. 484 kB/s |  13 kB     00:00    
(3015/3646): texlive-shtthesis-svn62441-63.fc38  35 MB/s | 2.1 MB     00:00    
(3016/3646): texlive-shuffle-svn15878.1.0-63.fc 332 kB/s |  15 kB     00:00    
(3017/3646): texlive-sidecap-svn15878.1.6f-63.f 431 kB/s |  17 kB     00:00    
(3018/3646): texlive-sidenotes-svn54524-63.fc38 1.0 MB/s |  21 kB     00:00    
(3019/3646): texlive-signchart-svn39707-63.fc38 837 kB/s |  18 kB     00:00    
(3020/3646): texlive-sidenotesplus-svn63867-63.  15 MB/s | 423 kB     00:00    
(3021/3646): texlive-silence-svn27028.1.5b-63.f 597 kB/s |  17 kB     00:00    
(3022/3646): texlive-sillypage-svn64034-63.fc38 9.2 MB/s | 308 kB     00:00    
(3023/3646): texlive-simplebnf-svn64091-63.fc38 4.5 MB/s | 159 kB     00:00    
(3024/3646): texlive-simplecd-svn29260.1.4-63.f 652 kB/s |  21 kB     00:00    
(3025/3646): texlive-simplecv-svn35537.1.6a-63. 490 kB/s |  15 kB     00:00    
(3026/3646): texlive-simpleinvoice-svn45673-63. 3.6 MB/s | 232 kB     00:00    
(3027/3646): texlive-simplekv-svn64578-63.fc38. 2.0 MB/s | 146 kB     00:00    
(3028/3646): texlive-simplenodes-svn62888-63.fc 8.6 MB/s | 215 kB     00:00    
(3029/3646): texlive-simpleicons-svn65288-63.fc  39 MB/s | 4.6 MB     00:00    
(3030/3646): texlive-simpleoptics-svn62977-63.f 4.2 MB/s |  99 kB     00:00    
(3031/3646): texlive-simpler-wick-svn39074-63.f 898 kB/s |  19 kB     00:00    
(3032/3646): texlive-simplewick-svn15878.1.2a-6 758 kB/s |  17 kB     00:00    
(3033/3646): texlive-simples-matrices-svn63802-  29 MB/s | 1.5 MB     00:00    
(3034/3646): texlive-sistyle-svn59682-63.fc38.n 474 kB/s |  17 kB     00:00    
(3035/3646): texlive-sitem-svn22136.1.0-63.fc38 794 kB/s |  17 kB     00:00    
(3036/3646): texlive-simplivre-svn64280-63.fc38 7.3 MB/s | 463 kB     00:00    
(3037/3646): texlive-siunits-svn59702-63.fc38.n 596 kB/s |  23 kB     00:00    
(3038/3646): texlive-siunitx-svn65207-63.fc38.n 2.6 MB/s |  82 kB     00:00    
(3039/3646): texlive-skak-svn61719-63.fc38.noar 971 kB/s |  37 kB     00:00    
(3040/3646): texlive-skb-svn22781.0.52-63.fc38. 866 kB/s |  24 kB     00:00    
(3041/3646): texlive-skdoc-svn56950-63.fc38.noa 1.0 MB/s |  26 kB     00:00    
(3042/3646): texlive-skeldoc-svn57922-63.fc38.n 9.5 MB/s | 233 kB     00:00    
(3043/3646): texlive-skeycommand-svn24652.0.4-6 774 kB/s |  21 kB     00:00    
(3044/3646): texlive-skeyval-svn30560.1.3-63.fc 4.2 MB/s | 116 kB     00:00    
(3045/3646): texlive-skills-svn56734-63.fc38.no 4.0 MB/s |  92 kB     00:00    
(3046/3646): texlive-skmath-svn52411-63.fc38.no 813 kB/s |  20 kB     00:00    
(3047/3646): texlive-skrapport-svn52412-63.fc38 1.1 MB/s |  31 kB     00:00    
(3048/3646): texlive-skull-svn51907-63.fc38.noa 539 kB/s |  17 kB     00:00    
(3049/3646): texlive-slantsc-svn25007.2.11-63.f 695 kB/s |  15 kB     00:00    
(3050/3646): texlive-smart-eqn-svn61719-63.fc38 3.0 MB/s | 101 kB     00:00    
(3051/3646): texlive-smartdiagram-svn42781-63.f 864 kB/s |  23 kB     00:00    
(3052/3646): texlive-smartref-svn20311.1.9-63.f 588 kB/s |  17 kB     00:00    
(3053/3646): texlive-smartunits-svn39592-63.fc3 711 kB/s |  19 kB     00:00    
(3054/3646): texlive-smalltableof-svn20333.0-63 204 kB/s |  14 kB     00:00    
(3055/3646): texlive-snapshot-svn56735-63.fc38. 912 kB/s |  19 kB     00:00    
(3056/3646): texlive-smflatex-svn58910-63.fc38.  20 MB/s | 849 kB     00:00    
(3057/3646): texlive-snotez-svn61992-63.fc38.no 914 kB/s |  19 kB     00:00    
(3058/3646): texlive-songbook-svn18136.4.5-63.f 1.2 MB/s |  29 kB     00:00    
(3059/3646): texlive-sort-by-letters-svn27128.0 889 kB/s |  22 kB     00:00    
(3060/3646): texlive-soul-svn56495-63.fc38.noar 648 kB/s |  19 kB     00:00    
(3061/3646): texlive-soton-svn16215.0.1-63.fc38 436 kB/s |  15 kB     00:00    
(3062/3646): texlive-snaptodo-svn61155-63.fc38. 2.1 MB/s | 226 kB     00:00    
(3063/3646): texlive-soulpos-svn60772-63.fc38.n 7.8 MB/s | 185 kB     00:00    
(3064/3646): texlive-soulutf8-svn53163-63.fc38.  13 MB/s | 356 kB     00:00    
(3065/3646): texlive-sourcesanspro-svn54892-63.  37 MB/s | 5.6 MB     00:00    
(3066/3646): texlive-sourceserifpro-svn54512-63  25 MB/s | 4.0 MB     00:00    
(3067/3646): texlive-spacingtricks-svn60559-63. 2.9 MB/s | 115 kB     00:00    
(3068/3646): texlive-spalign-svn42225-63.fc38.n 4.5 MB/s | 136 kB     00:00    
(3069/3646): texlive-sourcecodepro-svn54512-63.  18 MB/s | 3.8 MB     00:00    
(3070/3646): texlive-sparklines-svn42821-63.fc3 748 kB/s |  16 kB     00:00    
(3071/3646): texlive-spath3-svn64818-63.fc38.no 1.9 MB/s |  42 kB     00:00    
(3072/3646): texlive-spbmark-svn64706-63.fc38.n 2.1 MB/s | 105 kB     00:00    
(3073/3646): texlive-spark-otf-svn62481-63.fc38 4.5 MB/s | 429 kB     00:00    
(3074/3646): texlive-sphack-svn20842.0-63.fc38. 243 kB/s |  12 kB     00:00    
(3075/3646): texlive-spectral-svn64528-63.fc38.  35 MB/s | 4.1 MB     00:00    
(3076/3646): texlive-sphdthesis-svn34374.1.0-63 604 kB/s |  17 kB     00:00    
(3077/3646): texlive-spie-svn15878.3.25-63.fc38 825 kB/s |  24 kB     00:00    
(3078/3646): texlive-splitbib-svn15878.1.17-63. 667 kB/s |  18 kB     00:00    
(3079/3646): texlive-spot-svn22408.1.1-63.fc38. 732 kB/s |  19 kB     00:00    
(3080/3646): texlive-spectralsequences-svn62261  12 MB/s | 1.6 MB     00:00    
(3081/3646): texlive-spotcolor-svn15878.1.2-63. 981 kB/s |  23 kB     00:00    
(3082/3646): texlive-spreadtab-svn50147-63.fc38 1.8 MB/s |  38 kB     00:00    
(3083/3646): texlive-spverbatim-svn15878.v1.0-6 590 kB/s |  15 kB     00:00    
(3084/3646): texlive-srbook-mem-svn45818-63.fc3 456 kB/s |  11 kB     00:00    
(3085/3646): texlive-sr-vorl-svn59333-63.fc38.n 553 kB/s |  21 kB     00:00    
(3086/3646): texlive-srcltx-svn15878.1.6-63.fc3 424 kB/s |  13 kB     00:00    
(3087/3646): texlive-sseq-svn31585.2.01-63.fc38 896 kB/s |  22 kB     00:00    
(3088/3646): texlive-srbtiks-svn63308-63.fc38.n  12 MB/s | 932 kB     00:00    
(3089/3646): texlive-sslides-svn32293.0-63.fc38 839 kB/s |  17 kB     00:00    
(3090/3646): texlive-stack-svn15878.1.00-63.fc3 718 kB/s |  15 kB     00:00    
(3091/3646): texlive-srdp-mathematik-svn65293-6 2.4 MB/s | 195 kB     00:00    
(3092/3646): texlive-stackengine-svn60019-63.fc 618 kB/s |  21 kB     00:00    
(3093/3646): texlive-standalone-svn64677-63.fc3 695 kB/s |  29 kB     00:00    
(3094/3646): texlive-starfont-svn19982.1.2-63.f 5.5 MB/s | 187 kB     00:00    
(3095/3646): texlive-statex-svn20306.1.6-63.fc3 664 kB/s |  18 kB     00:00    
(3096/3646): texlive-statex2-svn23961.2.1-63.fc 614 kB/s |  19 kB     00:00    
(3097/3646): texlive-stanli-svn54512-63.fc38.no 4.6 MB/s | 476 kB     00:00    
(3098/3646): texlive-statistik-svn20334.0.03-63 826 kB/s |  17 kB     00:00    
(3099/3646): texlive-statistics-svn52212-63.fc3 9.7 MB/s | 445 kB     00:00    
(3100/3646): texlive-staves-svn15878.0-63.fc38. 5.9 MB/s | 132 kB     00:00    
(3101/3646): texlive-stdclsdv-svn15878.1.1a-63. 703 kB/s |  15 kB     00:00    
(3102/3646): texlive-statmath-svn46925-63.fc38. 4.8 MB/s | 217 kB     00:00    
(3103/3646): texlive-stdpage-svn15878.0.6-63.fc 793 kB/s |  17 kB     00:00    
(3104/3646): texlive-steinmetz-svn15878.1.0-63. 685 kB/s |  15 kB     00:00    
(3105/3646): texlive-stellenbosch-svn36696.11a-  25 MB/s | 726 kB     00:00    
(3106/3646): texlive-stepgreek-svn57074-63.fc38  10 MB/s | 465 kB     00:00    
(3107/3646): texlive-stex-svn64383-63.fc38.noar 4.7 MB/s | 104 kB     00:00    
(3108/3646): texlive-stealcaps-svn64967-63.fc38 3.0 MB/s | 478 kB     00:00    
(3109/3646): texlive-stickstoo-svn60793-63.fc38  45 MB/s | 3.3 MB     00:00    
(3110/3646): texlive-step-svn57307-63.fc38.noar 9.9 MB/s | 1.5 MB     00:00    
(3111/3646): texlive-stix-svn54512-63.fc38.noar  26 MB/s | 2.6 MB     00:00    
(3112/3646): texlive-stmaryrd-svn22027.0-63.fc3 3.0 MB/s | 190 kB     00:00    
(3113/3646): texlive-storebox-svn64967-63.fc38. 903 kB/s |  19 kB     00:00    
(3114/3646): texlive-stix2-type1-svn57448-63.fc  27 MB/s | 4.3 MB     00:00    
(3115/3646): texlive-storecmd-svn24431.0.0.2-63 948 kB/s |  19 kB     00:00    
(3116/3646): texlive-strands-svn59906-63.fc38.n 7.0 MB/s | 161 kB     00:00    
(3117/3646): texlive-stringenc-svn52982-63.fc38  24 MB/s | 702 kB     00:00    
(3118/3646): texlive-stix2-otf-svn58735-63.fc38  13 MB/s | 2.7 MB     00:00    
(3119/3646): texlive-stringstrings-svn57097-63. 971 kB/s |  26 kB     00:00    
(3120/3646): texlive-structmech-svn58985-63.fc3 6.8 MB/s | 153 kB     00:00    
(3121/3646): texlive-sttools-svn60736-63.fc38.n 657 kB/s |  31 kB     00:00    
(3122/3646): texlive-struktex-svn47931-63.fc38. 523 kB/s |  26 kB     00:00    
(3123/3646): texlive-stubs-svn19440.0.1.1-63.fc 336 kB/s |  16 kB     00:00    
(3124/3646): texlive-studenthandouts-svn43516-6  11 MB/s | 332 kB     00:00    
(3125/3646): texlive-subdepth-svn15878.0.1-63.f 503 kB/s |  15 kB     00:00    
(3126/3646): texlive-styledcmd-svn65262-63.fc38 7.7 MB/s | 383 kB     00:00    
(3127/3646): texlive-subdocs-svn51480-63.fc38.n 521 kB/s |  13 kB     00:00    
(3128/3646): texlive-subeqn-svn15878.2.0b-63.fc 468 kB/s |  15 kB     00:00    
(3129/3646): texlive-subeqnarray-svn15878.2.1c- 523 kB/s |  16 kB     00:00    
(3130/3646): texlive-subfig-svn15878.1.3-63.fc3 746 kB/s |  21 kB     00:00    
(3131/3646): texlive-subfigmat-svn20308.1.0-63. 782 kB/s |  16 kB     00:00    
(3132/3646): texlive-subfigure-svn15878.2.1.5-6 871 kB/s |  18 kB     00:00    
(3133/3646): texlive-subfiles-svn56977-63.fc38. 916 kB/s |  18 kB     00:00    
(3134/3646): texlive-subfloat-svn29349.2.14-63. 765 kB/s |  15 kB     00:00    
(3135/3646): texlive-substances-svn40989-63.fc3 992 kB/s |  21 kB     00:00    
(3136/3646): texlive-substitutefont-svn32066.0. 890 kB/s |  18 kB     00:00    
(3137/3646): texlive-substr-svn16117.1.2-63.fc3 764 kB/s |  15 kB     00:00    
(3138/3646): texlive-subsupscripts-svn16080.1.0 323 kB/s |  11 kB     00:00    
(3139/3646): texlive-suftesi-svn60991-63.fc38.n 1.0 MB/s |  31 kB     00:00    
(3140/3646): texlive-subtext-svn51273-63.fc38.n 1.3 MB/s |  54 kB     00:00    
(3141/3646): texlive-sugconf-svn58752-63.fc38.n 558 kB/s |  16 kB     00:00    
(3142/3646): texlive-superiors-svn51909-63.fc38 1.0 MB/s |  25 kB     00:00    
(3143/3646): texlive-supertabular-svn53658-63.f 595 kB/s |  20 kB     00:00    
(3144/3646): texlive-susy-svn19440.0-63.fc38.no 500 kB/s |  14 kB     00:00    
(3145/3646): texlive-svg-svn57010-63.fc38.noarc 1.5 MB/s |  30 kB     00:00    
(3146/3646): texlive-suppose-svn59281-63.fc38.n 5.6 MB/s | 210 kB     00:00    
(3147/3646): texlive-svgcolor-svn15878.1.0-63.f 832 kB/s |  17 kB     00:00    
(3148/3646): texlive-svn-prov-svn64967-63.fc38. 781 kB/s |  16 kB     00:00    
(3149/3646): texlive-svn-svn15878.43-63.fc38.no 823 kB/s |  18 kB     00:00    
(3150/3646): texlive-svninfo-svn62157-63.fc38.n 858 kB/s |  17 kB     00:00    
(3151/3646): texlive-svrsymbols-svn50019-63.fc3 3.9 MB/s |  86 kB     00:00    
(3152/3646): texlive-swungdash-svn64204-63.fc38 2.0 MB/s |  80 kB     00:00    
(3153/3646): texlive-swimgraf-svn25446.0-63.fc3 488 kB/s |  25 kB     00:00    
(3154/3646): texlive-syllogism-svn15878.1.2-63. 784 kB/s |  16 kB     00:00    
(3155/3646): texlive-symbats3-svn63833-63.fc38. 8.5 MB/s | 205 kB     00:00    
(3156/3646): texlive-symbol-svn61719-63.fc38.no 2.5 MB/s |  54 kB     00:00    
(3157/3646): texlive-sympytexpackage-svn57090-6 848 kB/s |  17 kB     00:00    
(3158/3646): texlive-swfigure-svn63255-63.fc38.  23 MB/s | 2.8 MB     00:00    
(3159/3646): texlive-synproof-svn15878.1.0-63.f 573 kB/s |  16 kB     00:00    
(3160/3646): texlive-syntax-svn15878.0-63.fc38. 855 kB/s |  17 kB     00:00    
(3161/3646): texlive-syntrace-svn15878.1.1-63.f 737 kB/s |  15 kB     00:00    
(3162/3646): texlive-synttree-svn16252.1.4.2-63 806 kB/s |  17 kB     00:00    
(3163/3646): texlive-syntaxdi-svn56685-63.fc38. 5.3 MB/s | 199 kB     00:00    
(3164/3646): texlive-t-angles-svn15878.0-63.fc3 1.0 MB/s |  21 kB     00:00    
(3165/3646): texlive-tabbing-svn59715-63.fc38.n 742 kB/s |  15 kB     00:00    
(3166/3646): texlive-tabfigures-svn25202.1.1-63 872 kB/s |  18 kB     00:00    
(3167/3646): texlive-table-fct-svn41849-63.fc38 686 kB/s |  19 kB     00:00    
(3168/3646): texlive-tableaux-svn42413-63.fc38. 747 kB/s |  17 kB     00:00    
(3169/3646): texlive-tablefootnote-svn32804.1.1 956 kB/s |  20 kB     00:00    
(3170/3646): texlive-tableof-svn59837-63.fc38.n 925 kB/s |  19 kB     00:00    
(3171/3646): texlive-tablestyles-svn34495.0-63. 569 kB/s |  12 kB     00:00    
(3172/3646): texlive-tablists-svn15878.0.0e-63. 764 kB/s |  16 kB     00:00    
(3173/3646): texlive-tablor-svn31855.4.07_g-63. 1.3 MB/s |  26 kB     00:00    
(3174/3646): texlive-tabls-svn17255.3.5-63.fc38 709 kB/s |  14 kB     00:00    
(3175/3646): texlive-tablvar-svn51543-63.fc38.n 6.4 MB/s | 189 kB     00:00    
(3176/3646): texlive-tabriz-thesis-svn51729-63. 983 kB/s |  20 kB     00:00    
(3177/3646): texlive-tabstackengine-svn46848-63 914 kB/s |  19 kB     00:00    
(3178/3646): texlive-tabto-ltx-svn54080-63.fc38 798 kB/s |  17 kB     00:00    
(3179/3646): texlive-tabu-svn61719-63.fc38.noar 1.9 MB/s |  40 kB     00:00    
(3180/3646): texlive-tabularborder-svn17885.1.0 768 kB/s |  16 kB     00:00    
(3181/3646): texlive-tabularcalc-svn15878.0.2-6 867 kB/s |  18 kB     00:00    
(3182/3646): texlive-tabularew-svn15878.0.1-63. 754 kB/s |  16 kB     00:00    
(3183/3646): texlive-tabularray-svn64891-63.fc3  19 MB/s | 752 kB     00:00    
(3184/3646): texlive-tabulary-svn34368.0.10-63. 728 kB/s |  18 kB     00:00    
(3185/3646): texlive-tagging-svn52064-63.fc38.n 706 kB/s |  17 kB     00:00    
(3186/3646): texlive-tagpair-svn42138-63.fc38.n 810 kB/s |  18 kB     00:00    
(3187/3646): texlive-talk-svn42428-63.fc38.noar 914 kB/s |  19 kB     00:00    
(3188/3646): texlive-tagpdf-svn65346-63.fc38.no  34 MB/s | 1.9 MB     00:00    
(3189/3646): texlive-tapir-svn20484.0.2-63.fc38 2.0 MB/s |  67 kB     00:00    
(3190/3646): texlive-tamefloats-svn27345.v0.42- 479 kB/s |  20 kB     00:00    
(3191/3646): texlive-tasks-svn61541-63.fc38.noa 1.1 MB/s |  24 kB     00:00    
(3192/3646): texlive-tcldoc-svn22018.2.40-63.fc 1.0 MB/s |  21 kB     00:00    
(3193/3646): texlive-tcolorbox-svn63713-63.fc38 8.0 MB/s | 251 kB     00:00    
(3194/3646): texlive-tdclock-svn33043.v2.5-63.f 1.0 MB/s |  21 kB     00:00    
(3195/3646): texlive-technics-svn29349.1.0-63.f 509 kB/s |  15 kB     00:00    
(3196/3646): texlive-ted-svn15878.1.06-63.fc38. 814 kB/s |  17 kB     00:00    
(3197/3646): texlive-technion-thesis-template-s 3.8 MB/s | 135 kB     00:00    
(3198/3646): texlive-templatetools-svn34495.0-6 906 kB/s |  18 kB     00:00    
(3199/3646): texlive-tempora-svn39596-63.fc38.n  32 MB/s | 1.3 MB     00:00    
(3200/3646): texlive-tengwarscript-svn34594.1.3 2.1 MB/s |  72 kB     00:00    
(3201/3646): texlive-tensind-svn51481-63.fc38.n 5.7 MB/s | 224 kB     00:00    
(3202/3646): texlive-tensor-svn15878.2.1-63.fc3 463 kB/s |  15 kB     00:00    
(3203/3646): texlive-termcal-svn22514.1.8-63.fc 384 kB/s |  16 kB     00:00    
(3204/3646): texlive-termes-otf-svn64733-63.fc3  11 MB/s | 469 kB     00:00    
(3205/3646): texlive-termlist-svn18923.1.1-63.f 705 kB/s |  15 kB     00:00    
(3206/3646): texlive-testhyphens-svn38928-63.fc 683 kB/s |  18 kB     00:00    
(3207/3646): texlive-termsim-svn61414-63.fc38.n 9.8 MB/s | 399 kB     00:00    
(3208/3646): texlive-testidx-svn60966-63.fc38.n  37 MB/s | 3.2 MB     00:00    
(3209/3646): texlive-tex-ewd-svn15878.0-63.fc38 176 kB/s |  14 kB     00:00    
(3210/3646): texlive-tex-gyre-math-svn41264-63.  16 MB/s | 1.4 MB     00:00    
(3211/3646): texlive-tex-ini-files-svn40533-63. 188 kB/s |  15 kB     00:00    
(3212/3646): texlive-tex-locale-svn48500-63.fc3  26 MB/s | 948 kB     00:00    
(3213/3646): texlive-tex-gyre-svn48058-63.fc38.  45 MB/s | 8.0 MB     00:00    
(3214/3646): texlive-texdraw-svn64477-63.fc38.n 1.2 MB/s |  54 kB     00:00    
(3215/3646): texlive-texilikechaps-svn28553.1.0 479 kB/s |  15 kB     00:00    
(3216/3646): texlive-tex-label-svn16372.0-63.fc  92 kB/s |  17 kB     00:00    
(3217/3646): texlive-texilikecover-svn15878.0.1 394 kB/s |  14 kB     00:00    
(3218/3646): texlive-texlive-common-doc-svn5417 6.1 MB/s | 157 kB     00:00    
(3219/3646): texlive-texlogos-svn19083.1.3.1-63 760 kB/s |  16 kB     00:00    
(3220/3646): texlive-texlive-msg-translations-s 5.4 MB/s | 162 kB     00:00    
(3221/3646): texlive-texmate-svn15878.2-63.fc38 966 kB/s |  20 kB     00:00    
(3222/3646): texlive-texments-svn15878.0.2.0-63 734 kB/s |  15 kB     00:00    
(3223/3646): texlive-texpower-svn29349.0.2-63.f 2.5 MB/s |  56 kB     00:00    
(3224/3646): texlive-texshade-svn64242-63.fc38. 3.7 MB/s |  81 kB     00:00    
(3225/3646): texlive-texsurgery-svn59885-63.fc3 6.5 MB/s | 146 kB     00:00    
(3226/3646): texlive-textcase-svn63868-63.fc38. 553 kB/s |  15 kB     00:00    
(3227/3646): texlive-textcsc-svn64935-63.fc38.n 2.8 MB/s |  74 kB     00:00    
(3228/3646): texlive-textfit-svn20591.5-63.fc38 661 kB/s |  18 kB     00:00    
(3229/3646): texlive-textgreek-svn44192-63.fc38 758 kB/s |  16 kB     00:00    
(3230/3646): texlive-textmerg-svn20677.2.01-63. 615 kB/s |  12 kB     00:00    
(3231/3646): texlive-textopo-svn23796.1.5-63.fc 2.3 MB/s |  48 kB     00:00    
(3232/3646): texlive-textpos-svn63967-63.fc38.n 742 kB/s |  19 kB     00:00    
(3233/3646): texlive-textualicomma-svn48474-63. 5.9 MB/s | 132 kB     00:00    
(3234/3646): texlive-texvc-svn46844-63.fc38.noa 848 kB/s |  18 kB     00:00    
(3235/3646): texlive-theanodidot-svn64518-63.fc 8.3 MB/s | 463 kB     00:00    
(3236/3646): texlive-tfrupee-svn20770.1.02-63.f 9.5 MB/s | 612 kB     00:00    
(3237/3646): texlive-theanomodern-svn64520-63.f 8.1 MB/s | 476 kB     00:00    
(3238/3646): texlive-theoremref-svn54512-63.fc3 822 kB/s |  17 kB     00:00    
(3239/3646): texlive-theanooldstyle-svn64519-63  16 MB/s | 570 kB     00:00    
(3240/3646): texlive-thermodynamics-svn63188-63 6.3 MB/s | 219 kB     00:00    
(3241/3646): texlive-thesis-ekf-svn60228-63.fc3 4.0 MB/s | 130 kB     00:00    
(3242/3646): texlive-thesis-gwu-svn54287-63.fc3 9.7 MB/s | 424 kB     00:00    
(3243/3646): texlive-thesis-qom-svn63524-63.fc3  23 MB/s | 1.3 MB     00:00    
(3244/3646): texlive-thesis-titlepage-fhac-svn1 471 kB/s |  17 kB     00:00    
(3245/3646): texlive-thinsp-svn39669-63.fc38.no 793 kB/s |  16 kB     00:00    
(3246/3646): texlive-threadcol-svn28754.1.0-63. 625 kB/s |  18 kB     00:00    
(3247/3646): texlive-thmtools-svn63477-63.fc38. 710 kB/s |  32 kB     00:00    
(3248/3646): texlive-thmbox-svn15878.0-63.fc38. 348 kB/s |  16 kB     00:00    
(3249/3646): texlive-threeparttable-svn17383.0- 525 kB/s |  16 kB     00:00    
(3250/3646): texlive-threeparttablex-svn34206.0 332 kB/s |  16 kB     00:00    
(3251/3646): texlive-thuaslogos-svn51347-63.fc3  14 MB/s | 788 kB     00:00    
(3252/3646): texlive-thubeamer-svn61071-63.fc38  16 MB/s | 701 kB     00:00    
(3253/3646): texlive-thumb-svn16549.1.0-63.fc38 806 kB/s |  18 kB     00:00    
(3254/3646): texlive-thucoursework-svn56435-63.  14 MB/s | 513 kB     00:00    
(3255/3646): texlive-thumbs-svn33134.1.0q-63.fc 1.0 MB/s |  27 kB     00:00    
(3256/3646): texlive-thuthesis-svn64628-63.fc38 2.2 MB/s |  62 kB     00:00    
(3257/3646): texlive-ticket-svn42280-63.fc38.no 818 kB/s |  19 kB     00:00    
(3258/3646): texlive-ticollege-svn36306.1.0-63. 898 kB/s |  19 kB     00:00    
(3259/3646): texlive-tikz-3dplot-svn25087.0-63. 1.2 MB/s |  24 kB     00:00    
(3260/3646): texlive-thumby-svn16736.0.1-63.fc3 386 kB/s |  25 kB     00:00    
(3261/3646): texlive-tikz-bagua-svn64103-63.fc3 8.2 MB/s | 192 kB     00:00    
(3262/3646): texlive-tikz-bbox-svn57444-63.fc38 4.2 MB/s | 249 kB     00:00    
(3263/3646): texlive-tikz-cd-svn59133-63.fc38.n 1.3 MB/s |  28 kB     00:00    
(3264/3646): texlive-tikz-bayesnet-svn38295.0.1 192 kB/s |  18 kB     00:00    
(3265/3646): texlive-tikz-among-us-svn60880-63.  39 MB/s | 4.9 MB     00:00    
(3266/3646): texlive-tikz-dependency-svn54512-6 1.0 MB/s |  26 kB     00:00    
(3267/3646): texlive-tikz-dimline-svn35805.1.0- 340 kB/s |  13 kB     00:00    
(3268/3646): texlive-tikz-ext-svn64920-63.fc38.  12 MB/s | 493 kB     00:00    
(3269/3646): texlive-tikz-feynhand-svn51915-63. 7.0 MB/s | 335 kB     00:00    
(3270/3646): texlive-tikz-feynman-svn56615-63.f 725 kB/s |  32 kB     00:00    
(3271/3646): texlive-tikz-imagelabels-svn51490-  24 MB/s | 966 kB     00:00    
(3272/3646): texlive-tikz-inet-svn15878.0.1-63. 502 kB/s |  16 kB     00:00    
(3273/3646): texlive-tikz-ladder-svn62992-63.fc  11 MB/s | 310 kB     00:00    
(3274/3646): texlive-tikz-karnaugh-svn62040-63. 9.2 MB/s | 372 kB     00:00    
(3275/3646): texlive-tikz-kalender-svn52890-63. 2.0 MB/s | 131 kB     00:00    
(3276/3646): texlive-tikz-lake-fig-svn55288-63. 3.1 MB/s |  80 kB     00:00    
(3277/3646): texlive-tikz-layers-svn46660-63.fc 882 kB/s |  18 kB     00:00    
(3278/3646): texlive-tikz-nef-svn55920-63.fc38. 4.8 MB/s | 106 kB     00:00    
(3279/3646): texlive-tikz-network-svn51884-63.f  17 MB/s | 833 kB     00:00    
(3280/3646): texlive-tikz-opm-svn32769.0.1.1-63 578 kB/s |  18 kB     00:00    
(3281/3646): texlive-tikz-mirror-lens-svn65372-  12 MB/s | 1.2 MB     00:00    
(3282/3646): texlive-tikz-optics-svn62977-63.fc 6.9 MB/s | 410 kB     00:00    
(3283/3646): texlive-tikz-page-svn42039-63.fc38 8.6 MB/s | 568 kB     00:00    
(3284/3646): texlive-tikz-palattice-svn43442-63 686 kB/s |  22 kB     00:00    
(3285/3646): texlive-tikz-planets-svn55002-63.f 5.5 MB/s | 180 kB     00:00    
(3286/3646): texlive-tikz-qtree-svn26108.1.2-63 918 kB/s |  23 kB     00:00    
(3287/3646): texlive-tikz-relay-svn64072-63.fc3  15 MB/s | 635 kB     00:00    
(3288/3646): texlive-tikz-swigs-svn59889-63.fc3 7.0 MB/s | 186 kB     00:00    
(3289/3646): texlive-tikz-sfc-svn49424-63.fc38.  13 MB/s | 347 kB     00:00    
(3290/3646): texlive-tikz-timing-svn64967-63.fc 1.2 MB/s |  34 kB     00:00    
(3291/3646): texlive-tikz-truchet-svn50020-63.f 3.1 MB/s | 128 kB     00:00    
(3292/3646): texlive-tikz-trackschematic-svn634  14 MB/s | 747 kB     00:00    
(3293/3646): texlive-tikzbricks-svn63952-63.fc3 6.5 MB/s | 278 kB     00:00    
(3294/3646): texlive-tikzcodeblocks-svn54758-63  13 MB/s | 491 kB     00:00    
(3295/3646): texlive-tikzfill-svn63947-63.fc38.  22 MB/s | 627 kB     00:00    
(3296/3646): texlive-tikzducks-svn55713-63.fc38  10 MB/s | 470 kB     00:00    
(3297/3646): texlive-tikzinclude-svn28715.1.0-6 608 kB/s |  17 kB     00:00    
(3298/3646): texlive-tikzlings-svn63628-63.fc38  23 MB/s | 732 kB     00:00    
(3299/3646): texlive-tikzmark-svn64819-63.fc38. 601 kB/s |  25 kB     00:00    
(3300/3646): texlive-tikzmarmots-svn54080-63.fc 6.7 MB/s | 237 kB     00:00    
(3301/3646): texlive-tikzorbital-svn36439.0-63. 726 kB/s |  17 kB     00:00    
(3302/3646): texlive-tikzpagenodes-svn64967-63. 434 kB/s |  15 kB     00:00    
(3303/3646): texlive-tikzpackets-svn55827-63.fc 2.0 MB/s |  76 kB     00:00    
(3304/3646): texlive-tikzpeople-svn43978-63.fc3  10 MB/s | 519 kB     00:00    
(3305/3646): texlive-tikzpfeile-svn25777.1.0-63 420 kB/s |  15 kB     00:00    
(3306/3646): texlive-tikzpingus-svn64199-63.fc3  36 MB/s | 2.6 MB     00:00    
(3307/3646): texlive-tikzposter-svn32732.2.0-63 583 kB/s |  27 kB     00:00    
(3308/3646): texlive-tikzscale-svn30637.0.2.6-6 548 kB/s |  21 kB     00:00    
(3309/3646): texlive-tikzsymbols-svn61300-63.fc 1.5 MB/s |  31 kB     00:00    
(3310/3646): texlive-times-svn61719-63.fc38.noa  11 MB/s | 368 kB     00:00    
(3311/3646): texlive-timbreicmc-svn49740-63.fc3  11 MB/s | 724 kB     00:00    
(3312/3646): texlive-timing-diagrams-svn31491.0 285 kB/s |  18 kB     00:00    
(3313/3646): texlive-tile-graphic-svn55325-63.f  28 MB/s | 6.2 MB     00:00    
(3314/3646): texlive-tinos-svn64504-63.fc38.noa  21 MB/s | 3.2 MB     00:00    
(3315/3646): texlive-tipa-svn29349.1.3-63.fc38.  26 MB/s | 2.8 MB     00:00    
(3316/3646): texlive-tipfr-doc-svn38646-63.fc38  11 MB/s | 384 kB     00:00    
(3317/3646): texlive-tiscreen-svn62602-63.fc38. 6.7 MB/s | 240 kB     00:00    
(3318/3646): texlive-tipauni-svn64774-63.fc38.n 9.6 MB/s | 426 kB     00:00    
(3319/3646): texlive-titlecaps-svn63020-63.fc38 802 kB/s |  21 kB     00:00    
(3320/3646): texlive-titlefoot-svn15878.0-63.fc 603 kB/s |  16 kB     00:00    
(3321/3646): texlive-titlepic-svn43497-63.fc38. 456 kB/s |  12 kB     00:00    
(3322/3646): texlive-titleref-svn18729.3.1-63.f 668 kB/s |  13 kB     00:00    
(3323/3646): texlive-titlesec-svn59845-63.fc38. 1.6 MB/s |  33 kB     00:00    
(3324/3646): texlive-titling-svn15878.2.1d-63.f 370 kB/s |  16 kB     00:00    
(3325/3646): texlive-tkz-base-svn63906-63.fc38. 857 kB/s |  36 kB     00:00    
(3326/3646): texlive-tkz-doc-svn63902-63.fc38.n 2.3 MB/s |  55 kB     00:00    
(3327/3646): texlive-tkz-berge-svn57485-63.fc38  17 MB/s | 972 kB     00:00    
(3328/3646): texlive-tkz-euclide-svn64491-63.fc 2.1 MB/s |  64 kB     00:00    
(3329/3646): texlive-tkz-fct-svn61949-63.fc38.n 882 kB/s |  18 kB     00:00    
(3330/3646): texlive-tkz-graph-svn57484-63.fc38 9.7 MB/s | 340 kB     00:00    
(3331/3646): texlive-tkz-orm-svn61719-63.fc38.n 577 kB/s |  22 kB     00:00    
(3332/3646): texlive-tkz-tab-svn54940-63.fc38.n 590 kB/s |  20 kB     00:00    
(3333/3646): texlive-tkzexample-svn63908-63.fc3 4.6 MB/s | 114 kB     00:00    
(3334/3646): texlive-tlc-article-svn51431-63.fc  17 MB/s | 443 kB     00:00    
(3335/3646): texlive-tocbibind-svn20085.1.5k-63 784 kB/s |  16 kB     00:00    
(3336/3646): texlive-to-be-determined-svn64882- 6.8 MB/s | 255 kB     00:00    
(3337/3646): texlive-tocdata-svn55852-63.fc38.n  30 MB/s | 911 kB     00:00    
(3338/3646): texlive-tocloft-svn53364-63.fc38.n 754 kB/s |  22 kB     00:00    
(3339/3646): texlive-tocvsec2-svn33146.1.3a-63. 653 kB/s |  18 kB     00:00    
(3340/3646): texlive-todo-svn17746.2.142-63.fc3 757 kB/s |  15 kB     00:00    
(3341/3646): texlive-todonotes-svn59465-63.fc38 958 kB/s |  19 kB     00:00    
(3342/3646): texlive-tokenizer-svn15878.1.1.0-6 605 kB/s |  16 kB     00:00    
(3343/3646): texlive-tokcycle-svn60320-63.fc38.  27 MB/s | 1.1 MB     00:00    
(3344/3646): texlive-tonevalue-svn60058-63.fc38 5.7 MB/s | 167 kB     00:00    
(3345/3646): texlive-toolbox-svn32260.5.1-63.fc 799 kB/s |  16 kB     00:00    
(3346/3646): texlive-tools-svn64892-63.fc38.noa 2.4 MB/s |  74 kB     00:00    
(3347/3646): texlive-topfloat-svn19084.0-63.fc3 473 kB/s |  16 kB     00:00    
(3348/3646): texlive-topiclongtable-svn54758-63 8.1 MB/s | 383 kB     00:00    
(3349/3646): texlive-topletter-svn48182-63.fc38 6.9 MB/s | 365 kB     00:00    
(3350/3646): texlive-toptesi-svn56276-63.fc38.n 770 kB/s |  38 kB     00:00    
(3351/3646): texlive-totalcount-svn56214-63.fc3 4.0 MB/s |  90 kB     00:00    
(3352/3646): texlive-totpages-svn15878.2.00-63. 388 kB/s |  16 kB     00:00    
(3353/3646): texlive-totcount-svn21178.1.2-63.f 295 kB/s |  16 kB     00:00    
(3354/3646): texlive-tpslifonts-svn42428-63.fc3 350 kB/s |  21 kB     00:00    
(3355/3646): texlive-tqft-svn44455-63.fc38.noar 1.0 MB/s |  23 kB     00:00    
(3356/3646): texlive-tracklang-svn65263-63.fc38 1.3 MB/s |  37 kB     00:00    
(3357/3646): texlive-trajan-svn15878.1.1-63.fc3 1.3 MB/s |  61 kB     00:00    
(3358/3646): texlive-translations-svn61896-63.f 437 kB/s |  31 kB     00:00    
(3359/3646): texlive-translator-svn59412-63.fc3 4.9 MB/s | 295 kB     00:00    
(3360/3646): texlive-transparent-svn64852-63.fc 8.3 MB/s | 285 kB     00:00    
(3361/3646): texlive-tree-dvips-svn21751.91-63. 430 kB/s |  22 kB     00:00    
(3362/3646): texlive-trfsigns-svn15878.1.01-63. 303 kB/s |  16 kB     00:00    
(3363/3646): texlive-trimspaces-svn15878.1.1-63 188 kB/s |  14 kB     00:00    
(3364/3646): texlive-trivfloat-svn15878.1.3b-63 394 kB/s |  16 kB     00:00    
(3365/3646): texlive-trsym-svn18732.1.0-63.fc38 464 kB/s |  18 kB     00:00    
(3366/3646): texlive-tucv-svn20680.1.0-63.fc38. 211 kB/s |  12 kB     00:00    
(3367/3646): texlive-truncate-svn18921.3.6-63.f 186 kB/s |  14 kB     00:00    
(3368/3646): texlive-tuda-ci-svn65254-63.fc38.n  13 MB/s | 1.2 MB     00:00    
(3369/3646): texlive-tsemlines-svn23440.1.0-63.  77 kB/s |  12 kB     00:00    
(3370/3646): texlive-tudscr-svn64085-63.fc38.no 1.9 MB/s | 197 kB     00:00    
(3371/3646): texlive-tufte-latex-svn37649.3.5.2 796 kB/s |  36 kB     00:00    
(3372/3646): texlive-tugboat-plain-svn63386-63. 968 kB/s |  44 kB     00:00    
(3373/3646): texlive-tugboat-svn63386-63.fc38.n 1.3 MB/s |  46 kB     00:00    
(3374/3646): texlive-tui-svn27253.1.9-63.fc38.n 385 kB/s |  18 kB     00:00    
(3375/3646): texlive-turabian-formatting-svn585 580 kB/s |  25 kB     00:00    
(3376/3646): texlive-turabian-svn36298.0.1.0-63 356 kB/s |  15 kB     00:00    
(3377/3646): texlive-turnstile-svn64967-63.fc38 287 kB/s |  15 kB     00:00    
(3378/3646): texlive-turnthepage-svn29803.1.3a- 201 kB/s |  16 kB     00:00    
(3379/3646): texlive-twemoji-colr-svn64854-63.f 6.4 MB/s | 643 kB     00:00    
(3380/3646): texlive-twoinone-svn17024.0-63.fc3 165 kB/s |  12 kB     00:00    
(3381/3646): texlive-twoup-svn15878.1.3-63.fc38 314 kB/s |  16 kB     00:00    
(3382/3646): texlive-twemojis-svn62930-63.fc38.  35 MB/s | 4.7 MB     00:00    
(3383/3646): texlive-txfonts-svn15878.0-63.fc38  19 MB/s | 815 kB     00:00    
(3384/3646): texlive-txgreeks-svn21839.1.0-63.f 377 kB/s |  18 kB     00:00    
(3385/3646): texlive-txuprcal-svn43327-63.fc38. 3.1 MB/s | 157 kB     00:00    
(3386/3646): texlive-txfontsb-svn54512-63.fc38.  35 MB/s | 3.5 MB     00:00    
(3387/3646): texlive-type1cm-svn21820.0-63.fc38 773 kB/s |  16 kB     00:00    
(3388/3646): texlive-typed-checklist-svn63445-6 504 kB/s |  20 kB     00:00    
(3389/3646): texlive-typeface-svn27046.0.1-63.f 1.8 MB/s |  61 kB     00:00    
(3390/3646): texlive-typehtml-svn17134.0-63.fc3 733 kB/s |  20 kB     00:00    
(3391/3646): texlive-typogrid-svn24994.0.21-63. 542 kB/s |  15 kB     00:00    
(3392/3646): texlive-typicons-svn37623.2.0.7-63 1.2 MB/s |  79 kB     00:00    
(3393/3646): texlive-typoaid-svn44238-63.fc38.n 5.0 MB/s | 346 kB     00:00    
(3394/3646): texlive-tzplot-svn64537-63.fc38.no  22 MB/s | 1.2 MB     00:00    
(3395/3646): texlive-uaclasses-svn15878.0-63.fc 634 kB/s |  17 kB     00:00    
(3396/3646): texlive-uafthesis-svn57349-63.fc38 904 kB/s |  21 kB     00:00    
(3397/3646): texlive-uassign-svn38459-63.fc38.n 219 kB/s |  19 kB     00:00    
(3398/3646): texlive-ucalgmthesis-svn52527-63.f 1.2 MB/s | 134 kB     00:00    
(3399/3646): texlive-ucbthesis-svn51690-63.fc38 625 kB/s |  23 kB     00:00    
(3400/3646): texlive-uantwerpendocs-svn64165-63  48 MB/s | 6.3 MB     00:00    
(3401/3646): texlive-ucdavisthesis-svn40772-63. 718 kB/s |  24 kB     00:00    
(3402/3646): texlive-ucharcat-svn38907-63.fc38. 590 kB/s |  15 kB     00:00    
(3403/3646): texlive-ucs-svn64114-63.fc38.noarc 707 kB/s | 423 kB     00:00    
(3404/3646): texlive-ucsmonograph-svn52698-63.f 732 kB/s | 436 kB     00:00    
(3405/3646): texlive-ucthesis-svn15878.3.2-63.f  60 kB/s |  35 kB     00:00    
(3406/3646): texlive-udes-genie-these-svn65039- 6.1 MB/s | 152 kB     00:00    
(3407/3646): texlive-uebungsblatt-svn15878.1.5. 592 kB/s |  18 kB     00:00    
(3408/3646): texlive-uestcthesis-svn36371.1.1.0 1.0 MB/s |  35 kB     00:00    
(3409/3646): texlive-ufrgscca-svn65284-63.fc38.  19 MB/s | 502 kB     00:00    
(3410/3646): texlive-uiucredborder-svn29974.1.0 751 kB/s |  17 kB     00:00    
(3411/3646): texlive-uhhassignment-svn44026-63. 4.9 MB/s | 388 kB     00:00    
(3412/3646): texlive-ukbill-svn65266-63.fc38.no 8.4 MB/s | 204 kB     00:00    
(3413/3646): texlive-uhc-svn16791.0-63.fc38.noa  34 MB/s | 4.4 MB     00:00    
(3414/3646): texlive-ulem-svn53365-63.fc38.noar 623 kB/s |  17 kB     00:00    
(3415/3646): texlive-ulthese-svn60217-63.fc38.n 2.5 MB/s |  77 kB     00:00    
(3416/3646): texlive-umbclegislation-svn41348-6 911 kB/s |  24 kB     00:00    
(3417/3646): texlive-uiucthesis-svn15878.2.25-6 129 kB/s |  18 kB     00:00    
(3418/3646): texlive-umich-thesis-svn15878.1.20 941 kB/s |  19 kB     00:00    
(3419/3646): texlive-umoline-svn19085.0-63.fc38 798 kB/s |  16 kB     00:00    
(3420/3646): texlive-umthesis-svn15878.0.2-63.f 975 kB/s |  20 kB     00:00    
(3421/3646): texlive-unam-thesis-svn51207-63.fc 1.1 MB/s |  26 kB     00:00    
(3422/3646): texlive-umtypewriter-svn64443-63.f  14 MB/s | 379 kB     00:00    
(3423/3646): texlive-unamthesis-svn43639-63.fc3 352 kB/s |  26 kB     00:00    
(3424/3646): texlive-unamth-template-doc-svn336  52 MB/s | 4.8 MB     00:00    
(3425/3646): texlive-underlin-svn15878.1.01-63. 562 kB/s |  14 kB     00:00    
(3426/3646): texlive-unbtex-svn64634-63.fc38.no  14 MB/s | 1.4 MB     00:00    
(3427/3646): texlive-underoverlap-svn29019.0.0. 950 kB/s |  19 kB     00:00    
(3428/3646): texlive-underscore-svn18261.0-63.f 886 kB/s |  18 kB     00:00    
(3429/3646): texlive-undolabl-svn36681.1.0l-63. 900 kB/s |  18 kB     00:00    
(3430/3646): texlive-uni-titlepage-svn64306-63.  32 MB/s | 1.8 MB     00:00    
(3431/3646): texlive-uni-wtal-ger-svn31541.0.2- 411 kB/s |  18 kB     00:00    
(3432/3646): texlive-uni-wtal-lin-svn31409.0.2- 399 kB/s |  19 kB     00:00    
(3433/3646): texlive-unicode-math-svn61719-63.f 2.9 MB/s |  63 kB     00:00    
(3434/3646): texlive-unicode-data-svn64423-63.f  11 MB/s | 370 kB     00:00    
(3435/3646): texlive-unicodefonttable-svn65009-  26 MB/s | 1.4 MB     00:00    
(3436/3646): texlive-unifith-svn60698-63.fc38.n  18 MB/s | 990 kB     00:00    
(3437/3646): texlive-unigrazpub-svn64797-63.fc3 3.3 MB/s | 149 kB     00:00    
(3438/3646): texlive-uniquecounter-svn53162-63. 9.3 MB/s | 300 kB     00:00    
(3439/3646): texlive-unitconv-svn55060-63.fc38. 3.9 MB/s |  86 kB     00:00    
(3440/3646): texlive-unisc-svn63178-63.fc38.noa 7.5 MB/s | 212 kB     00:00    
(3441/3646): texlive-units-svn42428-63.fc38.noa 842 kB/s |  17 kB     00:00    
(3442/3646): texlive-unitsdef-svn15878.0.2-63.f 828 kB/s |  20 kB     00:00    
(3443/3646): texlive-unitn-bimrep-svn45581-63.f  21 MB/s | 816 kB     00:00    
(3444/3646): texlive-universa-svn51984-63.fc38. 2.8 MB/s |  61 kB     00:00    
(3445/3646): texlive-universalis-svn64505-63.fc  18 MB/s | 606 kB     00:00    
(3446/3646): texlive-unizgklasa-svn51647-63.fc3 953 kB/s |  49 kB     00:00    
(3447/3646): texlive-unravel-svn59175-63.fc38.n 1.3 MB/s |  44 kB     00:00    
(3448/3646): texlive-univie-ling-svn65214-63.fc  43 MB/s | 3.2 MB     00:00    
(3449/3646): texlive-unswcover-svn29476.1.0-63. 932 kB/s |  19 kB     00:00    
(3450/3646): texlive-uothesis-svn25355.2.5.6-63 1.0 MB/s |  25 kB     00:00    
(3451/3646): texlive-uowthesis-svn19700.1.0a-63 2.3 MB/s |  60 kB     00:00    
(3452/3646): texlive-uowthesistitlepage-svn5451 819 kB/s |  21 kB     00:00    
(3453/3646): texlive-uplatex-svn65305-63.fc38.n 1.1 MB/s |  36 kB     00:00    
(3454/3646): texlive-upmethodology-svn64613-63. 2.3 MB/s |  50 kB     00:00    
(3455/3646): texlive-uppunctlm-svn42334-63.fc38 8.1 MB/s | 187 kB     00:00    
(3456/3646): texlive-upquote-svn26059.v1.3-63.f 794 kB/s |  16 kB     00:00    
(3457/3646): texlive-uptex-base-svn64072-63.fc3 761 kB/s |  22 kB     00:00    
(3458/3646): texlive-uptex-fonts-svn62592-63.fc  12 MB/s | 419 kB     00:00    
(3459/3646): texlive-urcls-svn49903-63.fc38.noa 775 kB/s |  28 kB     00:00    
(3460/3646): texlive-uri-svn48602-63.fc38.noarc 820 kB/s |  19 kB     00:00    
(3461/3646): texlive-url-svn32528.3.4-63.fc38.n 936 kB/s |  19 kB     00:00    
(3462/3646): texlive-urwchancal-svn21701.1-63.f 578 kB/s |  17 kB     00:00    
(3463/3646): texlive-usebib-svn25969.1.0a-63.fc 631 kB/s |  18 kB     00:00    
(3464/3646): texlive-ushort-svn32261.2.2-63.fc3 741 kB/s |  15 kB     00:00    
(3465/3646): texlive-uspatent-svn27744.1.0-63.f 622 kB/s |  21 kB     00:00    
(3466/3646): texlive-uspace-svn63123-63.fc38.no 8.4 MB/s | 296 kB     00:00    
(3467/3646): texlive-ut-thesis-svn64491-63.fc38 588 kB/s |  19 kB     00:00    
(3468/3646): texlive-utexasthesis-svn48648-63.f 630 kB/s |  16 kB     00:00    
(3469/3646): texlive-utf8add-svn61074-63.fc38.n 2.3 MB/s |  93 kB     00:00    
(3470/3646): texlive-uwa-colours-svn60443-63.fc 2.3 MB/s | 141 kB     00:00    
(3471/3646): texlive-utopia-svn15878.0-63.fc38. 3.0 MB/s | 239 kB     00:00    
(3472/3646): texlive-utfsym-svn63076-63.fc38.no  47 MB/s | 5.2 MB     00:00    
(3473/3646): texlive-uwa-letterhead-svn64491-63 2.5 MB/s |  75 kB     00:00    
(3474/3646): texlive-uwa-pcf-svn64491-63.fc38.n 2.4 MB/s |  70 kB     00:00    
(3475/3646): texlive-uwa-pif-svn64491-63.fc38.n 3.1 MB/s |  68 kB     00:00    
(3476/3646): texlive-uwthesis-svn15878.6.13-63. 725 kB/s |  22 kB     00:00    
(3477/3646): texlive-vancouver-svn59192-63.fc38 942 kB/s |  25 kB     00:00    
(3478/3646): texlive-vak-svn23431.0-63.fc38.noa 656 kB/s |  19 kB     00:00    
(3479/3646): texlive-varindex-svn32262.2.3-63.f 653 kB/s |  20 kB     00:00    
(3480/3646): texlive-variablelm-svn60014-63.fc3 4.7 MB/s | 153 kB     00:00    
(3481/3646): texlive-varsfromjobname-svn44154-6 407 kB/s |  15 kB     00:00    
(3482/3646): texlive-varwidth-svn24104.0.92-63. 577 kB/s |  17 kB     00:00    
(3483/3646): texlive-vdmlisting-svn56905-63.fc3 466 kB/s |  16 kB     00:00    
(3484/3646): texlive-vcell-svn59039-63.fc38.noa 3.6 MB/s | 151 kB     00:00    
(3485/3646): texlive-venn-svn15878.0-63.fc38.no 745 kB/s |  15 kB     00:00    
(3486/3646): texlive-venndiagram-svn47952-63.fc 383 kB/s |  18 kB     00:00    
(3487/3646): texlive-verbasef-svn21922.1.1-63.f 519 kB/s |  19 kB     00:00    
(3488/3646): texlive-verbatimbox-svn33197.3.13- 315 kB/s |  17 kB     00:00    
(3489/3646): texlive-verbatimcopy-svn15878.0.06 254 kB/s |  16 kB     00:00    
(3490/3646): texlive-venturisadf-svn19444.1.005  36 MB/s | 4.1 MB     00:00    
(3491/3646): texlive-verbdef-svn17177.0.2-63.fc 602 kB/s |  15 kB     00:00    
(3492/3646): texlive-verbments-svn23670.1.2-63. 822 kB/s |  17 kB     00:00    
(3493/3646): texlive-verifiche-svn64425-63.fc38  22 MB/s | 865 kB     00:00    
(3494/3646): texlive-version-svn21920.2.0-63.fc 400 kB/s |  13 kB     00:00    
(3495/3646): texlive-verse-svn34017.2.4b-63.fc3 357 kB/s |  16 kB     00:00    
(3496/3646): texlive-versions-svn21921.0.55-63. 1.0 MB/s |  22 kB     00:00    
(3497/3646): texlive-versonotes-svn55777-63.fc3 919 kB/s |  19 kB     00:00    
(3498/3646): texlive-vertbars-svn49429-63.fc38. 571 kB/s |  17 kB     00:00    
(3499/3646): texlive-vgrid-svn32457.0.1-63.fc38 617 kB/s |  17 kB     00:00    
(3500/3646): texlive-vhistory-svn61719-63.fc38. 1.0 MB/s |  23 kB     00:00    
(3501/3646): texlive-volumes-svn15878.1.0-63.fc 172 kB/s |  16 kB     00:00    
(3502/3646): texlive-vmargin-svn15878.2.5-63.fc 143 kB/s |  16 kB     00:00    
(3503/3646): texlive-vtable-svn51126-63.fc38.no 1.5 MB/s |  71 kB     00:00    
(3504/3646): texlive-vruler-svn21598.2.3-63.fc3 278 kB/s |  19 kB     00:00    
(3505/3646): texlive-vwcol-svn36254.0.2-63.fc38  98 kB/s |  19 kB     00:00    
(3506/3646): texlive-wallcalendar-svn45568-63.f  21 MB/s | 2.6 MB     00:00    
(3507/3646): texlive-wallpaper-svn15878.1.10-63 734 kB/s |  15 kB     00:00    
(3508/3646): texlive-wadalab-svn42428-63.fc38.n  48 MB/s |  17 MB     00:00    
(3509/3646): texlive-visualpstricks-doc-svn3979  23 MB/s |  13 MB     00:00    
(3510/3646): texlive-warning-svn22028.0.01-63.f 461 kB/s |  15 kB     00:00    
(3511/3646): texlive-warpcol-svn15878.1.0c-63.f 721 kB/s |  15 kB     00:00    
(3512/3646): texlive-was-svn64691-63.fc38.noarc 608 kB/s |  12 kB     00:00    
(3513/3646): texlive-wasy-svn53533-63.fc38.noar 2.0 MB/s |  43 kB     00:00    
(3514/3646): texlive-wasy-type1-svn53534-63.fc3  11 MB/s | 271 kB     00:00    
(3515/3646): texlive-wasysym-svn54080-63.fc38.n 855 kB/s |  17 kB     00:00    
(3516/3646): texlive-wheelchart-svn64373-63.fc3  17 MB/s | 665 kB     00:00    
(3517/3646): texlive-widetable-svn53409-63.fc38 642 kB/s |  18 kB     00:00    
(3518/3646): texlive-widows-and-orphans-svn6421  28 MB/s | 838 kB     00:00    
(3519/3646): texlive-williams-svn15878.0-63.fc3 795 kB/s |  16 kB     00:00    
(3520/3646): texlive-withargs-svn52641-63.fc38. 393 kB/s |  23 kB     00:00    
(3521/3646): texlive-windycity-svn61223-63.fc38 4.8 MB/s | 581 kB     00:00    
(3522/3646): texlive-witharrows-svn63087-63.fc3  13 MB/s | 945 kB     00:00    
(3523/3646): texlive-worksheet-svn48423-63.fc38 1.6 MB/s | 113 kB     00:00    
(3524/3646): texlive-wordlike-svn15878.1.2b-63. 151 kB/s |  15 kB     00:00    
(3525/3646): texlive-wrapfig-svn61719-63.fc38.n 431 kB/s |  22 kB     00:00    
(3526/3646): texlive-worldflags-svn59171-63.fc3  30 MB/s | 4.1 MB     00:00    
(3527/3646): texlive-wrapfig2-svn62039-63.fc38. 8.0 MB/s | 688 kB     00:00    
(3528/3646): texlive-wsemclassic-svn31532.1.0.1 688 kB/s |  15 kB     00:00    
(3529/3646): texlive-willowtreebook-svn60638-63  53 MB/s |  23 MB     00:00    
(3530/3646): texlive-wsuipa-svn25469.0-63.fc38. 1.3 MB/s |  62 kB     00:00    
(3531/3646): texlive-xargs-svn15878.1.1-63.fc38 800 kB/s |  17 kB     00:00    
(3532/3646): texlive-wrapstuff-svn64058-63.fc38 4.1 MB/s | 453 kB     00:00    
(3533/3646): texlive-wtref-svn55558-63.fc38.noa 8.1 MB/s | 349 kB     00:00    
(3534/3646): texlive-xassoccnt-svn61112-63.fc38 1.2 MB/s |  35 kB     00:00    
(3535/3646): texlive-xcharter-svn63057-63.fc38.  35 MB/s | 2.7 MB     00:00    
(3536/3646): texlive-xbmks-svn53448-63.fc38.noa 3.8 MB/s | 378 kB     00:00    
(3537/3646): texlive-xcite-svn53486-63.fc38.noa 618 kB/s |  17 kB     00:00    
(3538/3646): texlive-xcjk2uni-svn54958-63.fc38. 8.9 MB/s | 373 kB     00:00    
(3539/3646): texlive-xcntperchap-svn54080-63.fc 854 kB/s |  19 kB     00:00    
(3540/3646): texlive-xcharter-math-svn64927-63.  15 MB/s | 2.1 MB     00:00    
(3541/3646): texlive-xcolor-solarized-svn61719- 721 kB/s |  18 kB     00:00    
(3542/3646): texlive-xcolor-material-svn42289-6  16 MB/s | 581 kB     00:00    
(3543/3646): texlive-xcolor-svn63563-63.fc38.no 1.5 MB/s |  32 kB     00:00    
(3544/3646): texlive-xcookybooky-svn36435.1.5-6 929 kB/s |  23 kB     00:00    
(3545/3646): texlive-xcomment-svn20031.1.3-63.f 613 kB/s |  16 kB     00:00    
(3546/3646): texlive-xcpdftips-svn50449-63.fc38 5.0 MB/s | 162 kB     00:00    
(3547/3646): texlive-xdoc-svn15878.prot2.5-63.f 1.1 MB/s |  26 kB     00:00    
(3548/3646): texlive-xduthesis-svn63116-63.fc38 3.7 MB/s | 127 kB     00:00    
(3549/3646): texlive-xellipsis-svn47546-63.fc38 574 kB/s |  18 kB     00:00    
(3550/3646): texlive-xduts-svn65243-63.fc38.noa  14 MB/s | 836 kB     00:00    
(3551/3646): texlive-xecjk-svn64059-63.fc38.noa 4.4 MB/s | 242 kB     00:00    
(3552/3646): texlive-xetexconfig-svn45845-63.fc 393 kB/s |  11 kB     00:00    
(3553/3646): texlive-xfor-svn15878.1.05-63.fc38 425 kB/s |  15 kB     00:00    
(3554/3646): texlive-xhfill-svn22575.1.01-63.fc 704 kB/s |  15 kB     00:00    
(3555/3646): texlive-xfakebold-svn55654-63.fc38 2.3 MB/s | 114 kB     00:00    
(3556/3646): texlive-xifthen-svn38929-63.fc38.n 493 kB/s |  16 kB     00:00    
(3557/3646): texlive-xint-svn63562-63.fc38.noar 2.7 MB/s | 100 kB     00:00    
(3558/3646): texlive-xistercian-svn61366-63.fc3 7.1 MB/s | 351 kB     00:00    
(3559/3646): texlive-xkcdcolors-svn54512-63.fc3 7.1 MB/s | 231 kB     00:00    
(3560/3646): texlive-xkeyval-svn63616-63.fc38.n 1.3 MB/s |  26 kB     00:00    
(3561/3646): texlive-xltabular-svn56855-63.fc38 4.1 MB/s |  90 kB     00:00    
(3562/3646): texlive-xltxtra-svn56594-63.fc38.n 784 kB/s |  16 kB     00:00    
(3563/3646): texlive-xmpincl-svn60593-63.fc38.n 832 kB/s |  17 kB     00:00    
(3564/3646): texlive-xits-svn55730-63.fc38.noar 6.2 MB/s | 601 kB     00:00    
(3565/3646): texlive-xnewcommand-svn15878.1.2-6 690 kB/s |  14 kB     00:00    
(3566/3646): texlive-xmuthesis-svn56614-63.fc38  12 MB/s | 488 kB     00:00    
(3567/3646): texlive-xoptarg-svn15878.1.0-63.fc 743 kB/s |  15 kB     00:00    
(3568/3646): texlive-xpatch-svn54563-63.fc38.no 893 kB/s |  18 kB     00:00    
(3569/3646): texlive-xpeek-svn61719-63.fc38.noa 861 kB/s |  18 kB     00:00    
(3570/3646): texlive-xpicture-svn28770.1.2a-63. 1.1 MB/s |  25 kB     00:00    
(3571/3646): texlive-xprintlen-svn35928.1.0-63. 810 kB/s |  17 kB     00:00    
(3572/3646): texlive-xpinyin-svn63897-63.fc38.n  12 MB/s | 287 kB     00:00    
(3573/3646): texlive-xpunctuate-svn26641.1.0-63 775 kB/s |  16 kB     00:00    
(3574/3646): texlive-xsavebox-svn64049-63.fc38. 634 kB/s |  20 kB     00:00    
(3575/3646): texlive-xstring-svn60007-63.fc38.n 292 kB/s |  25 kB     00:00    
(3576/3646): texlive-xtab-svn23347.2.3f-63.fc38 854 kB/s |  18 kB     00:00    
(3577/3646): texlive-xunicode-svn30466.0.981-63 1.5 MB/s |  44 kB     00:00    
(3578/3646): texlive-xurl-svn61553-63.fc38.noar 2.0 MB/s |  66 kB     00:00    
(3579/3646): texlive-xwatermark-svn61719-63.fc3 1.3 MB/s |  32 kB     00:00    
(3580/3646): texlive-xput-svn65325-63.fc38.noar  48 MB/s |  12 MB     00:00    
(3581/3646): texlive-xyling-svn15878.1.1-63.fc3 729 kB/s |  24 kB     00:00    
(3582/3646): texlive-xsim-svn61988-63.fc38.noar  12 MB/s | 2.9 MB     00:00    
(3583/3646): texlive-xymtex-svn32182.5.06-63.fc 3.9 MB/s | 115 kB     00:00    
(3584/3646): texlive-xytree-svn15878.1.5-63.fc3 435 kB/s |  17 kB     00:00    
(3585/3646): texlive-xypic-svn61719-63.fc38.noa  16 MB/s | 770 kB     00:00    
(3586/3646): texlive-yafoot-svn48568-63.fc38.no 703 kB/s |  17 kB     00:00    
(3587/3646): texlive-yagusylo-svn29803.1.2-63.f 939 kB/s |  19 kB     00:00    
(3588/3646): texlive-yaletter-svn42830-63.fc38.  19 MB/s | 494 kB     00:00    
(3589/3646): texlive-yathesis-svn63576-63.fc38. 1.9 MB/s |  41 kB     00:00    
(3590/3646): texlive-ycbook-svn46201-63.fc38.no 557 kB/s |  21 kB     00:00    
(3591/3646): texlive-yb-book-svn64586-63.fc38.n 7.0 MB/s | 289 kB     00:00    
(3592/3646): texlive-ydoc-svn64887-63.fc38.noar 1.3 MB/s |  27 kB     00:00    
(3593/3646): texlive-yfonts-otf-svn65030-63.fc3 6.8 MB/s | 229 kB     00:00    
(3594/3646): texlive-yfonts-svn50755-63.fc38.no 747 kB/s |  15 kB     00:00    
(3595/3646): texlive-yfonts-t1-svn36013-63.fc38 7.9 MB/s | 188 kB     00:00    
(3596/3646): texlive-yhmath-svn54377-63.fc38.no 2.5 MB/s |  53 kB     00:00    
(3597/3646): texlive-yazd-thesis-svn61719-63.fc  18 MB/s | 2.1 MB     00:00    
(3598/3646): texlive-york-thesis-svn23348.3.6-6 761 kB/s |  20 kB     00:00    
(3599/3646): texlive-youngtab-svn56500-63.fc38. 713 kB/s |  15 kB     00:00    
(3600/3646): texlive-yinit-otf-svn40207-63.fc38 8.4 MB/s | 272 kB     00:00    
(3601/3646): texlive-zapfchan-svn61719-63.fc38. 4.6 MB/s | 109 kB     00:00    
(3602/3646): texlive-ytableau-svn59580-63.fc38. 676 kB/s |  18 kB     00:00    
(3603/3646): texlive-yquant-svn65351-63.fc38.no  28 MB/s | 891 kB     00:00    
(3604/3646): texlive-zapfding-svn61719-63.fc38. 3.0 MB/s |  63 kB     00:00    
(3605/3646): texlive-zebra-goodies-svn51554-63. 5.1 MB/s | 128 kB     00:00    
(3606/3646): texlive-zed-csp-svn17258.0-63.fc38 886 kB/s |  18 kB     00:00    
(3607/3646): texlive-zhnumber-svn63898-63.fc38. 1.1 MB/s |  26 kB     00:00    
(3608/3646): texlive-zhmetrics-uptex-svn40728-6 4.5 MB/s | 121 kB     00:00    
(3609/3646): texlive-ziffer-svn32279.2.1-63.fc3 734 kB/s |  15 kB     00:00    
(3610/3646): texlive-zlmtt-svn64076-63.fc38.noa 1.1 MB/s |  26 kB     00:00    
(3611/3646): texlive-zhmetrics-svn22207.r206-63  27 MB/s | 1.6 MB     00:00    
(3612/3646): texlive-zootaxa-bst-svn50619-63.fc 5.3 MB/s | 149 kB     00:00    
(3613/3646): texlive-zref-svn62977-63.fc38.noar  15 MB/s | 688 kB     00:00    
(3614/3646): texlive-zref-clever-svn63428-63.fc  22 MB/s | 1.5 MB     00:00    
(3615/3646): texlive-zref-check-svn63845-63.fc3  14 MB/s | 1.0 MB     00:00    
(3616/3646): texlive-zwgetfdate-svn15878.0-63.f 783 kB/s |  17 kB     00:00    
(3617/3646): texlive-zwpagelayout-svn63074-63.f 1.0 MB/s |  22 kB     00:00    
(3618/3646): texlive-zref-vario-svn63874-63.fc3  25 MB/s | 748 kB     00:00    
(3619/3646): texlive-zx-calculus-svn60838-63.fc  15 MB/s | 640 kB     00:00    
(3620/3646): tk-8.6.12-3.fc37.x86_64.rpm         28 MB/s | 1.6 MB     00:00    
(3621/3646): txt2man-1.7.1-3.fc37.noarch.rpm    448 kB/s |  28 kB     00:00    
(3622/3646): urw-base35-d050000l-fonts-20200910 3.3 MB/s |  76 kB     00:00    
(3623/3646): urw-base35-c059-fonts-20200910-15.  26 MB/s | 875 kB     00:00    
(3624/3646): urw-base35-bookman-fonts-20200910-  17 MB/s | 848 kB     00:00    
(3625/3646): urw-base35-fonts-20200910-15.fc37. 536 kB/s |  11 kB     00:00    
(3626/3646): urw-base35-fonts-common-20200910-1 1.0 MB/s |  21 kB     00:00    
(3627/3646): urw-base35-gothic-fonts-20200910-1  23 MB/s | 643 kB     00:00    
(3628/3646): urw-base35-nimbus-mono-ps-fonts-20  17 MB/s | 796 kB     00:00    
(3629/3646): urw-base35-nimbus-roman-fonts-2020  29 MB/s | 857 kB     00:00    
(3630/3646): urw-base35-p052-fonts-20200910-15.  29 MB/s | 974 kB     00:00    
(3631/3646): urw-base35-nimbus-sans-fonts-20200  21 MB/s | 1.3 MB     00:00    
(3632/3646): urw-base35-standard-symbols-ps-fon 1.9 MB/s |  42 kB     00:00    
(3633/3646): urw-base35-fonts-legacy-20200910-1  21 MB/s | 3.0 MB     00:00    
(3634/3646): urw-base35-z003-fonts-20200910-15. 9.9 MB/s | 276 kB     00:00    
(3635/3646): webrtc-audio-processing-0.3.1-9.fc  13 MB/s | 304 kB     00:00    
(3636/3646): woff2-1.0.2-15.fc37.x86_64.rpm     931 kB/s |  61 kB     00:00    
(3637/3646): wpebackend-fdo-1.14.0-1.fc38.x86_6 718 kB/s |  46 kB     00:00    
(3638/3646): xdg-utils-1.1.3-12.fc37.noarch.rpm 1.4 MB/s |  77 kB     00:00    
(3639/3646): xdg-dbus-proxy-0.1.3-2.fc37.x86_64 615 kB/s |  43 kB     00:00    
(3640/3646): xml-common-0.6.3-59.fc37.noarch.rp 1.3 MB/s |  31 kB     00:00    
(3641/3646): xkeyboard-config-2.36-3.fc38.noarc  23 MB/s | 956 kB     00:00    
(3642/3646): xprop-1.2.5-2.fc37.x86_64.rpm      633 kB/s |  35 kB     00:00    
(3643/3646): xorg-x11-fonts-ISO8859-1-100dpi-7.  14 MB/s | 1.1 MB     00:00    
(3644/3646): zlib-devel-1.2.13-2.fc38.x86_64.rp 2.1 MB/s |  45 kB     00:00    
(3645/3646): zziplib-0.13.72-2.fc37.x86_64.rpm  2.4 MB/s |  86 kB     00:00    
(3646/3646): webkit2gtk4.0-2.39.5-1.fc38.x86_64  56 MB/s |  23 MB     00:00    
--------------------------------------------------------------------------------
Total                                            33 MB/s | 2.0 GB     01:02     
Running transaction check
Transaction check succeeded.
Running transaction test
Transaction test succeeded.
Running transaction
  Running scriptlet: texlive-base-10:20220321-58.fc38.x86_64                1/1 
  Preparing        :                                                        1/1 
  Running scriptlet: texlive-base-10:20220321-58.fc38.x86_64             1/3646 
  Installing       : texlive-base-10:20220321-58.fc38.x86_64             1/3646 
  Installing       : libpng-2:1.6.37-13.fc37.x86_64                      2/3646 
  Installing       : texlive-lib-10:20220321-58.fc38.x86_64              3/3646 
  Installing       : libjpeg-turbo-2.1.4-1.fc38.x86_64                   4/3646 
  Installing       : lcms2-2.14-1.fc38.x86_64                            5/3646 
  Installing       : libwebp-1.3.0-1.fc38.x86_64                         6/3646 
  Installing       : libicu-72.1-1.fc38.x86_64                           7/3646 
  Installing       : libwayland-client-1.21.0-1.fc37.x86_64              8/3646 
  Installing       : expat-2.5.0-1.fc38.x86_64                           9/3646 
  Installing       : nspr-4.35.0-3.fc38.x86_64                          10/3646 
  Installing       : openjpeg2-2.5.0-2.fc37.x86_64                      11/3646 
  Installing       : libsigc++20-2.10.8-2.fc37.x86_64                   12/3646 
  Installing       : libICE-1.0.10-9.fc37.x86_64                        13/3646 
  Installing       : fonts-filesystem-1:2.0.5-10.fc38.noarch            14/3646 
  Installing       : urw-base35-fonts-common-20200910-15.fc37.noarc     15/3646 
  Installing       : libSM-1.2.3-11.fc37.x86_64                         16/3646 
  Installing       : libtool-ltdl-2.4.7-5.fc38.x86_64                   17/3646 
  Installing       : libogg-2:1.3.5-4.fc37.x86_64                       18/3646 
  Installing       : nss-util-3.87.0-1.fc38.x86_64                      19/3646 
  Installing       : libwayland-server-1.21.0-1.fc37.x86_64             20/3646 
  Installing       : libwayland-egl-1.21.0-1.fc37.x86_64                21/3646 
  Installing       : libX11-xcb-1.8.3-2.fc38.x86_64                     22/3646 
  Installing       : libxslt-1.1.37-2.fc38.x86_64                       23/3646 
  Installing       : gnutls-3.7.8-11.fc38.x86_64                        24/3646 
  Installing       : glib2-2.74.1-3.fc38.x86_64                         25/3646 
  Installing       : glibmm2.4-2.66.5-2.fc38.x86_64                     26/3646 
  Installing       : json-glib-1.6.6-3.fc37.x86_64                      27/3646 
  Installing       : libgudev-237-3.fc37.x86_64                         28/3646 
  Installing       : tcl-1:8.6.12-3.fc38.x86_64                         29/3646 
  Installing       : pixman-0.40.0-6.fc37.x86_64                        30/3646 
  Installing       : opus-1.3.1-11.fc37.x86_64                          31/3646 
  Installing       : m4-1.4.19-4.fc37.x86_64                            32/3646 
  Installing       : libmpc-1.3.1-1.fc38.x86_64                         33/3646 
  Installing       : libepoxy-1.5.10-2.fc37.x86_64                      34/3646 
  Installing       : jbigkit-libs-2.1-24.fc37.x86_64                    35/3646 
  Installing       : libtiff-4.4.0-4.fc37.x86_64                        36/3646 
  Installing       : jbig2dec-libs-0.19-7.fc37.x86_64                   37/3646 
  Installing       : fribidi-1.0.12-2.fc37.x86_64                       38/3646 
  Installing       : dbus-libs-1:1.14.4-1.fc38.x86_64                   39/3646 
  Installing       : serd-0.30.12-2.fc38.x86_64                         40/3646 
  Installing       : librevenge-0.0.5-4.fc38.x86_64                     41/3646 
  Installing       : shared-mime-info-2.2-2.fc37.x86_64                 42/3646 
  Running scriptlet: shared-mime-info-2.2-2.fc37.x86_64                 42/3646 
  Installing       : gdk-pixbuf2-2.42.10-1.fc38.x86_64                  43/3646 
  Installing       : libvorbis-1:1.3.7-6.fc37.x86_64                    44/3646 
  Installing       : libwayland-cursor-1.21.0-1.fc37.x86_64             45/3646 
  Installing       : jasper-libs-3.0.6-1.fc37.x86_64                    46/3646 
  Installing       : zziplib-0.13.72-2.fc37.x86_64                      47/3646 
  Running scriptlet: xml-common-0.6.3-59.fc37.noarch                    48/3646 
  Installing       : xml-common-0.6.3-59.fc37.noarch                    48/3646 
  Installing       : iso-codes-4.12.0-2.fc38.noarch                     49/3646 
  Installing       : woff2-1.0.2-15.fc37.x86_64                         50/3646 
  Installing       : orc-0.4.33-1.fc38.x86_64                           51/3646 
  Installing       : libzip-1.9.2-2.fc37.x86_64                         52/3646 
  Installing       : libxshmfence-1.3-11.fc37.x86_64                    53/3646 
  Installing       : libwmf-lite-0.2.12-9.fc37.x86_64                   54/3646 
  Installing       : libusb1-1.0.26-1.fc38.x86_64                       55/3646 
  Installing       : libquadmath-13.0.1-0.1.fc38.x86_64                 56/3646 
  Installing       : libgfortran-13.0.1-0.1.fc38.x86_64                 57/3646 
  Installing       : libpaper-1:2.0.4-2.fc38.x86_64                     58/3646 
  Installing       : libimagequant-2.17.0-3.fc37.x86_64                 59/3646 
  Installing       : libglvnd-1:1.6.0-1.fc38.x86_64                     60/3646 
  Installing       : libdvdread-6.1.3-2.fc37.x86_64                     61/3646 
  Installing       : hunspell-filesystem-1.7.2-2.fc38.x86_64            62/3646 
  Installing       : hunspell-en-US-0.20201207-2.fc38.noarch            63/3646 
  Installing       : hunspell-1.7.2-2.fc38.x86_64                       64/3646 
  Installing       : enchant2-2.3.3-5.fc38.x86_64                       65/3646 
  Installing       : hicolor-icon-theme-0.17-14.fc37.noarch             66/3646 
  Installing       : gsm-1.0.22-1.fc37.x86_64                           67/3646 
  Installing       : giflib-5.2.1-14.fc37.x86_64                        68/3646 
  Installing       : leptonica-1.83.0-1.fc38.x86_64                     69/3646 
  Installing       : gc-8.2.2-1.fc38.x86_64                             70/3646 
  Installing       : flexiblas-3.3.0-1.fc38.x86_64                      71/3646 
  Installing       : adobe-mappings-cmap-20230118-1.fc38.noarch         72/3646 
  Installing       : mesa-libglapi-22.3.3-2.fc38.x86_64                 73/3646 
  Installing       : imath-3.1.6-2.fc38.x86_64                          74/3646 
  Installing       : graphite2-1.3.14-11.fc38.x86_64                    75/3646 
  Installing       : freetype-2.12.1-3.fc37.x86_64                      76/3646 
  Installing       : harfbuzz-6.0.0-2.fc38.x86_64                       77/3646 
  Installing       : libraqm-0.8.0-3.fc38.x86_64                        78/3646 
  Installing       : harfbuzz-icu-6.0.0-2.fc38.x86_64                   79/3646 
  Installing       : openexr-libs-3.1.5-2.fc37.x86_64                   80/3646 
  Installing       : adobe-mappings-cmap-deprecated-20230118-1.fc38     81/3646 
  Installing       : guile22-2.2.7-6.fc37.x86_64                        82/3646 
  Installing       : make-1:4.4-1.fc38.x86_64                           83/3646 
  Installing       : libdvdnav-6.1.1-4.fc37.x86_64                      84/3646 
  Installing       : libgusb-0.4.3-1.fc38.x86_64                        85/3646 
  Installing       : colord-libs-1.4.6-2.fc37.x86_64                    86/3646 
  Installing       : LibRaw-0.21.1-1.fc38.x86_64                        87/3646 
  Installing       : gdk-pixbuf2-modules-2.42.10-1.fc38.x86_64          88/3646 
  Installing       : gtk-update-icon-cache-3.24.36-1.fc38.x86_64        89/3646 
  Installing       : libwpd-0.10.3-11.fc37.x86_64                       90/3646 
  Installing       : libwpg-0.3.3-9.fc37.x86_64                         91/3646 
  Installing       : avahi-libs-0.8-19.fc38.x86_64                      92/3646 
  Installing       : cups-libs-1:2.4.2-5.fc38.x86_64                    93/3646 
  Installing       : cpp-13.0.1-0.1.fc38.x86_64                         94/3646 
  Installing       : graphene-1.10.6-4.fc37.x86_64                      95/3646 
  Installing       : gsettings-desktop-schemas-43.0-1.fc38.x86_64       96/3646 
  Installing       : libcloudproviders-0.3.1-6.fc37.x86_64              97/3646 
  Installing       : libgee-0.20.6-1.fc38.x86_64                        98/3646 
  Installing       : liblqr-1-0.4.2-20.fc37.x86_64                      99/3646 
  Installing       : nss-softokn-freebl-3.87.0-1.fc38.x86_64           100/3646 
  Installing       : nss-softokn-3.87.0-1.fc38.x86_64                  101/3646 
  Installing       : flac-libs-1.4.2-1.fc38.x86_64                     102/3646 
  Installing       : libtheora-1:1.1.1-32.fc37.x86_64                  103/3646 
  Installing       : urw-base35-bookman-fonts-20200910-15.fc37.noar    104/3646 
  Running scriptlet: urw-base35-bookman-fonts-20200910-15.fc37.noar    104/3646 
  Installing       : urw-base35-c059-fonts-20200910-15.fc37.noarch     105/3646 
  Running scriptlet: urw-base35-c059-fonts-20200910-15.fc37.noarch     105/3646 
  Installing       : urw-base35-d050000l-fonts-20200910-15.fc37.noa    106/3646 
  Running scriptlet: urw-base35-d050000l-fonts-20200910-15.fc37.noa    106/3646 
  Installing       : urw-base35-gothic-fonts-20200910-15.fc37.noarc    107/3646 
  Running scriptlet: urw-base35-gothic-fonts-20200910-15.fc37.noarc    107/3646 
  Installing       : urw-base35-nimbus-mono-ps-fonts-20200910-15.fc    108/3646 
  Running scriptlet: urw-base35-nimbus-mono-ps-fonts-20200910-15.fc    108/3646 
  Installing       : urw-base35-nimbus-roman-fonts-20200910-15.fc37    109/3646 
  Running scriptlet: urw-base35-nimbus-roman-fonts-20200910-15.fc37    109/3646 
  Installing       : urw-base35-nimbus-sans-fonts-20200910-15.fc37.    110/3646 
  Running scriptlet: urw-base35-nimbus-sans-fonts-20200910-15.fc37.    110/3646 
  Installing       : urw-base35-p052-fonts-20200910-15.fc37.noarch     111/3646 
  Running scriptlet: urw-base35-p052-fonts-20200910-15.fc37.noarch     111/3646 
  Installing       : urw-base35-standard-symbols-ps-fonts-20200910-    112/3646 
  Running scriptlet: urw-base35-standard-symbols-ps-fonts-20200910-    112/3646 
  Installing       : urw-base35-z003-fonts-20200910-15.fc37.noarch     113/3646 
  Running scriptlet: urw-base35-z003-fonts-20200910-15.fc37.noarch     113/3646 
  Installing       : urw-base35-fonts-20200910-15.fc37.noarch          114/3646 
  Installing       : fontawesome-fonts-1:4.7.0-14.fc37.noarch          115/3646 
  Installing       : oldstandard-sfd-fonts-2.0.2-32.fc37.noarch        116/3646 
  Installing       : teckit-2.5.9-9.fc37.x86_64                        117/3646 
  Installing       : texlive-bib2gls-10:svn64710-58.fc38.noarch        118/3646 
  Installing       : zlib-devel-1.2.13-2.fc38.x86_64                   119/3646 
  Installing       : xkeyboard-config-2.36-3.fc38.noarch               120/3646 
  Installing       : libxkbcommon-1.5.0-1.fc38.x86_64                  121/3646 
  Installing       : libwpe-1.14.0-1.fc38.x86_64                       122/3646 
  Installing       : wpebackend-fdo-1.14.0-1.fc38.x86_64               123/3646 
  Installing       : webrtc-audio-processing-0.3.1-9.fc37.x86_64       124/3646 
  Installing       : texlive-visualpstricks-doc-10:svn39799-63.fc38    125/3646 
  Installing       : texlive-unamth-template-doc-10:svn33625.2.0-63    126/3646 
  Installing       : texlive-uantwerpendocs-10:svn64165-63.fc38.noa    127/3646 
  Installing       : texlive-tipfr-doc-10:svn38646-63.fc38.noarch      128/3646 
  Installing       : texlive-texlive-common-doc-10:svn54176-63.fc38    129/3646 
  Installing       : texlive-newcommand-doc-10:svn18704.2.0-63.fc38    130/3646 
  Installing       : texlive-ietfbibs-doc-10:svn41332-63.fc38.noarc    131/3646 
  Installing       : texlive-dtxgallery-doc-10:svn49504-63.fc38.noa    132/3646 
  Installing       : texlive-doc-pictex-doc-10:svn24927.0-63.fc38.n    133/3646 
  Installing       : texlive-anufinalexam-doc-10:svn26053.0-63.fc38    134/3646 
  Installing       : tesseract-tessdata-doc-4.1.0-4.fc37.noarch        135/3646 
  Installing       : tesseract-langpack-eng-4.1.0-4.fc37.noarch        136/3646 
  Installing       : tesseract-5.3.0-3.fc38.x86_64                     137/3646 
  Installing       : svt-av1-libs-1.4.1-2.fc38.x86_64                  138/3646 
  Installing       : soundtouch-2.3.1-3.fc37.x86_64                    139/3646 
  Installing       : rav1e-libs-0.5.1-6.fc38.x86_64                    140/3646 
  Installing       : python-setuptools-wheel-65.5.1-1.fc38.noarch      141/3646 
  Installing       : potrace-1.16-8.fc37.x86_64                        142/3646 
  Installing       : poppler-data-0.4.11-3.fc37.noarch                 143/3646 
  Installing       : pcre-8.45-1.fc37.2.x86_64                         144/3646 
  Installing       : sord-0.16.10-1.fc37.x86_64                        145/3646 
  Installing       : sratom-0.6.10-2.fc38.x86_64                       146/3646 
  Installing       : lilv-libs-0.24.14-4.fc38.x86_64                   147/3646 
  Installing       : openblas-0.3.21-4.fc38.x86_64                     148/3646 
  Installing       : openblas-openmp-0.3.21-4.fc38.x86_64              149/3646 
  Installing       : flexiblas-netlib-3.3.0-1.fc38.x86_64              150/3646 
  Installing       : flexiblas-openblas-openmp-3.3.0-1.fc38.x86_64     151/3646 
  Installing       : netpbm-11.01.00-1.fc38.x86_64                     152/3646 
  Installing       : gts-0.7.6-43.20121130.fc38.x86_64                 153/3646 
  Installing       : ncurses-c++-libs-6.4-2.20230114.fc38.x86_64       154/3646 
  Installing       : ncurses-devel-6.4-2.20230114.fc38.x86_64          155/3646 
  Installing       : ncurses-6.4-2.20230114.fc38.x86_64                156/3646 
  Installing       : mpg123-libs-1.31.2-1.fc38.x86_64                  157/3646 
  Installing       : mpdecimal-2.5.1-4.fc37.x86_64                     158/3646 
  Installing       : mailcap-2.1.53-4.fc37.noarch                      159/3646 
  Installing       : libvmaf-2.3.0-4.fc37.x86_64                       160/3646 
  Installing       : libvisual-1:0.4.0-37.fc38.x86_64                  161/3646 
  Installing       : libunwind-1.6.2-5.fc38.x86_64                     162/3646 
  Installing       : libstdc++-devel-13.0.1-0.1.fc38.x86_64            163/3646 
  Installing       : libspiro-20221101-1.fc38.x86_64                   164/3646 
  Installing       : libseccomp-2.5.3-3.fc37.x86_64                    165/3646 
  Installing       : libmarkdown-2.2.7-3.fc38.x86_64                   166/3646 
  Installing       : libijs-0.35-16.fc37.x86_64                        167/3646 
  Installing       : libgpg-error-1.46-1.fc38.x86_64                   168/3646 
  Installing       : libgcrypt-1.10.1-6.fc38.x86_64                    169/3646 
  Installing       : libsecret-0.20.5-2.fc37.x86_64                    170/3646 
  Installing       : libfontenc-1.1.6-1.fc38.x86_64                    171/3646 
  Installing       : mkfontscale-1.2.2-2.fc37.x86_64                   172/3646 
  Installing       : urw-base35-fonts-legacy-20200910-15.fc37.noarc    173/3646 
  Running scriptlet: urw-base35-fonts-legacy-20200910-15.fc37.noarc    173/3646 
  Installing       : xorg-x11-fonts-ISO8859-1-100dpi-7.5-34.fc37.no    174/3646 
  Running scriptlet: xorg-x11-fonts-ISO8859-1-100dpi-7.5-34.fc37.no    174/3646 
  Installing       : libdav1d-1.0.0-2.fc37.x86_64                      175/3646 
  Installing       : libdatrie-0.2.13-4.fc37.x86_64                    176/3646 
  Installing       : libthai-0.1.29-3.fc37.x86_64                      177/3646 
  Installing       : sombok-2.4.0-17.fc37.x86_64                       178/3646 
  Installing       : libb2-0.98.1-7.fc37.x86_64                        179/3646 
  Installing       : libatomic-13.0.1-0.1.fc38.x86_64                  180/3646 
  Installing       : javascriptcoregtk4.0-2.39.5-1.fc38.x86_64         181/3646 
  Installing       : libXau-1.0.11-1.fc38.x86_64                       182/3646 
  Installing       : libxcb-1.13.1-11.fc38.x86_64                      183/3646 
  Installing       : libX11-common-1.8.3-2.fc38.noarch                 184/3646 
  Installing       : libX11-1.8.3-2.fc38.x86_64                        185/3646 
  Installing       : libXext-1.3.5-1.fc38.x86_64                       186/3646 
  Installing       : libXrender-0.9.11-1.fc38.x86_64                   187/3646 
  Installing       : libXt-1.2.1-3.fc37.x86_64                         188/3646 
  Installing       : libXfixes-6.0.0-4.fc37.x86_64                     189/3646 
  Installing       : libXmu-1.1.4-1.fc38.x86_64                        190/3646 
  Installing       : libXi-1.8-3.fc37.x86_64                           191/3646 
  Installing       : libXpm-3.5.15-2.fc38.x86_64                       192/3646 
  Installing       : libXaw-1.0.14-3.fc37.x86_64                       193/3646 
  Installing       : libXdamage-1.1.5-8.fc37.x86_64                    194/3646 
  Installing       : libXrandr-1.5.2-9.fc37.x86_64                     195/3646 
  Installing       : libXcomposite-0.4.5-8.fc37.x86_64                 196/3646 
  Installing       : plotutils-2.6-30.fc38.x86_64                      197/3646 
  Installing       : libXtst-1.2.4-1.fc38.x86_64                       198/3646 
  Installing       : libXcursor-1.2.1-2.fc37.x86_64                    199/3646 
  Installing       : GraphicsMagick-1.3.38-4.fc38.x86_64               200/3646 
  Installing       : GraphicsMagick-c++-1.3.38-4.fc38.x86_64           201/3646 
  Installing       : libXinerama-1.1.5-1.fc38.x86_64                   202/3646 
  Installing       : libXv-1.0.11-17.fc37.x86_64                       203/3646 
  Installing       : libXxf86vm-1.1.5-1.fc38.x86_64                    204/3646 
  Installing       : xprop-1.2.5-2.fc37.x86_64                         205/3646 
  Installing       : libRmath-4.2.2-6.fc38.x86_64                      206/3646 
  Installing       : libEMF-1.0.13-6.fc37.x86_64                       207/3646 
  Installing       : less-608-1.fc38.x86_64                            208/3646 
  Installing       : lame-libs-3.100-13.fc37.x86_64                    209/3646 
  Installing       : libsndfile-1.1.0-5.fc38.x86_64                    210/3646 
  Installing       : kernel-headers-6.2.0-0.rc4.git0.1.fc38.x86_64     211/3646 
  Installing       : hyphen-2.8.8-18.fc37.x86_64                       212/3646 
  Installing       : hwdata-0.366-1.fc38.noarch                        213/3646 
  Installing       : libpciaccess-0.16-7.fc37.x86_64                   214/3646 
  Installing       : libdrm-2.4.114-1.fc38.x86_64                      215/3646 
  Installing       : mesa-libgbm-22.3.3-2.fc38.x86_64                  216/3646 
  Installing       : libglvnd-egl-1:1.6.0-1.fc38.x86_64                217/3646 
  Installing       : mesa-libEGL-22.3.3-2.fc38.x86_64                  218/3646 
  Installing       : mesa-libGL-22.3.3-2.fc38.x86_64                   219/3646 
  Installing       : libglvnd-glx-1:1.6.0-1.fc38.x86_64                220/3646 
  Installing       : highway-1.0.2-1.fc38.x86_64                       221/3646 
  Installing       : libjxl-1:0.7.0-6.fc38.x86_64                      222/3646 
  Installing       : libaom-3.5.0-3.fc38.x86_64                        223/3646 
  Installing       : libavif-0.11.1-4.fc38.x86_64                      224/3646 
  Installing       : gsl-2.7.1-3.fc38.x86_64                           225/3646 
  Running scriptlet: groff-base-1.22.4-10.fc37.x86_64                  226/3646 
  Installing       : groff-base-1.22.4-10.fc37.x86_64                  226/3646 
  Running scriptlet: groff-base-1.22.4-10.fc37.x86_64                  226/3646 
  Installing       : perl-Digest-SHA-1:6.03-1.fc37.x86_64              227/3646 
  Installing       : perl-Digest-1.20-489.fc37.noarch                  228/3646 
  Installing       : perl-Tie-4.6-494.fc38.noarch                      229/3646 
  Installing       : perl-FindBin-1.53-494.fc38.noarch                 230/3646 
  Installing       : perl-lib-0.65-494.fc38.x86_64                     231/3646 
  Installing       : perl-GSSAPI-0.28-40.fc37.x86_64                   232/3646 
  Installing       : perl-Digest-HMAC-1.04-6.fc37.noarch               233/3646 
  Installing       : perl-Digest-MD5-2.58-489.fc37.x86_64              234/3646 
  Installing       : perl-Business-ISBN-Data-20210112.006-6.fc37.no    235/3646 
  Installing       : perl-B-1.83-494.fc38.x86_64                       236/3646 
  Installing       : perl-FileHandle-2.03-494.fc38.noarch              237/3646 
  Installing       : perl-subs-1.04-494.fc38.noarch                    238/3646 
  Installing       : perl-Authen-SASL-2.16-28.fc37.noarch              239/3646 
  Installing       : perl-AutoLoader-5.74-494.fc38.noarch              240/3646 
  Installing       : perl-Data-Dumper-2.184-490.fc37.x86_64            241/3646 
  Installing       : perl-Business-ISBN-3.007-4.fc37.noarch            242/3646 
  Installing       : perl-libnet-3.14-490.fc37.noarch                  243/3646 
  Installing       : perl-base-2.27-494.fc38.noarch                    244/3646 
  Installing       : perl-URI-5.17-1.fc38.noarch                       245/3646 
  Installing       : perl-Net-SSLeay-1.92-4.fc37.x86_64                246/3646 
  Installing       : perl-Text-Tabs+Wrap-2021.0814-489.fc37.noarch     247/3646 
  Installing       : perl-Mozilla-CA-20221114-1.fc38.noarch            248/3646 
  Installing       : perl-if-0.61.000-494.fc38.noarch                  249/3646 
  Installing       : perl-IO-Socket-IP-0.41-490.fc37.noarch            250/3646 
  Installing       : perl-Time-Local-2:1.300-489.fc37.noarch           251/3646 
  Installing       : perl-File-Path-2.18-489.fc37.noarch               252/3646 
  Installing       : perl-IO-Socket-SSL-2.080-1.fc38.noarch            253/3646 
  Installing       : perl-Pod-Escapes-1:1.07-489.fc37.noarch           254/3646 
  Installing       : perl-Class-Struct-0.66-494.fc38.noarch            255/3646 
  Installing       : perl-POSIX-2.03-494.fc38.x86_64                   256/3646 
  Installing       : perl-Term-ANSIColor-5.01-490.fc37.noarch          257/3646 
  Installing       : perl-IPC-Open3-1.22-494.fc38.noarch               258/3646 
  Installing       : perl-File-Temp-1:0.231.100-489.fc37.noarch        259/3646 
  Installing       : perl-HTTP-Tiny-0.082-1.fc37.noarch                260/3646 
  Installing       : perl-Term-Cap-1.17-489.fc37.noarch                261/3646 
  Installing       : perl-Pod-Simple-1:3.43-490.fc37.noarch            262/3646 
  Installing       : perl-Socket-4:2.036-1.fc38.x86_64                 263/3646 
  Installing       : perl-SelectSaver-1.02-494.fc38.noarch             264/3646 
  Installing       : perl-Symbol-1.09-494.fc38.noarch                  265/3646 
  Installing       : perl-File-stat-1.12-494.fc38.noarch               266/3646 
  Installing       : perl-podlators-1:5.01-1.fc38.noarch               267/3646 
  Installing       : perl-Pod-Perldoc-3.28.01-490.fc37.noarch          268/3646 
  Installing       : perl-Fcntl-1.15-494.fc38.x86_64                   269/3646 
  Installing       : perl-Text-ParseWords-3.31-489.fc37.noarch         270/3646 
  Installing       : perl-mro-1.26-494.fc38.x86_64                     271/3646 
  Installing       : perl-IO-1.50-494.fc38.x86_64                      272/3646 
  Installing       : perl-overloading-0.02-494.fc38.noarch             273/3646 
  Installing       : perl-Pod-Usage-4:2.03-3.fc37.noarch               274/3646 
  Installing       : perl-Errno-1.36-494.fc38.x86_64                   275/3646 
  Installing       : perl-File-Basename-2.85-494.fc38.noarch           276/3646 
  Installing       : perl-Getopt-Std-1.13-494.fc38.noarch              277/3646 
  Installing       : perl-MIME-Base64-3.16-489.fc37.x86_64             278/3646 
  Installing       : perl-Scalar-List-Utils-5:1.63-489.fc38.x86_64     279/3646 
  Installing       : perl-constant-1.33-490.fc37.noarch                280/3646 
  Installing       : perl-Storable-1:3.26-489.fc37.x86_64              281/3646 
  Installing       : perl-overload-1.35-494.fc38.noarch                282/3646 
  Installing       : perl-parent-1:0.239-1.fc38.noarch                 283/3646 
  Installing       : perl-vars-1.05-494.fc38.noarch                    284/3646 
  Installing       : perl-Getopt-Long-1:2.54-1.fc38.noarch             285/3646 
  Installing       : perl-Carp-1.52-489.fc37.noarch                    286/3646 
  Installing       : perl-Exporter-5.77-489.fc37.noarch                287/3646 
  Installing       : perl-PathTools-3.84-489.fc37.x86_64               288/3646 
  Installing       : perl-DynaLoader-1.52-494.fc38.x86_64              289/3646 
  Installing       : perl-Encode-4:3.19-492.fc38.x86_64                290/3646 
  Installing       : perl-libs-4:5.36.0-494.fc38.x86_64                291/3646 
  Installing       : perl-interpreter-4:5.36.0-494.fc38.x86_64         292/3646 
  Installing       : perl-File-Find-1.40-494.fc38.noarch               293/3646 
  Installing       : perl-Try-Tiny-0.31-4.fc37.noarch                  294/3646 
  Installing       : perl-File-Copy-2.39-494.fc38.noarch               295/3646 
  Installing       : perl-Module-Runtime-0.016-18.fc38.noarch          296/3646 
  Installing       : perl-Unicode-Normalize-1.31-489.fc37.x86_64       297/3646 
  Installing       : perl-locale-1.10-494.fc38.noarch                  298/3646 
  Installing       : perl-version-8:0.99.29-490.fc37.x86_64            299/3646 
  Installing       : perl-Dist-CheckConflicts-0.11-26.fc37.noarch      300/3646 
  Installing       : perl-Module-Implementation-0.09-35.fc37.noarch    301/3646 
  Installing       : perl-Time-HiRes-4:1.9770-489.fc37.x86_64          302/3646 
  Installing       : perl-Compress-Raw-Zlib-2.202-4.fc38.x86_64        303/3646 
  Installing       : perl-LWP-MediaTypes-6.04-13.fc38.noarch           304/3646 
  Installing       : perl-LaTeX-ToUnicode-0.11-7.fc37.noarch           305/3646 
  Installing       : perl-Math-Complex-1.59-494.fc38.noarch            306/3646 
  Installing       : perl-Math-BigInt-1:1.9998.37-2.fc37.noarch        307/3646 
  Installing       : perl-Math-BigRat-0.2624-2.fc37.noarch             308/3646 
  Installing       : perl-Params-Util-1.102-9.fc38.x86_64              309/3646 
  Installing       : perl-Sys-Hostname-1.24-494.fc38.x86_64            310/3646 
  Installing       : perl-TimeDate-1:2.33-9.fc37.noarch                311/3646 
  Installing       : perl-HTTP-Date-6.05-11.fc38.noarch                312/3646 
  Installing       : perl-BibTeX-Parser-1.03-6.fc37.noarch             313/3646 
  Installing       : perl-Date-Manip-6.90-1.fc38.noarch                314/3646 
  Installing       : perl-Carp-Clan-6.08-13.fc37.noarch                315/3646 
  Installing       : perl-Clone-0.46-1.fc38.x86_64                     316/3646 
  Installing       : perl-Data-Dump-1.25-5.fc37.noarch                 317/3646 
  Installing       : perl-Date-ISO8601-0.005-16.fc37.noarch            318/3646 
  Installing       : perl-Devel-StackTrace-1:2.04-14.fc38.noarch       319/3646 
  Installing       : perl-I18N-Langinfo-0.21-494.fc38.x86_64           320/3646 
  Installing       : perl-Encode-Locale-1.05-25.fc38.noarch            321/3646 
  Installing       : perl-Opcode-1.57-494.fc38.x86_64                  322/3646 
  Installing       : perl-Safe-2.43-494.fc38.noarch                    323/3646 
  Installing       : perl-Sub-Install-0.929-1.fc38.noarch              324/3646 
  Installing       : perl-Text-Balanced-2.06-2.fc37.noarch             325/3646 
  Installing       : perl-Parse-RecDescent-1.967015-18.fc37.noarch     326/3646 
  Installing       : perl-Text-Unidecode-1.30-19.fc37.noarch           327/3646 
  Installing       : perl-XML-NamespaceSupport-1.12-18.fc37.noarch     328/3646 
  Installing       : perl-XML-Parser-2.46-12.fc37.x86_64               329/3646 
  Installing       : perl-XML-SAX-Base-1.09-18.fc37.noarch             330/3646 
  Installing       : perl-Data-OptList-0.113-1.fc38.noarch             331/3646 
  Installing       : perl-Bit-Vector-7.4-28.fc38.x86_64                332/3646 
  Installing       : perl-Date-Calc-6.4-23.fc37.noarch                 333/3646 
  Installing       : perl-File-Listing-6.15-4.fc38.noarch              334/3646 
  Installing       : perl-Data-Uniqid-0.12-29.fc37.noarch              335/3646 
  Installing       : perl-Mail-Sendmail-0.80-16.fc37.noarch            336/3646 
  Installing       : perl-JSON-4.10-1.fc38.noarch                      337/3646 
  Installing       : perl-List-SomeUtils-0.59-1.fc38.noarch            338/3646 
  Installing       : perl-Params-Validate-1.31-2.fc38.x86_64           339/3646 
  Installing       : perl-Module-CoreList-1:5.20221220-1.fc38.noarc    340/3646 
  Installing       : perl-Module-Metadata-1.000037-489.fc37.noarch     341/3646 
  Installing       : perl-Pod-Html-1.33-494.fc38.noarch                342/3646 
  Installing       : perl-Sort-Key-1.33-25.fc37.x86_64                 343/3646 
  Installing       : perl-Text-BibTeX-0.88-12.fc37.x86_64              344/3646 
  Installing       : perl-Unicode-Collate-1.31-489.fc37.x86_64         345/3646 
  Installing       : perl-Unicode-UCD-0.78-494.fc38.noarch             346/3646 
  Installing       : perl-Lingua-Translit-0.29-2.fc37.noarch           347/3646 
  Installing       : perl-Business-ISSN-1.005-5.fc38.noarch            348/3646 
  Installing       : perl-Class-Accessor-0.51-16.fc37.noarch           349/3646 
  Installing       : perl-Class-Data-Inheritable-0.09-4.fc37.noarch    350/3646 
  Installing       : perl-Exception-Class-1.45-6.fc37.noarch           351/3646 
  Installing       : perl-Class-Inspector-1.36-12.fc38.noarch          352/3646 
  Installing       : perl-File-ShareDir-1.118-7.fc37.noarch            353/3646 
  Installing       : perl-Class-Method-Modifiers-2.14-1.fc38.noarch    354/3646 
  Installing       : perl-Role-Tiny-2.002004-7.fc37.noarch             355/3646 
  Installing       : perl-Class-Singleton-1.6-7.fc37.noarch            356/3646 
  Installing       : perl-Compress-Raw-Bzip2-2.201-2.fc37.x86_64       357/3646 
  Installing       : perl-IO-Compress-2.201-3.fc37.noarch              358/3646 
  Installing       : perl-Net-HTTP-6.22-4.fc38.noarch                  359/3646 
  Installing       : perl-Convert-ASN1-0.33-4.fc37.noarch              360/3646 
  Installing       : perl-Crypt-RC4-2.02-33.fc37.noarch                361/3646 
  Installing       : perl-Digest-MD4-1.9-32.fc37.x86_64                362/3646 
  Installing       : perl-Digest-Perl-MD5-1.9-27.fc37.noarch           363/3646 
  Installing       : perl-Digest-SHA1-2.13-37.fc37.x86_64              364/3646 
  Installing       : perl-DynaLoader-Functions-0.003-17.fc38.noarch    365/3646 
  Installing       : perl-Devel-CallChecker-0.008-18.fc38.x86_64       366/3646 
  Installing       : perl-Params-Classify-0.015-17.fc37.x86_64         367/3646 
  Installing       : perl-DateTime-TimeZone-SystemV-0.010-17.fc37.n    368/3646 
  Installing       : perl-DateTime-TimeZone-Tzfile-0.011-17.fc37.no    369/3646 
  Installing       : perl-Email-Date-Format-1.008-1.fc38.noarch        370/3646 
  Installing       : perl-English-1.11-494.fc38.noarch                 371/3646 
  Installing       : perl-ExtUtils-MM-Utils-2:7.66-1.fc38.noarch       372/3646 
  Installing       : perl-File-Compare-1.100.700-494.fc38.noarch       373/3646 
  Installing       : perl-File-Slurper-0.014-1.fc38.noarch             374/3646 
  Installing       : perl-File-Which-1.27-6.fc37.noarch                375/3646 
  Installing       : perl-HTML-Tagset-3.20-52.fc37.noarch              376/3646 
  Installing       : perl-Hash-Util-FieldHash-1.26-494.fc38.x86_64     377/3646 
  Installing       : perl-I18N-LangTags-0.45-494.fc38.noarch           378/3646 
  Installing       : perl-Locale-Maketext-1.32-1.fc38.noarch           379/3646 
  Installing       : perl-Locale-Maketext-Simple-1:0.21-494.fc38.no    380/3646 
  Installing       : perl-Params-Check-1:0.38-489.fc37.noarch          381/3646 
  Installing       : perl-IO-HTML-1.004-9.fc38.noarch                  382/3646 
  Installing       : perl-HTTP-Message-6.44-1.fc38.noarch              383/3646 
  Installing       : perl-HTML-Parser-3.80-1.fc38.x86_64               384/3646 
  Installing       : perl-HTTP-Negotiate-6.01-34.fc38.noarch           385/3646 
  Installing       : perltidy-20221112-1.fc38.noarch                   386/3646 
  Installing       : perl-HTTP-Cookies-6.10-8.fc38.noarch              387/3646 
  Installing       : perl-IO-String-1.08-46.fc37.noarch                388/3646 
  Installing       : perl-IO-stringy-2.113-10.fc37.noarch              389/3646 
  Installing       : perl-OLE-Storage_Lite-0.20-10.fc37.noarch         390/3646 
  Installing       : perl-IPC-Run3-0.048-27.fc38.noarch                391/3646 
  Installing       : perl-IPC-SysV-2.09-490.fc37.x86_64                392/3646 
  Installing       : perl-IPC-System-Simple-1.30-9.fc37.noarch         393/3646 
  Installing       : perl-Jcode-2.07-39.fc37.noarch                    394/3646 
  Installing       : perl-List-UtilsBy-0.12-5.fc38.noarch              395/3646 
  Installing       : perl-List-AllUtils-0.19-8.fc38.noarch             396/3646 
  Installing       : perl-MIME-Charset-1.013.1-1.fc38.noarch           397/3646 
  Installing       : perl-Unicode-LineBreak-2019.001-14.fc37.x86_64    398/3646 
  Installing       : perl-MIME-Types-2.24-1.fc38.noarch                399/3646 
  Installing       : perl-MIME-Lite-3.033-5.fc37.noarch                400/3646 
  Installing       : perl-MRO-Compat-0.15-4.fc37.noarch                401/3646 
  Installing       : perl-Mail-Sender-1:0.903-19.fc37.noarch           402/3646 
  Installing       : perl-Module-Load-1:0.36-489.fc37.noarch           403/3646 
  Installing       : perl-Module-Load-Conditional-0.74-489.fc37.noa    404/3646 
  Installing       : perl-IPC-Cmd-2:1.04-490.fc37.noarch               405/3646 
  Installing       : perl-NTLM-1.09-33.fc37.noarch                     406/3646 
  Installing       : perl-Net-SMTP-SSL-1.04-19.fc37.noarch             407/3646 
  Installing       : perl-MailTools-2.21-12.fc37.noarch                408/3646 
  Installing       : perl-Number-Compare-0.03-34.fc38.noarch           409/3646 
  Installing       : perl-Package-Generator-1.106-26.fc37.noarch       410/3646 
  Installing       : perl-Sub-Exporter-0.989-1.fc38.noarch             411/3646 
  Installing       : perl-Sub-Exporter-Progressive-0.001013-19.fc37    412/3646 
  Installing       : perl-Devel-GlobalDestruction-0.14-19.fc37.noar    413/3646 
  Installing       : perl-Package-Stash-XS-0.30-2.fc37.x86_64          414/3646 
  Installing       : perl-Package-Stash-0.40-3.fc37.noarch             415/3646 
  Installing       : perl-PadWalker-2.5-7.fc37.x86_64                  416/3646 
  Installing       : perl-Devel-Caller-2.06-29.fc37.x86_64             417/3646 
  Installing       : perl-Devel-LexAlias-0.05-30.fc37.x86_64           418/3646 
  Installing       : perl-Eval-Closure-0.14-19.fc37.noarch             419/3646 
  Installing       : perl-Params-ValidationCompiler-0.31-1.fc38.noa    420/3646 
  Installing       : perl-Ref-Util-XS-0.117-16.fc37.x86_64             421/3646 
  Installing       : perl-Ref-Util-0.204-15.fc37.noarch                422/3646 
  Installing       : perl-Regexp-Common-2017060201-20.fc38.noarch      423/3646 
  Installing       : perl-Sub-Identify-0.14-20.fc37.x86_64             424/3646 
  Installing       : perl-Sys-Syslog-0.36-490.fc37.x86_64              425/3646 
  Installing       : perl-Text-Glob-0.11-19.fc38.noarch                426/3646 
  Installing       : perl-File-Find-Rule-0.34-24.fc38.noarch           427/3646 
  Installing       : perl-Data-Compare-1.27-10.fc37.noarch             428/3646 
  Installing       : perl-Text-Roman-3.5-23.fc37.noarch                429/3646 
  Installing       : perl-Tie-Cycle-1.227-6.fc38.noarch                430/3646 
  Installing       : perl-Business-ISMN-1.202-8.fc38.noarch            431/3646 
  Installing       : perl-Tie-RefHash-1.40-489.fc37.noarch             432/3646 
  Installing       : perl-autodie-2.34-490.fc37.noarch                 433/3646 
  Installing       : perl-UNIVERSAL-isa-1.20171012-15.fc37.noarch      434/3646 
  Installing       : perl-Text-CSV_XS-1.49-1.fc38.x86_64               435/3646 
  Installing       : perl-Text-CSV-2.02-1.fc38.noarch                  436/3646 
  Installing       : perl-Variable-Magic-0.63-1.fc38.x86_64            437/3646 
  Installing       : perl-B-Hooks-EndOfScope-0.26-4.fc37.noarch        438/3646 
  Installing       : perl-namespace-clean-0.27-21.fc37.noarch          439/3646 
  Installing       : perl-namespace-autoclean-0.29-13.fc37.noarch      440/3646 
  Installing       : perl-WWW-RobotRules-6.02-35.fc38.noarch           441/3646 
  Installing       : perl-LWP-Protocol-https-6.10-8.fc38.noarch        442/3646 
  Installing       : perl-libwww-perl-6.67-3.fc38.noarch               443/3646 
  Installing       : texlive-crossrefware-10:svn64754-58.fc38.noarc    444/3646 
  Installing       : perl-Unicode-Map-0.112-58.fc37.x86_64             445/3646 
  Installing       : perl-XML-SAX-1.02-11.fc37.noarch                  446/3646 
  Running scriptlet: perl-XML-SAX-1.02-11.fc37.noarch                  446/3646 
  Installing       : perl-XML-LibXML-1:2.0208-1.fc38.x86_64            447/3646 
  Running scriptlet: perl-XML-LibXML-1:2.0208-1.fc38.x86_64            447/3646 
  Installing       : perl-XML-LibXML-Simple-1.01-10.fc37.noarch        448/3646 
  Installing       : perl-XML-LibXSLT-2.002.000-3.fc37.x86_64          449/3646 
  Installing       : perl-XML-Writer-0.900-9.fc38.noarch               450/3646 
  Installing       : perl-XString-0.005-7.fc37.x86_64                  451/3646 
  Installing       : perl-Specio-0.48-2.fc37.noarch                    452/3646 
  Installing       : perl-DateTime-Locale-1.37-1.fc38.noarch           453/3646 
  Installing       : perl-DateTime-2:1.59-1.fc38.x86_64                454/3646 
  Installing       : perl-DateTime-TimeZone-2.57-1.fc38.noarch         455/3646 
  Installing       : perl-Log-Dispatch-2.70-9.fc38.noarch              456/3646 
  Installing       : perl-Log-Dispatch-FileRotate-1.38-5.fc37.noarc    457/3646 
  Installing       : perl-DateTime-Format-Strptime-1:1.79-6.fc37.no    458/3646 
  Installing       : perl-DateTime-Format-Builder-0.8300-9.fc38.noa    459/3646 
  Installing       : perl-DateTime-Calendar-Julian-0.107-3.fc37.noa    460/3646 
  Installing       : perl-autouse-1.11-494.fc38.noarch                 461/3646 
  Installing       : perl-Spreadsheet-WriteExcel-2.40-26.fc37.noarc    462/3646 
  Installing       : perl-Spreadsheet-ParseExcel-0.6500-33.fc37.x86    463/3646 
  Installing       : perl-autovivification-0.18-17.fc37.x86_64         464/3646 
  Installing       : perl-deprecate-0.04-494.fc38.noarch               465/3646 
  Installing       : perl-Text-Soundex-3.05-24.fc38.x86_64             466/3646 
  Installing       : perl-LDAP-1:0.68-8.fc37.noarch                    467/3646 
  Installing       : perl-Log-Log4perl-1.57-1.fc38.noarch              468/3646 
  Installing       : perl-meta-notation-5.36.0-494.fc38.noarch         469/3646 
  Installing       : perl-sigtrap-1.10-494.fc38.noarch                 470/3646 
  Installing       : biber-2.18-1.fc38.noarch                          471/3646 
  Installing       : google-noto-fonts-common-20201206^1.git0c78c83    472/3646 
  Installing       : google-noto-sans-vf-fonts-20201206^1.git0c78c8    473/3646 
  Installing       : google-droid-sans-fonts-20200215-13.fc37.noarc    474/3646 
  Installing       : langpacks-core-font-en-3.0-27.fc38.noarch         475/3646 
  Installing       : fontconfig-2.14.1-2.fc38.x86_64                   476/3646 
  Running scriptlet: fontconfig-2.14.1-2.fc38.x86_64                   476/3646 
  Installing       : cairo-1.17.6-2.fc37.x86_64                        477/3646 
  Installing       : cairo-gobject-1.17.6-2.fc37.x86_64                478/3646 
  Installing       : cairomm-1.14.4-4.fc38.x86_64                      479/3646 
  Installing       : gd-2.3.3-9.fc38.x86_64                            480/3646 
  Installing       : libXft-2.3.6-1.fc38.x86_64                        481/3646 
  Installing       : pango-1.50.12-2.fc38.x86_64                       482/3646 
  Installing       : librsvg2-2.55.1-3.fc38.x86_64                     483/3646 
  Installing       : pangomm-2.46.3-1.fc38.x86_64                      484/3646 
  Running scriptlet: tk-1:8.6.12-3.fc37.x86_64                         485/3646 
  Installing       : tk-1:8.6.12-3.fc37.x86_64                         485/3646 
  Installing       : lasi-1.1.3-9.fc37.x86_64                          486/3646 
  Installing       : fftw-libs-double-3.3.10-3.fc37.x86_64             487/3646 
  Installing       : fdk-aac-free-2.0.0-9.fc37.x86_64                  488/3646 
  Installing       : emacs-filesystem-1:28.2-1.fc38.noarch             489/3646 
  Installing       : desktop-file-utils-0.26-7.fc37.x86_64             490/3646 
  Installing       : xdg-utils-1.1.3-12.fc37.noarch                    491/3646 
  Installing       : double-conversion-3.1.5-7.fc37.x86_64             492/3646 
  Installing       : dbus-common-1:1.14.4-1.fc38.noarch                493/3646 
  Running scriptlet: dbus-common-1:1.14.4-1.fc38.noarch                493/3646 
  Running scriptlet: dbus-broker-32-1.fc37.x86_64                      494/3646 
  Installing       : dbus-broker-32-1.fc37.x86_64                      494/3646 
  Running scriptlet: dbus-broker-32-1.fc37.x86_64                      494/3646 
  Installing       : dbus-1:1.14.4-1.fc38.x86_64                       495/3646 
  Installing       : at-spi2-core-2.47.1-2.fc38.x86_64                 496/3646 
  Installing       : atk-2.47.1-2.fc38.x86_64                          497/3646 
  Installing       : atkmm-2.28.3-1.fc38.x86_64                        498/3646 
  Installing       : at-spi2-atk-2.47.1-2.fc38.x86_64                  499/3646 
  Installing       : xdg-dbus-proxy-0.1.3-2.fc37.x86_64                500/3646 
  Installing       : cdparanoia-libs-10.2-40.fc37.x86_64               501/3646 
  Installing       : bubblewrap-0.5.0-3.fc37.x86_64                    502/3646 
  Installing       : annobin-docs-11.06-2.fc38.noarch                  503/3646 
  Installing       : alsa-lib-1.2.8-2.fc38.x86_64                      504/3646 
  Installing       : adwaita-cursor-theme-43-1.fc38.noarch             505/3646 
  Installing       : adwaita-icon-theme-43-1.fc38.noarch               506/3646 
  Installing       : adobe-mappings-pdf-20190401-2.fc37.noarch         507/3646 
  Installing       : libgs-9.56.1-6.fc38.x86_64                        508/3646 
  Installing       : ghostscript-tools-fonts-9.56.1-6.fc38.x86_64      509/3646 
  Installing       : ghostscript-tools-printing-9.56.1-6.fc38.x86_6    510/3646 
  Installing       : ghostscript-9.56.1-6.fc38.x86_64                  511/3646 
  Installing       : pstoedit-3.78-6.fc38.x86_64                       512/3646 
  Installing       : abc-libs-1.01-36.git20221229.fc38.x86_64          513/3646 
  Installing       : vulkan-loader-1.3.231.1-2.fc38.x86_64             514/3646 
  Installing       : vim-data-2:9.0.1221-1.fc38.noarch                 515/3646 
  Installing       : vim-minimal-2:9.0.1221-1.fc38.x86_64              516/3646 
  Installing       : tre-common-0.8.0-38.20140228gitc2f5d13.fc38.no    517/3646 
  Installing       : tre-0.8.0-38.20140228gitc2f5d13.fc38.x86_64       518/3646 
  Installing       : ruby-libs-3.2.0-178.fc38.x86_64                   519/3646 
  Installing       : rubypick-1.1.1-17.fc37.noarch                     520/3646 
  Installing       : ruby-3.2.0-178.fc38.x86_64                        521/3646 
  Installing       : python-pip-wheel-22.3.1-2.fc38.noarch             522/3646 
  Installing       : python-unversioned-command-3.11.1-3.fc38.noarc    523/3646 
  Installing       : python3-3.11.1-3.fc38.x86_64                      524/3646 
  Installing       : python3-libs-3.11.1-3.fc38.x86_64                 525/3646 
  Installing       : gstreamer1-1.21.90-1.fc38.x86_64                  526/3646 
  Installing       : gstreamer1-plugins-base-1.20.5-1.fc38.x86_64      527/3646 
  Installing       : crypto-policies-scripts-20221215-2.gita4c31a3.    528/3646 
  Installing       : nss-sysinit-3.87.0-1.fc38.x86_64                  529/3646 
  Installing       : nss-3.87.0-1.fc38.x86_64                          530/3646 
  Running scriptlet: nss-3.87.0-1.fc38.x86_64                          530/3646 
  Installing       : poppler-22.08.0-4.fc38.x86_64                     531/3646 
  Installing       : poppler-glib-22.08.0-4.fc38.x86_64                532/3646 
  Installing       : graphviz-7.0.6-2.fc38.x86_64                      533/3646 
  Running scriptlet: graphviz-7.0.6-2.fc38.x86_64                      533/3646 
  Installing       : ImageMagick-libs-1:7.1.0.57-2.fc38.x86_64         534/3646 
  Installing       : ImageMagick-1:7.1.0.57-2.fc38.x86_64              535/3646 
  Installing       : autotrace-0.31.9-3.fc38.x86_64                    536/3646 
  Installing       : libsrtp-2.3.0-9.fc37.x86_64                       537/3646 
  Installing       : python3-appdirs-1.4.4-8.fc38.noarch               538/3646 
  Installing       : python3-cssselect-1.1.0-4.fc38.noarch             539/3646 
  Installing       : python3-lxml-4.9.2-2.fc38.x86_64                  540/3646 
  Installing       : python3-numpy-1:1.23.5-2.fc38.x86_64              541/3646 
  Installing       : python3-olefile-0.46-19.fc38.noarch               542/3646 
  Installing       : python3-pillow-9.4.0-2.fc38.x86_64                543/3646 
  Installing       : python3-packaging-23.0-1.fc38.noarch              544/3646 
  Installing       : python3-pygments-2.13.0-2.fc38.noarch             545/3646 
  Installing       : python3-six-1.16.0-9.fc38.noarch                  546/3646 
  Installing       : python3-scour-0.38.2-2.fc38.noarch                547/3646 
  Installing       : mesa-filesystem-22.3.3-2.fc38.x86_64              548/3646 
  Installing       : libva-2.17.0-1.fc38.x86_64                        549/3646 
  Installing       : libstemmer-2.2.0-4.fc38.x86_64                    550/3646 
  Installing       : libproxy-0.4.18-5.fc38.x86_64                     551/3646 
  Installing       : glib-networking-2.74.0-1.fc38.x86_64              552/3646 
  Installing       : libsoup3-3.2.2-1.fc38.x86_64                      553/3646 
  Installing       : gssdp-1.6.2-1.fc38.x86_64                         554/3646 
  Installing       : gupnp-1.6.3-1.fc38.x86_64                         555/3646 
  Installing       : gupnp-igd-1.2.0-7.fc38.x86_64                     556/3646 
  Installing       : libnice-0.1.21-2.fc38.x86_64                      557/3646 
  Installing       : gstreamer1-plugins-bad-free-1.20.5-2.fc38.x86_    558/3646 
  Installing       : libtracker-sparql-3.4.2-2.fc38.x86_64             559/3646 
  Installing       : gtk3-3.24.36-1.fc38.x86_64                        560/3646 
  Installing       : fontforge-20230101-3.fc38.x86_64                  561/3646 
  Installing       : gspell-1.12.0-2.fc38.x86_64                       562/3646 
  Installing       : gtkmm3.0-3.24.7-2.fc38.x86_64                     563/3646 
  Installing       : libsoup-2.74.3-1.fc38.x86_64                      564/3646 
  Installing       : libevdev-1.13.0-2.fc38.x86_64                     565/3646 
  Installing       : libmanette-0.2.6-5.fc37.x86_64                    566/3646 
  Installing       : webkit2gtk4.0-2.39.5-1.fc38.x86_64                567/3646 
  Installing       : pdfpc-4.5.0-8.fc37.x86_64                         568/3646 
  Installing       : gumbo-parser-1:0.10.1-27.fc38.x86_64              569/3646 
  Installing       : mupdf-1.21.1-5.fc38.x86_64                        570/3646 
  Installing       : glibc-headers-x86-2.36.9000-23.fc38.noarch        571/3646 
  Installing       : libxcrypt-devel-4.4.33-5.fc38.x86_64              572/3646 
  Installing       : glibc-devel-2.36.9000-23.fc38.x86_64              573/3646 
  Installing       : gcc-13.0.1-0.1.fc38.x86_64                        574/3646 
  Running scriptlet: gcc-13.0.1-0.1.fc38.x86_64                        574/3646 
  Installing       : perl-Filter-2:1.64-1.fc38.x86_64                  575/3646 
  Installing       : perl-encoding-4:3.00-492.fc38.x86_64              576/3646 
  Installing       : perl-open-1.13-494.fc38.noarch                    577/3646 
  Installing       : perl-XML-XPath-1.48-2.fc38.noarch                 578/3646 
  Installing       : texlive-epstopdf-10:svn64439-58.fc38.noarch       579/3646 
  Installing       : texlive-epstopdf-pkg-10:svn53546-63.fc38.noarc    580/3646 
  Installing       : texlive-cm-10:svn57963-63.fc38.noarch             581/3646 
  Installing       : texlive-etex-10:svn56291-63.fc38.noarch           582/3646 
  Installing       : texlive-graphics-def-10:svn64487-63.fc38.noarc    583/3646 
  Installing       : texlive-hyph-utf8-10:svn61719-63.fc38.noarch      584/3646 
  Installing       : texlive-hyphen-base-10:svn62751-63.fc38.noarch    585/3646 
  Installing       : texlive-knuth-lib-10:svn57963-63.fc38.noarch      586/3646 
  Installing       : texlive-plain-10:svn57963-63.fc38.noarch          587/3646 
  Installing       : texlive-tex-ini-files-10:svn40533-63.fc38.noar    588/3646 
  Installing       : texlive-unicode-data-10:svn64423-63.fc38.noarc    589/3646 
  Installing       : texlive-gsftopk-10:svn52851-58.fc38.x86_64        590/3646 
  Installing       : texlive-luatex-10:svn64839-58.fc38.x86_64         591/3646 
  Installing       : texlive-texlive.infra-10:svn63645-58.fc38.noar    592/3646 
  Installing       : texlive-texlive-scripts-10:svn64830-58.fc38.no    593/3646 
  Installing       : texlive-kpathsea-10:svn64475-58.fc38.x86_64       594/3646 
  Installing       : texlive-iftex-10:svn61910-63.fc38.noarch          595/3646 
  Installing       : texlive-amsmath-10:svn63514-63.fc38.noarch        596/3646 
  Installing       : texlive-amsfonts-10:svn61937-63.fc38.noarch       597/3646 
  Installing       : texlive-kvoptions-10:svn63622-63.fc38.noarch      598/3646 
  Installing       : texlive-fancyhdr-10:svn64977-63.fc38.noarch       599/3646 
  Installing       : texlive-babel-10:svn65366-63.fc38.noarch          600/3646 
  Installing       : texlive-url-10:svn32528.3.4-63.fc38.noarch        601/3646 
  Installing       : texlive-setspace-10:svn65206-63.fc38.noarch       602/3646 
  Installing       : texlive-xstring-10:svn60007-63.fc38.noarch        603/3646 
  Installing       : texlive-booktabs-10:svn53402-63.fc38.noarch       604/3646 
  Installing       : texlive-enumitem-10:svn51423-63.fc38.noarch       605/3646 
  Installing       : texlive-fontaxes-10:svn55920-63.fc38.noarch       606/3646 
  Installing       : texlive-natbib-10:svn20668.8.31b-63.fc38.noarc    607/3646 
  Installing       : texlive-ragged2e-10:svn65008-63.fc38.noarch       608/3646 
  Installing       : texlive-float-10:svn15878.1.3d-63.fc38.noarch     609/3646 
  Installing       : texlive-titlesec-10:svn59845-63.fc38.noarch       610/3646 
  Installing       : texlive-etex-pkg-10:svn41784-63.fc38.noarch       611/3646 
  Installing       : texlive-etoolbox-10:svn56554-63.fc38.noarch       612/3646 
  Installing       : texlive-fp-10:svn49719-63.fc38.noarch             613/3646 
  Installing       : texlive-pdftexcmds-10:svn55777-63.fc38.noarch     614/3646 
  Installing       : texlive-mweights-10:svn53520-63.fc38.noarch       615/3646 
  Installing       : texlive-lastpage-10:svn60414-63.fc38.noarch       616/3646 
  Installing       : texlive-ltxcmds-10:svn56421-63.fc38.noarch        617/3646 
  Installing       : texlive-lm-10:svn61719-63.fc38.noarch             618/3646 
  Installing       : texlive-ulem-10:svn53365-63.fc38.noarch           619/3646 
  Installing       : texlive-atbegshi-10:svn53051-63.fc38.noarch       620/3646 
  Installing       : texlive-relsize-10:svn30707.4.1-63.fc38.noarch    621/3646 
  Installing       : texlive-zref-10:svn62977-63.fc38.noarch           622/3646 
  Installing       : texlive-bookmark-10:svn56885-63.fc38.noarch       623/3646 
  Installing       : texlive-footmisc-10:svn62524-63.fc38.noarch       624/3646 
  Installing       : texlive-marginnote-10:svn48383-63.fc38.noarch     625/3646 
  Installing       : texlive-paralist-10:svn43021-63.fc38.noarch       626/3646 
  Installing       : texlive-tocloft-10:svn53364-63.fc38.noarch        627/3646 
  Installing       : texlive-atveryend-10:svn53108-63.fc38.noarch      628/3646 
  Installing       : texlive-fancybox-10:svn18304.1.4-63.fc38.noarc    629/3646 
  Installing       : texlive-letltxmacro-10:svn53022-63.fc38.noarch    630/3646 
  Installing       : texlive-soul-10:svn56495-63.fc38.noarch           631/3646 
  Installing       : texlive-framed-10:svn26789.0.96-63.fc38.noarch    632/3646 
  Installing       : texlive-textcase-10:svn63868-63.fc38.noarch       633/3646 
  Installing       : texlive-marvosym-10:svn29349.2.2a-63.fc38.noar    634/3646 
  Installing       : texlive-multido-10:svn18302.1.42-63.fc38.noarc    635/3646 
  Installing       : texlive-changepage-10:svn15878.1.0c-63.fc38.no    636/3646 
  Installing       : texlive-ifmtarg-10:svn47544-63.fc38.noarch        637/3646 
  Installing       : texlive-multirow-10:svn58396-63.fc38.noarch       638/3646 
  Installing       : texlive-wrapfig-10:svn61719-63.fc38.noarch        639/3646 
  Installing       : texlive-alphalph-10:svn53087-63.fc38.noarch       640/3646 
  Installing       : texlive-cite-10:svn36428.5.5-63.fc38.noarch       641/3646 
  Installing       : texlive-comment-10:svn41927-63.fc38.noarch        642/3646 
  Installing       : texlive-eurosym-10:svn17265.1.4_subrfix-63.fc3    643/3646 
  Installing       : texlive-infwarerr-10:svn53023-63.fc38.noarch      644/3646 
  Installing       : texlive-kvsetkeys-10:svn64632-63.fc38.noarch      645/3646 
  Installing       : texlive-needspace-10:svn29601.1.3d-63.fc38.noa    646/3646 
  Installing       : texlive-tocbibind-10:svn20085.1.5k-63.fc38.noa    647/3646 
  Installing       : texlive-txfonts-10:svn15878.0-63.fc38.noarch      648/3646 
  Installing       : texlive-varwidth-10:svn24104.0.92-63.fc38.noar    649/3646 
  Installing       : texlive-catoptions-10:svn35069.0.2.7h-63.fc38.    650/3646 
  Installing       : texlive-everypage-10:svn56694-63.fc38.noarch      651/3646 
  Installing       : texlive-everyshi-10:svn57001-63.fc38.noarch       652/3646 
  Installing       : texlive-parskip-10:svn58358-63.fc38.noarch        653/3646 
  Installing       : texlive-tex-gyre-10:svn48058-63.fc38.noarch       654/3646 
  Installing       : texlive-cleveref-10:svn61719-63.fc38.noarch       655/3646 
  Installing       : texlive-cmap-10:svn57640-63.fc38.noarch           656/3646 
  Installing       : texlive-filecontents-10:svn52142-63.fc38.noarc    657/3646 
  Installing       : texlive-hologo-10:svn61719-63.fc38.noarch         658/3646 
  Installing       : texlive-etextools-10:svn20694.3.1415926-63.fc3    659/3646 
  Installing       : texlive-auxhook-10:svn53173-63.fc38.noarch        660/3646 
  Installing       : texlive-eepic-10:svn15878.1.1e-63.fc38.noarch     661/3646 
  Installing       : texlive-etexcmds-10:svn53171-63.fc38.noarch       662/3646 
  Installing       : texlive-catchfile-10:svn53084-63.fc38.noarch      663/3646 
  Installing       : texlive-ifplatform-10:svn45533-63.fc38.noarch     664/3646 
  Installing       : texlive-hypdoc-10:svn63808-63.fc38.noarch         665/3646 
  Installing       : texlive-ifoddpage-10:svn64967-63.fc38.noarch      666/3646 
  Installing       : texlive-pdfescape-10:svn53082-63.fc38.noarch      667/3646 
  Installing       : texlive-picture-10:svn54867-63.fc38.noarch        668/3646 
  Installing       : texlive-placeins-10:svn19848.2.2-63.fc38.noarc    669/3646 
  Installing       : texlive-refcount-10:svn53164-63.fc38.noarch       670/3646 
  Installing       : texlive-subfigure-10:svn15878.2.1.5-63.fc38.no    671/3646 
  Installing       : texlive-svn-prov-10:svn64967-63.fc38.noarch       672/3646 
  Installing       : texlive-transparent-10:svn64852-63.fc38.noarch    673/3646 
  Installing       : texlive-trimspaces-10:svn15878.1.1-63.fc38.noa    674/3646 
  Installing       : texlive-environ-10:svn56615-63.fc38.noarch        675/3646 
  Installing       : texlive-fontawesome-10:svn48145-63.fc38.noarch    676/3646 
  Installing       : texlive-accsupp-10:svn53052-63.fc38.noarch        677/3646 
  Installing       : texlive-anyfontsize-10:svn17050.0-63.fc38.noar    678/3646 
  Installing       : texlive-boxedminipage-10:svn54827-63.fc38.noar    679/3646 
  Installing       : texlive-intcalc-10:svn53168-63.fc38.noarch        680/3646 
  Installing       : texlive-latex-fonts-10:svn28888.0-63.fc38.noar    681/3646 
  Installing       : texlive-mdwtools-10:svn15878.1.05.4-63.fc38.no    682/3646 
  Installing       : texlive-pxfonts-10:svn15878.0-63.fc38.noarch      683/3646 
  Installing       : texlive-everyhook-10:svn35675.1.2-63.fc38.noar    684/3646 
  Installing       : texlive-mfirstuc-10:svn64743-63.fc38.noarch       685/3646 
  Installing       : texlive-nowidow-10:svn24066.1.0-63.fc38.noarch    686/3646 
  Installing       : texlive-atenddvi-10:svn56922-63.fc38.noarch       687/3646 
  Installing       : texlive-bbding-10:svn17186.1.01-63.fc38.noarch    688/3646 
  Installing       : texlive-cancel-10:svn32508.2.2-63.fc38.noarch     689/3646 
  Installing       : texlive-embedfile-10:svn54865-63.fc38.noarch      690/3646 
  Installing       : texlive-endnotes-10:svn53319-63.fc38.noarch       691/3646 
  Installing       : texlive-hyphenat-10:svn15878.2.3c-63.fc38.noar    692/3646 
  Installing       : texlive-index-10:svn24099.4.1beta-63.fc38.noar    693/3646 
  Installing       : texlive-nomencl-10:svn61029-63.fc38.noarch        694/3646 
  Installing       : texlive-preprint-10:svn30447.2011-63.fc38.noar    695/3646 
  Installing       : texlive-sectsty-10:svn15878.2.0.2-63.fc38.noar    696/3646 
  Installing       : texlive-silence-10:svn27028.1.5b-63.fc38.noarc    697/3646 
  Installing       : texlive-stmaryrd-10:svn22027.0-63.fc38.noarch     698/3646 
  Installing       : texlive-substr-10:svn16117.1.2-63.fc38.noarch     699/3646 
  Installing       : texlive-truncate-10:svn18921.3.6-63.fc38.noarc    700/3646 
  Installing       : texlive-dvips-10:svn62387-58.fc38.x86_64          701/3646 
  Installing       : texlive-ltxkeys-10:svn28332.0.0.3c-63.fc38.noa    702/3646 
  Installing       : texlive-filemod-10:svn64967-63.fc38.noarch        703/3646 
  Installing       : texlive-ltxnew-10:svn21586.1.3-63.fc38.noarch     704/3646 
  Installing       : texlive-babelbib-10:svn57349-63.fc38.noarch       705/3646 
  Installing       : texlive-onlyamsmath-10:svn42927-63.fc38.noarch    706/3646 
  Installing       : texlive-bigintcalc-10:svn53172-63.fc38.noarch     707/3646 
  Installing       : texlive-chngcntr-10:svn47577-63.fc38.noarch       708/3646 
  Installing       : texlive-collectbox-10:svn64967-63.fc38.noarch     709/3646 
  Installing       : texlive-doublestroke-10:svn15878.1.111-63.fc38    710/3646 
  Installing       : texlive-esvect-10:svn32098.1.3-63.fc38.noarch     711/3646 
  Installing       : texlive-gensymb-10:svn64740-63.fc38.noarch        712/3646 
  Installing       : texlive-gettitlestring-10:svn53170-63.fc38.noa    713/3646 
  Installing       : texlive-import-10:svn54683-63.fc38.noarch         714/3646 
  Installing       : texlive-kastrup-10:svn15878.0-63.fc38.noarch      715/3646 
  Installing       : texlive-l3backend-10:svn64836-63.fc38.noarch      716/3646 
  Installing       : texlive-lipsum-10:svn60561-63.fc38.noarch         717/3646 
  Installing       : texlive-makecmds-10:svn15878.0-63.fc38.noarch     718/3646 
  Installing       : texlive-pdflscape-10:svn64851-63.fc38.noarch      719/3646 
  Installing       : texlive-precattl-10:svn63967-63.fc38.noarch       720/3646 
  Installing       : texlive-stringenc-10:svn52982-63.fc38.noarch      721/3646 
  Installing       : texlive-threeparttable-10:svn17383.0-63.fc38.n    722/3646 
  Installing       : texlive-titling-10:svn15878.2.1d-63.fc38.noarc    723/3646 
  Installing       : texlive-type1cm-10:svn21820.0-63.fc38.noarch      724/3646 
  Installing       : texlive-vmargin-10:svn15878.2.5-63.fc38.noarch    725/3646 
  Installing       : texlive-was-10:svn64691-63.fc38.noarch            726/3646 
  Installing       : texlive-wasysym-10:svn54080-63.fc38.noarch        727/3646 
  Installing       : texlive-xfor-10:svn15878.1.05-63.fc38.noarch      728/3646 
  Installing       : texlive-linegoal-10:svn21523.2.9-63.fc38.noarc    729/3646 
  Installing       : texlive-noindentafter-10:svn59195-63.fc38.noar    730/3646 
  Installing       : texlive-quoting-10:svn32818.v0.1c-63.fc38.noar    731/3646 
  Installing       : texlive-memoir-10:svn65040-63.fc38.noarch         732/3646 
  Installing       : texlive-dox-10:svn46011-63.fc38.noarch            733/3646 
  Installing       : texlive-morefloats-10:svn37927.1.0h-63.fc38.no    734/3646 
  Installing       : texlive-siunits-10:svn59702-63.fc38.noarch        735/3646 
  Installing       : texlive-splitindex-10:svn39766-58.fc38.noarch     736/3646 
  Installing       : texlive-tex-10:svn62387-58.fc38.x86_64            737/3646 
  Installing       : texlive-arrayjobx-10:svn18125.1.04-63.fc38.noa    738/3646 
  Installing       : texlive-beton-10:svn15878.0-63.fc38.noarch        739/3646 
  Installing       : texlive-cmbright-10:svn21107.8.1-63.fc38.noarc    740/3646 
  Installing       : texlive-colorist-10:svn64280-63.fc38.noarch       741/3646 
  Installing       : texlive-draftcopy-10:svn15878.2.16-63.fc38.noa    742/3646 
  Installing       : texlive-emptypage-10:svn18064.1.2-63.fc38.noar    743/3646 
  Installing       : texlive-epigraph-10:svn54857-63.fc38.noarch       744/3646 
  Installing       : texlive-esint-10:svn52240-63.fc38.noarch          745/3646 
  Installing       : texlive-euler-10:svn42428-63.fc38.noarch          746/3646 
  Installing       : texlive-eulervm-10:svn15878.4.0-63.fc38.noarch    747/3646 
  Installing       : texlive-everysel-10:svn57489-63.fc38.noarch       748/3646 
  Installing       : texlive-fileinfo-10:svn28421.0.81a-63.fc38.noa    749/3646 
  Installing       : texlive-firstaid-10:svn64892-63.fc38.noarch       750/3646 
  Installing       : texlive-fixmath-10:svn64648-63.fc38.noarch        751/3646 
  Installing       : texlive-isomath-10:svn27654.0.6.1-63.fc38.noar    752/3646 
  Installing       : texlive-fltpoint-10:svn56594-63.fc38.noarch       753/3646 
  Installing       : texlive-fundus-calligra-10:svn26018.1.2-63.fc3    754/3646 
  Installing       : texlive-graphics-cfg-10:svn41448-63.fc38.noarc    755/3646 
  Installing       : texlive-graphics-10:svn64892-63.fc38.noarch       756/3646 
  Installing       : texlive-tools-10:svn64892-63.fc38.noarch          757/3646 
  Installing       : texlive-xkeyval-10:svn63616-63.fc38.noarch        758/3646 
  Installing       : texlive-geometry-10:svn61719-63.fc38.noarch       759/3646 
  Installing       : texlive-caption-10:svn62757-63.fc38.noarch        760/3646 
  Installing       : texlive-microtype-10:svn63708-63.fc38.noarch      761/3646 
  Installing       : texlive-csquotes-10:svn64389-63.fc38.noarch       762/3646 
  Installing       : texlive-mathtools-10:svn63767-63.fc38.noarch      763/3646 
  Installing       : texlive-colortbl-10:svn64015-63.fc38.noarch       764/3646 
  Installing       : texlive-xargs-10:svn15878.1.1-63.fc38.noarch      765/3646 
  Installing       : texlive-carlisle-10:svn59577-63.fc38.noarch       766/3646 
  Installing       : texlive-textpos-10:svn63967-63.fc38.noarch        767/3646 
  Installing       : texlive-pict2e-10:svn56504-63.fc38.noarch         768/3646 
  Installing       : texlive-draftwatermark-10:svn57099-63.fc38.noa    769/3646 
  Installing       : texlive-subfig-10:svn15878.1.3-63.fc38.noarch     770/3646 
  Installing       : texlive-bigfoot-10:svn38248.2.1-63.fc38.noarch    771/3646 
  Installing       : texlive-ncctools-10:svn51810-63.fc38.noarch       772/3646 
  Installing       : texlive-endfloat-10:svn57090-63.fc38.noarch       773/3646 
  Installing       : texlive-translator-10:svn59412-63.fc38.noarch     774/3646 
  Installing       : texlive-breakurl-10:svn29901.1.40-63.fc38.noar    775/3646 
  Installing       : texlive-moreverb-10:svn22126.2.3a-63.fc38.noar    776/3646 
  Installing       : texlive-psfrag-10:svn15878.3.04-63.fc38.noarch    777/3646 
  Installing       : texlive-sauerj-10:svn15878.0-63.fc38.noarch       778/3646 
  Installing       : texlive-xypic-10:svn61719-63.fc38.noarch          779/3646 
  Installing       : texlive-ifsym-10:svn24868.0-63.fc38.noarch        780/3646 
  Installing       : texlive-makecell-10:svn15878.0.1e-63.fc38.noar    781/3646 
  Installing       : texlive-sttools-10:svn60736-63.fc38.noarch        782/3646 
  Installing       : texlive-totpages-10:svn15878.2.00-63.fc38.noar    783/3646 
  Installing       : texlive-acronym-10:svn54758-63.fc38.noarch        784/3646 
  Installing       : texlive-iexec-10:svn64908-63.fc38.noarch          785/3646 
  Installing       : texlive-apacite-10:svn54080-63.fc38.noarch        786/3646 
  Installing       : texlive-etoc-10:svn65068-63.fc38.noarch           787/3646 
  Installing       : texlive-lcg-10:svn31474.1.3-63.fc38.noarch        788/3646 
  Installing       : texlive-floatrow-10:svn15878.0.3b-63.fc38.noar    789/3646 
  Installing       : texlive-auto-pst-pdf-10:svn56596-63.fc38.noarc    790/3646 
  Installing       : texlive-ccicons-10:svn54512-63.fc38.noarch        791/3646 
  Installing       : texlive-blindtext-10:svn25039.2.0-63.fc38.noar    792/3646 
  Installing       : texlive-newfile-10:svn15878.1.0c-63.fc38.noarc    793/3646 
  Installing       : texlive-tabulary-10:svn34368.0.10-63.fc38.noar    794/3646 
  Installing       : texlive-verbatimcopy-10:svn15878.0.06-63.fc38.    795/3646 
  Installing       : texlive-crop-10:svn55424-63.fc38.noarch           796/3646 
  Installing       : texlive-envlab-10:svn61937-63.fc38.noarch         797/3646 
  Installing       : texlive-fncychap-10:svn20710.v1.34-63.fc38.noa    798/3646 
  Installing       : texlive-lettrine-10:svn64511-63.fc38.noarch       799/3646 
  Installing       : texlive-logreq-10:svn53003-63.fc38.noarch         800/3646 
  Installing       : texlive-paratype-10:svn32859.0-63.fc38.noarch     801/3646 
  Installing       : texlive-shadethm-10:svn53350-63.fc38.noarch       802/3646 
  Installing       : texlive-grffile-10:svn52756-63.fc38.noarch        803/3646 
  Installing       : texlive-hycolor-10:svn53584-63.fc38.noarch        804/3646 
  Installing       : texlive-ifnextok-10:svn23379.0.3-63.fc38.noarc    805/3646 
  Installing       : texlive-iwona-10:svn19611.0.995b-63.fc38.noarc    806/3646 
  Installing       : texlive-lua-alt-getopt-10:svn56414-63.fc38.noa    807/3646 
  Installing       : texlive-mathabx-10:svn15878.0-63.fc38.noarch      808/3646 
  Installing       : texlive-mciteplus-10:svn31648.1.2-63.fc38.noar    809/3646 
  Installing       : texlive-moresize-10:svn17513.1.9-63.fc38.noarc    810/3646 
  Installing       : texlive-mparhack-10:svn59066-63.fc38.noarch       811/3646 
  Installing       : texlive-newfloat-10:svn52906-63.fc38.noarch       812/3646 
  Installing       : texlive-palatino-10:svn61719-63.fc38.noarch       813/3646 
  Installing       : texlive-pdfcol-10:svn64469-63.fc38.noarch         814/3646 
  Installing       : texlive-pdfcolmk-10:svn52912-63.fc38.noarch       815/3646 
  Installing       : texlive-xcolor-10:svn63563-63.fc38.noarch         816/3646 
  Installing       : texlive-eso-pic-10:svn56658-63.fc38.noarch        817/3646 
  Installing       : texlive-pagecolor-10:svn65120-63.fc38.noarch      818/3646 
  Installing       : texlive-qrcode-10:svn36065.1.51-63.fc38.noarch    819/3646 
  Installing       : texlive-pictex-10:svn59551-63.fc38.noarch         820/3646 
  Installing       : texlive-pslatex-10:svn57434-63.fc38.noarch        821/3646 
  Installing       : texlive-random-10:svn54723-63.fc38.noarch         822/3646 
  Installing       : texlive-selinput-10:svn53098-63.fc38.noarch       823/3646 
  Installing       : texlive-simplekv-10:svn64578-63.fc38.noarch       824/3646 
  Installing       : texlive-undolabl-10:svn36681.1.0l-63.fc38.noar    825/3646 
  Installing       : texlive-uniquecounter-10:svn53162-63.fc38.noar    826/3646 
  Installing       : texlive-rerunfilecheck-10:svn63869-63.fc38.noa    827/3646 
  Installing       : texlive-pageslts-10:svn39164-63.fc38.noarch       828/3646 
  Installing       : texlive-xcomment-10:svn20031.1.3-63.fc38.noarc    829/3646 
  Installing       : texlive-xtab-10:svn23347.2.3f-63.fc38.noarch      830/3646 
  Installing       : texlive-zapfding-10:svn61719-63.fc38.noarch       831/3646 
  Installing       : texlive-assoccnt-10:svn38497-63.fc38.noarch       832/3646 
  Installing       : texlive-ctable-10:svn38672-63.fc38.noarch         833/3646 
  Installing       : texlive-ed-10:svn25231.1.8-63.fc38.noarch         834/3646 
  Installing       : texlive-svg-10:svn57010-63.fc38.noarch            835/3646 
  Installing       : texlive-achemso-10:svn65103-63.fc38.noarch        836/3646 
  Installing       : texlive-xytree-10:svn15878.1.5-63.fc38.noarch     837/3646 
  Installing       : texlive-dot2texi-10:svn26237.3.0-63.fc38.noarc    838/3646 
  Installing       : texlive-curve2e-10:svn57402-63.fc38.noarch        839/3646 
  Installing       : texlive-diagbox-10:svn54080-63.fc38.noarch        840/3646 
  Installing       : texlive-boondox-10:svn54512-63.fc38.noarch        841/3646 
  Installing       : texlive-crumbs-10:svn64602-63.fc38.noarch         842/3646 
  Installing       : texlive-ellipsis-10:svn55418-63.fc38.noarch       843/3646 
  Installing       : texlive-eqparbox-10:svn45215-63.fc38.noarch       844/3646 
  Installing       : texlive-fancyref-10:svn15878.0.9c-63.fc38.noar    845/3646 
  Installing       : texlive-hepunits-10:svn54758-63.fc38.noarch       846/3646 
  Installing       : texlive-ltabptch-10:svn17533.1.74d-63.fc38.noa    847/3646 
  Installing       : texlive-tabu-10:svn61719-63.fc38.noarch           848/3646 
  Installing       : texlive-totalcount-10:svn56214-63.fc38.noarch     849/3646 
  Installing       : texlive-xpunctuate-10:svn26641.1.0-63.fc38.noa    850/3646 
  Installing       : texlive-cclicenses-10:svn15878.0-63.fc38.noarc    851/3646 
  Installing       : texlive-combine-10:svn19361.0.7a-63.fc38.noarc    852/3646 
  Installing       : texlive-grid-10:svn61719-63.fc38.noarch           853/3646 
  Installing       : texlive-overpic-10:svn53889-63.fc38.noarch        854/3646 
  Installing       : texlive-rotfloat-10:svn18292.1.2-63.fc38.noarc    855/3646 
  Installing       : texlive-texshade-10:svn64242-63.fc38.noarch       856/3646 
  Installing       : texlive-thmbox-10:svn15878.0-63.fc38.noarch       857/3646 
  Installing       : texlive-engrec-10:svn15878.1.1-63.fc38.noarch     858/3646 
  Installing       : texlive-saveenv-10:svn65346-63.fc38.noarch        859/3646 
  Installing       : texlive-bitset-10:svn53837-63.fc38.noarch         860/3646 
  Installing       : texlive-ltxtools-10:svn24897.0.0.1a-63.fc38.no    861/3646 
  Installing       : texlive-hardwrap-10:svn21396.0.2-63.fc38.noarc    862/3646 
  Installing       : texlive-newenviron-10:svn29331.1.0-63.fc38.noa    863/3646 
  Installing       : texlive-hopatch-10:svn56106-63.fc38.noarch        864/3646 
  Installing       : texlive-elocalloc-10:svn42712-63.fc38.noarch      865/3646 
  Installing       : texlive-here-10:svn16135.0-63.fc38.noarch         866/3646 
  Installing       : texlive-fink-10:svn24329.2.2.1-63.fc38.noarch     867/3646 
  Installing       : texlive-extarrows-10:svn54400-63.fc38.noarch      868/3646 
  Installing       : texlive-yhmath-10:svn54377-63.fc38.noarch         869/3646 
  Installing       : texlive-bibtex-10:svn64491-58.fc38.x86_64         870/3646 
  Installing       : texlive-glyphlist-10:svn54074-58.fc38.noarch      871/3646 
  Installing       : texlive-mptopdf-10:svn61520-58.fc38.noarch        872/3646 
  Installing       : texlive-perltex-10:svn52162-58.fc38.noarch        873/3646 
  Installing       : texlive-tex4ht-10:svn64837-58.fc38.x86_64         874/3646 
  Installing       : texlive-a0poster-10:svn54071-63.fc38.noarch       875/3646 
  Installing       : texlive-accents-10:svn51497-63.fc38.noarch        876/3646 
  Installing       : texlive-actuarialangle-10:svn51376-63.fc38.noa    877/3646 
  Installing       : texlive-adobemapping-10:svn51787-63.fc38.noarc    878/3646 
  Installing       : texlive-advdate-10:svn20538.0-63.fc38.noarch      879/3646 
  Installing       : texlive-anysize-10:svn15878.0-63.fc38.noarch      880/3646 
  Installing       : texlive-appendix-10:svn53718-63.fc38.noarch       881/3646 
  Installing       : texlive-arydshln-10:svn50084-63.fc38.noarch       882/3646 
  Installing       : texlive-avantgar-10:svn61983-63.fc38.noarch       883/3646 
  Installing       : texlive-bbm-10:svn15878.0-63.fc38.noarch          884/3646 
  Installing       : texlive-bbm-macros-10:svn17224.0-63.fc38.noarc    885/3646 
  Installing       : texlive-beebe-10:svn65356-63.fc38.noarch          886/3646 
  Installing       : texlive-biblatex-gb7714-2015-10:svn64967-63.fc    887/3646 
  Installing       : texlive-bibunits-10:svn15878.2.2-63.fc38.noarc    888/3646 
  Installing       : texlive-bookman-10:svn61719-63.fc38.noarch        889/3646 
  Installing       : texlive-bophook-10:svn17062.0.02-63.fc38.noarc    890/3646 
  Installing       : texlive-braket-10:svn17127.0-63.fc38.noarch       891/3646 
  Installing       : texlive-calculator-10:svn64424-63.fc38.noarch     892/3646 
  Installing       : texlive-cases-10:svn54682-63.fc38.noarch          893/3646 
  Installing       : texlive-cbfonts-fd-10:svn54080-63.fc38.noarch     894/3646 
  Installing       : texlive-cbfonts-10:svn54080-63.fc38.noarch        895/3646 
  Installing       : texlive-ccaption-10:svn23443.3.2c-63.fc38.noar    896/3646 
  Installing       : texlive-changebar-10:svn63259-63.fc38.noarch      897/3646 
  Installing       : texlive-charter-10:svn15878.0-63.fc38.noarch      898/3646 
  Installing       : texlive-chemcompounds-10:svn15878.0-63.fc38.no    899/3646 
  Installing       : texlive-cmtiup-10:svn39728-63.fc38.noarch         900/3646 
  Installing       : texlive-cookingsymbols-10:svn35929.1.1-63.fc38    901/3646 
  Installing       : texlive-courier-10:svn61719-63.fc38.noarch        902/3646 
  Installing       : texlive-crefthe-10:svn64498-63.fc38.noarch        903/3646 
  Installing       : texlive-curves-10:svn45255-63.fc38.noarch         904/3646 
  Installing       : texlive-datenumber-10:svn61761-63.fc38.noarch     905/3646 
  Installing       : texlive-drac-10:svn15878.1-63.fc38.noarch         906/3646 
  Installing       : texlive-ean-10:svn20851.0-63.fc38.noarch          907/3646 
  Installing       : texlive-easylist-10:svn32661.1.3-63.fc38.noarc    908/3646 
  Installing       : texlive-ec-10:svn25033.1.0-63.fc38.noarch         909/3646 
  Installing       : texlive-euclideangeometry-10:svn60697-63.fc38.    910/3646 
  Installing       : texlive-euenc-10:svn19795.0.1h-63.fc38.noarch     911/3646 
  Installing       : texlive-figbib-10:svn19388.0-63.fc38.noarch       912/3646 
  Installing       : texlive-filecontentsdef-10:svn52208-63.fc38.no    913/3646 
  Installing       : texlive-finstrut-10:svn21719.0.5-63.fc38.noarc    914/3646 
  Installing       : texlive-lineno-10:svn65204-63.fc38.noarch         915/3646 
  Installing       : texlive-fixfoot-10:svn17131.0.3a-63.fc38.noarc    916/3646 
  Installing       : texlive-fonts-tlwg-10:svn60817-63.fc38.noarch     917/3646 
  Installing       : texlive-footnpag-10:svn15878.0-63.fc38.noarch     918/3646 
  Installing       : texlive-forarray-10:svn15878.1.01-63.fc38.noar    919/3646 
  Installing       : texlive-fpl-10:svn54512-63.fc38.noarch            920/3646 
  Installing       : texlive-mathpazo-10:svn52663-63.fc38.noarch       921/3646 
  Installing       : texlive-garamond-libre-10:svn64412-63.fc38.noa    922/3646 
  Installing       : texlive-gb4e-10:svn19216.0-63.fc38.noarch         923/3646 
  Installing       : texlive-ginpenc-10:svn24980.1.0-63.fc38.noarch    924/3646 
  Installing       : texlive-gloss-10:svn15878.1.5.2-63.fc38.noarch    925/3646 
  Installing       : texlive-gmiflink-10:svn15878.v0.97-63.fc38.noa    926/3646 
  Installing       : texlive-greek-fontenc-10:svn63604-63.fc38.noar    927/3646 
  Installing       : texlive-bpchem-10:svn45120-63.fc38.noarch         928/3646 
  Installing       : texlive-grfext-10:svn53024-63.fc38.noarch         929/3646 
  Installing       : texlive-helvetic-10:svn61719-63.fc38.noarch       930/3646 
  Installing       : texlive-hobsub-10:svn52810-63.fc38.noarch         931/3646 
  Installing       : texlive-hypernat-10:svn17358.1.0b-63.fc38.noar    932/3646 
  Installing       : texlive-initials-10:svn54080-63.fc38.noarch       933/3646 
  Installing       : texlive-inputenx-10:svn52986-63.fc38.noarch       934/3646 
  Installing       : texlive-ipaex-10:svn61719-63.fc38.noarch          935/3646 
  Installing       : texlive-japanese-otf-10:svn64072-63.fc38.noarc    936/3646 
  Installing       : texlive-kurier-10:svn19612.0.995b-63.fc38.noar    937/3646 
  Installing       : texlive-kvdefinekeys-10:svn53193-63.fc38.noarc    938/3646 
  Installing       : texlive-hyperref-10:svn65014-63.fc38.noarch       939/3646 
  Installing       : texlive-attachfile-10:svn42099-63.fc38.noarch     940/3646 
  Installing       : texlive-doi-10:svn48634-63.fc38.noarch            941/3646 
  Installing       : texlive-labels-10:svn15878.13-63.fc38.noarch      942/3646 
  Installing       : texlive-latex-base-dev-10:svn65180-63.fc38.noa    943/3646 
  Installing       : texlive-latex-firstaid-dev-10:svn65181-63.fc38    944/3646 
  Installing       : texlive-latexconfig-10:svn53525-63.fc38.noarch    945/3646 
  Installing       : texlive-layouts-10:svn42428-63.fc38.noarch        946/3646 
  Installing       : texlive-lazylist-10:svn17691.1.0a-63.fc38.noar    947/3646 
  Installing       : texlive-libertinus-fonts-10:svn57948-63.fc38.n    948/3646 
  Installing       : texlive-libertinust1math-10:svn61751-63.fc38.n    949/3646 
  Installing       : texlive-listingsutf8-10:svn53097-63.fc38.noarc    950/3646 
  Installing       : texlive-listofitems-10:svn51923-63.fc38.noarch    951/3646 
  Installing       : texlive-stackengine-10:svn60019-63.fc38.noarch    952/3646 
  Installing       : texlive-lm-math-10:svn36915.1.959-63.fc38.noar    953/3646 
  Installing       : texlive-lualibs-10:svn64615-63.fc38.noarch        954/3646 
  Installing       : texlive-macroswap-10:svn31498.1.1-63.fc38.noar    955/3646 
  Installing       : texlive-mailing-10:svn15878.0-63.fc38.noarch      956/3646 
  Installing       : texlive-manfnt-font-10:svn45777-63.fc38.noarch    957/3646 
  Installing       : texlive-marginfix-10:svn55064-63.fc38.noarch      958/3646 
  Installing       : texlive-mflogo-10:svn42428-63.fc38.noarch         959/3646 
  Installing       : texlive-modes-10:svn61719-63.fc38.noarch          960/3646 
  Installing       : texlive-monofill-10:svn28140.0.2-63.fc38.noarc    961/3646 
  Installing       : texlive-multibib-10:svn15878.1.4-63.fc38.noarc    962/3646 
  Installing       : texlive-newverbs-10:svn64833-63.fc38.noarch       963/3646 
  Installing       : texlive-nextpage-10:svn15878.1.1a-63.fc38.noar    964/3646 
  Installing       : texlive-nonumonpart-10:svn22114.1-63.fc38.noar    965/3646 
  Installing       : texlive-notoccite-10:svn18129.0-63.fc38.noarch    966/3646 
  Installing       : texlive-ntgclass-10:svn56959-63.fc38.noarch       967/3646 
  Installing       : texlive-a4wide-10:svn20943.0-63.fc38.noarch       968/3646 
  Installing       : texlive-optional-10:svn18131.2.2b-63.fc38.noar    969/3646 
  Installing       : texlive-pagegrid-10:svn64470-63.fc38.noarch       970/3646 
  Installing       : texlive-picinpar-10:svn65097-63.fc38.noarch       971/3646 
  Installing       : texlive-ptex-base-10:svn64072-63.fc38.noarch      972/3646 
  Installing       : texlive-ptex-fonts-10:svn64330-63.fc38.noarch     973/3646 
  Installing       : texlive-readarray-10:svn60540-63.fc38.noarch      974/3646 
  Installing       : texlive-robustcommand-10:svn15878.0.1-63.fc38.    975/3646 
  Installing       : texlive-romanbar-10:svn25005.1.0f-63.fc38.noar    976/3646 
  Installing       : texlive-rsfs-10:svn15878.0-63.fc38.noarch         977/3646 
  Installing       : texlive-rtkinenc-10:svn20003.1.0-63.fc38.noarc    978/3646 
  Installing       : texlive-schedule-10:svn51805-63.fc38.noarch       979/3646 
  Installing       : texlive-seqsplit-10:svn15878.0.1-63.fc38.noarc    980/3646 
  Installing       : texlive-shadow-10:svn20312.0-63.fc38.noarch       981/3646 
  Installing       : texlive-shorttoc-10:svn15878.1.3-63.fc38.noarc    982/3646 
  Installing       : texlive-soulutf8-10:svn53163-63.fc38.noarch       983/3646 
  Installing       : texlive-stdclsdv-10:svn15878.1.1a-63.fc38.noar    984/3646 
  Installing       : texlive-stix2-type1-10:svn57448-63.fc38.noarch    985/3646 
  Installing       : texlive-stringstrings-10:svn57097-63.fc38.noar    986/3646 
  Installing       : texlive-subdepth-10:svn15878.0.1-63.fc38.noarc    987/3646 
  Installing       : texlive-hepparticles-10:svn35723.2.0-63.fc38.n    988/3646 
  Installing       : texlive-hepnames-10:svn35722.2.0-63.fc38.noarc    989/3646 
  Installing       : texlive-subfloat-10:svn29349.2.14-63.fc38.noar    990/3646 
  Installing       : texlive-substitutefont-10:svn32066.0.1.4-63.fc    991/3646 
  Installing       : texlive-supertabular-10:svn53658-63.fc38.noarc    992/3646 
  Installing       : texlive-symbol-10:svn61719-63.fc38.noarch         993/3646 
  Installing       : texlive-synttree-10:svn16252.1.4.2-63.fc38.noa    994/3646 
  Installing       : texlive-tableof-10:svn59837-63.fc38.noarch        995/3646 
  Installing       : texlive-tabto-ltx-10:svn54080-63.fc38.noarch      996/3646 
  Installing       : texlive-times-10:svn61719-63.fc38.noarch          997/3646 
  Installing       : texlive-toolbox-10:svn32260.5.1-63.fc38.noarch    998/3646 
  Installing       : texlive-tracklang-10:svn65263-63.fc38.noarch      999/3646 
  Installing       : texlive-trajan-10:svn15878.1.1-63.fc38.noarch    1000/3646 
  Installing       : texlive-ucharcat-10:svn38907-63.fc38.noarch      1001/3646 
  Installing       : texlive-underscore-10:svn18261.0-63.fc38.noarc   1002/3646 
  Installing       : texlive-uptex-fonts-10:svn62592-63.fc38.noarch   1003/3646 
  Installing       : texlive-ushort-10:svn32261.2.2-63.fc38.noarch    1004/3646 
  Installing       : texlive-hhtensor-10:svn54080-63.fc38.noarch      1005/3646 
  Installing       : texlive-utopia-10:svn15878.0-63.fc38.noarch      1006/3646 
  Installing       : texlive-psnfss-10:svn54694-63.fc38.noarch        1007/3646 
  Installing       : texlive-versions-10:svn21921.0.55-63.fc38.noar   1008/3646 
  Installing       : texlive-warning-10:svn22028.0.01-63.fc38.noarc   1009/3646 
  Installing       : texlive-wasy-10:svn53533-63.fc38.noarch          1010/3646 
  Installing       : texlive-xint-10:svn63562-63.fc38.noarch          1011/3646 
  Installing       : texlive-xits-10:svn55730-63.fc38.noarch          1012/3646 
  Installing       : texlive-yfonts-10:svn50755-63.fc38.noarch        1013/3646 
  Installing       : texlive-zref-clever-10:svn63428-63.fc38.noarch   1014/3646 
  Installing       : texlive-zref-vario-10:svn63874-63.fc38.noarch    1015/3646 
  Installing       : texlive-newspaper-10:svn15878.1.0-63.fc38.noar   1016/3646 
  Installing       : texlive-bnumexpr-10:svn59244-63.fc38.noarch      1017/3646 
  Installing       : texlive-wasy-type1-10:svn53534-63.fc38.noarch    1018/3646 
  Installing       : texlive-vpe-10:svn26039-58.fc38.noarch           1019/3646 
  Installing       : texlive-adforn-10:svn54512-63.fc38.noarch        1020/3646 
  Installing       : texlive-adfsymbols-10:svn54512-63.fc38.noarch    1021/3646 
  Installing       : texlive-apa-10:svn54080-63.fc38.noarch           1022/3646 
  Installing       : texlive-dictsym-10:svn20031.0-63.fc38.noarch     1023/3646 
  Installing       : texlive-elsarticle-10:svn56999-63.fc38.noarch    1024/3646 
  Installing       : texlive-ieeepes-10:svn17359.4.0-63.fc38.noarch   1025/3646 
  Installing       : texlive-leaflet-10:svn56878-63.fc38.noarch       1026/3646 
  Installing       : texlive-lps-10:svn21322.0.7-63.fc38.noarch       1027/3646 
  Installing       : texlive-onrannual-10:svn17474.1.1-63.fc38.noar   1028/3646 
  Installing       : texlive-principia-10:svn58927-63.fc38.noarch     1029/3646 
  Installing       : texlive-rmpage-10:svn54080-63.fc38.noarch        1030/3646 
  Installing       : texlive-wordlike-10:svn15878.1.2b-63.fc38.noar   1031/3646 
  Installing       : texlive-varindex-10:svn32262.2.3-63.fc38.noarc   1032/3646 
  Installing       : texlive-srbtiks-10:svn63308-63.fc38.noarch       1033/3646 
  Installing       : texlive-romannum-10:svn15878.1.0b-63.fc38.noar   1034/3646 
  Installing       : texlive-noitcrul-10:svn15878.0.2-63.fc38.noarc   1035/3646 
  Installing       : texlive-verbatimbox-10:svn33197.3.13-63.fc38.n   1036/3646 
  Installing       : texlive-uowthesis-10:svn19700.1.0a-63.fc38.noa   1037/3646 
  Installing       : texlive-nicefilelist-10:svn65235-63.fc38.noarc   1038/3646 
  Installing       : texlive-metafont-10:svn62387-58.fc38.x86_64      1039/3646 
  Installing       : texlive-tugboat-10:svn63386-63.fc38.noarch       1040/3646 
  Installing       : texlive-postcards-10:svn21641.0-63.fc38.noarch   1041/3646 
  Installing       : texlive-tabstackengine-10:svn46848-63.fc38.noa   1042/3646 
  Installing       : texlive-libertinus-otf-10:svn60023-63.fc38.noa   1043/3646 
  Installing       : texlive-polytable-10:svn55837-63.fc38.noarch     1044/3646 
  Installing       : texlive-plantslabels-10:svn29803.1.0-63.fc38.n   1045/3646 
  Installing       : texlive-attachfile2-10:svn57959-58.fc38.noarch   1046/3646 
  Installing       : texlive-afparticle-10:svn35900.1.3-63.fc38.noa   1047/3646 
  Installing       : texlive-amsrefs-10:svn61937-63.fc38.noarch       1048/3646 
  Installing       : texlive-aomart-10:svn63442-63.fc38.noarch        1049/3646 
  Installing       : texlive-bookest-10:svn15878.1.1-63.fc38.noarch   1050/3646 
  Installing       : texlive-cdpbundl-10:svn61719-63.fc38.noarch      1051/3646 
  Installing       : texlive-footnotebackref-10:svn27034.1.0-63.fc3   1052/3646 
  Installing       : texlive-gsemthesis-10:svn56291-63.fc38.noarch    1053/3646 
  Installing       : texlive-href-ul-10:svn64880-63.fc38.noarch       1054/3646 
  Installing       : texlive-hrefhide-10:svn22255.1.0f-63.fc38.noar   1055/3646 
  Installing       : texlive-opcit-10:svn15878.1.1-63.fc38.noarch     1056/3646 
  Installing       : texlive-pdfwin-10:svn54074-63.fc38.noarch        1057/3646 
  Installing       : texlive-tdclock-10:svn33043.v2.5-63.fc38.noarc   1058/3646 
  Installing       : texlive-thesis-ekf-10:svn60228-63.fc38.noarch    1059/3646 
  Installing       : texlive-cfr-initials-10:svn61719-63.fc38.noarc   1060/3646 
  Installing       : texlive-textgreek-10:svn44192-63.fc38.noarch     1061/3646 
  Installing       : texlive-thesis-titlepage-fhac-10:svn15878.0.1-   1062/3646 
  Installing       : texlive-isodoc-10:svn59709-63.fc38.noarch        1063/3646 
  Installing       : texlive-garuda-c90-10:svn60832-63.fc38.noarch    1064/3646 
  Installing       : texlive-norasi-c90-10:svn60831-63.fc38.noarch    1065/3646 
  Installing       : texlive-mftinc-10:svn15878.1.0a-63.fc38.noarch   1066/3646 
  Installing       : texlive-mindflow-10:svn65236-63.fc38.noarch      1067/3646 
  Installing       : texlive-minorrevision-10:svn32165.1.1-63.fc38.   1068/3646 
  Installing       : texlive-vertbars-10:svn49429-63.fc38.noarch      1069/3646 
  Installing       : texlive-graphpaper-10:svn63116-63.fc38.noarch    1070/3646 
  Installing       : texlive-ean13isbn-10:svn57514-63.fc38.noarch     1071/3646 
  Installing       : texlive-create-theorem-10:svn64104-63.fc38.noa   1072/3646 
  Installing       : texlive-xpicture-10:svn28770.1.2a-63.fc38.noar   1073/3646 
  Installing       : texlive-actuarialsymbol-10:svn54080-63.fc38.no   1074/3646 
  Installing       : texlive-gbt7714-10:svn64633-63.fc38.noarch       1075/3646 
  Installing       : texlive-storecmd-10:svn24431.0.0.2-63.fc38.noa   1076/3646 
  Installing       : texlive-textopo-10:svn23796.1.5-63.fc38.noarch   1077/3646 
  Installing       : texlive-psfragx-10:svn26243.1.1-63.fc38.noarch   1078/3646 
  Installing       : texlive-foreign-10:svn27819.2.7-63.fc38.noarch   1079/3646 
  Installing       : texlive-eqlist-10:svn32257.2.1-63.fc38.noarch    1080/3646 
  Installing       : texlive-rsc-10:svn41923-63.fc38.noarch           1081/3646 
  Installing       : texlive-papermas-10:svn23667.1.0h-63.fc38.noar   1082/3646 
  Installing       : texlive-thumbs-10:svn33134.1.0q-63.fc38.noarch   1083/3646 
  Installing       : texlive-turnthepage-10:svn29803.1.3a-63.fc38.n   1084/3646 
  Installing       : texlive-dijkstra-10:svn64580-63.fc38.noarch      1085/3646 
  Installing       : texlive-esami-10:svn61596-63.fc38.noarch         1086/3646 
  Installing       : texlive-ran_toks-10:svn59515-63.fc38.noarch      1087/3646 
  Installing       : texlive-randtext-10:svn15878.0-63.fc38.noarch    1088/3646 
  Installing       : texlive-mathspic-10:svn31957-58.fc38.noarch      1089/3646 
  Installing       : texlive-pictex2-10:svn15878.0-63.fc38.noarch     1090/3646 
  Installing       : texlive-fancytooltips-10:svn56291-63.fc38.noar   1091/3646 
  Installing       : texlive-fgruler-10:svn63721-63.fc38.noarch       1092/3646 
  Installing       : texlive-gitinfo2-10:svn38913-63.fc38.noarch      1093/3646 
  Installing       : texlive-typogrid-10:svn24994.0.21-63.fc38.noar   1094/3646 
  Installing       : texlive-changelayout-10:svn16094.1.0-63.fc38.n   1095/3646 
  Installing       : texlive-chs-physics-report-10:svn54512-63.fc38   1096/3646 
  Installing       : texlive-colorspace-10:svn50585-63.fc38.noarch    1097/3646 
  Installing       : texlive-getfiledate-10:svn16189.1.2-63.fc38.no   1098/3646 
  Installing       : texlive-guitlogo-10:svn55741-63.fc38.noarch      1099/3646 
  Installing       : texlive-knittingpattern-10:svn17205.0-63.fc38.   1100/3646 
  Installing       : texlive-menucard-10:svn55643-63.fc38.noarch      1101/3646 
  Installing       : texlive-soton-10:svn16215.0.1-63.fc38.noarch     1102/3646 
  Installing       : texlive-tabfigures-10:svn25202.1.1-63.fc38.noa   1103/3646 
  Installing       : texlive-tablestyles-10:svn34495.0-63.fc38.noar   1104/3646 
  Installing       : texlive-to-be-determined-10:svn64882-63.fc38.n   1105/3646 
  Installing       : texlive-xcolor-solarized-10:svn61719-63.fc38.n   1106/3646 
  Installing       : texlive-xhfill-10:svn22575.1.01-63.fc38.noarch   1107/3646 
  Installing       : texlive-hpsdiss-10:svn15878.1.0-63.fc38.noarch   1108/3646 
  Installing       : texlive-mathabx-type1-10:svn21129.0-63.fc38.no   1109/3646 
  Installing       : texlive-titlecaps-10:svn63020-63.fc38.noarch     1110/3646 
  Installing       : texlive-biblatex-ms-10:svn64180-63.fc38.noarch   1111/3646 
  Installing       : texlive-rterface-10:svn30084.0-63.fc38.noarch    1112/3646 
  Installing       : texlive-mwe-10:svn64967-63.fc38.noarch           1113/3646 
  Installing       : texlive-trivfloat-10:svn15878.1.3b-63.fc38.noa   1114/3646 
  Installing       : texlive-acmconf-10:svn15878.1.3-63.fc38.noarch   1115/3646 
  Installing       : texlive-tablists-10:svn15878.0.0e-63.fc38.noar   1116/3646 
  Installing       : texlive-qcircuit-10:svn48400-63.fc38.noarch      1117/3646 
  Installing       : texlive-qsymbols-10:svn15878.0-63.fc38.noarch    1118/3646 
  Installing       : texlive-graphviz-10:svn31517.0.94-63.fc38.noar   1119/3646 
  Installing       : texlive-dottex-10:svn15878.0.6-63.fc38.noarch    1120/3646 
  Installing       : texlive-har2nat-10:svn54080-63.fc38.noarch       1121/3646 
  Installing       : texlive-nameauth-10:svn58026-63.fc38.noarch      1122/3646 
  Installing       : texlive-askmaps-10:svn56730-63.fc38.noarch       1123/3646 
  Installing       : texlive-bxeepic-10:svn30559.0.2-63.fc38.noarch   1124/3646 
  Installing       : texlive-diagmac2-10:svn15878.2.1-63.fc38.noarc   1125/3646 
  Installing       : texlive-qtree-10:svn15878.3.1b-63.fc38.noarch    1126/3646 
  Installing       : texlive-steinmetz-10:svn15878.1.0-63.fc38.noar   1127/3646 
  Installing       : texlive-autonum-10:svn36084.0.3.11-63.fc38.noa   1128/3646 
  Installing       : texlive-gcard-10:svn15878.0-63.fc38.noarch       1129/3646 
  Installing       : texlive-imtekda-10:svn17667.1.7-63.fc38.noarch   1130/3646 
  Installing       : texlive-stubs-10:svn19440.0.1.1-63.fc38.noarch   1131/3646 
  Installing       : texlive-vhistory-10:svn61719-63.fc38.noarch      1132/3646 
  Installing       : texlive-extpfeil-10:svn16243.0.4-63.fc38.noarc   1133/3646 
  Installing       : texlive-afthesis-10:svn15878.2.7-63.fc38.noarc   1134/3646 
  Installing       : texlive-erdc-10:svn15878.1.1-63.fc38.noarch      1135/3646 
  Installing       : texlive-estcpmm-10:svn17335.0.4-63.fc38.noarch   1136/3646 
  Installing       : texlive-hvfloat-10:svn65069-63.fc38.noarch       1137/3646 
  Installing       : texlive-sageep-10:svn15878.1.0-63.fc38.noarch    1138/3646 
  Installing       : texlive-brandeis-dissertation-10:svn61215-63.f   1139/3646 
  Installing       : texlive-calxxxx-yyyy-10:svn61463-63.fc38.noarc   1140/3646 
  Installing       : texlive-contracard-10:svn50217-63.fc38.noarch    1141/3646 
  Installing       : texlive-ekaia-10:svn49594-63.fc38.noarch         1142/3646 
  Installing       : texlive-elteikthesis-10:svn63186-63.fc38.noarc   1143/3646 
  Installing       : texlive-flacards-10:svn19440.0.1.1b-63.fc38.no   1144/3646 
  Installing       : texlive-image-gallery-10:svn15878.v1.0j-63.fc3   1145/3646 
  Installing       : texlive-pdfpagediff-10:svn37946.1.4-63.fc38.no   1146/3646 
  Installing       : texlive-tikzposter-10:svn32732.2.0-63.fc38.noa   1147/3646 
  Installing       : texlive-uiucredborder-10:svn29974.1.00-63.fc38   1148/3646 
  Installing       : texlive-umich-thesis-10:svn15878.1.20-63.fc38.   1149/3646 
  Installing       : texlive-uowthesistitlepage-10:svn54512-63.fc38   1150/3646 
  Installing       : texlive-ut-thesis-10:svn64491-63.fc38.noarch     1151/3646 
  Installing       : texlive-beamerposter-10:svn54512-63.fc38.noarc   1152/3646 
  Installing       : texlive-clicks-10:svn64602-63.fc38.noarch        1153/3646 
  Installing       : texlive-dk-bib-10:svn15878.0.6-63.fc38.noarch    1154/3646 
  Installing       : texlive-dutchcal-10:svn54080-63.fc38.noarch      1155/3646 
  Installing       : texlive-esstix-10:svn22426.1.0-63.fc38.noarch    1156/3646 
  Installing       : texlive-etaremune-10:svn15878.v1.2-63.fc38.noa   1157/3646 
  Installing       : texlive-extract-10:svn52117-63.fc38.noarch       1158/3646 
  Installing       : texlive-fitbox-10:svn50088-63.fc38.noarch        1159/3646 
  Installing       : texlive-gender-10:svn36464.1.0-63.fc38.noarch    1160/3646 
  Installing       : texlive-gentium-tug-10:svn63470-63.fc38.noarch   1161/3646 
  Installing       : texlive-gmp-10:svn21691.1.0-63.fc38.noarch       1162/3646 
  Installing       : texlive-issuulinks-10:svn25742.1.1-63.fc38.noa   1163/3646 
  Installing       : texlive-jamtimes-10:svn20408.1.12-63.fc38.noar   1164/3646 
  Installing       : texlive-keycommand-10:svn18042.3.1415-63.fc38.   1165/3646 
  Installing       : texlive-longfigure-10:svn34302.1.0-63.fc38.noa   1166/3646 
  Installing       : texlive-mathalpha-10:svn61089-63.fc38.noarch     1167/3646 
  Installing       : texlive-mlist-10:svn15878.0.6a-63.fc38.noarch    1168/3646 
  Installing       : texlive-multiaudience-10:svn60688-63.fc38.noar   1169/3646 
  Installing       : texlive-pagerange-10:svn16915.0.5-63.fc38.noar   1170/3646 
  Installing       : texlive-pxtxalfa-10:svn60847-63.fc38.noarch      1171/3646 
  Installing       : texlive-rsfso-10:svn60849-63.fc38.noarch         1172/3646 
  Installing       : texlive-urwchancal-10:svn21701.1-63.fc38.noarc   1173/3646 
  Installing       : texlive-xfakebold-10:svn55654-63.fc38.noarch     1174/3646 
  Installing       : texlive-zlmtt-10:svn64076-63.fc38.noarch         1175/3646 
  Installing       : texlive-cachepic-10:svn26313-58.fc38.noarch      1176/3646 
  Installing       : texlive-12many-10:svn15878.0.3-63.fc38.noarch    1177/3646 
  Installing       : texlive-addlines-10:svn49326-63.fc38.noarch      1178/3646 
  Installing       : texlive-adjmulticol-10:svn63320-63.fc38.noarch   1179/3646 
  Installing       : texlive-answers-10:svn35032.2.16-63.fc38.noarc   1180/3646 
  Installing       : texlive-ascii-font-10:svn29989.2.0-63.fc38.noa   1181/3646 
  Installing       : texlive-avremu-10:svn35373.0.1-63.fc38.noarch    1182/3646 
  Installing       : texlive-beamersubframe-10:svn23510.0.2-63.fc38   1183/3646 
  Installing       : texlive-bosisio-10:svn16989.0-63.fc38.noarch     1184/3646 
  Installing       : texlive-bytefield-10:svn60265-63.fc38.noarch     1185/3646 
  Installing       : texlive-calculation-10:svn35973.1.0-63.fc38.no   1186/3646 
  Installing       : texlive-collcell-10:svn64967-63.fc38.noarch      1187/3646 
  Installing       : texlive-colourchange-10:svn21741.1.22-63.fc38.   1188/3646 
  Installing       : texlive-cweb-latex-10:svn28878.0-63.fc38.noarc   1189/3646 
  Installing       : texlive-cyber-10:svn46776-63.fc38.noarch         1190/3646 
  Installing       : texlive-dhua-10:svn24035.0.11-63.fc38.noarch     1191/3646 
  Installing       : texlive-dlfltxb-10:svn17337.0-63.fc38.noarch     1192/3646 
  Installing       : texlive-ebezier-10:svn15878.4-63.fc38.noarch     1193/3646 
  Installing       : texlive-eemeir-10:svn15878.1.1b-63.fc38.noarch   1194/3646 
  Installing       : texlive-eqell-10:svn22931.0-63.fc38.noarch       1195/3646 
  Installing       : texlive-eqnarray-10:svn20641.1.3-63.fc38.noarc   1196/3646 
  Installing       : texlive-esieecv-10:svn59638-63.fc38.noarch       1197/3646 
  Installing       : texlive-esk-10:svn18115.1.0-63.fc38.noarch       1198/3646 
  Installing       : texlive-examdesign-10:svn15878.1.02-63.fc38.no   1199/3646 
  Installing       : texlive-fcolumn-10:svn61855-63.fc38.noarch       1200/3646 
  Installing       : texlive-fmp-10:svn15878.0-63.fc38.noarch         1201/3646 
  Installing       : texlive-footnoterange-10:svn52910-63.fc38.noar   1202/3646 
  Installing       : texlive-formular-10:svn15878.1.0a-63.fc38.noar   1203/3646 
  Installing       : texlive-gastex-10:svn58505-63.fc38.noarch        1204/3646 
  Installing       : texlive-he-she-10:svn41359-63.fc38.noarch        1205/3646 
  Installing       : texlive-idxlayout-10:svn25821.0.4d-63.fc38.noa   1206/3646 
  Installing       : texlive-ktv-texdata-10:svn27369.05.34-63.fc38.   1207/3646 
  Installing       : texlive-lapdf-10:svn23806.1.1-63.fc38.noarch     1208/3646 
  Installing       : texlive-leading-10:svn15878.0.3-63.fc38.noarch   1209/3646 
  Installing       : texlive-limap-10:svn44863-63.fc38.noarch         1210/3646 
  Installing       : texlive-lineara-10:svn63169-63.fc38.noarch       1211/3646 
  Installing       : texlive-listliketab-10:svn15878.0-63.fc38.noar   1212/3646 
  Installing       : texlive-logpap-10:svn15878.0.6-63.fc38.noarch    1213/3646 
  Installing       : texlive-ltablex-10:svn34923.1.1-63.fc38.noarch   1214/3646 
  Installing       : texlive-maybemath-10:svn15878.0-63.fc38.noarch   1215/3646 
  Installing       : texlive-menu-10:svn15878.0.994-63.fc38.noarch    1216/3646 
  Installing       : texlive-mugsthesis-10:svn64259-63.fc38.noarch    1217/3646 
  Installing       : texlive-niceframe-10:svn36086.1.1c-63.fc38.noa   1218/3646 
  Installing       : texlive-nox-10:svn30991.1.0-63.fc38.noarch       1219/3646 
  Installing       : texlive-numberedblock-10:svn33109.1.10-63.fc38   1220/3646 
  Installing       : texlive-objectz-10:svn61719-63.fc38.noarch       1221/3646 
  Installing       : texlive-papercdcase-10:svn15878.0-63.fc38.noar   1222/3646 
  Installing       : texlive-qstest-10:svn15878.0-63.fc38.noarch      1223/3646 
  Installing       : texlive-rccol-10:svn15878.1.2c-63.fc38.noarch    1224/3646 
  Installing       : texlive-semioneside-10:svn15878.v0.41-63.fc38.   1225/3646 
  Installing       : texlive-tabularborder-10:svn17885.1.0a-63.fc38   1226/3646 
  Installing       : texlive-tabularew-10:svn15878.0.1-63.fc38.noar   1227/3646 
  Installing       : texlive-tagging-10:svn52064-63.fc38.noarch       1228/3646 
  Installing       : texlive-vwcol-10:svn36254.0.2-63.fc38.noarch     1229/3646 
  Installing       : texlive-warpcol-10:svn15878.1.0c-63.fc38.noarc   1230/3646 
  Installing       : texlive-xcite-10:svn53486-63.fc38.noarch         1231/3646 
  Installing       : texlive-exceltex-10:svn26313-58.fc38.noarch      1232/3646 
  Installing       : texlive-pax-10:svn63509-58.fc38.noarch           1233/3646 
  Installing       : texlive-boites-10:svn32235.1.1-63.fc38.noarch    1234/3646 
  Installing       : texlive-cd-cover-10:svn17121.1.0-63.fc38.noarc   1235/3646 
  Installing       : texlive-cd-10:svn34452.1.4-63.fc38.noarch        1236/3646 
  Installing       : texlive-chemcono-10:svn17119.1.3-63.fc38.noarc   1237/3646 
  Installing       : texlive-clrscode3e-10:svn51137-63.fc38.noarch    1238/3646 
  Installing       : texlive-colorweb-10:svn31490.1.3-63.fc38.noarc   1239/3646 
  Installing       : texlive-combinedgraphics-10:svn27198.0.2.2-63.   1240/3646 
  Installing       : texlive-constants-10:svn15878.1.0-63.fc38.noar   1241/3646 
  Installing       : texlive-contour-10:svn18950.2.14-63.fc38.noarc   1242/3646 
  Installing       : texlive-countriesofeurope-10:svn54512-63.fc38.   1243/3646 
  Installing       : texlive-courier-scaled-10:svn24940.0-63.fc38.n   1244/3646 
  Installing       : texlive-dejavu-10:svn31771.2.34-63.fc38.noarch   1245/3646 
  Installing       : texlive-dnaseq-10:svn17194.0.01-63.fc38.noarch   1246/3646 
  Installing       : texlive-epspdfconversion-10:svn18703.0.61-63.f   1247/3646 
  Installing       : texlive-errata-10:svn42428-63.fc38.noarch        1248/3646 
  Installing       : texlive-fbithesis-10:svn21340.1.2m-63.fc38.noa   1249/3646 
  Installing       : texlive-feynmf-10:svn17259.1.08-63.fc38.noarch   1250/3646 
  Installing       : texlive-hep-10:svn15878.1.0-63.fc38.noarch       1251/3646 
  Installing       : texlive-flabels-10:svn17272.1.0-63.fc38.noarch   1252/3646 
  Installing       : texlive-fullminipage-10:svn34545.0.1.1-63.fc38   1253/3646 
  Installing       : texlive-galois-10:svn15878.1.5-63.fc38.noarch    1254/3646 
  Installing       : texlive-genmpage-10:svn15878.0.3.1-63.fc38.noa   1255/3646 
  Installing       : texlive-gradientframe-10:svn21387.0.2-63.fc38.   1256/3646 
  Installing       : texlive-graphbox-10:svn46360-63.fc38.noarch      1257/3646 
  Installing       : texlive-graphicx-psmin-10:svn56931-63.fc38.noa   1258/3646 
  Installing       : texlive-grfpaste-10:svn17354.0.2-63.fc38.noarc   1259/3646 
  Installing       : texlive-harpoon-10:svn21327.1.0-63.fc38.noarch   1260/3646 
  Installing       : texlive-hyper-10:svn17357.4.2d-63.fc38.noarch    1261/3646 
  Installing       : texlive-ionumbers-10:svn33457.0.3.3-63.fc38.no   1262/3646 
  Installing       : texlive-isorot-10:svn15878.0-63.fc38.noarch      1263/3646 
  Installing       : texlive-jpsj-10:svn15878.1.2.2-63.fc38.noarch    1264/3646 
  Installing       : texlive-keystroke-10:svn17992.v1.6-63.fc38.noa   1265/3646 
  Installing       : texlive-knitting-10:svn50782-63.fc38.noarch      1266/3646 
  Installing       : texlive-lettre-10:svn54722-63.fc38.noarch        1267/3646 
  Installing       : texlive-lhelp-10:svn23638.2.0-63.fc38.noarch     1268/3646 
  Installing       : texlive-libgreek-10:svn65004-63.fc38.noarch      1269/3646 
  Installing       : texlive-notes-10:svn42428-63.fc38.noarch         1270/3646 
  Installing       : texlive-pagecont-10:svn15878.1.0-63.fc38.noarc   1271/3646 
  Installing       : texlive-pdfmanagement-testphase-10:svn64931-63   1272/3646 
  Installing       : texlive-petiteannonce-10:svn25915.1.0001-63.fc   1273/3646 
  Installing       : texlive-pinlabel-10:svn24769.1.2-63.fc38.noarc   1274/3646 
  Installing       : texlive-polynom-10:svn44832-63.fc38.noarch       1275/3646 
  Installing       : texlive-polynomial-10:svn15878.1.0-63.fc38.noa   1276/3646 
  Installing       : texlive-quotchap-10:svn56926-63.fc38.noarch      1277/3646 
  Installing       : texlive-refstyle-10:svn20318.0.5-63.fc38.noarc   1278/3646 
  Installing       : texlive-repltext-10:svn56433-63.fc38.noarch      1279/3646 
  Installing       : texlive-rviewport-10:svn23739.v1.0-63.fc38.noa   1280/3646 
  Installing       : texlive-shadowtext-10:svn26522.0.3-63.fc38.noa   1281/3646 
  Installing       : texlive-spotcolor-10:svn15878.1.2-63.fc38.noar   1282/3646 
  Installing       : texlive-statistik-10:svn20334.0.03-63.fc38.noa   1283/3646 
  Installing       : texlive-suppose-10:svn59281-63.fc38.noarch       1284/3646 
  Installing       : texlive-tex-label-10:svn16372.0-63.fc38.noarch   1285/3646 
  Installing       : texlive-texdraw-10:svn64477-63.fc38.noarch       1286/3646 
  Installing       : texlive-totcount-10:svn21178.1.2-63.fc38.noarc   1287/3646 
  Installing       : texlive-unamthesis-10:svn43639-63.fc38.noarch    1288/3646 
  Installing       : texlive-usebib-10:svn25969.1.0a-63.fc38.noarch   1289/3646 
  Installing       : texlive-zwpagelayout-10:svn63074-63.fc38.noarc   1290/3646 
  Installing       : texlive-longnamefilelist-10:svn27889.0.2-63.fc   1291/3646 
  Installing       : texlive-gfsartemisia-10:svn19469.1.0-63.fc38.n   1292/3646 
  Installing       : texlive-esint-type1-10:svn15878.0-63.fc38.noar   1293/3646 
  Installing       : texlive-beaulivre-10:svn64280-63.fc38.noarch     1294/3646 
  Installing       : texlive-lebhart-10:svn64280-63.fc38.noarch       1295/3646 
  Installing       : texlive-amstex-10:svn63708-58.fc38.noarch        1296/3646 
  Installing       : texlive-threeparttablex-10:svn34206.0.3-63.fc3   1297/3646 
  Installing       : texlive-storebox-10:svn64967-63.fc38.noarch      1298/3646 
  Installing       : texlive-xwatermark-10:svn61719-63.fc38.noarch    1299/3646 
  Installing       : texlive-epigrafica-10:svn17210.1.01-63.fc38.no   1300/3646 
  Installing       : texlive-gfsdidot-10:svn54080-63.fc38.noarch      1301/3646 
  Installing       : texlive-pxgreeks-10:svn21838.1.0-63.fc38.noarc   1302/3646 
  Installing       : texlive-regstats-10:svn25050.1.0h-63.fc38.noar   1303/3646 
  Installing       : texlive-subfigmat-10:svn20308.1.0-63.fc38.noar   1304/3646 
  Installing       : texlive-hypdestopt-10:svn56253-63.fc38.noarch    1305/3646 
  Installing       : texlive-catchfilebetweentags-10:svn21476.1.1-6   1306/3646 
  Installing       : texlive-mmap-10:svn15878.1.03-63.fc38.noarch     1307/3646 
  Installing       : texlive-flippdf-10:svn56782-63.fc38.noarch       1308/3646 
  Installing       : texlive-orientation-10:svn57390-63.fc38.noarch   1309/3646 
  Installing       : texlive-keyval2e-10:svn23698.0.0.2-63.fc38.noa   1310/3646 
  Installing       : texlive-skeycommand-10:svn24652.0.4-63.fc38.no   1311/3646 
  Installing       : texlive-tagpair-10:svn42138-63.fc38.noarch       1312/3646 
  Installing       : texlive-txfontsb-10:svn54512-63.fc38.noarch      1313/3646 
  Installing       : texlive-txgreeks-10:svn21839.1.0-63.fc38.noarc   1314/3646 
  Installing       : texlive-magicnum-10:svn52983-63.fc38.noarch      1315/3646 
  Installing       : texlive-cell-10:svn42428-63.fc38.noarch          1316/3646 
  Installing       : texlive-ptptex-10:svn19440.0.91-63.fc38.noarch   1317/3646 
  Installing       : texlive-asyfig-10:svn17512.0.1c-63.fc38.noarch   1318/3646 
  Installing       : texlive-dashrule-10:svn29579.1.3-63.fc38.noarc   1319/3646 
  Installing       : texlive-pagenote-10:svn63708-63.fc38.noarch      1320/3646 
  Installing       : texlive-mcaption-10:svn15878.3.0-63.fc38.noarc   1321/3646 
  Installing       : texlive-fullwidth-10:svn24684.0.1-63.fc38.noar   1322/3646 
  Installing       : texlive-arcs-10:svn15878.1-63.fc38.noarch        1323/3646 
  Installing       : texlive-metre-10:svn18489.1.0-63.fc38.noarch     1324/3646 
  Installing       : texlive-bxpdfver-10:svn63185-63.fc38.noarch      1325/3646 
  Installing       : texlive-facsimile-10:svn21328.1.0-63.fc38.noar   1326/3646 
  Installing       : texlive-ijmart-10:svn30958.1.7-63.fc38.noarch    1327/3646 
  Installing       : texlive-keyreader-10:svn28195.0.5b-63.fc38.noa   1328/3646 
  Installing       : texlive-pgfkeyx-10:svn26093.0.0.1-63.fc38.noar   1329/3646 
  Installing       : texlive-euro-10:svn22191.1.1-63.fc38.noarch      1330/3646 
  Installing       : texlive-spreadtab-10:svn50147-63.fc38.noarch     1331/3646 
  Installing       : texlive-tengwarscript-10:svn34594.1.3.1-63.fc3   1332/3646 
  Installing       : texlive-xprintlen-10:svn35928.1.0-63.fc38.noar   1333/3646 
  Installing       : texlive-biblatex-multiple-dm-10:svn37081.1.0.1   1334/3646 
  Installing       : texlive-codesection-10:svn34481.0.1-63.fc38.no   1335/3646 
  Installing       : texlive-fixltxhyph-10:svn25832.0.4-63.fc38.noa   1336/3646 
  Installing       : texlive-gitinfo-10:svn34049.1.0-63.fc38.noarch   1337/3646 
  Installing       : texlive-handout-10:svn43962-63.fc38.noarch       1338/3646 
  Installing       : texlive-locality-10:svn20422.0.2-63.fc38.noarc   1339/3646 
  Installing       : texlive-lxfonts-10:svn32354.2.0b-63.fc38.noarc   1340/3646 
  Installing       : texlive-seealso-10:svn43595-63.fc38.noarch       1341/3646 
  Installing       : texlive-threadcol-10:svn28754.1.0-63.fc38.noar   1342/3646 
  Installing       : texlive-emarks-10:svn24504.1.0-63.fc38.noarch    1343/3646 
  Installing       : texlive-nmbib-10:svn37984.1.04-63.fc38.noarch    1344/3646 
  Installing       : texlive-revtex4-10:svn56589-63.fc38.noarch       1345/3646 
  Installing       : texlive-biblatex-true-citepages-omit-10:svn446   1346/3646 
  Installing       : texlive-muthesis-10:svn23861.0-63.fc38.noarch    1347/3646 
  Installing       : texlive-uiucthesis-10:svn15878.2.25-63.fc38.no   1348/3646 
  Installing       : texlive-directory-10:svn15878.1.20-63.fc38.noa   1349/3646 
  Installing       : texlive-doipubmed-10:svn15878.1.01-63.fc38.noa   1350/3646 
  Installing       : texlive-iso-10:svn15878.2.4-63.fc38.noarch       1351/3646 
  Installing       : texlive-uri-10:svn48602-63.fc38.noarch           1352/3646 
  Installing       : texlive-beamer-rl-10:svn63668-63.fc38.noarch     1353/3646 
  Installing       : texlive-anonymouspro-10:svn51631-63.fc38.noarc   1354/3646 
  Installing       : texlive-chet-10:svn45081-63.fc38.noarch          1355/3646 
  Installing       : texlive-chkfloat-10:svn27473.0.1-63.fc38.noarc   1356/3646 
  Installing       : texlive-counttexruns-10:svn27576.1.00a-63.fc38   1357/3646 
  Installing       : texlive-makebarcode-10:svn15878.1.0-63.fc38.no   1358/3646 
  Installing       : texlive-modref-10:svn15878.1.0-63.fc38.noarch    1359/3646 
  Installing       : texlive-fundus-cyr-10:svn26019.0-63.fc38.noarc   1360/3646 
  Installing       : texlive-multiobjective-10:svn15878.1.0-63.fc38   1361/3646 
  Installing       : texlive-piff-10:svn21894.0-63.fc38.noarch        1362/3646 
  Installing       : texlive-proba-10:svn15878.0-63.fc38.noarch       1363/3646 
  Installing       : texlive-todo-10:svn17746.2.142-63.fc38.noarch    1364/3646 
  Installing       : texlive-bigints-10:svn29803.0-63.fc38.noarch     1365/3646 
  Installing       : texlive-digiconfigs-10:svn15878.0.5-63.fc38.no   1366/3646 
  Installing       : texlive-functan-10:svn15878.0-63.fc38.noarch     1367/3646 
  Installing       : texlive-gauss-10:svn32934.0-63.fc38.noarch       1368/3646 
  Installing       : texlive-mattens-10:svn62326-63.fc38.noarch       1369/3646 
  Installing       : texlive-sistyle-10:svn59682-63.fc38.noarch       1370/3646 
  Installing       : texlive-thumbpdf-10:svn62518-58.fc38.noarch      1371/3646 
  Installing       : texlive-cooltooltips-10:svn60201-63.fc38.noarc   1372/3646 
  Installing       : texlive-datetime2-breton-10:svn52647-63.fc38.n   1373/3646 
  Installing       : texlive-datetime2-bulgarian-10:svn47031-63.fc3   1374/3646 
  Installing       : texlive-datetime2-catalan-10:svn47032-63.fc38.   1375/3646 
  Installing       : texlive-datetime2-croatian-10:svn36682.1.0-63.   1376/3646 
  Installing       : texlive-datetime2-czech-10:svn47033-63.fc38.no   1377/3646 
  Installing       : texlive-datetime2-danish-10:svn47034-63.fc38.n   1378/3646 
  Installing       : texlive-datetime2-esperanto-10:svn47356-63.fc3   1379/3646 
  Installing       : texlive-datetime2-estonian-10:svn47565-63.fc38   1380/3646 
  Installing       : texlive-datetime2-finnish-10:svn47047-63.fc38.   1381/3646 
  Installing       : texlive-datetime2-french-10:svn56393-63.fc38.n   1382/3646 
  Installing       : texlive-datetime2-galician-10:svn47631-63.fc38   1383/3646 
  Installing       : texlive-datetime2-german-10:svn53125-63.fc38.n   1384/3646 
  Installing       : texlive-datetime2-greek-10:svn47533-63.fc38.no   1385/3646 
  Installing       : texlive-datetime2-icelandic-10:svn65213-63.fc3   1386/3646 
  Installing       : texlive-datetime2-irish-10:svn47632-63.fc38.no   1387/3646 
  Installing       : texlive-datetime2-italian-10:svn37146.1.3-63.f   1388/3646 
  Installing       : texlive-datetime2-lsorbian-10:svn47749-63.fc38   1389/3646 
  Installing       : texlive-datetime2-magyar-10:svn48266-63.fc38.n   1390/3646 
  Installing       : texlive-datetime2-norsk-10:svn48267-63.fc38.no   1391/3646 
  Installing       : texlive-datetime2-polish-10:svn48456-63.fc38.n   1392/3646 
  Installing       : texlive-datetime2-portuges-10:svn48457-63.fc38   1393/3646 
  Installing       : texlive-datetime2-romanian-10:svn56394-63.fc38   1394/3646 
  Installing       : texlive-datetime2-russian-10:svn49345-63.fc38.   1395/3646 
  Installing       : texlive-datetime2-samin-10:svn49346-63.fc38.no   1396/3646 
  Installing       : texlive-datetime2-scottish-10:svn52101-63.fc38   1397/3646 
  Installing       : texlive-datetime2-serbian-10:svn52893-63.fc38.   1398/3646 
  Installing       : texlive-datetime2-slovak-10:svn52281-63.fc38.n   1399/3646 
  Installing       : texlive-datetime2-slovene-10:svn52282-63.fc38.   1400/3646 
  Installing       : texlive-datetime2-spanish-10:svn45785-63.fc38.   1401/3646 
  Installing       : texlive-datetime2-swedish-10:svn36700.1.0-63.f   1402/3646 
  Installing       : texlive-datetime2-turkish-10:svn52331-63.fc38.   1403/3646 
  Installing       : texlive-datetime2-ukrainian-10:svn47552-63.fc3   1404/3646 
  Installing       : texlive-datetime2-usorbian-10:svn52375-63.fc38   1405/3646 
  Installing       : texlive-datetime2-welsh-10:svn52553-63.fc38.no   1406/3646 
  Installing       : texlive-ecclesiastic-10:svn38172.0.3-63.fc38.n   1407/3646 
  Installing       : texlive-luabibentry-10:svn55777-63.fc38.noarch   1408/3646 
  Installing       : texlive-t-angles-10:svn15878.0-63.fc38.noarch    1409/3646 
  Installing       : texlive-widetable-10:svn53409-63.fc38.noarch     1410/3646 
  Installing       : texlive-authorindex-10:svn51757-58.fc38.noarch   1411/3646 
  Installing       : texlive-bibexport-10:svn50677-58.fc38.noarch     1412/3646 
  Installing       : texlive-convbkmk-10:svn49252-58.fc38.noarch      1413/3646 
  Installing       : texlive-dvisvgm-10:svn64182.3.0.1-58.fc38.x86_   1414/3646 
  Installing       : texlive-epspdf-10:svn53472-58.fc38.noarch        1415/3646 
  Installing       : texlive-fig4latex-10:svn26313-58.fc38.noarch     1416/3646 
  Installing       : texlive-l3build-10:svn64402-58.fc38.noarch       1417/3646 
  Installing       : texlive-listbib-10:svn29349-58.fc38.noarch       1418/3646 
  Installing       : texlive-luahbtex-10:svn62387-58.fc38.x86_64      1419/3646 
  Installing       : texlive-lwarp-10:svn63905-58.fc38.noarch         1420/3646 
  Installing       : texlive-make4ht-10:svn62953-58.fc38.noarch       1421/3646 
  Installing       : texlive-makedtx-10:svn46702-58.fc38.noarch       1422/3646 
  Installing       : texlive-makeindex-10:svn62517-58.fc38.x86_64     1423/3646 
  Installing       : texlive-mfware-10:svn62387-58.fc38.x86_64        1424/3646 
  Installing       : texlive-mkpic-10:svn33700-58.fc38.noarch         1425/3646 
  Installing       : texlive-multibibliography-10:svn30939-58.fc38.   1426/3646 
  Installing       : texlive-pdfcrop-10:svn55435-58.fc38.noarch       1427/3646 
  Installing       : texlive-petri-nets-10:svn39165-58.fc38.noarch    1428/3646 
  Installing       : texlive-texlive-en-10:svn64221-58.fc38.noarch    1429/3646 
  Installing       : texlive-tikztosvg-10:svn60289-58.fc38.x86_64     1430/3646 
  Installing       : texlive-ttfutils-10:svn62517-58.fc38.x86_64      1431/3646 
  Installing       : texlive-urlbst-10:svn55777-58.fc38.noarch        1432/3646 
  Installing       : texlive-wordcount-10:svn46165-58.fc38.noarch     1433/3646 
  Installing       : texlive-xdvi-10:svn62387-58.fc38.x86_64          1434/3646 
  Installing       : texlive-2up-10:svn55076-63.fc38.noarch           1435/3646 
  Installing       : texlive-a5comb-10:svn17020.4-63.fc38.noarch      1436/3646 
  Installing       : texlive-aaai-named-10:svn52470-63.fc38.noarch    1437/3646 
  Installing       : texlive-abnt-10:svn55471-63.fc38.noarch          1438/3646 
  Installing       : texlive-abntexto-10:svn64694-63.fc38.noarch      1439/3646 
  Installing       : texlive-aboensis-10:svn62977-63.fc38.noarch      1440/3646 
  Installing       : texlive-abraces-10:svn64967-63.fc38.noarch       1441/3646 
  Installing       : texlive-abspos-10:svn64465-63.fc38.noarch        1442/3646 
  Installing       : texlive-abstract-10:svn15878.1.2a-63.fc38.noar   1443/3646 
  Installing       : texlive-academicons-10:svn62622-63.fc38.noarch   1444/3646 
  Installing       : texlive-accessibility-10:svn55777-63.fc38.noar   1445/3646 
  Installing       : texlive-addfont-10:svn58559-63.fc38.noarch       1446/3646 
  Installing       : texlive-adrconv-10:svn46817-63.fc38.noarch       1447/3646 
  Installing       : texlive-aguplus-10:svn17156.1.6b-63.fc38.noarc   1448/3646 
  Installing       : texlive-aichej-10:svn15878.0-63.fc38.noarch      1449/3646 
  Installing       : texlive-ajl-10:svn34016.0-63.fc38.noarch         1450/3646 
  Installing       : texlive-akletter-10:svn15878.1.5i-63.fc38.noar   1451/3646 
  Installing       : texlive-alfaslabone-10:svn57452-63.fc38.noarch   1452/3646 
  Installing       : texlive-algolrevived-10:svn56864-63.fc38.noarc   1453/3646 
  Installing       : texlive-aligned-overset-10:svn47290-63.fc38.no   1454/3646 
  Installing       : texlive-almendra-10:svn64539-63.fc38.noarch      1455/3646 
  Installing       : texlive-almfixed-10:svn35065.0.92-63.fc38.noar   1456/3646 
  Installing       : texlive-alterqcm-10:svn59265-63.fc38.noarch      1457/3646 
  Installing       : texlive-altsubsup-10:svn62738-63.fc38.noarch     1458/3646 
  Installing       : texlive-amsaddr-10:svn64357-63.fc38.noarch       1459/3646 
  Installing       : texlive-amscdx-10:svn51532-63.fc38.noarch        1460/3646 
  Installing       : texlive-andika-10:svn64540-63.fc38.noarch        1461/3646 
  Installing       : texlive-annotate-equations-10:svn62932-63.fc38   1462/3646 
  Installing       : texlive-annotate-10:svn52824-63.fc38.noarch      1463/3646 
  Installing       : texlive-anonchap-10:svn17049.1.1a-63.fc38.noar   1464/3646 
  Installing       : texlive-anonymous-acm-10:svn55121-63.fc38.noar   1465/3646 
  Installing       : texlive-antiqua-10:svn24266.001.003-63.fc38.no   1466/3646 
  Installing       : texlive-antt-10:svn18651.2.08-63.fc38.noarch     1467/3646 
  Installing       : texlive-aobs-tikz-10:svn32662.1.0-63.fc38.noar   1468/3646 
  Installing       : texlive-apa7-10:svn63974-63.fc38.noarch          1469/3646 
  Installing       : texlive-apalike-ejor-10:svn59667-63.fc38.noarc   1470/3646 
  Installing       : texlive-apalike2-10:svn54080-63.fc38.noarch      1471/3646 
  Installing       : texlive-appendixnumberbeamer-10:svn46317-63.fc   1472/3646 
  Installing       : texlive-apptools-10:svn28400.1.0-63.fc38.noarc   1473/3646 
  Installing       : texlive-arabicfront-10:svn51474-63.fc38.noarch   1474/3646 
  Installing       : texlive-archaeologie-10:svn57090-63.fc38.noarc   1475/3646 
  Installing       : texlive-archaic-10:svn38005.0-63.fc38.noarch     1476/3646 
  Installing       : texlive-arimo-10:svn64548-63.fc38.noarch         1477/3646 
  Installing       : texlive-arphic-10:svn15878.0-63.fc38.noarch      1478/3646 
  Installing       : texlive-arraycols-10:svn61719-63.fc38.noarch     1479/3646 
  Installing       : texlive-articleingud-10:svn38741-63.fc38.noarc   1480/3646 
  Installing       : texlive-arvo-10:svn57213-63.fc38.noarch          1481/3646 
  Installing       : texlive-asaetr-10:svn15878.1.0a-63.fc38.noarch   1482/3646 
  Installing       : texlive-asana-math-10:svn59629-63.fc38.noarch    1483/3646 
  Installing       : texlive-asapsym-10:svn40201-63.fc38.noarch       1484/3646 
  Installing       : texlive-asciilist-10:svn49060-63.fc38.noarch     1485/3646 
  Installing       : texlive-ascmac-10:svn53411-63.fc38.noarch        1486/3646 
  Installing       : texlive-askinclude-10:svn54725-63.fc38.noarch    1487/3646 
  Installing       : texlive-aspectratio-10:svn25243.2.0-63.fc38.no   1488/3646 
  Installing       : texlive-astro-10:svn15878.2.20-63.fc38.noarch    1489/3646 
  Installing       : texlive-atendofenv-10:svn62164-63.fc38.noarch    1490/3646 
  Installing       : texlive-atkinson-10:svn64385-63.fc38.noarch      1491/3646 
  Installing       : texlive-aucklandthesis-10:svn51323-63.fc38.noa   1492/3646 
  Installing       : texlive-augie-10:svn61719-63.fc38.noarch         1493/3646 
  Installing       : texlive-auncial-new-10:svn62977-63.fc38.noarch   1494/3646 
  Installing       : texlive-aurical-10:svn15878.1.5-63.fc38.noarch   1495/3646 
  Installing       : texlive-aurl-10:svn41853-63.fc38.noarch          1496/3646 
  Installing       : texlive-authoraftertitle-10:svn55889-63.fc38.n   1497/3646 
  Installing       : texlive-authorarchive-10:svn63146-63.fc38.noar   1498/3646 
  Installing       : texlive-authordate-10:svn52564-63.fc38.noarch    1499/3646 
  Installing       : texlive-autoarea-10:svn59552-63.fc38.noarch      1500/3646 
  Installing       : texlive-autobreak-10:svn43337-63.fc38.noarch     1501/3646 
  Installing       : texlive-autofancyhdr-10:svn54049-63.fc38.noarc   1502/3646 
  Installing       : texlive-autopuncitems-10:svn63045-63.fc38.noar   1503/3646 
  Installing       : texlive-axessibility-10:svn57105-63.fc38.noarc   1504/3646 
  Installing       : texlive-b1encoding-10:svn21271.1.0-63.fc38.noa   1505/3646 
  Installing       : texlive-babel-dutch-10:svn60362-63.fc38.noarch   1506/3646 
  Installing       : texlive-babel-english-10:svn44495-63.fc38.noar   1507/3646 
  Installing       : texlive-backnaur-10:svn54080-63.fc38.noarch      1508/3646 
  Installing       : texlive-bangorexam-10:svn65140-63.fc38.noarch    1509/3646 
  Installing       : texlive-barcodes-10:svn15878.0-63.fc38.noarch    1510/3646 
  Installing       : texlive-basicarith-10:svn35460.1.1-63.fc38.noa   1511/3646 
  Installing       : texlive-baskervillef-10:svn55475-63.fc38.noarc   1512/3646 
  Installing       : texlive-bath-bst-10:svn63398-63.fc38.noarch      1513/3646 
  Installing       : texlive-bbold-10:svn17187.1.01-63.fc38.noarch    1514/3646 
  Installing       : texlive-bbold-type1-10:svn33143.0-63.fc38.noar   1515/3646 
  Installing       : texlive-bboldx-10:svn60919-63.fc38.noarch        1516/3646 
  Installing       : texlive-bchart-10:svn43928-63.fc38.noarch        1517/3646 
  Installing       : texlive-beamer-fuberlin-10:svn63161-63.fc38.no   1518/3646 
  Installing       : texlive-beamer-verona-10:svn39180-63.fc38.noar   1519/3646 
  Installing       : texlive-beamerappendixnote-10:svn55732-63.fc38   1520/3646 
  Installing       : texlive-beamerauxtheme-10:svn56087-63.fc38.noa   1521/3646 
  Installing       : texlive-beamercolorthemeowl-10:svn40105-63.fc3   1522/3646 
  Installing       : texlive-beamerdarkthemes-10:svn55117-63.fc38.n   1523/3646 
  Installing       : texlive-beamerswitch-10:svn64182-63.fc38.noarc   1524/3646 
  Installing       : texlive-beamertheme-arguelles-10:svn65234-63.f   1525/3646 
  Installing       : texlive-beamertheme-cuerna-10:svn42161-63.fc38   1526/3646 
  Installing       : texlive-beamertheme-detlevcm-10:svn39048-63.fc   1527/3646 
  Installing       : texlive-beamertheme-epyt-10:svn41404-63.fc38.n   1528/3646 
  Installing       : texlive-beamertheme-focus-10:svn62551-63.fc38.   1529/3646 
  Installing       : texlive-beamertheme-light-10:svn49867-63.fc38.   1530/3646 
  Installing       : texlive-beamertheme-npbt-10:svn54512-63.fc38.n   1531/3646 
  Installing       : texlive-beamertheme-phnompenh-10:svn39100-63.f   1532/3646 
  Installing       : texlive-beamertheme-pure-minimalistic-10:svn56   1533/3646 
  Installing       : texlive-beamertheme-saintpetersburg-10:svn4587   1534/3646 
  Installing       : texlive-beamertheme-simpledarkblue-10:svn60061   1535/3646 
  Installing       : texlive-beamertheme-simpleplus-10:svn64770-63.   1536/3646 
  Installing       : texlive-beamertheme-tcolorbox-10:svn64387-63.f   1537/3646 
  Installing       : texlive-beamertheme-trigon-10:svn63270-63.fc38   1538/3646 
  Installing       : texlive-beamertheme-upenn-bc-10:svn29937.1.0-6   1539/3646 
  Installing       : texlive-beamerthemeamurmaple-10:svn64346-63.fc   1540/3646 
  Installing       : texlive-beamerthemejltree-10:svn21977.1.1-63.f   1541/3646 
  Installing       : texlive-beamerthemelalic-10:svn58777-63.fc38.n   1542/3646 
  Installing       : texlive-bearwear-10:svn54826-63.fc38.noarch      1543/3646 
  Installing       : texlive-begriff-10:svn15878.1.6-63.fc38.noarch   1544/3646 
  Installing       : texlive-beilstein-10:svn56193-63.fc38.noarch     1545/3646 
  Installing       : texlive-belleek-10:svn18651.0-63.fc38.noarch     1546/3646 
  Installing       : texlive-besjournals-10:svn45662-63.fc38.noarch   1547/3646 
  Installing       : texlive-bestpapers-10:svn38708-63.fc38.noarch    1548/3646 
  Installing       : texlive-beuron-10:svn46374-63.fc38.noarch        1549/3646 
  Installing       : texlive-bez123-10:svn15878.1.1b-63.fc38.noarch   1550/3646 
  Installing       : texlive-bfh-ci-10:svn65019-63.fc38.noarch        1551/3646 
  Installing       : texlive-bibarts-10:svn64579-63.fc38.noarch       1552/3646 
  Installing       : texlive-bibhtml-10:svn31607.2.0.2-63.fc38.noar   1553/3646 
  Installing       : texlive-biblatex-abnt-10:svn49179-63.fc38.noar   1554/3646 
  Installing       : texlive-biblatex-ajc2020unofficial-10:svn54401   1555/3646 
  Installing       : texlive-biblatex-anonymous-10:svn48548-63.fc38   1556/3646 
  Installing       : texlive-biblatex-apa6-10:svn56209-63.fc38.noar   1557/3646 
  Installing       : texlive-biblatex-archaeology-10:svn53281-63.fc   1558/3646 
  Installing       : texlive-biblatex-arthistory-bonn-10:svn46637-6   1559/3646 
  Installing       : texlive-biblatex-bath-10:svn63401-63.fc38.noar   1560/3646 
  Installing       : texlive-biblatex-bookinarticle-10:svn40323-63.   1561/3646 
  Installing       : texlive-biblatex-bookinother-10:svn54015-63.fc   1562/3646 
  Installing       : texlive-biblatex-claves-10:svn43723-63.fc38.no   1563/3646 
  Installing       : texlive-biblatex-cv-10:svn59433-63.fc38.noarch   1564/3646 
  Installing       : texlive-biblatex-enc-10:svn44627-63.fc38.noarc   1565/3646 
  Installing       : texlive-biblatex-ext-10:svn63638-63.fc38.noarc   1566/3646 
  Installing       : texlive-biblatex-german-legal-10:svn56939-63.f   1567/3646 
  Installing       : texlive-biblatex-ijsra-10:svn41634-63.fc38.noa   1568/3646 
  Installing       : texlive-biblatex-iso690-10:svn62866-63.fc38.no   1569/3646 
  Installing       : texlive-biblatex-jura2-10:svn64762-63.fc38.noa   1570/3646 
  Installing       : texlive-biblatex-license-10:svn58437-63.fc38.n   1571/3646 
  Installing       : texlive-biblatex-lncs-10:svn65280-63.fc38.noar   1572/3646 
  Installing       : texlive-biblatex-lni-10:svn61719-63.fc38.noarc   1573/3646 
  Installing       : texlive-biblatex-morenames-10:svn43049-63.fc38   1574/3646 
  Installing       : texlive-biblatex-nottsclassic-10:svn41596-63.f   1575/3646 
  Installing       : texlive-biblatex-oxref-10:svn57513-63.fc38.noa   1576/3646 
  Installing       : texlive-biblatex-readbbl-10:svn61549-63.fc38.n   1577/3646 
  Installing       : texlive-biblatex-sbl-10:svn63639-63.fc38.noarc   1578/3646 
  Installing       : texlive-biblatex-shortfields-10:svn45858-63.fc   1579/3646 
  Installing       : texlive-biblatex-socialscienceshuberlin-10:svn   1580/3646 
  Installing       : texlive-biblatex-software-10:svn64030-63.fc38.   1581/3646 
  Installing       : texlive-biblatex-spbasic-10:svn61439-63.fc38.n   1582/3646 
  Installing       : texlive-biblatex-swiss-legal-10:svn64491-63.fc   1583/3646 
  Installing       : texlive-biblatex-unified-10:svn64975-63.fc38.n   1584/3646 
  Installing       : texlive-biblatex-vancouver-10:svn55339-63.fc38   1585/3646 
  Installing       : texlive-biblatex2bibitem-10:svn61648-63.fc38.n   1586/3646 
  Installing       : texlive-bibletext-10:svn45196-63.fc38.noarch     1587/3646 
  Installing       : texlive-biblist-10:svn17116.0-63.fc38.noarch     1588/3646 
  Installing       : texlive-bibtexperllibs-10:svn57137-63.fc38.noa   1589/3646 
  Installing       : texlive-bilingualpages-10:svn59643-63.fc38.noa   1590/3646 
  Installing       : texlive-binarytree-10:svn41777-63.fc38.noarch    1591/3646 
  Installing       : texlive-biochemistry-colors-10:svn54512-63.fc3   1592/3646 
  Installing       : texlive-biolett-bst-10:svn61719-63.fc38.noarch   1593/3646 
  Installing       : texlive-bithesis-10:svn65314-63.fc38.noarch      1594/3646 
  Installing       : texlive-bitpattern-10:svn39073-63.fc38.noarch    1595/3646 
  Installing       : texlive-bitter-10:svn64541-63.fc38.noarch        1596/3646 
  Installing       : texlive-bjfuthesis-10:svn59809-63.fc38.noarch    1597/3646 
  Installing       : texlive-blacklettert1-10:svn15878.0-63.fc38.no   1598/3646 
  Installing       : texlive-blkarray-10:svn36406.0.07-63.fc38.noar   1599/3646 
  Installing       : texlive-blochsphere-10:svn38388-63.fc38.noarch   1600/3646 
  Installing       : texlive-block-10:svn17209.0-63.fc38.noarch       1601/3646 
  Installing       : texlive-bloques-10:svn22490.1.0-63.fc38.noarch   1602/3646 
  Installing       : texlive-bmstu-iu8-10:svn61937-63.fc38.noarch     1603/3646 
  Installing       : texlive-bmstu-10:svn62012-63.fc38.noarch         1604/3646 
  Installing       : texlive-bodeplot-10:svn65074-63.fc38.noarch      1605/3646 
  Installing       : texlive-boisik-10:svn15878.0.5-63.fc38.noarch    1606/3646 
  Installing       : texlive-bold-extra-10:svn17076.0.1-63.fc38.noa   1607/3646 
  Installing       : texlive-boldtensors-10:svn15878.0-63.fc38.noar   1608/3646 
  Installing       : texlive-book-of-common-prayer-10:svn62240-63.f   1609/3646 
  Installing       : texlive-bookdb-10:svn37536.0.2-63.fc38.noarch    1610/3646 
  Installing       : texlive-bookhands-10:svn46480-63.fc38.noarch     1611/3646 
  Installing       : texlive-booklet-10:svn15878.0.7b-63.fc38.noarc   1612/3646 
  Installing       : texlive-bookshelf-10:svn55475-63.fc38.noarch     1613/3646 
  Installing       : texlive-boolexpr-10:svn17830.3.14-63.fc38.noar   1614/3646 
  Installing       : texlive-bracketkey-10:svn17129.1.0-63.fc38.noa   1615/3646 
  Installing       : texlive-braids-10:svn64817-63.fc38.noarch        1616/3646 
  Installing       : texlive-braille-10:svn20655.0-63.fc38.noarch     1617/3646 
  Installing       : texlive-brandeis-problemset-10:svn50991-63.fc3   1618/3646 
  Installing       : texlive-brandeis-thesis-10:svn59832-63.fc38.no   1619/3646 
  Installing       : texlive-breakcites-10:svn21014-63.fc38.noarch    1620/3646 
  Installing       : texlive-bropd-10:svn35383.1.2-63.fc38.noarch     1621/3646 
  Installing       : texlive-brushscr-10:svn28363.0-63.fc38.noarch    1622/3646 
  Installing       : texlive-bubblesort-10:svn56070-63.fc38.noarch    1623/3646 
  Installing       : texlive-buctthesis-10:svn64004-63.fc38.noarch    1624/3646 
  Installing       : texlive-bullcntr-10:svn15878.0.04-63.fc38.noar   1625/3646 
  Installing       : texlive-bussproofs-extra-10:svn51299-63.fc38.n   1626/3646 
  Installing       : texlive-bussproofs-10:svn54080-63.fc38.noarch    1627/3646 
  Installing       : texlive-bxcalc-10:svn56431-63.fc38.noarch        1628/3646 
  Installing       : texlive-bxdpx-beamer-10:svn41813-63.fc38.noarc   1629/3646 
  Installing       : texlive-bxdvidriver-10:svn43219-63.fc38.noarch   1630/3646 
  Installing       : texlive-bxenclose-10:svn40213-63.fc38.noarch     1631/3646 
  Installing       : texlive-bxnewfont-10:svn44173-63.fc38.noarch     1632/3646 
  Installing       : texlive-bxpapersize-10:svn63174-63.fc38.noarch   1633/3646 
  Installing       : texlive-bxtexlogo-10:svn63231-63.fc38.noarch     1634/3646 
  Installing       : texlive-byo-twemojis-10:svn58917-63.fc38.noarc   1635/3646 
  Installing       : texlive-calligra-10:svn15878.0-63.fc38.noarch    1636/3646 
  Installing       : texlive-calligra-type1-10:svn24302.001.000-63.   1637/3646 
  Installing       : texlive-callouts-10:svn44899-63.fc38.noarch      1638/3646 
  Installing       : texlive-calrsfs-10:svn17125.0-63.fc38.noarch     1639/3646 
  Installing       : texlive-cals-10:svn43003-63.fc38.noarch          1640/3646 
  Installing       : texlive-canoniclayout-10:svn64889-63.fc38.noar   1641/3646 
  Installing       : texlive-capt-of-10:svn29803.0-63.fc38.noarch     1642/3646 
  Installing       : texlive-captcont-10:svn15878.2.0-63.fc38.noarc   1643/3646 
  Installing       : texlive-captdef-10:svn17353.0-63.fc38.noarch     1644/3646 
  Installing       : texlive-carbohydrates-10:svn39000-63.fc38.noar   1645/3646 
  Installing       : texlive-carolmin-ps-10:svn15878.0-63.fc38.noar   1646/3646 
  Installing       : texlive-cascade-10:svn60310-63.fc38.noarch       1647/3646 
  Installing       : texlive-cascadia-code-10:svn57478-63.fc38.noar   1648/3646 
  Installing       : texlive-casyl-10:svn15878.2.0-63.fc38.noarch     1649/3646 
  Installing       : texlive-catcodes-10:svn38859-63.fc38.noarch      1650/3646 
  Installing       : texlive-nicetext-10:svn38914-63.fc38.noarch      1651/3646 
  Installing       : texlive-causets-10:svn63366-63.fc38.noarch       1652/3646 
  Installing       : texlive-ccfonts-10:svn61431-63.fc38.noarch       1653/3646 
  Installing       : texlive-ccool-10:svn60600-63.fc38.noarch         1654/3646 
  Installing       : texlive-cdcmd-10:svn60742-63.fc38.noarch         1655/3646 
  Installing       : texlive-celtic-10:svn39797-63.fc38.noarch        1656/3646 
  Installing       : texlive-censor-10:svn63126-63.fc38.noarch        1657/3646 
  Installing       : texlive-centeredline-10:svn64672-63.fc38.noarc   1658/3646 
  Installing       : texlive-centerlastline-10:svn56644-63.fc38.noa   1659/3646 
  Installing       : texlive-cesenaexam-10:svn44960-63.fc38.noarch    1660/3646 
  Installing       : texlive-chappg-10:svn15878.2.1b-63.fc38.noarch   1661/3646 
  Installing       : texlive-charissil-10:svn64998-63.fc38.noarch     1662/3646 
  Installing       : texlive-chbibref-10:svn17120.1.0-63.fc38.noarc   1663/3646 
  Installing       : texlive-cheatsheet-10:svn45069-63.fc38.noarch    1664/3646 
  Installing       : texlive-checkend-10:svn51475-63.fc38.noarch      1665/3646 
  Installing       : texlive-chem-journal-10:svn15878.0-63.fc38.noa   1666/3646 
  Installing       : texlive-chemarrow-10:svn17146.0.9-63.fc38.noar   1667/3646 
  Installing       : texlive-chembst-10:svn15878.0.2.5-63.fc38.noar   1668/3646 
  Installing       : texlive-chemobabel-10:svn64778-63.fc38.noarch    1669/3646 
  Installing       : texlive-chemplants-10:svn60606-63.fc38.noarch    1670/3646 
  Installing       : texlive-chemsec-10:svn46972-63.fc38.noarch       1671/3646 
  Installing       : texlive-cherokee-10:svn21046.0-63.fc38.noarch    1672/3646 
  Installing       : texlive-chicago-annote-10:svn15878.0-63.fc38.n   1673/3646 
  Installing       : texlive-chicago-10:svn15878.0-63.fc38.noarch     1674/3646 
  Installing       : texlive-chicagoa-10:svn52567-63.fc38.noarch      1675/3646 
  Installing       : texlive-chifoot-10:svn57312-63.fc38.noarch       1676/3646 
  Installing       : texlive-childdoc-10:svn49543-63.fc38.noarch      1677/3646 
  Installing       : texlive-chivo-10:svn65029-63.fc38.noarch         1678/3646 
  Installing       : texlive-chletter-10:svn20060.2.0-63.fc38.noarc   1679/3646 
  Installing       : texlive-circ-10:svn62977-63.fc38.noarch          1680/3646 
  Installing       : texlive-circledsteps-10:svn63255-63.fc38.noarc   1681/3646 
  Installing       : texlive-circledtext-10:svn63166-63.fc38.noarch   1682/3646 
  Installing       : texlive-circuit-macros-10:svn65149-63.fc38.noa   1683/3646 
  Installing       : texlive-citeref-10:svn47407-63.fc38.noarch       1684/3646 
  Installing       : texlive-cje-10:svn46721-63.fc38.noarch           1685/3646 
  Installing       : texlive-cjkpunct-10:svn41119-63.fc38.noarch      1686/3646 
  Installing       : texlive-clara-10:svn54512-63.fc38.noarch         1687/3646 
  Installing       : texlive-classpack-10:svn55218-63.fc38.noarch     1688/3646 
  Installing       : texlive-clefval-10:svn55985-63.fc38.noarch       1689/3646 
  Installing       : texlive-clipboard-10:svn47747-63.fc38.noarch     1690/3646 
  Installing       : texlive-clistmap-10:svn61811-63.fc38.noarch      1691/3646 
  Installing       : texlive-clock-10:svn15878.0-63.fc38.noarch       1692/3646 
  Installing       : texlive-clrdblpg-10:svn47511-63.fc38.noarch      1693/3646 
  Installing       : texlive-clrscode-10:svn51136-63.fc38.noarch      1694/3646 
  Installing       : texlive-clrstrip-10:svn60363-63.fc38.noarch      1695/3646 
  Installing       : texlive-cm-lgc-10:svn28250.0.5-63.fc38.noarch    1696/3646 
  Installing       : texlive-cm-mf-extra-bold-10:svn54512-63.fc38.n   1697/3646 
  Installing       : texlive-cm-unicode-10:svn58661-63.fc38.noarch    1698/3646 
  Installing       : texlive-cmathbb-10:svn56414-63.fc38.noarch       1699/3646 
  Installing       : texlive-cmdstring-10:svn15878.1.1-63.fc38.noar   1700/3646 
  Installing       : texlive-cmdtrack-10:svn28910-63.fc38.noarch      1701/3646 
  Installing       : texlive-cmexb-10:svn54074-63.fc38.noarch         1702/3646 
  Installing       : texlive-cmextra-10:svn57866-63.fc38.noarch       1703/3646 
  Installing       : texlive-cmpica-10:svn15878.0-63.fc38.noarch      1704/3646 
  Installing       : texlive-cmsd-10:svn18787.0-63.fc38.noarch        1705/3646 
  Installing       : texlive-cmsrb-10:svn54706-63.fc38.noarch         1706/3646 
  Installing       : texlive-cmupint-10:svn54735-63.fc38.noarch       1707/3646 
  Installing       : texlive-cns-10:svn45677-63.fc38.noarch           1708/3646 
  Installing       : texlive-cochineal-10:svn62063-63.fc38.noarch     1709/3646 
  Installing       : texlive-codeanatomy-10:svn51627-63.fc38.noarch   1710/3646 
  Installing       : texlive-codebox-10:svn61771-63.fc38.noarch       1711/3646 
  Installing       : texlive-codehigh-10:svn63175-63.fc38.noarch      1712/3646 
  Installing       : texlive-codepage-10:svn51502-63.fc38.noarch      1713/3646 
  Installing       : texlive-coelacanth-10:svn64558-63.fc38.noarch    1714/3646 
  Installing       : texlive-coffeestains-10:svn59703-63.fc38.noarc   1715/3646 
  Installing       : texlive-collref-10:svn46358-63.fc38.noarch       1716/3646 
  Installing       : texlive-colophon-10:svn47913-63.fc38.noarch      1717/3646 
  Installing       : texlive-color-edits-10:svn56707-63.fc38.noarch   1718/3646 
  Installing       : texlive-colorframed-10:svn64551-63.fc38.noarch   1719/3646 
  Installing       : texlive-colorinfo-10:svn15878.0.3c-63.fc38.noa   1720/3646 
  Installing       : texlive-coloring-10:svn41042-63.fc38.noarch      1721/3646 
  Installing       : texlive-colorprofiles-10:svn49086-63.fc38.noar   1722/3646 
  Installing       : texlive-combelow-10:svn18462.0.99f-63.fc38.noa   1723/3646 
  Installing       : texlive-comma-10:svn18259.1.2-63.fc38.noarch     1724/3646 
  Installing       : texlive-commado-10:svn38875-63.fc38.noarch       1725/3646 
  Installing       : texlive-commedit-10:svn50116-63.fc38.noarch      1726/3646 
  Installing       : texlive-commonunicode-10:svn62901-63.fc38.noar   1727/3646 
  Installing       : texlive-commutative-diagrams-10:svn55526-63.fc   1728/3646 
  Installing       : texlive-compactbib-10:svn15878.0-63.fc38.noarc   1729/3646 
  Installing       : texlive-competences-10:svn47573-63.fc38.noarch   1730/3646 
  Installing       : texlive-concmath-fonts-10:svn17218.0-63.fc38.n   1731/3646 
  Installing       : texlive-concmath-otf-10:svn64924-63.fc38.noarc   1732/3646 
  Installing       : texlive-concprog-10:svn18791.0-63.fc38.noarch    1733/3646 
  Installing       : texlive-concrete-10:svn57963-63.fc38.noarch      1734/3646 
  Installing       : texlive-conditext-10:svn55387-63.fc38.noarch     1735/3646 
  Installing       : texlive-continue-10:svn49449-63.fc38.noarch      1736/3646 
  Installing       : texlive-conv-xkv-10:svn43558-63.fc38.noarch      1737/3646 
  Installing       : texlive-cooking-10:svn15878.0.9b-63.fc38.noarc   1738/3646 
  Installing       : texlive-coolfn-10:svn64639-63.fc38.noarch        1739/3646 
  Installing       : texlive-coop-writing-10:svn61607-63.fc38.noarc   1740/3646 
  Installing       : texlive-cooperhewitt-10:svn64967-63.fc38.noarc   1741/3646 
  Installing       : texlive-coordsys-10:svn15878.1.4-63.fc38.noarc   1742/3646 
  Installing       : texlive-cormorantgaramond-10:svn64411-63.fc38.   1743/3646 
  Installing       : texlive-correctmathalign-10:svn44131-63.fc38.n   1744/3646 
  Installing       : texlive-courierten-10:svn55436-63.fc38.noarch    1745/3646 
  Installing       : texlive-courseoutline-10:svn15878.1.0-63.fc38.   1746/3646 
  Installing       : texlive-coursepaper-10:svn15878.2.0-63.fc38.no   1747/3646 
  Installing       : texlive-cprotectinside-10:svn63833-63.fc38.noa   1748/3646 
  Installing       : texlive-cquthesis-10:svn55643-63.fc38.noarch     1749/3646 
  Installing       : texlive-crimson-10:svn64559-63.fc38.noarch       1750/3646 
  Installing       : texlive-crimsonpro-10:svn64565-63.fc38.noarch    1751/3646 
  Installing       : texlive-crossreference-10:svn15878.0-63.fc38.n   1752/3646 
  Installing       : texlive-crossreftools-10:svn55879-63.fc38.noar   1753/3646 
  Installing       : texlive-cryst-10:svn15878.0-63.fc38.noarch       1754/3646 
  Installing       : texlive-csassignments-10:svn63992-63.fc38.noar   1755/3646 
  Installing       : texlive-css-colors-10:svn54512-63.fc38.noarch    1756/3646 
  Installing       : texlive-csvmerge-10:svn51857-63.fc38.noarch      1757/3646 
  Installing       : texlive-ctablestack-10:svn38514-63.fc38.noarch   1758/3646 
  Installing       : texlive-luatexbase-10:svn52663-63.fc38.noarch    1759/3646 
  Installing       : texlive-luacode-10:svn25193.1.2a-63.fc38.noarc   1760/3646 
  Installing       : texlive-luamplib-10:svn61587-63.fc38.noarch      1761/3646 
  Installing       : texlive-byrne-10:svn61943-63.fc38.noarch         1762/3646 
  Installing       : texlive-cuprum-10:svn49909-63.fc38.noarch        1763/3646 
  Installing       : texlive-currency-10:svn48990-63.fc38.noarch      1764/3646 
  Installing       : texlive-custom-bib-10:svn24729.4.33-63.fc38.no   1765/3646 
  Installing       : texlive-cutwin-10:svn60901-63.fc38.noarch        1766/3646 
  Installing       : texlive-cv-10:svn15878.0-63.fc38.noarch          1767/3646 
  Installing       : texlive-cvss-10:svn65169-63.fc38.noarch          1768/3646 
  Installing       : texlive-cybercic-10:svn37659.2.1-63.fc38.noarc   1769/3646 
  Installing       : texlive-cyklop-10:svn18651.0.915-63.fc38.noarc   1770/3646 
  Installing       : texlive-dancers-10:svn13293.0-63.fc38.noarch     1771/3646 
  Installing       : texlive-darkmode-10:svn64271-63.fc38.noarch      1772/3646 
  Installing       : texlive-datax-10:svn61772-63.fc38.noarch         1773/3646 
  Installing       : texlive-datestamp-10:svn61719-63.fc38.noarch     1774/3646 
  Installing       : texlive-datetime2-bahasai-10:svn46287-63.fc38.   1775/3646 
  Installing       : texlive-datetime2-basque-10:svn47064-63.fc38.n   1776/3646 
  Installing       : texlive-datetime2-dutch-10:svn47355-63.fc38.no   1777/3646 
  Installing       : texlive-datetime2-english-10:svn52479-63.fc38.   1778/3646 
  Installing       : texlive-datetime2-hebrew-10:svn47534-63.fc38.n   1779/3646 
  Installing       : texlive-datetime2-latin-10:svn47748-63.fc38.no   1780/3646 
  Installing       : texlive-dbshow-10:svn61634-63.fc38.noarch        1781/3646 
  Installing       : texlive-dccpaper-10:svn61763-63.fc38.noarch      1782/3646 
  Installing       : texlive-dcpic-10:svn30206.5.0.0-63.fc38.noarch   1783/3646 
  Installing       : texlive-decimal-10:svn23374.0-63.fc38.noarch     1784/3646 
  Installing       : texlive-dehyph-10:svn48599-63.fc38.noarch        1785/3646 
  Installing       : texlive-pdftex-10:svn64690-58.fc38.x86_64        1786/3646 
  Installing       : texlive-dejavu-otf-10:svn45991-63.fc38.noarch    1787/3646 
  Installing       : texlive-delim-10:svn23974.1.0-63.fc38.noarch     1788/3646 
  Installing       : texlive-delimseasy-10:svn39589-63.fc38.noarch    1789/3646 
  Installing       : texlive-delimset-10:svn49544-63.fc38.noarch      1790/3646 
  Installing       : texlive-delimtxt-10:svn16549.0-63.fc38.noarch    1791/3646 
  Installing       : texlive-democodetools-10:svn64314-63.fc38.noar   1792/3646 
  Installing       : texlive-derivative-10:svn63850-63.fc38.noarch    1793/3646 
  Installing       : texlive-diabetes-logbook-10:svn54810-63.fc38.n   1794/3646 
  Installing       : texlive-diagnose-10:svn19387.0.2-63.fc38.noarc   1795/3646 
  Installing       : texlive-dialogl-10:svn28946.0-63.fc38.noarch     1796/3646 
  Installing       : texlive-dice-10:svn28501.0-63.fc38.noarch        1797/3646 
  Installing       : texlive-dichokey-10:svn17192.0-63.fc38.noarch    1798/3646 
  Installing       : texlive-dimnum-10:svn58774-63.fc38.noarch        1799/3646 
  Installing       : texlive-din1505-10:svn19441.0-63.fc38.noarch     1800/3646 
  Installing       : texlive-dinbrief-10:svn15878.0-63.fc38.noarch    1801/3646 
  Installing       : texlive-dingbat-10:svn27918.1.0-63.fc38.noarch   1802/3646 
  Installing       : texlive-dirtree-10:svn42428-63.fc38.noarch       1803/3646 
  Installing       : texlive-ditaa-10:svn48932-63.fc38.noarch         1804/3646 
  Installing       : texlive-docmfp-10:svn15878.1.2d-63.fc38.noarch   1805/3646 
  Installing       : texlive-docmute-10:svn25741.1.4-63.fc38.noarch   1806/3646 
  Installing       : texlive-documentation-10:svn34521.0.1-63.fc38.   1807/3646 
  Installing       : texlive-docutils-10:svn56594-63.fc38.noarch      1808/3646 
  Installing       : texlive-domitian-10:svn55286-63.fc38.noarch      1809/3646 
  Installing       : texlive-dotlessi-10:svn51476-63.fc38.noarch      1810/3646 
  Installing       : texlive-dotseqn-10:svn17195.1.1-63.fc38.noarch   1811/3646 
  Installing       : texlive-doulossil-10:svn63255-63.fc38.noarch     1812/3646 
  Installing       : texlive-dpcircling-10:svn54994-63.fc38.noarch    1813/3646 
  Installing       : texlive-dpfloat-10:svn17196.0-63.fc38.noarch     1814/3646 
  Installing       : texlive-dprogress-10:svn15878.0.1-63.fc38.noar   1815/3646 
  Installing       : texlive-draftfigure-10:svn44854-63.fc38.noarch   1816/3646 
  Installing       : texlive-dratex-10:svn15878.0-63.fc38.noarch      1817/3646 
  Installing       : texlive-drawmatrix-10:svn44471-63.fc38.noarch    1818/3646 
  Installing       : texlive-drs-10:svn19232.1.1b-63.fc38.noarch      1819/3646 
  Installing       : texlive-dsserif-10:svn60898-63.fc38.noarch       1820/3646 
  Installing       : texlive-dtxdescribe-10:svn65223-63.fc38.noarch   1821/3646 
  Installing       : texlive-ducksay-10:svn64655-63.fc38.noarch       1822/3646 
  Installing       : texlive-duckuments-10:svn52271-63.fc38.noarch    1823/3646 
  Installing       : texlive-duerer-latex-10:svn15878.1.1-63.fc38.n   1824/3646 
  Installing       : texlive-duerer-10:svn20741.0-63.fc38.noarch      1825/3646 
  Installing       : texlive-duotenzor-10:svn18728.1.00-63.fc38.noa   1826/3646 
  Installing       : texlive-dynamicnumber-10:svn38726-63.fc38.noar   1827/3646 
  Installing       : texlive-easing-10:svn59975-63.fc38.noarch        1828/3646 
  Installing       : texlive-easy-10:svn19440.0.99-63.fc38.noarch     1829/3646 
  Installing       : texlive-easybook-10:svn64976-63.fc38.noarch      1830/3646 
  Installing       : texlive-easyformat-10:svn44543-63.fc38.noarch    1831/3646 
  Installing       : texlive-ebook-10:svn29466.0-63.fc38.noarch       1832/3646 
  Installing       : texlive-ecc-10:svn15878.0-63.fc38.noarch         1833/3646 
  Installing       : texlive-ecgdraw-10:svn41617-63.fc38.noarch       1834/3646 
  Installing       : texlive-ecobiblatex-10:svn39233-63.fc38.noarch   1835/3646 
  Installing       : texlive-econ-bst-10:svn61499-63.fc38.noarch      1836/3646 
  Installing       : texlive-econlipsum-10:svn58390-63.fc38.noarch    1837/3646 
  Installing       : texlive-econometrics-10:svn39396-63.fc38.noarc   1838/3646 
  Installing       : texlive-ecothesis-10:svn48007-63.fc38.noarch     1839/3646 
  Installing       : texlive-eczar-10:svn57716-63.fc38.noarch         1840/3646 
  Installing       : texlive-edichokey-10:svn56223-63.fc38.noarch     1841/3646 
  Installing       : texlive-edmargin-10:svn27599.1.2-63.fc38.noarc   1842/3646 
  Installing       : texlive-ehhline-10:svn54676-63.fc38.noarch       1843/3646 
  Installing       : texlive-eiad-ltx-10:svn15878.1.0-63.fc38.noarc   1844/3646 
  Installing       : texlive-eiad-10:svn15878.0-63.fc38.noarch        1845/3646 
  Installing       : texlive-ektype-tanka-10:svn63255-63.fc38.noarc   1846/3646 
  Installing       : texlive-elegantbook-10:svn64122-63.fc38.noarch   1847/3646 
  Installing       : texlive-elegantnote-10:svn62989-63.fc38.noarch   1848/3646 
  Installing       : texlive-elegantpaper-10:svn62989-63.fc38.noarc   1849/3646 
  Installing       : texlive-ellipse-10:svn39025-63.fc38.noarch       1850/3646 
  Installing       : texlive-elmath-10:svn15878.v1.2-63.fc38.noarch   1851/3646 
  Installing       : texlive-els-cas-templates-10:svn62931-63.fc38.   1852/3646 
  Installing       : texlive-eltex-10:svn15878.2.0-63.fc38.noarch     1853/3646 
  Installing       : texlive-elvish-10:svn15878.0-63.fc38.noarch      1854/3646 
  Installing       : texlive-emf-10:svn42023-63.fc38.noarch           1855/3646 
  Installing       : texlive-emisa-10:svn60068-63.fc38.noarch         1856/3646 
  Installing       : texlive-enctex-10:svn34957.0-63.fc38.noarch      1857/3646 
  Installing       : texlive-endheads-10:svn43750-63.fc38.noarch      1858/3646 
  Installing       : texlive-endnotes-hy-10:svn54758-63.fc38.noarch   1859/3646 
  Installing       : texlive-endofproofwd-10:svn55643-63.fc38.noarc   1860/3646 
  Installing       : texlive-engtlc-10:svn28571.3.2-63.fc38.noarch    1861/3646 
  Installing       : texlive-envbig-10:svn15878.0-63.fc38.noarch      1862/3646 
  Installing       : texlive-epiolmec-10:svn15878.0-63.fc38.noarch    1863/3646 
  Installing       : texlive-epsf-10:svn21461.2.7.4-63.fc38.noarch    1864/3646 
  Installing       : texlive-eq-pin2corr-10:svn59477-63.fc38.noarch   1865/3646 
  Installing       : texlive-eqnalign-10:svn43278-63.fc38.noarch      1866/3646 
  Installing       : texlive-eqname-10:svn20678.0-63.fc38.noarch      1867/3646 
  Installing       : texlive-eqnnumwarn-10:svn45511-63.fc38.noarch    1868/3646 
  Installing       : texlive-erewhon-math-10:svn64925-63.fc38.noarc   1869/3646 
  Installing       : texlive-esdiff-10:svn21385.1.2-63.fc38.noarch    1870/3646 
  Installing       : texlive-esindex-10:svn52342-63.fc38.noarch       1871/3646 
  Installing       : texlive-esrelation-10:svn37236.0-63.fc38.noarc   1872/3646 
  Installing       : texlive-etbb-10:svn61872-63.fc38.noarch          1873/3646 
  Installing       : texlive-etl-10:svn60998-63.fc38.noarch           1874/3646 
  Installing       : texlive-etsvthor-10:svn48186-63.fc38.noarch      1875/3646 
  Installing       : texlive-euflag-10:svn55265-63.fc38.noarch        1876/3646 
  Installing       : texlive-eukdate-10:svn15878.1.04-63.fc38.noarc   1877/3646 
  Installing       : texlive-euler-math-10:svn65010-63.fc38.noarch    1878/3646 
  Installing       : texlive-eulerpx-10:svn63967-63.fc38.noarch       1879/3646 
  Installing       : texlive-euro-ce-10:svn25714-63.fc38.noarch       1880/3646 
  Installing       : texlive-euxm-10:svn54074-63.fc38.noarch          1881/3646 
  Installing       : texlive-exam-randomizechoices-10:svn61719-63.f   1882/3646 
  Installing       : texlive-example-10:svn33398.0-63.fc38.noarch     1883/3646 
  Installing       : texlive-examplep-10:svn55265-63.fc38.noarch      1884/3646 
  Installing       : texlive-excludeonly-10:svn17262.1.0-63.fc38.no   1885/3646 
  Installing       : texlive-exercisebank-10:svn50448-63.fc38.noarc   1886/3646 
  Installing       : texlive-exercisepoints-10:svn49590-63.fc38.noa   1887/3646 
  Installing       : texlive-exercises-10:svn55188-63.fc38.noarch     1888/3646 
  Installing       : texlive-exesheet-10:svn61061-63.fc38.noarch      1889/3646 
  Installing       : texlive-exframe-10:svn53911-63.fc38.noarch       1890/3646 
  Installing       : texlive-exp-testopt-10:svn15878.0.3-63.fc38.no   1891/3646 
  Installing       : texlive-expdlist-10:svn15878.2.4-63.fc38.noarc   1892/3646 
  Installing       : texlive-expkv-10:svn60573-63.fc38.noarch         1893/3646 
  Installing       : texlive-pxpic-10:svn61294-63.fc38.noarch         1894/3646 
  Installing       : texlive-export-10:svn27206.1.8-63.fc38.noarch    1895/3646 
  Installing       : texlive-facture-belge-simple-sans-tva-10:svn49   1896/3646 
  Installing       : texlive-faktor-10:svn15878.0.1b-63.fc38.noarch   1897/3646 
  Installing       : texlive-familytree-10:svn63739-63.fc38.noarch    1898/3646 
  Installing       : texlive-fancyhandout-10:svn46411-63.fc38.noarc   1899/3646 
  Installing       : texlive-fancynum-10:svn15878.0.92-63.fc38.noar   1900/3646 
  Installing       : texlive-fancyqr-10:svn64182-63.fc38.noarch       1901/3646 
  Installing       : texlive-fandol-10:svn37889.0.3-63.fc38.noarch    1902/3646 
  Installing       : texlive-fascicules-10:svn54080-63.fc38.noarch    1903/3646 
  Installing       : texlive-fbox-10:svn62126-63.fc38.noarch          1904/3646 
  Installing       : texlive-fbs-10:svn15878.0-63.fc38.noarch         1905/3646 
  Installing       : texlive-fetamont-10:svn43812-63.fc38.noarch      1906/3646 
  Installing       : texlive-fetchcls-10:svn45245-63.fc38.noarch      1907/3646 
  Installing       : texlive-fewerfloatpages-10:svn58058-63.fc38.no   1908/3646 
  Installing       : texlive-feyn-10:svn63945-63.fc38.noarch          1909/3646 
  Installing       : texlive-ffslides-10:svn38895-63.fc38.noarch      1910/3646 
  Installing       : texlive-fge-10:svn37628.1.25-63.fc38.noarch      1911/3646 
  Installing       : texlive-figput-10:svn63957-63.fc38.noarch        1912/3646 
  Installing       : texlive-filedate-10:svn29529.0-63.fc38.noarch    1913/3646 
  Installing       : texlive-firamath-otf-10:svn50732-63.fc38.noarc   1914/3646 
  Installing       : texlive-firamath-10:svn56672-63.fc38.noarch      1915/3646 
  Installing       : texlive-fix2col-10:svn38770-63.fc38.noarch       1916/3646 
  Installing       : texlive-fixcmex-10:svn51825-63.fc38.noarch       1917/3646 
  Installing       : texlive-fixdif-10:svn64835-63.fc38.noarch        1918/3646 
  Installing       : texlive-fjodor-10:svn53207-63.fc38.noarch        1919/3646 
  Installing       : texlive-flexipage-10:svn64572-63.fc38.noarch     1920/3646 
  Installing       : texlive-floatflt-10:svn25540.1.31-63.fc38.noar   1921/3646 
  Installing       : texlive-fn2end-10:svn15878.1.1-63.fc38.noarch    1922/3646 
  Installing       : texlive-fncylab-10:svn52090-63.fc38.noarch       1923/3646 
  Installing       : texlive-fnpara-10:svn25607.0-63.fc38.noarch      1924/3646 
  Installing       : texlive-fnspe-10:svn45360-63.fc38.noarch         1925/3646 
  Installing       : texlive-foekfont-10:svn15878.0-63.fc38.noarch    1926/3646 
  Installing       : texlive-foilhtml-10:svn61937-63.fc38.noarch      1927/3646 
  Installing       : texlive-foliono-10:svn58877-63.fc38.noarch       1928/3646 
  Installing       : texlive-fontawesome5-10:svn63207-63.fc38.noarc   1929/3646 
  Installing       : texlive-fontmfizz-10:svn43546-63.fc38.noarch     1930/3646 
  Installing       : texlive-fonts-churchslavonic-10:svn56350-63.fc   1931/3646 
  Installing       : texlive-fontsetup-10:svn62477-63.fc38.noarch     1932/3646 
  Installing       : texlive-fontsize-10:svn60161-63.fc38.noarch      1933/3646 
  Installing       : texlive-fonttable-10:svn44799-63.fc38.noarch     1934/3646 
  Installing       : texlive-footbib-10:svn17115.2.0.7-63.fc38.noar   1935/3646 
  Installing       : texlive-footmisx-10:svn42621-63.fc38.noarch      1936/3646 
  Installing       : texlive-footnotehyper-10:svn60374-63.fc38.noar   1937/3646 
  Installing       : texlive-formal-grammar-10:svn61955-63.fc38.noa   1938/3646 
  Installing       : texlive-formlett-10:svn21480.2.3-63.fc38.noarc   1939/3646 
  Installing       : texlive-forms16be-10:svn51305-63.fc38.noarch     1940/3646 
  Installing       : texlive-forum-10:svn64566-63.fc38.noarch         1941/3646 
  Installing       : texlive-fouridx-10:svn32214.2.00-63.fc38.noarc   1942/3646 
  Installing       : texlive-fragments-10:svn15878.0-63.fc38.noarch   1943/3646 
  Installing       : texlive-frame-10:svn18312.1.0-63.fc38.noarch     1944/3646 
  Installing       : texlive-francais-bst-10:svn38922-63.fc38.noarc   1945/3646 
  Installing       : texlive-frankenstein-10:svn15878.0-63.fc38.noa   1946/3646 
  Installing       : texlive-frederika2016-10:svn42157-63.fc38.noar   1947/3646 
  Installing       : texlive-frimurer-10:svn56704-63.fc38.noarch      1948/3646 
  Installing       : texlive-froufrou-10:svn59103-63.fc38.noarch      1949/3646 
  Installing       : texlive-ftc-notebook-10:svn50043-63.fc38.noarc   1950/3646 
  Installing       : texlive-ftcap-10:svn17275.1.4-63.fc38.noarch     1951/3646 
  Installing       : texlive-ftnxtra-10:svn29652.0.1-63.fc38.noarch   1952/3646 
  Installing       : texlive-fullblck-10:svn25434.1.03-63.fc38.noar   1953/3646 
  Installing       : texlive-functional-10:svn63640-63.fc38.noarch    1954/3646 
  Installing       : texlive-fundus-sueterlin-10:svn26030.1.2-63.fc   1955/3646 
  Installing       : texlive-fwlw-10:svn29803.0-63.fc38.noarch        1956/3646 
  Installing       : texlive-gammas-10:svn56403-63.fc38.noarch        1957/3646 
  Installing       : texlive-garamond-math-10:svn61481-63.fc38.noar   1958/3646 
  Installing       : texlive-gene-logic-10:svn15878.1.4-63.fc38.noa   1959/3646 
  Installing       : texlive-genealogy-10:svn25112.0-63.fc38.noarch   1960/3646 
  Installing       : texlive-geradwp-10:svn63134-63.fc38.noarch       1961/3646 
  Installing       : texlive-getitems-10:svn39365-63.fc38.noarch      1962/3646 
  Installing       : texlive-gfsbodoni-10:svn28484.1.01-63.fc38.noa   1963/3646 
  Installing       : texlive-gfscomplutum-10:svn19469.1.0-63.fc38.n   1964/3646 
  Installing       : texlive-gfsdidotclassic-10:svn52778-63.fc38.no   1965/3646 
  Installing       : texlive-gfsneohellenic-10:svn63944-63.fc38.noa   1966/3646 
  Installing       : texlive-gfsneohellenicmath-10:svn63928-63.fc38   1967/3646 
  Installing       : texlive-gfssolomos-10:svn18651.1.0-63.fc38.noa   1968/3646 
  Installing       : texlive-gillcm-10:svn19878.1.1-63.fc38.noarch    1969/3646 
  Installing       : texlive-gindex-10:svn52311-63.fc38.noarch        1970/3646 
  Installing       : texlive-gitfile-info-10:svn51928-63.fc38.noarc   1971/3646 
  Installing       : texlive-gitlog-10:svn38932-63.fc38.noarch        1972/3646 
  Installing       : texlive-gitstatus-10:svn64662-63.fc38.noarch     1973/3646 
  Installing       : texlive-globalvals-10:svn49962-63.fc38.noarch    1974/3646 
  Installing       : texlive-glossaries-danish-10:svn35665.1.0-63.f   1975/3646 
  Installing       : texlive-glossaries-dutch-10:svn35685.1.1-63.fc   1976/3646 
  Installing       : texlive-glossaries-english-10:svn35665.1.0-63.   1977/3646 
  Installing       : texlive-glossaries-estonian-10:svn49928-63.fc3   1978/3646 
  Installing       : texlive-glossaries-french-10:svn42873-63.fc38.   1979/3646 
  Installing       : texlive-glossaries-german-10:svn35665.1.0-63.f   1980/3646 
  Installing       : texlive-glossaries-irish-10:svn35665.1.0-63.fc   1981/3646 
  Installing       : texlive-glossaries-italian-10:svn35665.1.0-63.   1982/3646 
  Installing       : texlive-glossaries-magyar-10:svn35665.1.0-63.f   1983/3646 
  Installing       : texlive-glossaries-nynorsk-10:svn55189-63.fc38   1984/3646 
  Installing       : texlive-glossaries-polish-10:svn35665.1.0-63.f   1985/3646 
  Installing       : texlive-glossaries-portuges-10:svn36064.1.1-63   1986/3646 
  Installing       : texlive-glossaries-serbian-10:svn35665.1.0-63.   1987/3646 
  Installing       : texlive-glossaries-slovene-10:svn51211-63.fc38   1988/3646 
  Installing       : texlive-glossaries-spanish-10:svn35665.1.0-63.   1989/3646 
  Installing       : texlive-gnu-freefont-10:svn29349.0-63.fc38.noa   1990/3646 
  Installing       : texlive-gofonts-10:svn64358-63.fc38.noarch       1991/3646 
  Installing       : texlive-gothic-10:svn49869-63.fc38.noarch        1992/3646 
  Installing       : texlive-gotoh-10:svn44764-63.fc38.noarch         1993/3646 
  Installing       : texlive-grabbox-10:svn65223-63.fc38.noarch       1994/3646 
  Installing       : texlive-gradient-text-10:svn65353-63.fc38.noar   1995/3646 
  Installing       : texlive-grading-scheme-10:svn62505-63.fc38.noa   1996/3646 
  Installing       : texlive-gradstudentresume-10:svn38832-63.fc38.   1997/3646 
  Installing       : texlive-grant-10:svn56852-63.fc38.noarch         1998/3646 
  Installing       : texlive-graph35-10:svn65138-63.fc38.noarch       1999/3646 
  Installing       : texlive-graphicscache-10:svn65318-63.fc38.noar   2000/3646 
  Installing       : texlive-graphicxbox-10:svn32630.1.0-63.fc38.no   2001/3646 
  Installing       : texlive-graphicxpsd-10:svn57341-63.fc38.noarch   2002/3646 
  Installing       : texlive-grayhints-10:svn49052-63.fc38.noarch     2003/3646 
  Installing       : texlive-greek-inputenc-10:svn51612-63.fc38.noa   2004/3646 
  Installing       : texlive-greenpoint-10:svn15878.0-63.fc38.noarc   2005/3646 
  Installing       : texlive-gridset-10:svn53762-63.fc38.noarch       2006/3646 
  Installing       : texlive-gridslides-10:svn54512-63.fc38.noarch    2007/3646 
  Installing       : texlive-grotesq-10:svn35859.0-63.fc38.noarch     2008/3646 
  Installing       : texlive-gtrlib-largetrees-10:svn49062-63.fc38.   2009/3646 
  Installing       : texlive-gudea-10:svn57359-63.fc38.noarch         2010/3646 
  Installing       : texlive-h2020proposal-10:svn38428-63.fc38.noar   2011/3646 
  Installing       : texlive-hackthefootline-10:svn46494-63.fc38.no   2012/3646 
  Installing       : texlive-hacm-10:svn27671.0.1-63.fc38.noarch      2013/3646 
  Installing       : texlive-hagenberg-thesis-10:svn56798-63.fc38.n   2014/3646 
  Installing       : texlive-halloweenmath-10:svn52602-63.fc38.noar   2015/3646 
  Installing       : texlive-hamnosys-10:svn61941-63.fc38.noarch      2016/3646 
  Installing       : texlive-handin-10:svn48255-63.fc38.noarch        2017/3646 
  Installing       : texlive-handoutwithnotes-10:svn62140-63.fc38.n   2018/3646 
  Installing       : texlive-hands-10:svn13293.0-63.fc38.noarch       2019/3646 
  Installing       : texlive-hang-10:svn43280-63.fc38.noarch          2020/3646 
  Installing       : texlive-hanging-10:svn15878.1.2b-63.fc38.noarc   2021/3646 
  Installing       : texlive-harnon-cv-10:svn26543.1.0-63.fc38.noar   2022/3646 
  Installing       : texlive-harvmac-10:svn15878.0-63.fc38.noarch     2023/3646 
  Installing       : texlive-hep-acronym-10:svn64890-63.fc38.noarch   2024/3646 
  Installing       : texlive-hep-bibliography-10:svn64888-63.fc38.n   2025/3646 
  Installing       : texlive-hep-float-10:svn64904-63.fc38.noarch     2026/3646 
  Installing       : texlive-hep-math-10:svn64905-63.fc38.noarch      2027/3646 
  Installing       : texlive-hep-paper-10:svn64917-63.fc38.noarch     2028/3646 
  Installing       : texlive-hep-reference-10:svn64853-63.fc38.noar   2029/3646 
  Installing       : texlive-hep-text-10:svn64906-63.fc38.noarch      2030/3646 
  Installing       : texlive-hep-title-10:svn64907-63.fc38.noarch     2031/3646 
  Installing       : texlive-hereapplies-10:svn65251-63.fc38.noarch   2032/3646 
  Installing       : texlive-hfbright-10:svn29349.0-63.fc38.noarch    2033/3646 
  Installing       : texlive-hfutexam-10:svn65223-63.fc38.noarch      2034/3646 
  Installing       : texlive-hfutthesis-10:svn64025-63.fc38.noarch    2035/3646 
  Installing       : texlive-hideanswer-10:svn63852-63.fc38.noarch    2036/3646 
  Installing       : texlive-hindmadurai-10:svn57360-63.fc38.noarch   2037/3646 
  Installing       : texlive-histogr-10:svn15878.1.01-63.fc38.noarc   2038/3646 
  Installing       : texlive-historische-zeitschrift-10:svn42635-63   2039/3646 
  Installing       : texlive-hitec-10:svn15878.0.0_beta_-63.fc38.no   2040/3646 
  Installing       : texlive-hithesis-10:svn64005-63.fc38.noarch      2041/3646 
  Installing       : texlive-hitreport-10:svn58357-63.fc38.noarch     2042/3646 
  Installing       : texlive-hitszbeamer-10:svn54381-63.fc38.noarch   2043/3646 
  Installing       : texlive-hitszthesis-10:svn61073-63.fc38.noarch   2044/3646 
  Installing       : texlive-hu-berlin-bundle-10:svn57580-63.fc38.n   2045/3646 
  Installing       : texlive-hustthesis-10:svn42547-63.fc38.noarch    2046/3646 
  Installing       : texlive-hvextern-10:svn63711-63.fc38.noarch      2047/3646 
  Installing       : texlive-hvlogos-10:svn63261-63.fc38.noarch       2048/3646 
  Installing       : texlive-hvpygmentex-10:svn62405-63.fc38.noarch   2049/3646 
  Installing       : texlive-hvqrurl-10:svn59256-63.fc38.noarch       2050/3646 
  Installing       : texlive-hwemoji-10:svn65001-63.fc38.noarch       2051/3646 
  Installing       : texlive-hyperbar-10:svn48147-63.fc38.noarch      2052/3646 
  Installing       : texlive-hyphen-polish-10:svn58609-63.fc38.noar   2053/3646 
  Running scriptlet: texlive-hyphen-polish-10:svn58609-63.fc38.noar   2053/3646 
  Installing       : texlive-hyphenex-10:svn57387-63.fc38.noarch      2054/3646 
  Installing       : texlive-ibarra-10:svn64567-63.fc38.noarch        2055/3646 
  Installing       : texlive-ibrackets-10:svn65312-63.fc38.noarch     2056/3646 
  Installing       : texlive-icite-10:svn54512-63.fc38.noarch         2057/3646 
  Installing       : texlive-identkey-10:svn61719-63.fc38.noarch      2058/3646 
  Installing       : texlive-ieeeconf-10:svn59665-63.fc38.noarch      2059/3646 
  Installing       : texlive-ieeetran-10:svn59672-63.fc38.noarch      2060/3646 
  Installing       : texlive-ifallfalse-10:svn60027-63.fc38.noarch    2061/3646 
  Installing       : texlive-iffont-10:svn38823-63.fc38.noarch        2062/3646 
  Installing       : texlive-iitem-10:svn29613.1.0-63.fc38.noarch     2063/3646 
  Installing       : texlive-ijqc-10:svn15878.1.2-63.fc38.noarch      2064/3646 
  Installing       : texlive-ijsra-10:svn44886-63.fc38.noarch         2065/3646 
  Installing       : texlive-imac-10:svn17347.0-63.fc38.noarch        2066/3646 
  Installing       : texlive-imfellenglish-10:svn64568-63.fc38.noar   2067/3646 
  Installing       : texlive-inkpaper-10:svn54080-63.fc38.noarch      2068/3646 
  Installing       : texlive-inline-images-10:svn61719-63.fc38.noar   2069/3646 
  Installing       : texlive-inlinebib-10:svn22018.0-63.fc38.noarch   2070/3646 
  Installing       : texlive-inlinedef-10:svn15878.1.0-63.fc38.noar   2071/3646 
  Installing       : texlive-inlinelabel-10:svn63853-63.fc38.noarch   2072/3646 
  Installing       : texlive-inputtrc-10:svn28019.0.3-63.fc38.noarc   2073/3646 
  Installing       : texlive-inriafonts-10:svn54512-63.fc38.noarch    2074/3646 
  Installing       : texlive-intopdf-10:svn63987-63.fc38.noarch       2075/3646 
  Installing       : texlive-inversepath-10:svn15878.0.2-63.fc38.no   2076/3646 
  Installing       : texlive-invoice-class-10:svn49749-63.fc38.noar   2077/3646 
  Installing       : texlive-invoice2-10:svn46364-63.fc38.noarch      2078/3646 
  Installing       : texlive-iodhbwm-10:svn57773-63.fc38.noarch       2079/3646 
  Installing       : texlive-iopart-num-10:svn15878.2.1-63.fc38.noa   2080/3646 
  Installing       : texlive-ipaex-type1-10:svn47700-63.fc38.noarch   2081/3646 
  Installing       : texlive-is-bst-10:svn52623-63.fc38.noarch        2082/3646 
  Installing       : texlive-iscram-10:svn45801-63.fc38.noarch        2083/3646 
  Installing       : texlive-iso10303-10:svn15878.1.5-63.fc38.noarc   2084/3646 
  Installing       : texlive-isonums-10:svn17362.1.0-63.fc38.noarch   2085/3646 
  Installing       : texlive-isopt-10:svn45509-63.fc38.noarch         2086/3646 
  Installing       : texlive-isotope-10:svn23711.v0.3-63.fc38.noarc   2087/3646 
  Installing       : texlive-itnumpar-10:svn15878.1.0-63.fc38.noarc   2088/3646 
  Installing       : texlive-iwhdp-10:svn37552.0.50-63.fc38.noarch    2089/3646 
  Installing       : texlive-jablantile-10:svn16364.0-63.fc38.noarc   2090/3646 
  Installing       : texlive-jacow-10:svn63060-63.fc38.noarch         2091/3646 
  Installing       : texlive-jbact-10:svn52717-63.fc38.noarch         2092/3646 
  Installing       : texlive-jkmath-10:svn47109-63.fc38.noarch        2093/3646 
  Installing       : texlive-jmb-10:svn52718-63.fc38.noarch           2094/3646 
  Installing       : texlive-jmsdelim-10:svn62630-63.fc38.noarch      2095/3646 
  Installing       : texlive-jneurosci-10:svn17346.1.00-63.fc38.noa   2096/3646 
  Installing       : texlive-jnuexam-10:svn56867-63.fc38.noarch       2097/3646 
  Installing       : texlive-jobname-suffix-10:svn64797-63.fc38.noa   2098/3646 
  Installing       : texlive-josefin-10:svn64569-63.fc38.noarch       2099/3646 
  Installing       : texlive-jourcl-10:svn65290-63.fc38.noarch        2100/3646 
  Installing       : texlive-junicode-10:svn61719-63.fc38.noarch      2101/3646 
  Installing       : texlive-jvlisting-10:svn24638.0.7-63.fc38.noar   2102/3646 
  Installing       : texlive-kalendarium-10:svn48744-63.fc38.noarch   2103/3646 
  Installing       : texlive-karnaugh-10:svn21338.0-63.fc38.noarch    2104/3646 
  Installing       : texlive-kblocks-10:svn57617-63.fc38.noarch       2105/3646 
  Installing       : texlive-keyfloat-10:svn61561-63.fc38.noarch      2106/3646 
  Installing       : texlive-keyindex-10:svn50828-63.fc38.noarch      2107/3646 
  Installing       : texlive-keyparse-10:svn60277-63.fc38.noarch      2108/3646 
  Installing       : texlive-keyvaltable-10:svn54677-63.fc38.noarch   2109/3646 
  Installing       : texlive-kfupm-math-exam-10:svn63977-63.fc38.no   2110/3646 
  Installing       : texlive-kinematikz-10:svn61392-63.fc38.noarch    2111/3646 
  Installing       : texlive-kix-10:svn21606.0-63.fc38.noarch         2112/3646 
  Installing       : texlive-kixfont-10:svn18488.0-63.fc38.noarch     2113/3646 
  Installing       : texlive-knowledge-10:svn61991-63.fc38.noarch     2114/3646 
  Installing       : texlive-knuth-local-10:svn57963-63.fc38.noarch   2115/3646 
  Installing       : texlive-koma-script-sfs-10:svn26137.1.0-63.fc3   2116/3646 
  Installing       : texlive-komacv-rg-10:svn49064-63.fc38.noarch     2117/3646 
  Installing       : texlive-ksfh_nat-10:svn24825.1.1-63.fc38.noarc   2118/3646 
  Installing       : texlive-ksp-thesis-10:svn39080-63.fc38.noarch    2119/3646 
  Installing       : texlive-ku-template-10:svn45935-63.fc38.noarch   2120/3646 
  Installing       : texlive-labels4easylist-10:svn51124-63.fc38.no   2121/3646 
  Installing       : texlive-labelschanged-10:svn46040-63.fc38.noar   2122/3646 
  Installing       : texlive-ladder-10:svn44394-63.fc38.noarch        2123/3646 
  Installing       : texlive-lambda-lists-10:svn31402.0-63.fc38.noa   2124/3646 
  Installing       : texlive-lambdax-10:svn60278-63.fc38.noarch       2125/3646 
  Installing       : texlive-langsci-avm-10:svn55846-63.fc38.noarch   2126/3646 
  Installing       : texlive-langsci-10:svn62061-63.fc38.noarch       2127/3646 
  Installing       : texlive-lastpackage-10:svn34481.0.1-63.fc38.no   2128/3646 
  Installing       : texlive-latex-lab-10:svn64892-63.fc38.noarch     2129/3646 
  Installing       : texlive-latex-uni8-10:svn49729-63.fc38.noarch    2130/3646 
  Installing       : texlive-latexbug-10:svn63596-63.fc38.noarch      2131/3646 
  Installing       : texlive-latexcolors-10:svn49888-63.fc38.noarch   2132/3646 
  Installing       : texlive-latexgit-10:svn54811-63.fc38.noarch      2133/3646 
  Installing       : texlive-lccaps-10:svn46432-63.fc38.noarch        2134/3646 
  Installing       : texlive-lcd-10:svn16549.0.3-63.fc38.noarch       2135/3646 
  Installing       : texlive-lectures-10:svn53642-63.fc38.noarch      2136/3646 
  Installing       : texlive-leftidx-10:svn15878.0-63.fc38.noarch     2137/3646 
  Installing       : texlive-leipzig-10:svn52450-63.fc38.noarch       2138/3646 
  Installing       : texlive-letterswitharrows-10:svn59993-63.fc38.   2139/3646 
  Installing       : texlive-lewis-10:svn15878.0.1-63.fc38.noarch     2140/3646 
  Installing       : texlive-lexend-10:svn57564-63.fc38.noarch        2141/3646 
  Installing       : texlive-lfb-10:svn15878.1.0-63.fc38.noarch       2142/3646 
  Installing       : texlive-libertinegc-10:svn44616-63.fc38.noarch   2143/3646 
  Installing       : texlive-libertinus-10:svn61719-63.fc38.noarch    2144/3646 
  Installing       : texlive-libertinus-type1-10:svn64958-63.fc38.n   2145/3646 
  Installing       : texlive-librebodoni-10:svn64431-63.fc38.noarch   2146/3646 
  Installing       : texlive-librefranklin-10:svn64441-63.fc38.noar   2147/3646 
  Installing       : texlive-lie-hasse-10:svn61719-63.fc38.noarch     2148/3646 
  Installing       : texlive-liftarm-10:svn62981-63.fc38.noarch       2149/3646 
  Installing       : texlive-limecv-10:svn61199-63.fc38.noarch        2150/3646 
  Installing       : texlive-linguisticspro-10:svn64858-63.fc38.noa   2151/3646 
  Installing       : texlive-linop-10:svn41304-63.fc38.noarch         2152/3646 
  Installing       : texlive-lion-msc-10:svn55415-63.fc38.noarch      2153/3646 
  Installing       : texlive-lisp-on-tex-10:svn38722-63.fc38.noarch   2154/3646 
  Installing       : texlive-listing-10:svn17373.1.2-63.fc38.noarch   2155/3646 
  Installing       : texlive-lkproof-10:svn20021.3.1-63.fc38.noarch   2156/3646 
  Installing       : texlive-llncs-10:svn64299-63.fc38.noarch         2157/3646 
  Installing       : texlive-llncsconf-10:svn63136-63.fc38.noarch     2158/3646 
  Installing       : texlive-lmake-10:svn25552.1.0-63.fc38.noarch     2159/3646 
  Installing       : texlive-lni-10:svn58061-63.fc38.noarch           2160/3646 
  Installing       : texlive-logbox-10:svn24499.1.0-63.fc38.noarch    2161/3646 
  Installing       : texlive-logical-markup-utils-10:svn15878.0-63.   2162/3646 
  Installing       : texlive-logix-10:svn63688-63.fc38.noarch         2163/3646 
  Installing       : texlive-longfbox-10:svn39028-63.fc38.noarch      2164/3646 
  Installing       : texlive-lpform-10:svn36918.0-63.fc38.noarch      2165/3646 
  Installing       : texlive-lplfitch-10:svn31077.0.9-63.fc38.noarc   2166/3646 
  Installing       : texlive-lroundrect-10:svn39804-63.fc38.noarch    2167/3646 
  Installing       : texlive-lstbayes-10:svn48160-63.fc38.noarch      2168/3646 
  Installing       : texlive-lstfiracode-10:svn49503-63.fc38.noarch   2169/3646 
  Installing       : texlive-lt3rawobjects-10:svn65230-63.fc38.noar   2170/3646 
  Installing       : texlive-ltb2bib-10:svn43746-63.fc38.noarch       2171/3646 
  Installing       : texlive-ltxguidex-10:svn50992-63.fc38.noarch     2172/3646 
  Installing       : texlive-lua-physical-10:svn59138-63.fc38.noarc   2173/3646 
  Installing       : texlive-lua-uca-10:svn61023-63.fc38.noarch       2174/3646 
  Installing       : texlive-lua-uni-algos-10:svn62204-63.fc38.noar   2175/3646 
  Installing       : texlive-luaotfload-10:svn64616-58.fc38.noarch    2176/3646 
  Installing       : texlive-lua-widow-control-10:svn65084-63.fc38.   2177/3646 
  Installing       : texlive-luabidi-10:svn54512-63.fc38.noarch       2178/3646 
  Installing       : texlive-luacolor-10:svn57829-63.fc38.noarch      2179/3646 
  Installing       : texlive-luamesh-10:svn63875-63.fc38.noarch       2180/3646 
  Installing       : texlive-luaxml-10:svn60709-63.fc38.noarch        2181/3646 
  Installing       : texlive-macrolist-10:svn60139-63.fc38.noarch     2182/3646 
  Installing       : texlive-magaz-10:svn24694.0.4-63.fc38.noarch     2183/3646 
  Installing       : texlive-magicwatermark-10:svn63656-63.fc38.noa   2184/3646 
  Installing       : texlive-makebase-10:svn41012-63.fc38.noarch      2185/3646 
  Installing       : texlive-makebox-10:svn15878.0.1-63.fc38.noarch   2186/3646 
  Installing       : texlive-makecirc-10:svn15878.0-63.fc38.noarch    2187/3646 
  Installing       : texlive-makecookbook-10:svn49311-63.fc38.noarc   2188/3646 
  Installing       : texlive-makeglos-10:svn15878.0-63.fc38.noarch    2189/3646 
  Installing       : texlive-makelabels-10:svn60255-63.fc38.noarch    2190/3646 
  Installing       : texlive-maker-10:svn44823-63.fc38.noarch         2191/3646 
  Installing       : texlive-makerobust-10:svn52811-63.fc38.noarch    2192/3646 
  Installing       : texlive-manfnt-10:svn54684-63.fc38.noarch        2193/3646 
  Installing       : texlive-manyind-10:svn49874-63.fc38.noarch       2194/3646 
  Installing       : texlive-marcellus-10:svn64451-63.fc38.noarch     2195/3646 
  Installing       : texlive-margbib-10:svn15878.1.0c-63.fc38.noarc   2196/3646 
  Installing       : texlive-marginfit-10:svn48281-63.fc38.noarch     2197/3646 
  Installing       : texlive-markdown-10:svn65346-63.fc38.noarch      2198/3646 
  Installing       : texlive-matc3-10:svn29845.1.0.1-63.fc38.noarch   2199/3646 
  Installing       : texlive-mathcommand-10:svn59512-63.fc38.noarch   2200/3646 
  Installing       : texlive-mathfam256-10:svn53519-63.fc38.noarch    2201/3646 
  Installing       : texlive-mathfixs-10:svn49547-63.fc38.noarch      2202/3646 
  Installing       : texlive-mathfont-10:svn65205-63.fc38.noarch      2203/3646 
  Installing       : texlive-mathlig-10:svn54244-63.fc38.noarch       2204/3646 
  Installing       : texlive-mathpartir-10:svn39864-63.fc38.noarch    2205/3646 
  Installing       : texlive-mathpunctspace-10:svn46754-63.fc38.noa   2206/3646 
  Installing       : texlive-mathsemantics-10:svn63241-63.fc38.noar   2207/3646 
  Installing       : texlive-matrix-skeleton-10:svn65013-63.fc38.no   2208/3646 
  Installing       : texlive-mceinleger-10:svn15878.0-63.fc38.noarc   2209/3646 
  Installing       : texlive-mcite-10:svn18173.1.6-63.fc38.noarch     2210/3646 
  Installing       : texlive-mdputu-10:svn20298.1.2-63.fc38.noarch    2211/3646 
  Installing       : texlive-mecaso-10:svn60346-63.fc38.noarch        2212/3646 
  Installing       : texlive-media4svg-10:svn64686-63.fc38.noarch     2213/3646 
  Installing       : texlive-memexsupp-10:svn15878.0.1-63.fc38.noar   2214/3646 
  Installing       : texlive-memory-10:svn30452.1.2-63.fc38.noarch    2215/3646 
  Installing       : texlive-memorygraphs-10:svn49631-63.fc38.noarc   2216/3646 
  Installing       : texlive-mercatormap-10:svn56060-63.fc38.noarch   2217/3646 
  Installing       : texlive-messagepassing-10:svn63116-63.fc38.noa   2218/3646 
  Installing       : texlive-metalogox-10:svn49774-63.fc38.noarch     2219/3646 
  Installing       : texlive-metanorma-10:svn55010-63.fc38.noarch     2220/3646 
  Installing       : texlive-metastr-10:svn56246-63.fc38.noarch       2221/3646 
  Installing       : texlive-method-10:svn17485.2.0b-63.fc38.noarch   2222/3646 
  Installing       : texlive-mflogo-font-10:svn54512-63.fc38.noarch   2223/3646 
  Installing       : texlive-mfnfss-10:svn46036-63.fc38.noarch        2224/3646 
  Installing       : texlive-mgltex-10:svn63255-63.fc38.noarch        2225/3646 
  Installing       : texlive-mhequ-10:svn64978-63.fc38.noarch         2226/3646 
  Installing       : texlive-mi-solns-10:svn49651-63.fc38.noarch      2227/3646 
  Installing       : texlive-miama-10:svn54512-63.fc38.noarch         2228/3646 
  Installing       : texlive-midpage-10:svn17484.1.1a-63.fc38.noarc   2229/3646 
  Installing       : texlive-miller-10:svn18789.1.2-63.fc38.noarch    2230/3646 
  Installing       : texlive-milsymb-10:svn54361-63.fc38.noarch       2231/3646 
  Installing       : texlive-minidocument-10:svn43752-63.fc38.noarc   2232/3646 
  Installing       : texlive-minifp-10:svn32559.0.96-63.fc38.noarch   2233/3646 
  Installing       : texlive-minipage-marginpar-10:svn15878.v0.2-63   2234/3646 
  Installing       : texlive-mismath-10:svn65365-63.fc38.noarch       2235/3646 
  Installing       : texlive-missaali-10:svn61719-63.fc38.noarch      2236/3646 
  Installing       : texlive-mla-paper-10:svn54080-63.fc38.noarch     2237/3646 
  Installing       : texlive-mlacls-10:svn60508-63.fc38.noarch        2238/3646 
  Installing       : texlive-mleftright-10:svn53021-63.fc38.noarch    2239/3646 
  Installing       : texlive-mlmodern-10:svn57458-63.fc38.noarch      2240/3646 
  Installing       : texlive-mluexercise-10:svn56927-63.fc38.noarch   2241/3646 
  Installing       : texlive-mnras-10:svn55729-63.fc38.noarch         2242/3646 
  Installing       : texlive-modeles-factures-belges-assocs-10:svn5   2243/3646 
  Installing       : texlive-modernposter-10:svn47269-63.fc38.noarc   2244/3646 
  Installing       : texlive-modular-10:svn44142-63.fc38.noarch       2245/3646 
  Installing       : texlive-montserrat-10:svn54512-63.fc38.noarch    2246/3646 
  Installing       : texlive-moodle-10:svn57683-63.fc38.noarch        2247/3646 
  Installing       : texlive-movie15-10:svn26473-63.fc38.noarch       2248/3646 
  Installing       : texlive-mpfonts-10:svn54512-63.fc38.noarch       2249/3646 
  Installing       : texlive-mpostinl-10:svn49559-63.fc38.noarch      2250/3646 
  Installing       : texlive-mslapa-10:svn54080-63.fc38.noarch        2251/3646 
  Installing       : texlive-mtgreek-10:svn17967.1.1+-63.fc38.noarc   2252/3646 
  Installing       : texlive-mucproc-10:svn43445-63.fc38.noarch       2253/3646 
  Installing       : texlive-muling-10:svn61719-63.fc38.noarch        2254/3646 
  Installing       : texlive-multenum-10:svn21775.0-63.fc38.noarch    2255/3646 
  Installing       : texlive-multibbl-10:svn15878.v1.1-63.fc38.noar   2256/3646 
  Installing       : texlive-multidef-10:svn40637-63.fc38.noarch      2257/3646 
  Installing       : texlive-multienv-10:svn64967-63.fc38.noarch      2258/3646 
  Installing       : texlive-multiexpand-10:svn45943-63.fc38.noarch   2259/3646 
  Installing       : texlive-multifootnote-10:svn63456-63.fc38.noar   2260/3646 
  Installing       : texlive-multilang-10:svn49065-63.fc38.noarch     2261/3646 
  Installing       : texlive-multiple-choice-10:svn63722-63.fc38.no   2262/3646 
  Installing       : texlive-munich-10:svn15878.0-63.fc38.noarch      2263/3646 
  Installing       : texlive-mversion-10:svn29370.1.0.1-63.fc38.noa   2264/3646 
  Installing       : texlive-mylatex-10:svn56751-63.fc38.noarch       2265/3646 
  Installing       : texlive-mylatexformat-10:svn21392.3.4-63.fc38.   2266/3646 
  Installing       : texlive-mynsfc-10:svn60280-63.fc38.noarch        2267/3646 
  Installing       : texlive-nag-10:svn24741.0.7-63.fc38.noarch       2268/3646 
  Installing       : texlive-namedtensor-10:svn65346-63.fc38.noarch   2269/3646 
  Installing       : texlive-namespc-10:svn15878.0-63.fc38.noarch     2270/3646 
  Installing       : texlive-nar-10:svn38100.3.19-63.fc38.noarch      2271/3646 
  Installing       : texlive-natded-10:svn32693.0.1-63.fc38.noarch    2272/3646 
  Installing       : texlive-nath-10:svn15878.0-63.fc38.noarch        2273/3646 
  Installing       : texlive-navydocs-10:svn41643-63.fc38.noarch      2274/3646 
  Installing       : texlive-nchairx-10:svn60196-63.fc38.noarch       2275/3646 
  Installing       : texlive-ncntrsbk-10:svn61719-63.fc38.noarch      2276/3646 
  Installing       : texlive-ndsu-thesis-2022-10:svn63881-63.fc38.n   2277/3646 
  Installing       : texlive-ndsu-thesis-10:svn46639-63.fc38.noarch   2278/3646 
  Installing       : texlive-nestquot-10:svn27323.0-63.fc38.noarch    2279/3646 
  Installing       : texlive-newcastle-bst-10:svn62856-63.fc38.noar   2280/3646 
  Installing       : texlive-newcomputermodern-10:svn61310-63.fc38.   2281/3646 
  Installing       : texlive-newunicodechar-10:svn47382-63.fc38.noa   2282/3646 
  Installing       : texlive-newvbtm-10:svn23996.1.1-63.fc38.noarch   2283/3646 
  Installing       : texlive-niceframe-type1-10:svn44671-63.fc38.no   2284/3646 
  Installing       : texlive-nidanfloat-10:svn48295-63.fc38.noarch    2285/3646 
  Installing       : texlive-nihbiosketch-10:svn54191-63.fc38.noarc   2286/3646 
  Installing       : texlive-nimbus15-10:svn58839-63.fc38.noarch      2287/3646 
  Installing       : texlive-njustthesis-10:svn62451-63.fc38.noarch   2288/3646 
  Installing       : texlive-njuthesis-10:svn65196-63.fc38.noarch     2289/3646 
  Installing       : texlive-njuvisual-10:svn65261-63.fc38.noarch     2290/3646 
  Installing       : texlive-nkarta-10:svn16437.0.2-63.fc38.noarch    2291/3646 
  Installing       : texlive-nndraw-10:svn59674-63.fc38.noarch        2292/3646 
  Installing       : texlive-noconflict-10:svn30140.1.0-63.fc38.noa   2293/3646 
  Installing       : texlive-nolbreaks-10:svn26786.1.2-63.fc38.noar   2294/3646 
  Installing       : texlive-nopageno-10:svn18128.0-63.fc38.noarch    2295/3646 
  Installing       : texlive-normalcolor-10:svn40125-63.fc38.noarch   2296/3646 
  Installing       : texlive-notespages-10:svn41906-63.fc38.noarch    2297/3646 
  Installing       : texlive-notestex-10:svn45396-63.fc38.noarch      2298/3646 
  Installing       : texlive-notex-bst-10:svn42361-63.fc38.noarch     2299/3646 
  Installing       : texlive-noto-emoji-10:svn62950-63.fc38.noarch    2300/3646 
  Installing       : texlive-noto-10:svn64351-63.fc38.noarch          2301/3646 
  Installing       : texlive-notomath-10:svn58726-63.fc38.noarch      2302/3646 
  Installing       : texlive-novel-10:svn54512-63.fc38.noarch         2303/3646 
  Installing       : texlive-nth-10:svn54252-63.fc38.noarch           2304/3646 
  Installing       : texlive-nucleardata-10:svn47307-63.fc38.noarch   2305/3646 
  Installing       : texlive-numerica-plus-10:svn61289-63.fc38.noar   2306/3646 
  Installing       : texlive-numerica-tables-10:svn61288-63.fc38.no   2307/3646 
  Installing       : texlive-numname-10:svn18130.0-63.fc38.noarch     2308/3646 
  Installing       : texlive-nunito-10:svn57429-63.fc38.noarch        2309/3646 
  Installing       : texlive-nwafuthesis-10:svn63438-63.fc38.noarch   2310/3646 
  Installing       : texlive-nwejm-10:svn64462-63.fc38.noarch         2311/3646 
  Installing       : texlive-obnov-10:svn33355.0.11-63.fc38.noarch    2312/3646 
  Installing       : texlive-ocherokee-10:svn25689.0-63.fc38.noarch   2313/3646 
  Installing       : texlive-ocr-b-outline-10:svn20969.0-63.fc38.no   2314/3646 
  Installing       : texlive-ocr-b-10:svn20852.0-63.fc38.noarch       2315/3646 
  Installing       : texlive-octavo-10:svn15878.1.2-63.fc38.noarch    2316/3646 
  Installing       : texlive-ogham-10:svn24876.0-63.fc38.noarch       2317/3646 
  Installing       : texlive-oinuit-10:svn28668.0-63.fc38.noarch      2318/3646 
  Installing       : texlive-old-arrows-10:svn42872-63.fc38.noarch    2319/3646 
  Installing       : texlive-oldlatin-10:svn17932.1.00-63.fc38.noar   2320/3646 
  Installing       : texlive-oldstandard-10:svn64464-63.fc38.noarch   2321/3646 
  Installing       : texlive-oldstyle-10:svn15878.0.2-63.fc38.noarc   2322/3646 
  Installing       : texlive-opencolor-10:svn64403-63.fc38.noarch     2323/3646 
  Installing       : texlive-opteng-10:svn27331.1.0-63.fc38.noarch    2324/3646 
  Installing       : texlive-optidef-10:svn50941-63.fc38.noarch       2325/3646 
  Installing       : texlive-options-10:svn39030-63.fc38.noarch       2326/3646 
  Installing       : texlive-orkhun-10:svn15878.0-63.fc38.noarch      2327/3646 
  Installing       : texlive-oubraces-10:svn21833.0-63.fc38.noarch    2328/3646 
  Installing       : texlive-oup-authoring-template-10:svn64491-63.   2329/3646 
  Installing       : texlive-outline-10:svn18360.0-63.fc38.noarch     2330/3646 
  Installing       : texlive-outliner-10:svn21095.0.94-63.fc38.noar   2331/3646 
  Installing       : texlive-outlining-10:svn45601-63.fc38.noarch     2332/3646 
  Installing       : texlive-pacioli-10:svn24947.0-63.fc38.noarch     2333/3646 
  Installing       : texlive-padcount-10:svn47621-63.fc38.noarch      2334/3646 
  Installing       : texlive-pagella-otf-10:svn64705-63.fc38.noarch   2335/3646 
  Installing       : texlive-pagesel-10:svn56105-63.fc38.noarch       2336/3646 
  Installing       : texlive-palette-10:svn60119-63.fc38.noarch       2337/3646 
  Installing       : texlive-pangram-10:svn64783-63.fc38.noarch       2338/3646 
  Installing       : texlive-paper-10:svn34521.1.0l-63.fc38.noarch    2339/3646 
  Installing       : texlive-paracol-10:svn49560-63.fc38.noarch       2340/3646 
  Installing       : texlive-parades-10:svn40042-63.fc38.noarch       2341/3646 
  Installing       : texlive-parallel-10:svn15878.0-63.fc38.noarch    2342/3646 
  Installing       : texlive-parnotes-10:svn51720-63.fc38.noarch      2343/3646 
  Installing       : texlive-parselines-10:svn21475.1.4-63.fc38.noa   2344/3646 
  Installing       : texlive-pascaltriangle-10:svn61774-63.fc38.noa   2345/3646 
  Installing       : texlive-patchcmd-10:svn41379-63.fc38.noarch      2346/3646 
  Installing       : texlive-path-10:svn22045.3.05-63.fc38.noarch     2347/3646 
  Installing       : texlive-pawpict-10:svn21629.1.0-63.fc38.noarch   2348/3646 
  Installing       : texlive-pb-diagram-10:svn15878.5.0-63.fc38.noa   2349/3646 
  Installing       : texlive-pdf14-10:svn17583.0.1-63.fc38.noarch     2350/3646 
  Installing       : texlive-pdfprivacy-10:svn45985-63.fc38.noarch    2351/3646 
  Installing       : texlive-pdfreview-10:svn50100-63.fc38.noarch     2352/3646 
  Installing       : texlive-pdfsync-10:svn20373.0-63.fc38.noarch     2353/3646 
  Installing       : texlive-penrose-10:svn57508-63.fc38.noarch       2354/3646 
  Installing       : texlive-perception-10:svn48861-63.fc38.noarch    2355/3646 
  Installing       : texlive-permute-10:svn15878.0-63.fc38.noarch     2356/3646 
  Installing       : texlive-pfdicons-10:svn60089-63.fc38.noarch      2357/3646 
  Installing       : texlive-pgf-interference-10:svn61562-63.fc38.n   2358/3646 
  Installing       : texlive-pgf-periodictable-10:svn64974-63.fc38.   2359/3646 
  Installing       : texlive-pgf-spectra-10:svn59827-63.fc38.noarch   2360/3646 
  Installing       : texlive-pgfmath-xfp-10:svn59268-63.fc38.noarch   2361/3646 
  Installing       : texlive-pgfmorepages-10:svn54770-63.fc38.noarc   2362/3646 
  Installing       : texlive-pgfornament-10:svn55326-63.fc38.noarch   2363/3646 
  Installing       : texlive-phaistos-10:svn18651.1.0-63.fc38.noarc   2364/3646 
  Installing       : texlive-phfcc-10:svn60731-63.fc38.noarch         2365/3646 
  Installing       : texlive-phfextendedabstract-10:svn60732-63.fc3   2366/3646 
  Installing       : texlive-phffullpagefigure-10:svn41857-63.fc38.   2367/3646 
  Installing       : texlive-phfnote-10:svn60733-63.fc38.noarch       2368/3646 
  Installing       : texlive-phfparen-10:svn41859-63.fc38.noarch      2369/3646 
  Installing       : texlive-phfqit-10:svn60734-63.fc38.noarch        2370/3646 
  Installing       : texlive-phfquotetext-10:svn41869-63.fc38.noarc   2371/3646 
  Installing       : texlive-phfsvnwatermark-10:svn41870-63.fc38.no   2372/3646 
  Installing       : texlive-phfthm-10:svn60735-63.fc38.noarch        2373/3646 
  Installing       : texlive-phonenumbers-10:svn63774-63.fc38.noarc   2374/3646 
  Installing       : texlive-phonetic-10:svn56468-63.fc38.noarch      2375/3646 
  Installing       : texlive-photo-10:svn18739.0-63.fc38.noarch       2376/3646 
  Installing       : texlive-photobook-10:svn65022-63.fc38.noarch     2377/3646 
  Installing       : texlive-physconst-10:svn58727-63.fc38.noarch     2378/3646 
  Installing       : texlive-physunits-10:svn58728-63.fc38.noarch     2379/3646 
  Installing       : texlive-pigpen-10:svn15878.0.2-63.fc38.noarch    2380/3646 
  Installing       : texlive-pinoutikz-10:svn55966-63.fc38.noarch     2381/3646 
  Installing       : texlive-pittetd-10:svn15878.1.618-63.fc38.noar   2382/3646 
  Installing       : texlive-pl-10:svn58661-63.fc38.noarch            2383/3646 
  Installing       : texlive-polski-10:svn60322-63.fc38.noarch        2384/3646 
  Installing       : texlive-plainpkg-10:svn27765.0.4a-63.fc38.noar   2385/3646 
  Installing       : texlive-dowith-10:svn38860-63.fc38.noarch        2386/3646 
  Installing       : texlive-langcode-10:svn27764.0.2-63.fc38.noarc   2387/3646 
  Installing       : texlive-morehype-10:svn38815-63.fc38.noarch      2388/3646 
  Installing       : texlive-plainyr-10:svn52783-63.fc38.noarch       2389/3646 
  Installing       : texlive-plex-otf-10:svn47562-63.fc38.noarch      2390/3646 
  Installing       : texlive-plex-10:svn64496-63.fc38.noarch          2391/3646 
  Installing       : texlive-plimsoll-10:svn56605-63.fc38.noarch      2392/3646 
  Installing       : texlive-plweb-10:svn15878.3.0-63.fc38.noarch     2393/3646 
  Installing       : texlive-pm-isomath-10:svn60368-63.fc38.noarch    2394/3646 
  Installing       : texlive-pmboxdraw-10:svn53046-63.fc38.noarch     2395/3646 
  Installing       : texlive-pmgraph-10:svn15878.1.0-63.fc38.noarch   2396/3646 
  Installing       : texlive-pnas2009-10:svn16287.1.0-63.fc38.noarc   2397/3646 
  Installing       : texlive-poiretone-10:svn64856-63.fc38.noarch     2398/3646 
  Installing       : texlive-polexpr-10:svn63337-63.fc38.noarch       2399/3646 
  Installing       : texlive-poltawski-10:svn20075.1.101-63.fc38.no   2400/3646 
  Installing       : texlive-postage-10:svn55920-63.fc38.noarch       2401/3646 
  Installing       : texlive-poster-mac-10:svn18305.1.1-63.fc38.noa   2402/3646 
  Installing       : texlive-postnotes-10:svn65007-63.fc38.noarch     2403/3646 
  Installing       : texlive-powerdot-tuliplab-10:svn47963-63.fc38.   2404/3646 
  Installing       : texlive-practicalreports-10:svn52312-63.fc38.n   2405/3646 
  Installing       : texlive-prettyref-10:svn15878.3.0-63.fc38.noar   2406/3646 
  Installing       : texlive-prftree-10:svn54080-63.fc38.noarch       2407/3646 
  Installing       : texlive-printlen-10:svn19847.1.1a-63.fc38.noar   2408/3646 
  Installing       : texlive-prociagssymp-10:svn63242-63.fc38.noarc   2409/3646 
  Installing       : texlive-prodint-10:svn21893.0-63.fc38.noarch     2410/3646 
  Installing       : texlive-program-10:svn44214-63.fc38.noarch       2411/3646 
  Installing       : texlive-progress-10:svn19519.1.10-63.fc38.noar   2412/3646 
  Installing       : texlive-proof-at-the-end-10:svn64188-63.fc38.n   2413/3646 
  Installing       : texlive-prooftrees-10:svn52221-63.fc38.noarch    2414/3646 
  Installing       : texlive-protex-10:svn41633-63.fc38.noarch        2415/3646 
  Installing       : texlive-protocol-10:svn25562.1.13-63.fc38.noar   2416/3646 
  Installing       : texlive-pspicture-10:svn15878.0-63.fc38.noarch   2417/3646 
  Installing       : texlive-pst-math-10:svn64732-63.fc38.noarch      2418/3646 
  Installing       : texlive-algorithms-10:svn42428-63.fc38.noarch    2419/3646 
  Installing       : texlive-bera-10:svn20031.0-63.fc38.noarch        2420/3646 
  Installing       : texlive-cm-super-10:svn15878.0-63.fc38.noarch    2421/3646 
  Installing       : texlive-xunicode-10:svn30466.0.981-63.fc38.noa   2422/3646 
  Installing       : texlive-tipa-10:svn29349.1.3-63.fc38.noarch      2423/3646 
  Installing       : texlive-fontspec-10:svn63386-63.fc38.noarch      2424/3646 
  Installing       : texlive-l3packages-10:svn65300-63.fc38.noarch    2425/3646 
  Installing       : texlive-xpatch-10:svn54563-63.fc38.noarch        2426/3646 
  Installing       : texlive-l3kernel-10:svn65299-63.fc38.noarch      2427/3646 
  Installing       : texlive-latex-10:svn63825-58.fc38.noarch         2428/3646 
  Installing       : texlive-xifthen-10:svn38929-63.fc38.noarch       2429/3646 
  Installing       : texlive-currfile-10:svn64673-63.fc38.noarch      2430/3646 
  Installing       : texlive-filehook-10:svn64822-63.fc38.noarch      2431/3646 
  Installing       : texlive-pgf-10:svn59210-63.fc38.noarch           2432/3646 
  Installing       : texlive-ms-10:svn57473-63.fc38.noarch            2433/3646 
  Installing       : texlive-koma-script-10:svn64685-63.fc38.noarch   2434/3646 
  Installing       : texlive-showexpl-10:svn57414-63.fc38.noarch      2435/3646 
  Installing       : texlive-bidi-10:svn64967-63.fc38.noarch          2436/3646 
  Installing       : texlive-polyglossia-10:svn65144-63.fc38.noarch   2437/3646 
  Installing       : texlive-biblatex-10:svn63878-63.fc38.noarch      2438/3646 
  Installing       : texlive-listings-10:svn55265-63.fc38.noarch      2439/3646 
  Installing       : texlive-fancyvrb-10:svn63496-63.fc38.noarch      2440/3646 
  Installing       : texlive-pst-3d-10:svn17257.1.10-63.fc38.noarch   2441/3646 
  Installing       : texlive-pst-coil-10:svn62977-63.fc38.noarch      2442/3646 
  Installing       : texlive-pst-eps-10:svn15878.1.0-63.fc38.noarch   2443/3646 
  Installing       : texlive-pst-fill-10:svn60671-63.fc38.noarch      2444/3646 
  Installing       : texlive-pst-grad-10:svn15878.1.06-63.fc38.noar   2445/3646 
  Installing       : texlive-pst-node-10:svn61838-63.fc38.noarch      2446/3646 
  Installing       : texlive-pst-ovl-10:svn54963-63.fc38.noarch       2447/3646 
  Installing       : texlive-pst-plot-10:svn65346-63.fc38.noarch      2448/3646 
  Installing       : texlive-pst-text-10:svn49542-63.fc38.noarch      2449/3646 
  Installing       : texlive-pst-tools-10:svn60621-63.fc38.noarch     2450/3646 
  Installing       : texlive-pst-tree-10:svn60421-63.fc38.noarch      2451/3646 
  Installing       : texlive-pstricks-add-10:svn65067-63.fc38.noarc   2452/3646 
  Installing       : texlive-pstricks-10:svn65346-63.fc38.noarch      2453/3646 
  Installing       : texlive-amscls-10:svn55378-63.fc38.noarch        2454/3646 
  Installing       : texlive-tcolorbox-10:svn63713-63.fc38.noarch     2455/3646 
  Installing       : texlive-pgfopts-10:svn56615-63.fc38.noarch       2456/3646 
  Installing       : texlive-adjustbox-10:svn64967-63.fc38.noarch     2457/3646 
  Installing       : texlive-datatool-10:svn52663-63.fc38.noarch      2458/3646 
  Installing       : texlive-glossaries-10:svn64919-58.fc38.noarch    2459/3646 
  Installing       : texlive-numprint-10:svn27498.1.39-63.fc38.noar   2460/3646 
  Installing       : texlive-units-10:svn42428-63.fc38.noarch         2461/3646 
  Installing       : texlive-siunitx-10:svn65207-63.fc38.noarch       2462/3646 
  Installing       : texlive-forloop-10:svn15878.3.0-63.fc38.noarch   2463/3646 
  Installing       : texlive-newtx-10:svn62369-63.fc38.noarch         2464/3646 
  Installing       : texlive-nfssext-cfr-10:svn43640-63.fc38.noarch   2465/3646 
  Installing       : texlive-libertine-10:svn64359-63.fc38.noarch     2466/3646 
  Installing       : texlive-jknapltx-10:svn19440.0-63.fc38.noarch    2467/3646 
  Installing       : texlive-ntheorem-10:svn27609.1.33-63.fc38.noar   2468/3646 
  Installing       : texlive-slantsc-10:svn25007.2.11-63.fc38.noarc   2469/3646 
  Installing       : texlive-imakeidx-10:svn42287-63.fc38.noarch      2470/3646 
  Installing       : texlive-fmtcount-10:svn53912-63.fc38.noarch      2471/3646 
  Installing       : texlive-datetime-10:svn36650.2.60-63.fc38.noar   2472/3646 
  Installing       : texlive-pbox-10:svn24807.1.2-63.fc38.noarch      2473/3646 
  Installing       : texlive-datetime2-10:svn63102-63.fc38.noarch     2474/3646 
  Installing       : texlive-tikzpagenodes-10:svn64967-63.fc38.noar   2475/3646 
  Installing       : texlive-fourier-10:svn61937-63.fc38.noarch       2476/3646 
  Installing       : texlive-upquote-10:svn26059.v1.3-63.fc38.noarc   2477/3646 
  Installing       : texlive-chemgreek-10:svn53437-63.fc38.noarch     2478/3646 
  Installing       : texlive-morewrites-10:svn49531-63.fc38.noarch    2479/3646 
  Installing       : texlive-fvextra-10:svn65158-63.fc38.noarch       2480/3646 
  Installing       : texlive-minted-10:svn65252-63.fc38.noarch        2481/3646 
  Installing       : texlive-opensans-10:svn54512-63.fc38.noarch      2482/3646 
  Installing       : texlive-seminar-10:svn59801-63.fc38.noarch       2483/3646 
  Installing       : texlive-todonotes-10:svn59465-63.fc38.noarch     2484/3646 
  Installing       : texlive-ae-10:svn15878.1.4-63.fc38.noarch        2485/3646 
  Installing       : texlive-algorithm2e-10:svn44846-63.fc38.noarch   2486/3646 
  Installing       : texlive-algorithmicx-10:svn15878.0-63.fc38.noa   2487/3646 
  Installing       : texlive-ebgaramond-10:svn64343-63.fc38.noarch    2488/3646 
  Installing       : texlive-isodate-10:svn16613.2.28-63.fc38.noarc   2489/3646 
  Installing       : texlive-kpfonts-10:svn64440-63.fc38.noarch       2490/3646 
  Installing       : texlive-minitoc-10:svn61719-63.fc38.noarch       2491/3646 
  Installing       : texlive-mnsymbol-10:svn18651.1.4-63.fc38.noarc   2492/3646 
  Installing       : texlive-tocvsec2-10:svn33146.1.3a-63.fc38.noar   2493/3646 
  Installing       : texlive-xmpincl-10:svn60593-63.fc38.noarch       2494/3646 
  Installing       : texlive-metalogo-10:svn18611.0.12-63.fc38.noar   2495/3646 
  Installing       : texlive-pdfx-10:svn50338-63.fc38.noarch          2496/3646 
  Installing       : texlive-newpx-10:svn61806-63.fc38.noarch         2497/3646 
  Installing       : texlive-tkz-base-10:svn63906-63.fc38.noarch      2498/3646 
  Installing       : texlive-pst-slpe-10:svn24391.1.31-63.fc38.noar   2499/3646 
  Installing       : texlive-pgfplots-10:svn61719-63.fc38.noarch      2500/3646 
  Installing       : texlive-biblatex-dw-10:svn42649-63.fc38.noarch   2501/3646 
  Installing       : texlive-svninfo-10:svn62157-63.fc38.noarch       2502/3646 
  Installing       : texlive-makeshape-10:svn28973.2.1-63.fc38.noar   2503/3646 
  Installing       : texlive-skeyval-10:svn30560.1.3-63.fc38.noarch   2504/3646 
  Installing       : texlive-abntex2-10:svn49248-63.fc38.noarch       2505/3646 
  Installing       : texlive-bguq-10:svn27401.0.4-63.fc38.noarch      2506/3646 
  Installing       : texlive-coolstr-10:svn15878.2.2-63.fc38.noarch   2507/3646 
  Installing       : texlive-coollist-10:svn63523-63.fc38.noarch      2508/3646 
  Installing       : texlive-fifo-stack-10:svn33288.1.0-63.fc38.noa   2509/3646 
  Installing       : texlive-sourcecodepro-10:svn54512-63.fc38.noar   2510/3646 
  Installing       : texlive-ucs-10:svn64114-63.fc38.noarch           2511/3646 
  Installing       : texlive-breqn-10:svn60881-63.fc38.noarch         2512/3646 
  Installing       : texlive-xcjk2uni-10:svn54958-63.fc38.noarch      2513/3646 
  Installing       : texlive-tkz-euclide-10:svn64491-63.fc38.noarch   2514/3646 
  Installing       : texlive-tkz-fct-10:svn61949-63.fc38.noarch       2515/3646 
  Installing       : texlive-ltxmisc-10:svn21927.0-63.fc38.noarch     2516/3646 
  Installing       : texlive-ebgaramond-maths-10:svn52168-63.fc38.n   2517/3646 
  Installing       : texlive-mathastext-10:svn64930-63.fc38.noarch    2518/3646 
  Installing       : texlive-droid-10:svn54512-63.fc38.noarch         2519/3646 
  Installing       : texlive-circuitikz-10:svn65240-63.fc38.noarch    2520/3646 
  Installing       : texlive-chemformula-10:svn61719-63.fc38.noarch   2521/3646 
  Installing       : texlive-fnumprint-10:svn29173.1.1a-63.fc38.noa   2522/3646 
  Installing       : texlive-ocg-p-10:svn28803.0.4-63.fc38.noarch     2523/3646 
  Installing       : texlive-gincltex-10:svn64967-63.fc38.noarch      2524/3646 
  Installing       : texlive-standalone-10:svn64677-63.fc38.noarch    2525/3646 
  Installing       : texlive-menukeys-10:svn64314-63.fc38.noarch      2526/3646 
  Installing       : texlive-idxcmds-10:svn54554-63.fc38.noarch       2527/3646 
  Installing       : texlive-doctools-10:svn34474.0.1-63.fc38.noarc   2528/3646 
  Installing       : texlive-ydoc-10:svn64887-63.fc38.noarch          2529/3646 
  Installing       : texlive-interfaces-10:svn21474.3.1-63.fc38.noa   2530/3646 
  Installing       : texlive-prelim2e-10:svn57000-63.fc38.noarch      2531/3646 
  Installing       : texlive-classicthesis-10:svn48041-63.fc38.noar   2532/3646 
  Installing       : texlive-rcsinfo-10:svn15878.1.11-63.fc38.noarc   2533/3646 
  Installing       : texlive-svn-multi-10:svn56291-58.fc38.noarch     2534/3646 
  Installing       : texlive-background-10:svn42428-63.fc38.noarch    2535/3646 
  Installing       : texlive-efbox-10:svn33236.1.0-63.fc38.noarch     2536/3646 
  Installing       : texlive-fancytabs-10:svn41549-63.fc38.noarch     2537/3646 
  Installing       : texlive-media9-10:svn64047-63.fc38.noarch        2538/3646 
  Installing       : texlive-ocgx2-10:svn65292-63.fc38.noarch         2539/3646 
  Installing       : texlive-nicematrix-10:svn65253-63.fc38.noarch    2540/3646 
  Installing       : texlive-overlays-10:svn57866-63.fc38.noarch      2541/3646 
  Installing       : texlive-pgf-blur-10:svn54512-63.fc38.noarch      2542/3646 
  Installing       : texlive-pgf-umlcd-10:svn63386-63.fc38.noarch     2543/3646 
  Installing       : texlive-pgf-umlsd-10:svn55342-63.fc38.noarch     2544/3646 
  Installing       : texlive-superiors-10:svn51909-63.fc38.noarch     2545/3646 
  Installing       : texlive-tkz-graph-10:svn57484-63.fc38.noarch     2546/3646 
  Installing       : texlive-tkz-tab-10:svn54940-63.fc38.noarch       2547/3646 
  Installing       : texlive-urcls-10:svn49903-63.fc38.noarch         2548/3646 
  Installing       : texlive-lualatex-math-10:svn61464-63.fc38.noar   2549/3646 
  Installing       : texlive-unicode-math-10:svn61719-63.fc38.noarc   2550/3646 
  Installing       : texlive-oberdiek-10:svn64463-58.fc38.noarch      2551/3646 
  Installing       : texlive-pdfpages-10:svn65319-63.fc38.noarch      2552/3646 
  Installing       : texlive-mhchem-10:svn61456-63.fc38.noarch        2553/3646 
  Installing       : texlive-hyperxmp-10:svn57004-58.fc38.x86_64      2554/3646 
  Installing       : texlive-soulpos-10:svn60772-63.fc38.noarch       2555/3646 
  Installing       : texlive-pdfcomment-10:svn49047-63.fc38.noarch    2556/3646 
  Installing       : texlive-sidenotes-10:svn54524-63.fc38.noarch     2557/3646 
  Installing       : texlive-sansmathaccent-10:svn53628-63.fc38.noa   2558/3646 
  Installing       : texlive-beamer-10:svn64388-63.fc38.noarch        2559/3646 
  Installing       : texlive-akktex-10:svn26055.0.3.2-63.fc38.noarc   2560/3646 
  Installing       : texlive-bibtopic-10:svn15878.1.1a-63.fc38.noar   2561/3646 
  Installing       : texlive-chessfss-10:svn19440.1.2a-63.fc38.noar   2562/3646 
  Installing       : texlive-cprotect-10:svn21209.1.0e-63.fc38.noar   2563/3646 
  Installing       : texlive-dashbox-10:svn23425.1.14-63.fc38.noarc   2564/3646 
  Installing       : texlive-realboxes-10:svn64967-63.fc38.noarch     2565/3646 
  Installing       : texlive-fancylabel-10:svn46736-63.fc38.noarch    2566/3646 
  Installing       : texlive-fira-10:svn64422-63.fc38.noarch          2567/3646 
  Installing       : texlive-fnbreak-10:svn25003.1.30-63.fc38.noarc   2568/3646 
  Installing       : texlive-harvard-10:svn15878.2.0.5-63.fc38.noar   2569/3646 
  Installing       : texlive-hfoldsty-10:svn29349.1.15-63.fc38.noar   2570/3646 
  Installing       : texlive-ifthenx-10:svn25819.0.1a-63.fc38.noarc   2571/3646 
  Installing       : texlive-inconsolata-10:svn54512-63.fc38.noarch   2572/3646 
  Installing       : texlive-jurabib-10:svn15878.0.6-63.fc38.noarch   2573/3646 
  Installing       : texlive-ly1-10:svn63565-63.fc38.noarch           2574/3646 
  Installing       : texlive-mathdesign-10:svn31639.2.31-63.fc38.no   2575/3646 
  Installing       : texlive-arev-10:svn15878.0-63.fc38.noarch        2576/3646 
  Installing       : texlive-mathcomp-10:svn15878.0.1f-63.fc38.noar   2577/3646 
  Installing       : texlive-modroman-10:svn29803.1-63.fc38.noarch    2578/3646 
  Installing       : texlive-newtxtt-10:svn54512-63.fc38.noarch       2579/3646 
  Installing       : texlive-pdfcprot-10:svn18735.1.7a-63.fc38.noar   2580/3646 
  Installing       : texlive-roboto-10:svn64350-63.fc38.noarch        2581/3646 
  Installing       : texlive-struktex-10:svn47931-63.fc38.noarch      2582/3646 
  Installing       : texlive-tpslifonts-10:svn42428-63.fc38.noarch    2583/3646 
  Installing       : texlive-texpower-10:svn29349.0.2-63.fc38.noarc   2584/3646 
  Installing       : texlive-wallpaper-10:svn15878.1.10-63.fc38.noa   2585/3646 
  Installing       : texlive-xcharter-10:svn63057-63.fc38.noarch      2586/3646 
  Installing       : texlive-xdoc-10:svn15878.prot2.5-63.fc38.noarc   2587/3646 
  Installing       : texlive-embrac-10:svn57814-63.fc38.noarch        2588/3646 
  Installing       : texlive-kantlipsum-10:svn51727-63.fc38.noarch    2589/3646 
  Installing       : texlive-mdframed-10:svn31075.1.9b-63.fc38.noar   2590/3646 
  Installing       : texlive-thmtools-10:svn63477-63.fc38.noarch      2591/3646 
  Installing       : texlive-fnpct-10:svn62248-63.fc38.noarch         2592/3646 
  Installing       : texlive-translations-10:svn61896-63.fc38.noarc   2593/3646 
  Installing       : texlive-cnltx-10:svn55265-63.fc38.noarch         2594/3646 
  Installing       : texlive-cntformats-10:svn34668.0.7-63.fc38.noa   2595/3646 
  Installing       : texlive-withargs-10:svn52641-63.fc38.noarch      2596/3646 
  Installing       : texlive-lt3graph-10:svn45913-63.fc38.noarch      2597/3646 
  Installing       : texlive-tasks-10:svn61541-63.fc38.noarch         2598/3646 
  Installing       : texlive-elements-10:svn61792-63.fc38.noarch      2599/3646 
  Installing       : texlive-enotez-10:svn61490-63.fc38.noarch        2600/3646 
  Installing       : texlive-ghsystem-10:svn53822-63.fc38.noarch      2601/3646 
  Installing       : texlive-chemmacros-10:svn62655-63.fc38.noarch    2602/3646 
  Installing       : texlive-l3experimental-10:svn63089-63.fc38.noa   2603/3646 
  Installing       : texlive-regexpatch-10:svn58668-63.fc38.noarch    2604/3646 
  Installing       : texlive-skmath-10:svn52411-63.fc38.noarch        2605/3646 
  Installing       : texlive-zhnumber-10:svn63898-63.fc38.noarch      2606/3646 
  Installing       : texlive-projlib-10:svn65023-63.fc38.noarch       2607/3646 
  Installing       : texlive-minimalist-10:svn64280-63.fc38.noarch    2608/3646 
  Installing       : texlive-subfiles-10:svn56977-63.fc38.noarch      2609/3646 
  Installing       : texlive-leftindex-10:svn56182-63.fc38.noarch     2610/3646 
  Installing       : texlive-semtex-10:svn56530-63.fc38.noarch        2611/3646 
  Installing       : texlive-semantex-10:svn65183-63.fc38.noarch      2612/3646 
  Installing       : texlive-tikz-lake-fig-10:svn55288-63.fc38.noar   2613/3646 
  Installing       : texlive-einfart-10:svn64280-63.fc38.noarch       2614/3646 
  Installing       : texlive-simplivre-10:svn64280-63.fc38.noarch     2615/3646 
  Installing       : texlive-skrapport-10:svn52412-63.fc38.noarch     2616/3646 
  Installing       : texlive-substances-10:svn40989-63.fc38.noarch    2617/3646 
  Installing       : texlive-skeldoc-10:svn57922-63.fc38.noarch       2618/3646 
  Installing       : texlive-bohr-10:svn62977-63.fc38.noarch          2619/3646 
  Installing       : texlive-exsheets-10:svn52227-63.fc38.noarch      2620/3646 
  Installing       : texlive-pkgloader-10:svn47486-63.fc38.noarch     2621/3646 
  Installing       : texlive-acro-10:svn62925-63.fc38.noarch          2622/3646 
  Installing       : texlive-changelog-10:svn56338-63.fc38.noarch     2623/3646 
  Installing       : texlive-chemnum-10:svn57490-63.fc38.noarch       2624/3646 
  Installing       : texlive-cooking-units-10:svn65241-63.fc38.noar   2625/3646 
  Installing       : texlive-listlbls-10:svn34893.1.03-63.fc38.noar   2626/3646 
  Installing       : texlive-xsim-10:svn61988-63.fc38.noarch          2627/3646 
  Installing       : texlive-denisbdoc-10:svn63589-63.fc38.noarch     2628/3646 
  Installing       : texlive-fei-10:svn65352-63.fc38.noarch           2629/3646 
  Installing       : texlive-gzt-10:svn63591-63.fc38.noarch           2630/3646 
  Installing       : texlive-upmethodology-10:svn64613-63.fc38.noar   2631/3646 
  Installing       : texlive-pygmentex-10:svn64131-58.fc38.noarch     2632/3646 
  Installing       : texlive-latexdemo-10:svn55265-63.fc38.noarch     2633/3646 
  Installing       : texlive-mandi-10:svn61764-63.fc38.noarch         2634/3646 
  Installing       : texlive-proposal-10:svn40538-63.fc38.noarch      2635/3646 
  Installing       : texlive-schule-10:svn60210-63.fc38.noarch        2636/3646 
  Installing       : texlive-shdoc-10:svn41991-63.fc38.noarch         2637/3646 
  Installing       : texlive-yb-book-10:svn64586-63.fc38.noarch       2638/3646 
  Installing       : texlive-tcldoc-10:svn22018.2.40-63.fc38.noarch   2639/3646 
  Installing       : texlive-tuda-ci-10:svn65254-63.fc38.noarch       2640/3646 
  Installing       : texlive-elpres-10:svn60209-63.fc38.noarch        2641/3646 
  Installing       : texlive-ifmslide-10:svn20727.0.47-63.fc38.noar   2642/3646 
  Installing       : texlive-bgteubner-10:svn54080-63.fc38.noarch     2643/3646 
  Installing       : texlive-ticollege-10:svn36306.1.0-63.fc38.noar   2644/3646 
  Installing       : texlive-drm-10:svn38157.4.4-63.fc38.noarch       2645/3646 
  Installing       : texlive-resphilosophica-10:svn50935-63.fc38.no   2646/3646 
  Installing       : texlive-mentis-10:svn15878.1.5-63.fc38.noarch    2647/3646 
  Installing       : texlive-acmart-10:svn64967-63.fc38.noarch        2648/3646 
  Installing       : texlive-typeface-10:svn27046.0.1-63.fc38.noarc   2649/3646 
  Installing       : texlive-adfathesis-10:svn26048.2.42-63.fc38.no   2650/3646 
  Installing       : texlive-beamertheme-metropolis-10:svn43031-63.   2651/3646 
  Installing       : texlive-chemschemex-10:svn46723-63.fc38.noarch   2652/3646 
  Installing       : texlive-cv4tw-10:svn34577.0.2-63.fc38.noarch     2653/3646 
  Installing       : texlive-beameraudience-10:svn23427.0.1-63.fc38   2654/3646 
  Installing       : texlive-skak-10:svn61719-63.fc38.noarch          2655/3646 
  Installing       : texlive-texmate-10:svn15878.2-63.fc38.noarch     2656/3646 
  Installing       : texlive-bibtopicprefix-10:svn15878.1.10-63.fc3   2657/3646 
  Installing       : texlive-uebungsblatt-10:svn15878.1.5.0-63.fc38   2658/3646 
  Installing       : texlive-beamerthemenirma-10:svn20765.0.1-63.fc   2659/3646 
  Installing       : texlive-skb-10:svn22781.0.52-63.fc38.noarch      2660/3646 
  Installing       : texlive-stex-10:svn64383-63.fc38.noarch          2661/3646 
  Installing       : texlive-mnotes-10:svn63406-63.fc38.noarch        2662/3646 
  Installing       : texlive-dataref-10:svn62942-63.fc38.noarch       2663/3646 
  Installing       : texlive-fixme-10:svn63708-63.fc38.noarch         2664/3646 
  Installing       : texlive-luatodonotes-10:svn53825-63.fc38.noarc   2665/3646 
  Installing       : texlive-pdfpc-10:svn63866-63.fc38.noarch         2666/3646 
  Installing       : texlive-uestcthesis-10:svn36371.1.1.0-63.fc38.   2667/3646 
  Installing       : texlive-chemexec-10:svn21632.1.0-63.fc38.noarc   2668/3646 
  Installing       : texlive-uothesis-10:svn25355.2.5.6-63.fc38.noa   2669/3646 
  Installing       : texlive-bewerbung-10:svn61632-63.fc38.noarch     2670/3646 
  Installing       : texlive-confproc-10:svn29349.0.8-63.fc38.noarc   2671/3646 
  Installing       : texlive-lectureslides-10:svn62292-63.fc38.noar   2672/3646 
  Installing       : texlive-pdfoverlay-10:svn64210-63.fc38.noarch    2673/3646 
  Installing       : texlive-tudscr-10:svn64085-63.fc38.noarch        2674/3646 
  Installing       : texlive-unswcover-10:svn29476.1.0-63.fc38.noar   2675/3646 
  Installing       : texlive-animate-10:svn64401-63.fc38.noarch       2676/3646 
  Installing       : texlive-dtk-10:svn65315-63.fc38.noarch           2677/3646 
  Installing       : texlive-easy-todo-10:svn32677.0-63.fc38.noarch   2678/3646 
  Installing       : texlive-fcltxdoc-10:svn24500.1.0-63.fc38.noarc   2679/3646 
  Installing       : texlive-hypdvips-10:svn53197-63.fc38.noarch      2680/3646 
  Installing       : texlive-ltxdockit-10:svn21869.1.2d-63.fc38.noa   2681/3646 
  Installing       : texlive-mcmthesis-10:svn57333-63.fc38.noarch     2682/3646 
  Installing       : texlive-numspell-10:svn61132-63.fc38.noarch      2683/3646 
  Installing       : texlive-pseudo-10:svn64182-63.fc38.noarch        2684/3646 
  Installing       : texlive-rutitlepage-10:svn62143-63.fc38.noarch   2685/3646 
  Installing       : texlive-sduthesis-10:svn41401-63.fc38.noarch     2686/3646 
  Installing       : texlive-templatetools-10:svn34495.0-63.fc38.no   2687/3646 
  Installing       : texlive-xcookybooky-10:svn36435.1.5-63.fc38.no   2688/3646 
  Installing       : texlive-yathesis-10:svn63576-63.fc38.noarch      2689/3646 
  Installing       : texlive-kpfonts-otf-10:svn65082-63.fc38.noarch   2690/3646 
  Installing       : texlive-luatexja-10:svn65267-63.fc38.noarch      2691/3646 
  Installing       : texlive-ulthese-10:svn60217-63.fc38.noarch       2692/3646 
  Installing       : texlive-sesamanuel-10:svn36613.0.6-63.fc38.noa   2693/3646 
  Installing       : texlive-tkz-berge-10:svn57485-63.fc38.noarch     2694/3646 
  Installing       : texlive-docshots-10:svn65141-63.fc38.noarch      2695/3646 
  Installing       : texlive-decision-table-10:svn60673-63.fc38.noa   2696/3646 
  Installing       : texlive-scrjrnl-10:svn27810.0.1-63.fc38.noarch   2697/3646 
  Installing       : texlive-medstarbeamer-10:svn38828-63.fc38.noar   2698/3646 
  Installing       : texlive-dateiliste-10:svn27974.0.6-63.fc38.noa   2699/3646 
  Installing       : texlive-arsclassica-10:svn45656-63.fc38.noarch   2700/3646 
  Installing       : texlive-skdoc-10:svn56950-63.fc38.noarch         2701/3646 
  Installing       : texlive-ocgx-10:svn54512-63.fc38.noarch          2702/3646 
  Installing       : texlive-calcage-10:svn27725.0.90-63.fc38.noarc   2703/3646 
  Installing       : texlive-cmpj-10:svn58506-63.fc38.noarch          2704/3646 
  Installing       : texlive-verbasef-10:svn21922.1.1-63.fc38.noarc   2705/3646 
  Installing       : texlive-nl-interval-10:svn58328-63.fc38.noarch   2706/3646 
  Installing       : texlive-perfectcut-10:svn54080-63.fc38.noarch    2707/3646 
  Installing       : texlive-rmathbr-10:svn57173-63.fc38.noarch       2708/3646 
  Installing       : texlive-europecv-10:svn64037-63.fc38.noarch      2709/3646 
  Installing       : texlive-algpseudocodex-10:svn64654-63.fc38.noa   2710/3646 
  Installing       : texlive-bangorcsthesis-10:svn61770-63.fc38.noa   2711/3646 
  Installing       : texlive-cool-10:svn15878.1.35-63.fc38.noarch     2712/3646 
  Installing       : texlive-dyntree-10:svn15878.1.0-63.fc38.noarch   2713/3646 
  Installing       : texlive-frege-10:svn27417.1.3-63.fc38.noarch     2714/3646 
  Installing       : texlive-grundgesetze-10:svn58997-63.fc38.noarc   2715/3646 
  Installing       : texlive-fcavtex-10:svn38074.1.1-63.fc38.noarch   2716/3646 
  Installing       : texlive-loops-10:svn30704.1.3-63.fc38.noarch     2717/3646 
  Installing       : texlive-paresse-10:svn59228-63.fc38.noarch       2718/3646 
  Installing       : texlive-flowchart-10:svn36572.3.3-63.fc38.noar   2719/3646 
  Installing       : texlive-tikz-opm-10:svn32769.0.1.1-63.fc38.noa   2720/3646 
  Installing       : texlive-biblatex-juradiss-10:svn56502-63.fc38.   2721/3646 
  Installing       : texlive-uni-wtal-ger-10:svn31541.0.2-63.fc38.n   2722/3646 
  Installing       : texlive-tikz-dimline-10:svn35805.1.0-63.fc38.n   2723/3646 
  Installing       : texlive-ha-prosper-10:svn59651-63.fc38.noarch    2724/3646 
  Installing       : texlive-prosper-10:svn33033.1.0h-63.fc38.noarc   2725/3646 
  Installing       : texlive-toptesi-10:svn56276-63.fc38.noarch       2726/3646 
  Installing       : texlive-luatextra-10:svn20747.1.0.1-63.fc38.no   2727/3646 
  Installing       : texlive-lua-check-hyphen-10:svn47527-63.fc38.n   2728/3646 
  Installing       : texlive-jmlr-10:svn61957-63.fc38.noarch          2729/3646 
  Installing       : texlive-mathspec-10:svn42773-63.fc38.noarch      2730/3646 
  Installing       : texlive-tui-10:svn27253.1.9-63.fc38.noarch       2731/3646 
  Installing       : texlive-minutes-10:svn42186-63.fc38.noarch       2732/3646 
  Installing       : texlive-thumb-10:svn16549.1.0-63.fc38.noarch     2733/3646 
  Installing       : texlive-moderncv-10:svn62128-63.fc38.noarch      2734/3646 
  Installing       : texlive-algxpar-10:svn56006-63.fc38.noarch       2735/3646 
  Installing       : texlive-neuralnetwork-10:svn31500.1.0-63.fc38.   2736/3646 
  Installing       : texlive-sphdthesis-10:svn34374.1.0-63.fc38.noa   2737/3646 
  Installing       : texlive-aeguill-10:svn15878.0-63.fc38.noarch     2738/3646 
  Installing       : texlive-latex-make-10:svn60874-63.fc38.noarch    2739/3646 
  Installing       : texlive-smflatex-10:svn58910-63.fc38.noarch      2740/3646 
  Installing       : texlive-easyreview-10:svn38352.1.0-63.fc38.noa   2741/3646 
  Installing       : texlive-ffcode-10:svn65170-63.fc38.noarch        2742/3646 
  Installing       : texlive-pythontex-10:svn59514-58.fc38.noarch     2743/3646 
  Installing       : texlive-puyotikz-10:svn57254-63.fc38.noarch      2744/3646 
  Installing       : texlive-cntperchap-10:svn37572.0.3-63.fc38.noa   2745/3646 
  Installing       : texlive-modiagram-10:svn56886-63.fc38.noarch     2746/3646 
  Installing       : texlive-nostarch-10:svn15878.1.3-63.fc38.noarc   2747/3646 
  Installing       : texlive-fouriernc-10:svn29646.0-63.fc38.noarch   2748/3646 
  Installing       : texlive-nlctdoc-10:svn64708-63.fc38.noarch       2749/3646 
  Installing       : texlive-gridpapers-10:svn58723-63.fc38.noarch    2750/3646 
  Installing       : texlive-ppt-slides-10:svn65194-63.fc38.noarch    2751/3646 
  Installing       : texlive-datetime2-en-fulltext-10:svn36705.1.0-   2752/3646 
  Installing       : texlive-datetime2-it-fulltext-10:svn54779-63.f   2753/3646 
  Installing       : texlive-mensa-tex-10:svn45997-63.fc38.noarch     2754/3646 
  Installing       : texlive-cryptocode-10:svn60249-63.fc38.noarch    2755/3646 
  Installing       : texlive-factura-10:svn61697-63.fc38.noarch       2756/3646 
  Installing       : texlive-tikzpackets-10:svn55827-63.fc38.noarch   2757/3646 
  Installing       : texlive-gitver-10:svn63920-63.fc38.noarch        2758/3646 
  Installing       : texlive-jslectureplanner-10:svn57095-63.fc38.n   2759/3646 
  Installing       : texlive-papertex-10:svn19230.1.2b-63.fc38.noar   2760/3646 
  Installing       : texlive-recipebook-10:svn37026.0-63.fc38.noarc   2761/3646 
  Installing       : texlive-moreenum-10:svn24479.1.03-63.fc38.noar   2762/3646 
  Installing       : texlive-cantarell-10:svn54512-63.fc38.noarch     2763/3646 
  Installing       : texlive-comfortaa-10:svn54512-63.fc38.noarch     2764/3646 
  Installing       : texlive-lato-10:svn54512-63.fc38.noarch          2765/3646 
  Installing       : texlive-coolthms-10:svn29062.1.2-63.fc38.noarc   2766/3646 
  Installing       : texlive-enumitem-zref-10:svn21472.1.8-63.fc38.   2767/3646 
  Installing       : texlive-semantic-10:svn15878.2.0-63.fc38.noarc   2768/3646 
  Installing       : texlive-baskervald-10:svn19490.1.016-63.fc38.n   2769/3646 
  Installing       : texlive-berenisadf-10:svn32215.1.004-63.fc38.n   2770/3646 
  Installing       : texlive-cfr-lm-10:svn36195.1.5-63.fc38.noarch    2771/3646 
  Installing       : texlive-electrum-10:svn19705.1.005_b-63.fc38.n   2772/3646 
  Installing       : texlive-libris-10:svn19409.1.007-63.fc38.noarc   2773/3646 
  Installing       : texlive-romande-10:svn19537.1.008_v7_sc-63.fc3   2774/3646 
  Installing       : texlive-venturisadf-10:svn19444.1.005-63.fc38.   2775/3646 
  Installing       : texlive-asmeconf-10:svn64435-63.fc38.noarch      2776/3646 
  Installing       : texlive-asmejour-10:svn63736-63.fc38.noarch      2777/3646 
  Installing       : texlive-newtxsf-10:svn59227-63.fc38.noarch       2778/3646 
  Installing       : texlive-prtec-10:svn51919-63.fc38.noarch         2779/3646 
  Installing       : texlive-association-matrix-10:svn64845-63.fc38   2780/3646 
  Installing       : texlive-grid-system-10:svn32981.0.3.0-63.fc38.   2781/3646 
  Installing       : texlive-rjlparshap-10:svn15878.1.0-63.fc38.noa   2782/3646 
  Installing       : texlive-chemstyle-10:svn31096.2.0m-63.fc38.noa   2783/3646 
  Installing       : texlive-endiagram-10:svn34486.0.1d-63.fc38.noa   2784/3646 
  Installing       : texlive-sankey-10:svn61874-63.fc38.noarch        2785/3646 
  Installing       : texlive-tikz-palattice-10:svn43442-63.fc38.noa   2786/3646 
  Installing       : texlive-verifiche-10:svn64425-63.fc38.noarch     2787/3646 
  Installing       : texlive-cuisine-10:svn34453.0.7-63.fc38.noarch   2788/3646 
  Installing       : texlive-unitsdef-10:svn15878.0.2-63.fc38.noarc   2789/3646 
  Installing       : texlive-utf8add-10:svn61074-63.fc38.noarch       2790/3646 
  Installing       : texlive-calctab-10:svn15878.v0.6.1-63.fc38.noa   2791/3646 
  Installing       : texlive-hecthese-10:svn60455-63.fc38.noarch      2792/3646 
  Installing       : texlive-tabularcalc-10:svn15878.0.2-63.fc38.no   2793/3646 
  Installing       : texlive-glosmathtools-10:svn55920-63.fc38.noar   2794/3646 
  Installing       : texlive-glossaries-extra-10:svn64973-63.fc38.n   2795/3646 
  Installing       : texlive-glossaries-finnish-10:svn54080-63.fc38   2796/3646 
  Installing       : texlive-ryethesis-10:svn33945.1.36-63.fc38.noa   2797/3646 
  Installing       : texlive-skills-10:svn56734-63.fc38.noarch        2798/3646 
  Installing       : texlive-testidx-10:svn60966-63.fc38.noarch       2799/3646 
  Installing       : texlive-bankstatement-10:svn38857-63.fc38.noar   2800/3646 
  Installing       : texlive-properties-10:svn15878.0.2-63.fc38.noa   2801/3646 
  Installing       : texlive-tikz-network-10:svn51884-63.fc38.noarc   2802/3646 
  Installing       : texlive-easyfig-10:svn64967-63.fc38.noarch       2803/3646 
  Installing       : texlive-parsa-10:svn54840-63.fc38.noarch         2804/3646 
  Installing       : texlive-dynkin-diagrams-10:svn58758-63.fc38.no   2805/3646 
  Installing       : texlive-eolang-10:svn65289-63.fc38.noarch        2806/3646 
  Installing       : texlive-forest-10:svn57398-63.fc38.noarch        2807/3646 
  Installing       : texlive-snotez-10:svn61992-63.fc38.noarch        2808/3646 
  Installing       : texlive-stealcaps-10:svn64967-63.fc38.noarch     2809/3646 
  Installing       : texlive-tikz-timing-10:svn64967-63.fc38.noarch   2810/3646 
  Installing       : texlive-ytableau-10:svn59580-63.fc38.noarch      2811/3646 
  Installing       : texlive-debate-10:svn64846-63.fc38.noarch        2812/3646 
  Installing       : texlive-genealogytree-10:svn62759-63.fc38.noar   2813/3646 
  Installing       : texlive-jumplines-10:svn37553.0.2-63.fc38.noar   2814/3646 
  Installing       : texlive-jupynotex-10:svn56715-63.fc38.noarch     2815/3646 
  Installing       : texlive-apxproof-10:svn64715-63.fc38.noarch      2816/3646 
  Installing       : texlive-bhcexam-10:svn64093-63.fc38.noarch       2817/3646 
  Installing       : texlive-ebsthesis-10:svn15878.1.0-63.fc38.noar   2818/3646 
  Installing       : texlive-ejpecp-10:svn60950-63.fc38.noarch        2819/3646 
  Installing       : texlive-gaceta-10:svn15878.1.06-63.fc38.noarch   2820/3646 
  Installing       : texlive-matc3mem-10:svn35773.1.1-63.fc38.noarc   2821/3646 
  Installing       : texlive-pbsheet-10:svn24830.0.1-63.fc38.noarch   2822/3646 
  Installing       : texlive-tabriz-thesis-10:svn51729-63.fc38.noar   2823/3646 
  Installing       : texlive-uaclasses-10:svn15878.0-63.fc38.noarch   2824/3646 
  Installing       : texlive-wsemclassic-10:svn31532.1.0.1-63.fc38.   2825/3646 
  Installing       : texlive-webquiz-10:svn58808-58.fc38.noarch       2826/3646 
  Installing       : texlive-bardiag-10:svn22013.0.4a-63.fc38.noarc   2827/3646 
  Installing       : texlive-colortab-10:svn22155.1.0-63.fc38.noarc   2828/3646 
  Installing       : texlive-jlabels-10:svn24858.0-63.fc38.noarch     2829/3646 
  Installing       : texlive-lsc-10:svn15878.0-63.fc38.noarch         2830/3646 
  Installing       : texlive-msc-10:svn63291-63.fc38.noarch           2831/3646 
  Installing       : texlive-numericplots-10:svn31729.2.0.2-63.fc38   2832/3646 
  Installing       : texlive-ppr-prv-10:svn15878.0.13c-63.fc38.noar   2833/3646 
  Installing       : texlive-pst-blur-10:svn15878.2.0-63.fc38.noarc   2834/3646 
  Installing       : texlive-powerdot-10:svn59272-63.fc38.noarch      2835/3646 
  Installing       : texlive-sfg-10:svn20209.0.91-63.fc38.noarch      2836/3646 
  Installing       : texlive-swimgraf-10:svn25446.0-63.fc38.noarch    2837/3646 
  Installing       : texlive-synproof-10:svn15878.1.0-63.fc38.noarc   2838/3646 
  Installing       : texlive-tableaux-10:svn42413-63.fc38.noarch      2839/3646 
  Installing       : texlive-xymtex-10:svn32182.5.06-63.fc38.noarch   2840/3646 
  Installing       : texlive-randbild-10:svn15878.0.2-63.fc38.noarc   2841/3646 
  Installing       : texlive-asypictureb-10:svn33490.0.3-63.fc38.no   2842/3646 
  Installing       : texlive-bibcop-10:svn65306-63.fc38.noarch        2843/3646 
  Installing       : texlive-engpron-10:svn16558.2-63.fc38.noarch     2844/3646 
  Installing       : texlive-exsol-10:svn48977-63.fc38.noarch         2845/3646 
  Installing       : texlive-tablor-10:svn31855.4.07_g-63.fc38.noar   2846/3646 
  Installing       : texlive-texments-10:svn15878.0.2.0-63.fc38.noa   2847/3646 
  Installing       : texlive-verbments-10:svn23670.1.2-63.fc38.noar   2848/3646 
  Installing       : texlive-bashful-10:svn25597.0.93-63.fc38.noarc   2849/3646 
  Installing       : texlive-embedall-10:svn51177-63.fc38.noarch      2850/3646 
  Installing       : texlive-highlightlatex-10:svn58392-63.fc38.noa   2851/3646 
  Installing       : texlive-lstaddons-10:svn64967-63.fc38.noarch     2852/3646 
  Installing       : texlive-matlab-prettifier-10:svn34323.0.3-63.f   2853/3646 
  Installing       : texlive-sasnrdisplay-10:svn63255-63.fc38.noarc   2854/3646 
  Installing       : texlive-sclang-prettifier-10:svn35087.0.1-63.f   2855/3646 
  Installing       : texlive-vdmlisting-10:svn56905-63.fc38.noarch    2856/3646 
  Installing       : texlive-apa6-10:svn53406-63.fc38.noarch          2857/3646 
  Installing       : texlive-biblatex-apa-10:svn63719-63.fc38.noarc   2858/3646 
  Installing       : texlive-biblatex-bwl-10:svn26556.0.02-63.fc38.   2859/3646 
  Installing       : texlive-biblatex-caspervector-10:svn64866-63.f   2860/3646 
  Installing       : texlive-biblatex-chem-10:svn57904-63.fc38.noar   2861/3646 
  Installing       : texlive-biblatex-chicago-10:svn65037-63.fc38.n   2862/3646 
  Installing       : texlive-biblatex-fiwi-10:svn45876-63.fc38.noar   2863/3646 
  Installing       : texlive-biblatex-gost-10:svn59135-63.fc38.noar   2864/3646 
  Installing       : texlive-biblatex-historian-10:svn19787.0.4-63.   2865/3646 
  Installing       : texlive-biblatex-ieee-10:svn61243-63.fc38.noar   2866/3646 
  Installing       : texlive-biblatex-luh-ipw-10:svn32180.0.3-63.fc   2867/3646 
  Installing       : texlive-biblatex-manuscripts-philology-10:svn5   2868/3646 
  Installing       : texlive-biblatex-mla-10:svn62138-63.fc38.noarc   2869/3646 
  Installing       : texlive-biblatex-musuos-10:svn24097.1.0-63.fc3   2870/3646 
  Installing       : texlive-biblatex-nature-10:svn57262-63.fc38.no   2871/3646 
  Installing       : texlive-biblatex-nejm-10:svn49839-63.fc38.noar   2872/3646 
  Installing       : texlive-biblatex-philosophy-10:svn64414-63.fc3   2873/3646 
  Installing       : texlive-biblatex-phys-10:svn55643-63.fc38.noar   2874/3646 
  Installing       : texlive-biblatex-publist-10:svn65182-63.fc38.n   2875/3646 
  Installing       : texlive-biblatex-realauthor-10:svn45865-63.fc3   2876/3646 
  Installing       : texlive-biblatex-science-10:svn48945-63.fc38.n   2877/3646 
  Installing       : texlive-biblatex-subseries-10:svn43330-63.fc38   2878/3646 
  Installing       : texlive-biblatex-trad-10:svn58169-63.fc38.noar   2879/3646 
  Installing       : texlive-cleanthesis-10:svn51472-63.fc38.noarch   2880/3646 
  Installing       : texlive-gcite-10:svn15878.1.0.1-63.fc38.noarch   2881/3646 
  Installing       : texlive-geschichtsfrkl-10:svn42121-63.fc38.noa   2882/3646 
  Installing       : texlive-oscola-10:svn54328-63.fc38.noarch        2883/3646 
  Installing       : texlive-savetrees-10:svn40525-63.fc38.noarch     2884/3646 
  Installing       : texlive-semproc-10:svn37568.0.1-63.fc38.noarch   2885/3646 
  Installing       : texlive-uni-wtal-lin-10:svn31409.0.2-63.fc38.n   2886/3646 
  Installing       : texlive-br-lex-10:svn44939-63.fc38.noarch        2887/3646 
  Installing       : texlive-crbox-10:svn29803.0.1-63.fc38.noarch     2888/3646 
  Installing       : texlive-blowup-10:svn64466-63.fc38.noarch        2889/3646 
  Installing       : texlive-hc-10:svn15878.0-63.fc38.noarch          2890/3646 
  Installing       : texlive-komacv-10:svn57721-63.fc38.noarch        2891/3646 
  Installing       : texlive-scrlayer-fancyhdr-10:svn63844-63.fc38.   2892/3646 
  Installing       : texlive-sr-vorl-10:svn59333-63.fc38.noarch       2893/3646 
  Installing       : texlive-stdpage-10:svn15878.0.6-63.fc38.noarch   2894/3646 
  Installing       : texlive-volumes-10:svn15878.1.0-63.fc38.noarch   2895/3646 
  Installing       : texlive-ulqda-10:svn26313-58.fc38.noarch         2896/3646 
  Installing       : texlive-adigraph-10:svn49862-63.fc38.noarch      2897/3646 
  Installing       : texlive-alertmessage-10:svn38055.1.1-63.fc38.n   2898/3646 
  Installing       : texlive-algobox-10:svn52204-63.fc38.noarch       2899/3646 
  Installing       : texlive-blox-10:svn57949-63.fc38.noarch          2900/3646 
  Installing       : texlive-bodegraph-10:svn61719-63.fc38.noarch     2901/3646 
  Installing       : texlive-bondgraph-10:svn21670.1.0-63.fc38.noar   2902/3646 
  Installing       : texlive-bondgraphs-10:svn36605.1.0.1-63.fc38.n   2903/3646 
  Installing       : texlive-bookcover-10:svn64078-63.fc38.noarch     2904/3646 
  Installing       : texlive-cartonaugh-10:svn59938-63.fc38.noarch    2905/3646 
  Installing       : texlive-chemfig-10:svn64529-63.fc38.noarch       2906/3646 
  Installing       : texlive-chronology-10:svn37934.1.1.1-63.fc38.n   2907/3646 
  Installing       : texlive-copyrightbox-10:svn24829.0.1-63.fc38.n   2908/3646 
  Installing       : texlive-csvsimple-10:svn64450-63.fc38.noarch     2909/3646 
  Installing       : texlive-drawstack-10:svn28582.0-63.fc38.noarch   2910/3646 
  Installing       : texlive-dynblocks-10:svn35193.0.2b-63.fc38.noa   2911/3646 
  Installing       : texlive-easyfloats-10:svn57204-63.fc38.noarch    2912/3646 
  Installing       : texlive-ecv-10:svn24928.0.3-63.fc38.noarch       2913/3646 
  Installing       : texlive-fancypar-10:svn58895-63.fc38.noarch      2914/3646 
  Installing       : texlive-fancyslides-10:svn36263.1.0-63.fc38.no   2915/3646 
  Installing       : texlive-fast-diagram-10:svn29264.1.1-63.fc38.n   2916/3646 
  Installing       : texlive-figchild-10:svn62945-63.fc38.noarch      2917/3646 
  Installing       : texlive-grafcet-10:svn22509.1.3.5-63.fc38.noar   2918/3646 
  Installing       : texlive-harveyballs-10:svn32003.1.1-63.fc38.no   2919/3646 
  Installing       : texlive-hf-tikz-10:svn34733.0.3a-63.fc38.noarc   2920/3646 
  Installing       : texlive-hobete-10:svn27036.0-63.fc38.noarch      2921/3646 
  Installing       : texlive-incgraph-10:svn60810-63.fc38.noarch      2922/3646 
  Installing       : texlive-interval-10:svn50265-63.fc38.noarch      2923/3646 
  Installing       : texlive-istgame-10:svn62946-63.fc38.noarch       2924/3646 
  Installing       : texlive-karnaugh-map-10:svn61614-63.fc38.noarc   2925/3646 
  Installing       : texlive-karnaughmap-10:svn36989.2.0-63.fc38.no   2926/3646 
  Installing       : texlive-kdgdocs-10:svn24498.1.0-63.fc38.noarch   2927/3646 
  Installing       : texlive-kdpcover-10:svn65150-63.fc38.noarch      2928/3646 
  Installing       : texlive-kvmap-10:svn56361-63.fc38.noarch         2929/3646 
  Installing       : texlive-luasseq-10:svn37877.0-63.fc38.noarch     2930/3646 
  Installing       : texlive-mcexam-10:svn60481-63.fc38.noarch        2931/3646 
  Installing       : texlive-moderntimeline-10:svn55518-63.fc38.noa   2932/3646 
  Installing       : texlive-msu-thesis-10:svn65282-63.fc38.noarch    2933/3646 
  Installing       : texlive-mycv-10:svn26807.1.5.6-63.fc38.noarch    2934/3646 
  Installing       : texlive-oplotsymbl-10:svn44951-63.fc38.noarch    2935/3646 
  Installing       : texlive-orcidlink-10:svn59560-63.fc38.noarch     2936/3646 
  Installing       : texlive-pas-cours-10:svn55859-63.fc38.noarch     2937/3646 
  Installing       : texlive-pas-cv-10:svn32263.2.01-63.fc38.noarch   2938/3646 
  Installing       : texlive-pas-tableur-10:svn39542-63.fc38.noarch   2939/3646 
  Installing       : texlive-pdfmarginpar-10:svn23492.0.92-63.fc38.   2940/3646 
  Installing       : texlive-pdfpc-movie-10:svn48245-63.fc38.noarch   2941/3646 
  Installing       : texlive-pgf-cmykshadings-10:svn52635-63.fc38.n   2942/3646 
  Installing       : texlive-pgf-pie-10:svn63603-63.fc38.noarch       2943/3646 
  Installing       : texlive-pgf-soroban-10:svn32269.1.1-63.fc38.no   2944/3646 
  Installing       : texlive-pgfgantt-10:svn52662-63.fc38.noarch      2945/3646 
  Installing       : texlive-pgfmolbio-10:svn35152.0.21-63.fc38.noa   2946/3646 
  Installing       : texlive-pixelart-10:svn65075-63.fc38.noarch      2947/3646 
  Installing       : texlive-prerex-10:svn54512-63.fc38.noarch        2948/3646 
  Installing       : texlive-pressrelease-10:svn35147.1.0-63.fc38.n   2949/3646 
  Installing       : texlive-productbox-10:svn20886.1.1-63.fc38.noa   2950/3646 
  Installing       : texlive-progressbar-10:svn33822.v1.0b_4-63.fc3   2951/3646 
  Installing       : texlive-proofread-10:svn61719-63.fc38.noarch     2952/3646 
  Installing       : texlive-quiz2socrative-10:svn52276-63.fc38.noa   2953/3646 
  Installing       : texlive-randomwalk-10:svn49513-63.fc38.noarch    2954/3646 
  Installing       : texlive-reflectgraphics-10:svn40612-63.fc38.no   2955/3646 
  Installing       : texlive-reotex-10:svn34924.1.1-63.fc38.noarch    2956/3646 
  Installing       : texlive-ribbonproofs-10:svn31137.1.0-63.fc38.n   2957/3646 
  Installing       : texlive-sa-tikz-10:svn32815.0.7a-63.fc38.noarc   2958/3646 
  Installing       : texlive-scanpages-10:svn42633-63.fc38.noarch     2959/3646 
  Installing       : texlive-schemabloc-10:svn58212-63.fc38.noarch    2960/3646 
  Installing       : texlive-scratch3-10:svn61921-63.fc38.noarch      2961/3646 
  Installing       : texlive-scratchx-10:svn44906-63.fc38.noarch      2962/3646 
  Installing       : texlive-sdaps-10:svn65345-63.fc38.noarch         2963/3646 
  Installing       : texlive-semesterplanner-10:svn56841-63.fc38.no   2964/3646 
  Installing       : texlive-setdeck-10:svn40613-63.fc38.noarch       2965/3646 
  Installing       : texlive-smartdiagram-10:svn42781-63.fc38.noarc   2966/3646 
  Installing       : texlive-sparklines-10:svn42821-63.fc38.noarch    2967/3646 
  Installing       : texlive-spath3-10:svn64818-63.fc38.noarch        2968/3646 
  Installing       : texlive-spot-10:svn22408.1.1-63.fc38.noarch      2969/3646 
  Installing       : texlive-sseq-10:svn31585.2.01-63.fc38.noarch     2970/3646 
  Installing       : texlive-talk-10:svn42428-63.fc38.noarch          2971/3646 
  Installing       : texlive-thumby-10:svn16736.0.1-63.fc38.noarch    2972/3646 
  Installing       : texlive-tikz-3dplot-10:svn25087.0-63.fc38.noar   2973/3646 
  Installing       : texlive-tikz-among-us-10:svn60880-63.fc38.noar   2974/3646 
  Installing       : texlive-tikz-cd-10:svn59133-63.fc38.noarch       2975/3646 
  Installing       : texlive-tikz-dependency-10:svn54512-63.fc38.no   2976/3646 
  Installing       : texlive-tikz-inet-10:svn15878.0.1-63.fc38.noar   2977/3646 
  Installing       : texlive-tikz-kalender-10:svn52890-63.fc38.noar   2978/3646 
  Installing       : texlive-tikz-mirror-lens-10:svn65372-63.fc38.n   2979/3646 
  Installing       : texlive-tikz-optics-10:svn62977-63.fc38.noarch   2980/3646 
  Installing       : texlive-tikz-planets-10:svn55002-63.fc38.noarc   2981/3646 
  Installing       : texlive-tikz-qtree-10:svn26108.1.2-63.fc38.noa   2982/3646 
  Installing       : texlive-tikzinclude-10:svn28715.1.0-63.fc38.no   2983/3646 
  Installing       : texlive-tikzorbital-10:svn36439.0-63.fc38.noar   2984/3646 
  Installing       : texlive-tikzpfeile-10:svn25777.1.0-63.fc38.noa   2985/3646 
  Installing       : texlive-tikzscale-10:svn30637.0.2.6-63.fc38.no   2986/3646 
  Installing       : texlive-tikzsymbols-10:svn61300-63.fc38.noarch   2987/3646 
  Installing       : texlive-timing-diagrams-10:svn31491.0-63.fc38.   2988/3646 
  Installing       : texlive-tkz-orm-10:svn61719-63.fc38.noarch       2989/3646 
  Installing       : texlive-tqft-10:svn44455-63.fc38.noarch          2990/3646 
  Installing       : texlive-tzplot-10:svn64537-63.fc38.noarch        2991/3646 
  Installing       : texlive-utfsym-10:svn63076-63.fc38.noarch        2992/3646 
  Installing       : texlive-venndiagram-10:svn47952-63.fc38.noarch   2993/3646 
  Installing       : texlive-vgrid-10:svn32457.0.1-63.fc38.noarch     2994/3646 
  Installing       : texlive-witharrows-10:svn63087-63.fc38.noarch    2995/3646 
  Installing       : texlive-worldflags-10:svn59171-63.fc38.noarch    2996/3646 
  Installing       : texlive-xmuthesis-10:svn56614-63.fc38.noarch     2997/3646 
  Installing       : texlive-citation-style-language-10:svn65357-58   2998/3646 
  Installing       : texlive-curve-10:svn20745.1.16-63.fc38.noarch    2999/3646 
  Installing       : texlive-pythonimmediate-10:svn65349-63.fc38.no   3000/3646 
  Installing       : texlive-acroterm-10:svn61719-63.fc38.noarch      3001/3646 
  Installing       : texlive-changes-10:svn59950-63.fc38.noarch       3002/3646 
  Installing       : texlive-dithesis-10:svn34295.0.2-63.fc38.noarc   3003/3646 
  Installing       : texlive-doclicense-10:svn63340-63.fc38.noarch    3004/3646 
  Installing       : texlive-rec-thy-10:svn63982-63.fc38.noarch       3005/3646 
  Installing       : texlive-romanbarpagenumber-10:svn36236.1.0-63.   3006/3646 
  Installing       : texlive-tablefootnote-10:svn32804.1.1c-63.fc38   3007/3646 
  Installing       : texlive-tufte-latex-10:svn37649.3.5.2-63.fc38.   3008/3646 
  Installing       : texlive-turabian-formatting-10:svn58561-63.fc3   3009/3646 
  Installing       : texlive-yagusylo-10:svn29803.1.2-63.fc38.noarc   3010/3646 
  Installing       : texlive-axodraw2-10:svn58155-58.fc38.x86_64      3011/3646 
  Installing       : texlive-getmap-10:svn50589-58.fc38.noarch        3012/3646 
  Installing       : texlive-ptex-10:svn62464-58.fc38.x86_64          3013/3646 
  Installing       : texlive-platex-10:svn65305-63.fc38.noarch        3014/3646 
  Installing       : texlive-platex-tools-10:svn64072-63.fc38.noarc   3015/3646 
  Installing       : texlive-yplan-10:svn34398-58.fc38.noarch         3016/3646 
  Installing       : latexmk-4.79-1.fc38.noarch                       3017/3646 
  Installing       : texlive-aastex-10:svn58057-63.fc38.noarch        3018/3646 
  Installing       : texlive-accanthis-10:svn64844-63.fc38.noarch     3019/3646 
  Installing       : texlive-active-conf-10:svn15878.0.3a-63.fc38.n   3020/3646 
  Installing       : texlive-aesupp-10:svn58253-63.fc38.noarch        3021/3646 
  Installing       : texlive-aiaa-10:svn15878.3.6-63.fc38.noarch      3022/3646 
  Installing       : texlive-alegreya-10:svn64384-63.fc38.noarch      3023/3646 
  Installing       : texlive-alg-10:svn15878.0-63.fc38.noarch         3024/3646 
  Installing       : texlive-allrunes-10:svn42221-63.fc38.noarch      3025/3646 
  Installing       : texlive-alnumsec-10:svn15878.v0.03-63.fc38.noa   3026/3646 
  Installing       : texlive-altfont-10:svn15878.1.1-63.fc38.noarch   3027/3646 
  Installing       : texlive-apa6e-10:svn23350.0.3-63.fc38.noarch     3028/3646 
  Installing       : texlive-arraysort-10:svn31576.1.0-63.fc38.noar   3029/3646 
  Installing       : texlive-ascelike-10:svn29129.2.3-63.fc38.noarc   3030/3646 
  Installing       : texlive-assignment-10:svn20431.0-63.fc38.noarc   3031/3646 
  Installing       : texlive-autopdf-10:svn32377.1.1-63.fc38.noarch   3032/3646 
  Installing       : texlive-baskervaldx-10:svn57080-63.fc38.noarch   3033/3646 
  Installing       : texlive-beamerthemenord-10:svn56180-63.fc38.no   3034/3646 
  Installing       : texlive-binomexp-10:svn15878.1.0-63.fc38.noarc   3035/3646 
  Installing       : texlive-biocon-10:svn15878.0-63.fc38.noarch      3036/3646 
  Installing       : texlive-bizcard-10:svn15878.1.1-63.fc38.noarch   3037/3646 
  Installing       : texlive-boxhandler-10:svn28031.1.30-63.fc38.no   3038/3646 
  Installing       : texlive-cabin-10:svn65358-63.fc38.noarch         3039/3646 
  Installing       : texlive-caladea-10:svn64549-63.fc38.noarch       3040/3646 
  Installing       : texlive-carlito-10:svn64624-63.fc38.noarch       3041/3646 
  Installing       : texlive-cascadilla-10:svn25144.1.8.2-63.fc38.n   3042/3646 
  Installing       : texlive-catechis-10:svn59998-63.fc38.noarch      3043/3646 
  Installing       : texlive-cbcoptic-10:svn16666.0.2-63.fc38.noarc   3044/3646 
  Installing       : texlive-cellspace-10:svn61501-63.fc38.noarch     3045/3646 
  Installing       : texlive-chapterfolder-10:svn15878.2.0.1-63.fc3   3046/3646 
  Installing       : texlive-chscite-10:svn28552.2.9999-63.fc38.noa   3047/3646 
  Installing       : texlive-cinzel-10:svn64550-63.fc38.noarch        3048/3646 
  Installing       : texlive-clearsans-10:svn64400-63.fc38.noarch     3049/3646 
  Installing       : texlive-cmll-10:svn17964.0-63.fc38.noarch        3050/3646 
  Installing       : texlive-codedoc-10:svn17630.0.3-63.fc38.noarch   3051/3646 
  Installing       : texlive-colordoc-10:svn18270.0-63.fc38.noarch    3052/3646 
  Installing       : texlive-colorwav-10:svn15878.1.0-63.fc38.noarc   3053/3646 
  Installing       : texlive-comicneue-10:svn54891-63.fc38.noarch     3054/3646 
  Installing       : texlive-commath-10:svn15878.0.3-63.fc38.noarch   3055/3646 
  Installing       : texlive-complexity-10:svn45322-63.fc38.noarch    3056/3646 
  Installing       : texlive-computational-complexity-10:svn44847-6   3057/3646 
  Installing       : texlive-concmath-10:svn17219.0-63.fc38.noarch    3058/3646 
  Installing       : texlive-coseoul-10:svn23862.1.1-63.fc38.noarch   3059/3646 
  Installing       : texlive-coverpage-10:svn63509-63.fc38.noarch     3060/3646 
  Installing       : texlive-ctib-10:svn15878.0-63.fc38.noarch        3061/3646 
  Installing       : texlive-pecha-10:svn15878.0.1-63.fc38.noarch     3062/3646 
  Installing       : texlive-currvita-10:svn15878.0-63.fc38.noarch    3063/3646 
  Installing       : texlive-dantelogo-10:svn38599-63.fc38.noarch     3064/3646 
  Installing       : texlive-dashundergaps-10:svn58150-63.fc38.noar   3065/3646 
  Installing       : texlive-dblfloatfix-10:svn28983.1.0a-63.fc38.n   3066/3646 
  Installing       : texlive-decorule-10:svn55230-63.fc38.noarch      3067/3646 
  Installing       : texlive-dirtytalk-10:svn20520.1.0-63.fc38.noar   3068/3646 
  Installing       : texlive-dotarrow-10:svn15878.0.01a-63.fc38.noa   3069/3646 
  Installing       : texlive-dozenal-10:svn47680-63.fc38.noarch       3070/3646 
  Installing       : texlive-dvdcoll-10:svn15878.v1.1a-63.fc38.noar   3071/3646 
  Installing       : texlive-eco-10:svn29349.1.3-63.fc38.noarch       3072/3646 
  Installing       : texlive-economic-10:svn32639.0-63.fc38.noarch    3073/3646 
  Installing       : texlive-egplot-10:svn20617.1.02a-63.fc38.noarc   3074/3646 
  Installing       : texlive-elbioimp-10:svn21758.1.2-63.fc38.noarc   3075/3646 
  Installing       : texlive-emulateapj-10:svn28469.0-63.fc38.noarc   3076/3646 
  Installing       : texlive-epsdice-10:svn15878.2.1-63.fc38.noarch   3077/3646 
  Installing       : texlive-erewhon-10:svn63312-63.fc38.noarch       3078/3646 
  Installing       : texlive-europasscv-10:svn56829-63.fc38.noarch    3079/3646 
  Installing       : texlive-exam-n-10:svn64674-63.fc38.noarch        3080/3646 
  Installing       : texlive-exam-10:svn64134-63.fc38.noarch          3081/3646 
  Installing       : texlive-exercise-10:svn35417.1.6-63.fc38.noarc   3082/3646 
  Installing       : texlive-extsizes-10:svn17263.1.4a-63.fc38.noar   3083/3646 
  Installing       : texlive-fbb-10:svn55728-63.fc38.noarch           3084/3646 
  Installing       : texlive-fdsymbol-10:svn61719-63.fc38.noarch      3085/3646 
  Installing       : texlive-figsize-10:svn18784.0.1-63.fc38.noarch   3086/3646 
  Installing       : texlive-fithesis-10:svn64135-63.fc38.noarch      3087/3646 
  Installing       : texlive-fixmetodonotes-10:svn30168.0.2.2-63.fc   3088/3646 
  Installing       : texlive-flagderiv-10:svn15878.0.10-63.fc38.noa   3089/3646 
  Installing       : texlive-flashcards-10:svn62104-63.fc38.noarch    3090/3646 
  Installing       : texlive-flashmovie-10:svn25768.0.4-63.fc38.noa   3091/3646 
  Installing       : texlive-flipbook-10:svn25584.0.2-63.fc38.noarc   3092/3646 
  Installing       : texlive-flowfram-10:svn35291.1.17-63.fc38.noar   3093/3646 
  Installing       : texlive-fonetika-10:svn21326.0-63.fc38.noarch    3094/3646 
  Installing       : texlive-frcursive-10:svn24559.0-63.fc38.noarch   3095/3646 
  Installing       : texlive-g-brief-10:svn50415-63.fc38.noarch       3096/3646 
  Installing       : texlive-gillius-10:svn64865-63.fc38.noarch       3097/3646 
  Installing       : texlive-gnuplottex-10:svn54758-63.fc38.noarch    3098/3646 
  Installing       : texlive-gu-10:svn15878.0-63.fc38.noarch          3099/3646 
  Installing       : texlive-hepthesis-10:svn46054-63.fc38.noarch     3100/3646 
  Installing       : texlive-heuristica-10:svn51362-63.fc38.noarch    3101/3646 
  Installing       : texlive-hletter-10:svn30002.4.2-63.fc38.noarch   3102/3646 
  Installing       : texlive-hvindex-10:svn46051-63.fc38.noarch       3103/3646 
  Installing       : texlive-icsv-10:svn15878.0.2-63.fc38.noarch      3104/3646 
  Installing       : texlive-inter-10:svn58892-63.fc38.noarch         3105/3646 
  Installing       : texlive-interactiveworkbook-10:svn15878.0-63.f   3106/3646 
  Installing       : texlive-invoice-10:svn48359-63.fc38.noarch       3107/3646 
  Installing       : texlive-kerntest-10:svn15878.1.32-63.fc38.noar   3108/3646 
  Installing       : texlive-kluwer-10:svn54074-63.fc38.noarch        3109/3646 
  Installing       : texlive-koma-moderncvclassic-10:svn25025.v0.5-   3110/3646 
  Installing       : texlive-labbook-10:svn15878.0-63.fc38.noarch     3111/3646 
  Installing       : texlive-librebaskerville-10:svn64421-63.fc38.n   3112/3646 
  Installing       : texlive-librecaslon-10:svn64432-63.fc38.noarch   3113/3646 
  Installing       : texlive-listofsymbols-10:svn16134.0.2-63.fc38.   3114/3646 
  Installing       : texlive-lobster2-10:svn64442-63.fc38.noarch      3115/3646 
  Installing       : texlive-logicproof-10:svn33254.0-63.fc38.noarc   3116/3646 
  Installing       : texlive-lpic-10:svn20843.0.8-63.fc38.noarch      3117/3646 
  Installing       : texlive-luainputenc-10:svn20491.0.973-63.fc38.   3118/3646 
  Installing       : texlive-chextras-10:svn27118.1.01-63.fc38.noar   3119/3646 
  Installing       : texlive-magra-10:svn57373-63.fc38.noarch         3120/3646 
  Installing       : texlive-mailmerge-10:svn15878.1.0-63.fc38.noar   3121/3646 
  Installing       : texlive-manuscript-10:svn36110.1.7-63.fc38.noa   3122/3646 
  Installing       : texlive-mathexam-10:svn15878.1.00-63.fc38.noar   3123/3646 
  Installing       : texlive-mdsymbol-10:svn28399.0.5-63.fc38.noarc   3124/3646 
  Installing       : texlive-meetingmins-10:svn31878.1.6-63.fc38.no   3125/3646 
  Installing       : texlive-membranecomputing-10:svn64627-63.fc38.   3126/3646 
  Installing       : texlive-merriweather-10:svn64452-63.fc38.noarc   3127/3646 
  Installing       : texlive-miniplot-10:svn17483.0-63.fc38.noarch    3128/3646 
  Installing       : texlive-mintspirit-10:svn64461-63.fc38.noarch    3129/3646 
  Installing       : texlive-msg-10:svn49578-63.fc38.noarch           3130/3646 
  Installing       : texlive-multicap-10:svn15878.0-63.fc38.noarch    3131/3646 
  Installing       : texlive-nature-10:svn21819.1.0-63.fc38.noarch    3132/3646 
  Installing       : texlive-ncclatex-10:svn15878.1.5-63.fc38.noarc   3133/3646 
  Installing       : texlive-nddiss-10:svn45107-63.fc38.noarch        3134/3646 
  Installing       : texlive-newlfm-10:svn15878.9.4-63.fc38.noarch    3135/3646 
  Installing       : texlive-nih-10:svn15878.0-63.fc38.noarch         3136/3646 
  Installing       : texlive-nomentbl-10:svn16549.0.4-63.fc38.noarc   3137/3646 
  Installing       : texlive-nonfloat-10:svn17598.1.0-63.fc38.noarc   3138/3646 
  Installing       : texlive-nrc-10:svn29027.2.01a-63.fc38.noarch     3139/3646 
  Installing       : texlive-nuc-10:svn22256.0.1-63.fc38.noarch       3140/3646 
  Installing       : texlive-ocr-latex-10:svn15878.0-63.fc38.noarch   3141/3646 
  Installing       : texlive-oswald-10:svn60784-63.fc38.noarch        3142/3646 
  Installing       : texlive-outlines-10:svn25192.1.1-63.fc38.noarc   3143/3646 
  Installing       : texlive-overlock-10:svn64495-63.fc38.noarch      3144/3646 
  Installing       : texlive-pauldoc-10:svn16005.0.5-63.fc38.noarch   3145/3646 
  Installing       : texlive-pdfscreen-10:svn42428-63.fc38.noarch     3146/3646 
  Installing       : texlive-pdfslide-10:svn15878.0-63.fc38.noarch    3147/3646 
  Installing       : texlive-philosophersimprint-10:svn56954-63.fc3   3148/3646 
  Installing       : texlive-plates-10:svn15878.0.1-63.fc38.noarch    3149/3646 
  Installing       : texlive-playfair-10:svn64857-63.fc38.noarch      3150/3646 
  Installing       : texlive-powerdot-fuberlin-10:svn52922-63.fc38.   3151/3646 
  Installing       : texlive-pracjourn-10:svn61719-63.fc38.noarch     3152/3646 
  Installing       : texlive-probsoln-10:svn44783-63.fc38.noarch      3153/3646 
  Installing       : texlive-pseudocode-10:svn54080-63.fc38.noarch    3154/3646 
  Installing       : texlive-qcm-10:svn63833-63.fc38.noarch           3155/3646 
  Installing       : texlive-quattrocento-10:svn64372-63.fc38.noarc   3156/3646 
  Installing       : texlive-raleway-10:svn42629-63.fc38.noarch       3157/3646 
  Installing       : texlive-realhats-10:svn63595-63.fc38.noarch      3158/3646 
  Installing       : texlive-recipecard-10:svn15878.2.0-63.fc38.noa   3159/3646 
  Installing       : texlive-refenums-10:svn44131-63.fc38.noarch      3160/3646 
  Installing       : texlive-register-10:svn54485-63.fc38.noarch      3161/3646 
  Installing       : texlive-repeatindex-10:svn24305.0.01-63.fc38.n   3162/3646 
  Installing       : texlive-revtex-10:svn56591-63.fc38.noarch        3163/3646 
  Installing       : texlive-rotpages-10:svn18740.3.0-63.fc38.noarc   3164/3646 
  Installing       : texlive-scalebar-10:svn15878.1.0-63.fc38.noarc   3165/3646 
  Installing       : texlive-schooldocs-10:svn61719-63.fc38.noarch    3166/3646 
  Installing       : texlive-sciposter-10:svn15878.1.18-63.fc38.noa   3167/3646 
  Installing       : texlive-sectionbox-10:svn37749.1.01-63.fc38.no   3168/3646 
  Installing       : texlive-sffms-10:svn15878.2.0-63.fc38.noarch     3169/3646 
  Installing       : texlive-shipunov-10:svn52334-63.fc38.noarch      3170/3646 
  Installing       : texlive-sidecap-10:svn15878.1.6f-63.fc38.noarc   3171/3646 
  Installing       : texlive-simplecd-10:svn29260.1.4-63.fc38.noarc   3172/3646 
  Installing       : texlive-songbook-10:svn18136.4.5-63.fc38.noarc   3173/3646 
  Installing       : texlive-epigraph-keys-10:svn61719-63.fc38.noar   3174/3646 
  Installing       : texlive-sourcesanspro-10:svn54892-63.fc38.noar   3175/3646 
  Installing       : texlive-sourceserifpro-10:svn54512-63.fc38.noa   3176/3646 
  Installing       : texlive-spie-10:svn15878.3.25-63.fc38.noarch     3177/3646 
  Installing       : texlive-srcltx-10:svn15878.1.6-63.fc38.noarch    3178/3646 
  Installing       : texlive-statex-10:svn20306.1.6-63.fc38.noarch    3179/3646 
  Installing       : texlive-statex2-10:svn23961.2.1-63.fc38.noarch   3180/3646 
  Installing       : texlive-stellenbosch-10:svn36696.11a-63.fc38.n   3181/3646 
  Installing       : texlive-stix-10:svn54512-63.fc38.noarch          3182/3646 
  Installing       : texlive-suftesi-10:svn60991-63.fc38.noarch       3183/3646 
  Installing       : texlive-syllogism-10:svn15878.1.2-63.fc38.noar   3184/3646 
  Installing       : texlive-sympytexpackage-10:svn57090-63.fc38.no   3185/3646 
  Installing       : texlive-syntrace-10:svn15878.1.1-63.fc38.noarc   3186/3646 
  Installing       : texlive-termcal-10:svn22514.1.8-63.fc38.noarch   3187/3646 
  Installing       : texlive-texlogos-10:svn19083.1.3.1-63.fc38.noa   3188/3646 
  Installing       : texlive-ticket-10:svn42280-63.fc38.noarch        3189/3646 
  Installing       : texlive-tipauni-10:svn64774-63.fc38.noarch       3190/3646 
  Installing       : texlive-tokenizer-10:svn15878.1.1.0-63.fc38.no   3191/3646 
  Installing       : texlive-turnstile-10:svn64967-63.fc38.noarch     3192/3646 
  Installing       : texlive-typehtml-10:svn17134.0-63.fc38.noarch    3193/3646 
  Installing       : texlive-uassign-10:svn38459-63.fc38.noarch       3194/3646 
  Installing       : texlive-ucdavisthesis-10:svn40772-63.fc38.noar   3195/3646 
  Installing       : texlive-umthesis-10:svn15878.0.2-63.fc38.noarc   3196/3646 
  Installing       : texlive-universalis-10:svn64505-63.fc38.noarch   3197/3646 
  Installing       : texlive-varsfromjobname-10:svn44154-63.fc38.no   3198/3646 
  Installing       : texlive-williams-10:svn15878.0-63.fc38.noarch    3199/3646 
  Installing       : texlive-xyling-10:svn15878.1.1-63.fc38.noarch    3200/3646 
  Installing       : texlive-sdrt-10:svn15878.1.0-63.fc38.noarch      3201/3646 
  Installing       : texlive-york-thesis-10:svn23348.3.6-63.fc38.no   3202/3646 
  Installing       : texlive-cellprops-10:svn57599-63.fc38.noarch     3203/3646 
  Installing       : texlive-citeall-10:svn45975-63.fc38.noarch       3204/3646 
  Installing       : texlive-classics-10:svn53671-63.fc38.noarch      3205/3646 
  Installing       : texlive-conteq-10:svn37868.0.1.1-63.fc38.noarc   3206/3646 
  Installing       : texlive-copyedit-10:svn37928.1.6-63.fc38.noarc   3207/3646 
  Installing       : texlive-diffcoeff-10:svn63590-63.fc38.noarch     3208/3646 
  Installing       : texlive-download-10:svn52257-63.fc38.noarch      3209/3646 
  Installing       : texlive-ebproof-10:svn57544-63.fc38.noarch       3210/3646 
  Installing       : texlive-erw-l3-10:svn61799-63.fc38.noarch        3211/3646 
  Installing       : texlive-gatherenum-10:svn52209-63.fc38.noarch    3212/3646 
  Installing       : texlive-gs1-10:svn59620-63.fc38.noarch           3213/3646 
  Installing       : texlive-gtl-10:svn49527-63.fc38.noarch           3214/3646 
  Installing       : texlive-unravel-10:svn59175-63.fc38.noarch       3215/3646 
  Installing       : texlive-hobby-10:svn44474-63.fc38.noarch         3216/3646 
  Installing       : texlive-lengthconvert-10:svn55064-63.fc38.noar   3217/3646 
  Installing       : texlive-minibox-10:svn30914.0.2a-63.fc38.noarc   3218/3646 
  Installing       : texlive-multicolrule-10:svn56366-63.fc38.noarc   3219/3646 
  Installing       : texlive-notes2bib-10:svn52231-63.fc38.noarch     3220/3646 
  Installing       : texlive-numerica-10:svn61283-63.fc38.noarch      3221/3646 
  Installing       : texlive-pbalance-10:svn64002-63.fc38.noarch      3222/3646 
  Installing       : texlive-prettytok-10:svn63842-63.fc38.noarch     3223/3646 
  Installing       : texlive-pstool-10:svn46393-63.fc38.noarch        3224/3646 
  Installing       : texlive-scontents-10:svn62902-63.fc38.noarch     3225/3646 
  Installing       : texlive-simplebnf-10:svn64091-63.fc38.noarch     3226/3646 
  Installing       : texlive-topiclongtable-10:svn54758-63.fc38.noa   3227/3646 
  Installing       : texlive-xpeek-10:svn61719-63.fc38.noarch         3228/3646 
  Installing       : texlive-biblatex-opcit-booktitle-10:svn48983-6   3229/3646 
  Installing       : texlive-biblatex-source-division-10:svn45379-6   3230/3646 
  Installing       : texlive-indextools-10:svn38931-63.fc38.noarch    3231/3646 
  Installing       : texlive-concepts-10:svn29020.0.0.5_r1-63.fc38.   3232/3646 
  Installing       : texlive-elzcards-10:svn51894-63.fc38.noarch      3233/3646 
  Installing       : texlive-eqexpl-10:svn63629-63.fc38.noarch        3234/3646 
  Installing       : texlive-longdivision-10:svn59979-63.fc38.noarc   3235/3646 
  Installing       : texlive-ninecolors-10:svn62006-63.fc38.noarch    3236/3646 
  Installing       : texlive-physics-10:svn28590.1.3-63.fc38.noarch   3237/3646 
  Installing       : texlive-swfigure-10:svn63255-63.fc38.noarch      3238/3646 
  Installing       : texlive-tucv-10:svn20680.1.0-63.fc38.noarch      3239/3646 
  Installing       : texlive-underoverlap-10:svn29019.0.0.1_r1-63.f   3240/3646 
  Installing       : texlive-unitconv-10:svn55060-63.fc38.noarch      3241/3646 
  Installing       : texlive-wtref-10:svn55558-63.fc38.noarch         3242/3646 
  Installing       : texlive-helmholtz-ellis-ji-notation-10:svn5521   3243/3646 
  Installing       : texlive-realscripts-10:svn56594-63.fc38.noarch   3244/3646 
  Installing       : texlive-xltxtra-10:svn56594-63.fc38.noarch       3245/3646 
  Installing       : texlive-gmutils-10:svn24287.v0.996-63.fc38.noa   3246/3646 
  Installing       : texlive-gmverb-10:svn24288.v0.98-63.fc38.noarc   3247/3646 
  Installing       : texlive-gmdoc-10:svn21292.0.993-63.fc38.noarch   3248/3646 
  Installing       : texlive-gmdoc-enhance-10:svn15878.v0.2-63.fc38   3249/3646 
  Installing       : texlive-beamer2thesis-10:svn27539.2.2-63.fc38.   3250/3646 
  Installing       : texlive-sapthesis-10:svn63810-63.fc38.noarch     3251/3646 
  Installing       : texlive-ot-tableau-10:svn59318-63.fc38.noarch    3252/3646 
  Installing       : texlive-pstring-10:svn42857-63.fc38.noarch       3253/3646 
  Installing       : texlive-ptolemaicastronomy-10:svn50810-63.fc38   3254/3646 
  Installing       : texlive-punk-latex-10:svn27389.1.1-63.fc38.noa   3255/3646 
  Installing       : texlive-punk-10:svn27388.0-63.fc38.noarch        3256/3646 
  Installing       : texlive-punknova-10:svn24649.1.003-63.fc38.noa   3257/3646 
  Installing       : texlive-pxpgfmark-10:svn30212.0.2-63.fc38.noar   3258/3646 
  Installing       : texlive-python-10:svn60162-63.fc38.noarch        3259/3646 
  Installing       : texlive-pythonhighlight-10:svn43191-63.fc38.no   3260/3646 
  Installing       : texlive-qrbill-10:svn64773-63.fc38.noarch        3261/3646 
  Installing       : texlive-qsharp-10:svn49722-63.fc38.noarch        3262/3646 
  Installing       : texlive-qualitype-10:svn54512-63.fc38.noarch     3263/3646 
  Installing       : texlive-quantikz-10:svn54911-63.fc38.noarch      3264/3646 
  Installing       : texlive-quantumarticle-10:svn65242-63.fc38.noa   3265/3646 
  Installing       : texlive-quicktype-10:svn42183-63.fc38.noarch     3266/3646 
  Installing       : texlive-quotmark-10:svn15878.1.0-63.fc38.noarc   3267/3646 
  Installing       : texlive-rank-2-roots-10:svn61719-63.fc38.noarc   3268/3646 
  Installing       : texlive-rbt-mathnotes-10:svn61193-63.fc38.noar   3269/3646 
  Installing       : texlive-rcs-multi-10:svn64967-63.fc38.noarch     3270/3646 
  Installing       : texlive-rcs-10:svn15878.0-63.fc38.noarch         3271/3646 
  Installing       : texlive-readablecv-10:svn61719-63.fc38.noarch    3272/3646 
  Installing       : texlive-recipe-10:svn54080-63.fc38.noarch        3273/3646 
  Installing       : texlive-rectopma-10:svn19980.0-63.fc38.noarch    3274/3646 
  Installing       : texlive-recycle-10:svn15878.0-63.fc38.noarch     3275/3646 
  Installing       : texlive-refcheck-10:svn29128.1.9.1-63.fc38.noa   3276/3646 
  Installing       : texlive-refman-10:svn15878.2.0e-63.fc38.noarch   3277/3646 
  Installing       : texlive-regcount-10:svn19979.1.0-63.fc38.noarc   3278/3646 
  Installing       : texlive-relenc-10:svn22050.0-63.fc38.noarch      3279/3646 
  Installing       : texlive-rescansync-10:svn63856-63.fc38.noarch    3280/3646 
  Installing       : texlive-rest-api-10:svn57068-63.fc38.noarch      3281/3646 
  Installing       : texlive-returntogrid-10:svn48485-63.fc38.noarc   3282/3646 
  Installing       : texlive-revquantum-10:svn43505-63.fc38.noarch    3283/3646 
  Installing       : texlive-revtex4-1-10:svn56590-63.fc38.noarch     3284/3646 
  Installing       : texlive-rgltxdoc-10:svn53858-63.fc38.noarch      3285/3646 
  Installing       : texlive-rlepsf-10:svn19082.0-63.fc38.noarch      3286/3646 
  Installing       : texlive-robotarm-10:svn63116-63.fc38.noarch      3287/3646 
  Installing       : texlive-robustindex-10:svn49877-63.fc38.noarch   3288/3646 
  Installing       : texlive-romanneg-10:svn20087.0-63.fc38.noarch    3289/3646 
  Installing       : texlive-rosario-10:svn51688-63.fc38.noarch       3290/3646 
  Installing       : texlive-roundbox-10:svn29675.0.2-63.fc38.noarc   3291/3646 
  Installing       : texlive-rulerbox-10:svn50984-63.fc38.noarch      3292/3646 
  Installing       : texlive-rulercompass-10:svn32392.1-63.fc38.noa   3293/3646 
  Installing       : texlive-runcode-10:svn64161-63.fc38.noarch       3294/3646 
  Installing       : texlive-rvwrite-10:svn19614.1.2-63.fc38.noarch   3295/3646 
  Installing       : texlive-ryersonsgsthesis-10:svn50119-63.fc38.n   3296/3646 
  Installing       : texlive-sanitize-umlaut-10:svn63770-63.fc38.no   3297/3646 
  Installing       : texlive-sansmath-10:svn17997.1.1-63.fc38.noarc   3298/3646 
  Installing       : texlive-sansmathfonts-10:svn64661-63.fc38.noar   3299/3646 
  Installing       : texlive-sauter-10:svn13293.2.4-63.fc38.noarch    3300/3646 
  Installing       : texlive-sauterfonts-10:svn15878.0-63.fc38.noar   3301/3646 
  Installing       : texlive-savefnmark-10:svn15878.1.0-63.fc38.noa   3302/3646 
  Installing       : texlive-savesym-10:svn31565.1.2-63.fc38.noarch   3303/3646 
  Installing       : texlive-scale-10:svn15878.1.1.2-63.fc38.noarch   3304/3646 
  Installing       : texlive-scalerel-10:svn42809-63.fc38.noarch      3305/3646 
  Installing       : texlive-schola-otf-10:svn64734-63.fc38.noarch    3306/3646 
  Installing       : texlive-scholax-10:svn61836-63.fc38.noarch       3307/3646 
  Installing       : texlive-schulschriften-10:svn59388-63.fc38.noa   3308/3646 
  Installing       : texlive-scientific-thesis-cover-10:svn47923-63   3309/3646 
  Installing       : texlive-scrambledenvs-10:svn60615-63.fc38.noar   3310/3646 
  Installing       : texlive-scratch-10:svn50073-63.fc38.noarch       3311/3646 
  Installing       : texlive-scripture-10:svn64910-63.fc38.noarch     3312/3646 
  Installing       : texlive-scrlttr2copy-10:svn56733-63.fc38.noarc   3313/3646 
  Installing       : texlive-scsnowman-10:svn54080-63.fc38.noarch     3314/3646 
  Installing       : texlive-se2thesis-10:svn65093-63.fc38.noarch     3315/3646 
  Installing       : texlive-secdot-10:svn20208.1.0-63.fc38.noarch    3316/3646 
  Installing       : texlive-secnum-10:svn61813-63.fc38.noarch        3317/3646 
  Installing       : texlive-section-10:svn20180.0-63.fc38.noarch     3318/3646 
  Installing       : texlive-sectionbreak-10:svn50339-63.fc38.noarc   3319/3646 
  Installing       : texlive-selectp-10:svn20185.1.0-63.fc38.noarch   3320/3646 
  Installing       : texlive-semantic-markup-10:svn53607-63.fc38.no   3321/3646 
  Installing       : texlive-semaphor-10:svn18651.0-63.fc38.noarch    3322/3646 
  Installing       : texlive-sepfootnotes-10:svn41732-63.fc38.noarc   3323/3646 
  Installing       : texlive-sepnum-10:svn20186.2.0-63.fc38.noarch    3324/3646 
  Installing       : texlive-sesstime-10:svn49750-63.fc38.noarch      3325/3646 
  Installing       : texlive-seu-ml-assign-10:svn62933-63.fc38.noar   3326/3646 
  Installing       : texlive-seuthesis-10:svn33042.2.1.2-63.fc38.no   3327/3646 
  Installing       : texlive-seuthesix-10:svn40088-63.fc38.noarch     3328/3646 
  Installing       : texlive-sf298-10:svn41653-63.fc38.noarch         3329/3646 
  Installing       : texlive-sfmath-10:svn15878.0.8-63.fc38.noarch    3330/3646 
  Installing       : texlive-shapepar-10:svn30708.2.2-63.fc38.noarc   3331/3646 
  Installing       : texlive-shobhika-10:svn50555-63.fc38.noarch      3332/3646 
  Installing       : texlive-shortmathj-10:svn54407-63.fc38.noarch    3333/3646 
  Installing       : texlive-show2e-10:svn15878.1.0-63.fc38.noarch    3334/3646 
  Installing       : texlive-showcharinbox-10:svn29803.0.1-63.fc38.   3335/3646 
  Installing       : texlive-showdim-10:svn28918.1.2-63.fc38.noarch   3336/3646 
  Installing       : texlive-showlabels-10:svn63940-63.fc38.noarch    3337/3646 
  Installing       : texlive-showtags-10:svn20336.1.05-63.fc38.noar   3338/3646 
  Installing       : texlive-shuffle-10:svn15878.1.0-63.fc38.noarch   3339/3646 
  Installing       : texlive-sidenotesplus-10:svn63867-63.fc38.noar   3340/3646 
  Installing       : texlive-signchart-10:svn39707-63.fc38.noarch     3341/3646 
  Installing       : texlive-sillypage-10:svn64034-63.fc38.noarch     3342/3646 
  Installing       : texlive-simplecv-10:svn35537.1.6a-63.fc38.noar   3343/3646 
  Installing       : texlive-simpleicons-10:svn65288-63.fc38.noarch   3344/3646 
  Installing       : texlive-simpleinvoice-10:svn45673-63.fc38.noar   3345/3646 
  Installing       : texlive-simplenodes-10:svn62888-63.fc38.noarch   3346/3646 
  Installing       : texlive-simpleoptics-10:svn62977-63.fc38.noarc   3347/3646 
  Installing       : texlive-simpler-wick-10:svn39074-63.fc38.noarc   3348/3646 
  Installing       : texlive-simples-matrices-10:svn63802-63.fc38.n   3349/3646 
  Installing       : texlive-simplewick-10:svn15878.1.2a-63.fc38.no   3350/3646 
  Installing       : texlive-sitem-10:svn22136.1.0-63.fc38.noarch     3351/3646 
  Installing       : texlive-skull-10:svn51907-63.fc38.noarch         3352/3646 
  Installing       : texlive-smalltableof-10:svn20333.0-63.fc38.noa   3353/3646 
  Installing       : texlive-smart-eqn-10:svn61719-63.fc38.noarch     3354/3646 
  Installing       : texlive-smartref-10:svn20311.1.9-63.fc38.noarc   3355/3646 
  Installing       : texlive-smartunits-10:svn39592-63.fc38.noarch    3356/3646 
  Installing       : texlive-snapshot-10:svn56735-63.fc38.noarch      3357/3646 
  Installing       : texlive-snaptodo-10:svn61155-63.fc38.noarch      3358/3646 
  Installing       : texlive-sort-by-letters-10:svn27128.0-63.fc38.   3359/3646 
  Installing       : texlive-spacingtricks-10:svn60559-63.fc38.noar   3360/3646 
  Installing       : texlive-spalign-10:svn42225-63.fc38.noarch       3361/3646 
  Installing       : texlive-spark-otf-10:svn62481-63.fc38.noarch     3362/3646 
  Installing       : texlive-spbmark-10:svn64706-63.fc38.noarch       3363/3646 
  Installing       : texlive-spectral-10:svn64528-63.fc38.noarch      3364/3646 
  Installing       : texlive-spectralsequences-10:svn62261-63.fc38.   3365/3646 
  Installing       : texlive-sphack-10:svn20842.0-63.fc38.noarch      3366/3646 
  Installing       : texlive-splitbib-10:svn15878.1.17-63.fc38.noar   3367/3646 
  Installing       : texlive-spverbatim-10:svn15878.v1.0-63.fc38.no   3368/3646 
  Installing       : texlive-srbook-mem-10:svn45818-63.fc38.noarch    3369/3646 
  Installing       : texlive-srdp-mathematik-10:svn65293-63.fc38.no   3370/3646 
  Installing       : texlive-sslides-10:svn32293.0-63.fc38.noarch     3371/3646 
  Installing       : texlive-stack-10:svn15878.1.00-63.fc38.noarch    3372/3646 
  Installing       : texlive-stanli-10:svn54512-63.fc38.noarch        3373/3646 
  Installing       : texlive-starfont-10:svn19982.1.2-63.fc38.noarc   3374/3646 
  Installing       : texlive-statistics-10:svn52212-63.fc38.noarch    3375/3646 
  Installing       : texlive-statmath-10:svn46925-63.fc38.noarch      3376/3646 
  Installing       : texlive-staves-10:svn15878.0-63.fc38.noarch      3377/3646 
  Installing       : texlive-step-10:svn57307-63.fc38.noarch          3378/3646 
  Installing       : texlive-stepgreek-10:svn57074-63.fc38.noarch     3379/3646 
  Installing       : texlive-stickstoo-10:svn60793-63.fc38.noarch     3380/3646 
  Installing       : texlive-stix2-otf-10:svn58735-63.fc38.noarch     3381/3646 
  Installing       : texlive-strands-10:svn59906-63.fc38.noarch       3382/3646 
  Installing       : texlive-structmech-10:svn58985-63.fc38.noarch    3383/3646 
  Installing       : texlive-studenthandouts-10:svn43516-63.fc38.no   3384/3646 
  Installing       : texlive-styledcmd-10:svn65262-63.fc38.noarch     3385/3646 
  Installing       : texlive-subdocs-10:svn51480-63.fc38.noarch       3386/3646 
  Installing       : texlive-subeqn-10:svn15878.2.0b-63.fc38.noarch   3387/3646 
  Installing       : texlive-subeqnarray-10:svn15878.2.1c-63.fc38.n   3388/3646 
  Installing       : texlive-subsupscripts-10:svn16080.1.0-63.fc38.   3389/3646 
  Installing       : texlive-subtext-10:svn51273-63.fc38.noarch       3390/3646 
  Installing       : texlive-sugconf-10:svn58752-63.fc38.noarch       3391/3646 
  Installing       : texlive-susy-10:svn19440.0-63.fc38.noarch        3392/3646 
  Installing       : texlive-svgcolor-10:svn15878.1.0-63.fc38.noarc   3393/3646 
  Installing       : texlive-svn-10:svn15878.43-63.fc38.noarch        3394/3646 
  Installing       : texlive-svrsymbols-10:svn50019-63.fc38.noarch    3395/3646 
  Installing       : texlive-swungdash-10:svn64204-63.fc38.noarch     3396/3646 
  Installing       : texlive-symbats3-10:svn63833-63.fc38.noarch      3397/3646 
  Installing       : texlive-syntax-10:svn15878.0-63.fc38.noarch      3398/3646 
  Installing       : texlive-syntaxdi-10:svn56685-63.fc38.noarch      3399/3646 
  Installing       : texlive-tabbing-10:svn59715-63.fc38.noarch       3400/3646 
  Installing       : texlive-table-fct-10:svn41849-63.fc38.noarch     3401/3646 
  Installing       : texlive-tabls-10:svn17255.3.5-63.fc38.noarch     3402/3646 
  Installing       : texlive-tablvar-10:svn51543-63.fc38.noarch       3403/3646 
  Installing       : texlive-tabularray-10:svn64891-63.fc38.noarch    3404/3646 
  Installing       : texlive-tagpdf-10:svn65346-63.fc38.noarch        3405/3646 
  Installing       : texlive-tamefloats-10:svn27345.v0.42-63.fc38.n   3406/3646 
  Installing       : texlive-tapir-10:svn20484.0.2-63.fc38.noarch     3407/3646 
  Installing       : texlive-technics-10:svn29349.1.0-63.fc38.noarc   3408/3646 
  Installing       : texlive-technion-thesis-template-10:svn49889-6   3409/3646 
  Installing       : texlive-ted-10:svn15878.1.06-63.fc38.noarch      3410/3646 
  Installing       : texlive-tempora-10:svn39596-63.fc38.noarch       3411/3646 
  Installing       : texlive-tensind-10:svn51481-63.fc38.noarch       3412/3646 
  Installing       : texlive-tensor-10:svn15878.2.1-63.fc38.noarch    3413/3646 
  Installing       : texlive-termes-otf-10:svn64733-63.fc38.noarch    3414/3646 
  Installing       : texlive-termlist-10:svn18923.1.1-63.fc38.noarc   3415/3646 
  Installing       : texlive-termsim-10:svn61414-63.fc38.noarch       3416/3646 
  Installing       : texlive-testhyphens-10:svn38928-63.fc38.noarch   3417/3646 
  Installing       : texlive-tex-ewd-10:svn15878.0-63.fc38.noarch     3418/3646 
  Installing       : texlive-tex-gyre-math-10:svn41264-63.fc38.noar   3419/3646 
  Installing       : texlive-tex-locale-10:svn48500-63.fc38.noarch    3420/3646 
  Installing       : texlive-texilikechaps-10:svn28553.1.0a-63.fc38   3421/3646 
  Installing       : texlive-texilikecover-10:svn15878.0.1-63.fc38.   3422/3646 
  Installing       : texlive-texlive-msg-translations-10:svn63700-6   3423/3646 
  Installing       : texlive-texsurgery-10:svn59885-63.fc38.noarch    3424/3646 
  Installing       : texlive-textcsc-10:svn64935-63.fc38.noarch       3425/3646 
  Installing       : texlive-textfit-10:svn20591.5-63.fc38.noarch     3426/3646 
  Installing       : texlive-textmerg-10:svn20677.2.01-63.fc38.noar   3427/3646 
  Installing       : texlive-textualicomma-10:svn48474-63.fc38.noar   3428/3646 
  Installing       : texlive-texvc-10:svn46844-63.fc38.noarch         3429/3646 
  Installing       : texlive-tfrupee-10:svn20770.1.02-63.fc38.noarc   3430/3646 
  Installing       : texlive-theanodidot-10:svn64518-63.fc38.noarch   3431/3646 
  Installing       : texlive-theanomodern-10:svn64520-63.fc38.noarc   3432/3646 
  Installing       : texlive-theanooldstyle-10:svn64519-63.fc38.noa   3433/3646 
  Installing       : texlive-theoremref-10:svn54512-63.fc38.noarch    3434/3646 
  Installing       : texlive-thermodynamics-10:svn63188-63.fc38.noa   3435/3646 
  Installing       : texlive-thesis-gwu-10:svn54287-63.fc38.noarch    3436/3646 
  Installing       : texlive-thesis-qom-10:svn63524-63.fc38.noarch    3437/3646 
  Installing       : texlive-thinsp-10:svn39669-63.fc38.noarch        3438/3646 
  Installing       : texlive-thuaslogos-10:svn51347-63.fc38.noarch    3439/3646 
  Installing       : texlive-thubeamer-10:svn61071-63.fc38.noarch     3440/3646 
  Installing       : texlive-thucoursework-10:svn56435-63.fc38.noar   3441/3646 
  Installing       : texlive-tikz-bagua-10:svn64103-63.fc38.noarch    3442/3646 
  Installing       : texlive-tikz-bayesnet-10:svn38295.0.1-63.fc38.   3443/3646 
  Installing       : texlive-tikz-bbox-10:svn57444-63.fc38.noarch     3444/3646 
  Installing       : texlive-tikz-ext-10:svn64920-63.fc38.noarch      3445/3646 
  Installing       : texlive-tikz-feynhand-10:svn51915-63.fc38.noar   3446/3646 
  Installing       : texlive-tikz-feynman-10:svn56615-63.fc38.noarc   3447/3646 
  Installing       : texlive-tikz-imagelabels-10:svn51490-63.fc38.n   3448/3646 
  Installing       : texlive-tikz-karnaugh-10:svn62040-63.fc38.noar   3449/3646 
  Installing       : texlive-tikz-ladder-10:svn62992-63.fc38.noarch   3450/3646 
  Installing       : texlive-tikz-layers-10:svn46660-63.fc38.noarch   3451/3646 
  Installing       : texlive-tikz-nef-10:svn55920-63.fc38.noarch      3452/3646 
  Installing       : texlive-tikz-page-10:svn42039-63.fc38.noarch     3453/3646 
  Installing       : texlive-tikz-relay-10:svn64072-63.fc38.noarch    3454/3646 
  Installing       : texlive-tikz-sfc-10:svn49424-63.fc38.noarch      3455/3646 
  Installing       : texlive-tikz-swigs-10:svn59889-63.fc38.noarch    3456/3646 
  Installing       : texlive-tikz-trackschematic-10:svn63480-63.fc3   3457/3646 
  Installing       : texlive-tikz-truchet-10:svn50020-63.fc38.noarc   3458/3646 
  Installing       : texlive-tikzbricks-10:svn63952-63.fc38.noarch    3459/3646 
  Installing       : texlive-tikzcodeblocks-10:svn54758-63.fc38.noa   3460/3646 
  Installing       : texlive-tikzducks-10:svn55713-63.fc38.noarch     3461/3646 
  Installing       : texlive-tikzfill-10:svn63947-63.fc38.noarch      3462/3646 
  Installing       : texlive-tikzlings-10:svn63628-63.fc38.noarch     3463/3646 
  Installing       : texlive-tikzmark-10:svn64819-63.fc38.noarch      3464/3646 
  Installing       : texlive-tikzmarmots-10:svn54080-63.fc38.noarch   3465/3646 
  Installing       : texlive-tikzpeople-10:svn43978-63.fc38.noarch    3466/3646 
  Installing       : texlive-tikzpingus-10:svn64199-63.fc38.noarch    3467/3646 
  Installing       : texlive-tile-graphic-10:svn55325-63.fc38.noarc   3468/3646 
  Installing       : texlive-timbreicmc-10:svn49740-63.fc38.noarch    3469/3646 
  Installing       : texlive-tinos-10:svn64504-63.fc38.noarch         3470/3646 
  Installing       : texlive-tiscreen-10:svn62602-63.fc38.noarch      3471/3646 
  Installing       : texlive-titlefoot-10:svn15878.0-63.fc38.noarch   3472/3646 
  Installing       : texlive-titlepic-10:svn43497-63.fc38.noarch      3473/3646 
  Installing       : texlive-titleref-10:svn18729.3.1-63.fc38.noarc   3474/3646 
  Installing       : texlive-tkz-doc-10:svn63902-63.fc38.noarch       3475/3646 
  Installing       : texlive-tkzexample-10:svn63908-63.fc38.noarch    3476/3646 
  Installing       : texlive-tlc-article-10:svn51431-63.fc38.noarch   3477/3646 
  Installing       : texlive-tocdata-10:svn55852-63.fc38.noarch       3478/3646 
  Installing       : texlive-tokcycle-10:svn60320-63.fc38.noarch      3479/3646 
  Installing       : texlive-tonevalue-10:svn60058-63.fc38.noarch     3480/3646 
  Installing       : texlive-topfloat-10:svn19084.0-63.fc38.noarch    3481/3646 
  Installing       : texlive-topletter-10:svn48182-63.fc38.noarch     3482/3646 
  Installing       : texlive-tree-dvips-10:svn21751.91-63.fc38.noar   3483/3646 
  Installing       : texlive-linguex-10:svn30815.4.3-63.fc38.noarch   3484/3646 
  Installing       : texlive-philex-10:svn36396.1.3-63.fc38.noarch    3485/3646 
  Installing       : texlive-trfsigns-10:svn15878.1.01-63.fc38.noar   3486/3646 
  Installing       : texlive-trsym-10:svn18732.1.0-63.fc38.noarch     3487/3646 
  Installing       : texlive-tsemlines-10:svn23440.1.0-63.fc38.noar   3488/3646 
  Installing       : texlive-tugboat-plain-10:svn63386-63.fc38.noar   3489/3646 
  Installing       : texlive-turabian-10:svn36298.0.1.0-63.fc38.noa   3490/3646 
  Installing       : texlive-twemoji-colr-10:svn64854-63.fc38.noarc   3491/3646 
  Installing       : texlive-twemojis-10:svn62930-63.fc38.noarch      3492/3646 
  Installing       : texlive-twoinone-10:svn17024.0-63.fc38.noarch    3493/3646 
  Installing       : texlive-twoup-10:svn15878.1.3-63.fc38.noarch     3494/3646 
  Installing       : texlive-txuprcal-10:svn43327-63.fc38.noarch      3495/3646 
  Installing       : texlive-typed-checklist-10:svn63445-63.fc38.no   3496/3646 
  Installing       : texlive-typicons-10:svn37623.2.0.7-63.fc38.noa   3497/3646 
  Installing       : texlive-typoaid-10:svn44238-63.fc38.noarch       3498/3646 
  Installing       : texlive-uafthesis-10:svn57349-63.fc38.noarch     3499/3646 
  Installing       : texlive-ucalgmthesis-10:svn52527-63.fc38.noarc   3500/3646 
  Installing       : texlive-ucbthesis-10:svn51690-63.fc38.noarch     3501/3646 
  Installing       : texlive-ucsmonograph-10:svn52698-63.fc38.noarc   3502/3646 
  Installing       : texlive-ucthesis-10:svn15878.3.2-63.fc38.noarc   3503/3646 
  Installing       : texlive-udes-genie-these-10:svn65039-63.fc38.n   3504/3646 
  Installing       : texlive-ufrgscca-10:svn65284-63.fc38.noarch      3505/3646 
  Installing       : texlive-uhc-10:svn16791.0-63.fc38.noarch         3506/3646 
  Installing       : texlive-uhhassignment-10:svn44026-63.fc38.noar   3507/3646 
  Installing       : texlive-ukbill-10:svn65266-63.fc38.noarch        3508/3646 
  Installing       : texlive-umbclegislation-10:svn41348-63.fc38.no   3509/3646 
  Installing       : texlive-umoline-10:svn19085.0-63.fc38.noarch     3510/3646 
  Installing       : texlive-umtypewriter-10:svn64443-63.fc38.noarc   3511/3646 
  Installing       : texlive-unam-thesis-10:svn51207-63.fc38.noarch   3512/3646 
  Installing       : texlive-unbtex-10:svn64634-63.fc38.noarch        3513/3646 
  Installing       : texlive-underlin-10:svn15878.1.01-63.fc38.noar   3514/3646 
  Installing       : texlive-uni-titlepage-10:svn64306-63.fc38.noar   3515/3646 
  Installing       : texlive-unicodefonttable-10:svn65009-63.fc38.n   3516/3646 
  Installing       : texlive-unifith-10:svn60698-63.fc38.noarch       3517/3646 
  Installing       : texlive-unigrazpub-10:svn64797-63.fc38.noarch    3518/3646 
  Installing       : texlive-unisc-10:svn63178-63.fc38.noarch         3519/3646 
  Installing       : texlive-unitn-bimrep-10:svn45581-63.fc38.noarc   3520/3646 
  Installing       : texlive-universa-10:svn51984-63.fc38.noarch      3521/3646 
  Installing       : texlive-univie-ling-10:svn65214-63.fc38.noarch   3522/3646 
  Installing       : texlive-unizgklasa-10:svn51647-63.fc38.noarch    3523/3646 
  Installing       : texlive-uppunctlm-10:svn42334-63.fc38.noarch     3524/3646 
  Installing       : texlive-uptex-base-10:svn64072-63.fc38.noarch    3525/3646 
  Installing       : texlive-uptex-10:svn62464-58.fc38.x86_64         3526/3646 
  Installing       : texlive-uplatex-10:svn65305-63.fc38.noarch       3527/3646 
  Installing       : texlive-uspace-10:svn63123-63.fc38.noarch        3528/3646 
  Installing       : texlive-uspatent-10:svn27744.1.0-63.fc38.noarc   3529/3646 
  Installing       : texlive-utexasthesis-10:svn48648-63.fc38.noarc   3530/3646 
  Installing       : texlive-uwa-colours-10:svn60443-63.fc38.noarch   3531/3646 
  Installing       : texlive-uwa-letterhead-10:svn64491-63.fc38.noa   3532/3646 
  Installing       : texlive-uwa-pcf-10:svn64491-63.fc38.noarch       3533/3646 
  Installing       : texlive-uwa-pif-10:svn64491-63.fc38.noarch       3534/3646 
  Installing       : texlive-uwthesis-10:svn15878.6.13-63.fc38.noar   3535/3646 
  Installing       : texlive-vak-10:svn23431.0-63.fc38.noarch         3536/3646 
  Installing       : texlive-vancouver-10:svn59192-63.fc38.noarch     3537/3646 
  Installing       : texlive-variablelm-10:svn60014-63.fc38.noarch    3538/3646 
  Installing       : texlive-vcell-10:svn59039-63.fc38.noarch         3539/3646 
  Installing       : texlive-venn-10:svn15878.0-63.fc38.noarch        3540/3646 
  Installing       : texlive-verbdef-10:svn17177.0.2-63.fc38.noarch   3541/3646 
  Installing       : texlive-verse-10:svn34017.2.4b-63.fc38.noarch    3542/3646 
  Installing       : texlive-musuos-10:svn24857.1.1d-63.fc38.noarch   3543/3646 
  Installing       : texlive-version-10:svn21920.2.0-63.fc38.noarch   3544/3646 
  Installing       : texlive-versonotes-10:svn55777-63.fc38.noarch    3545/3646 
  Installing       : texlive-vruler-10:svn21598.2.3-63.fc38.noarch    3546/3646 
  Installing       : texlive-vtable-10:svn51126-63.fc38.noarch        3547/3646 
  Installing       : texlive-wadalab-10:svn42428-63.fc38.noarch       3548/3646 
  Installing       : texlive-cjk-10:svn60865-63.fc38.noarch           3549/3646 
  Installing       : texlive-huawei-10:svn65264-63.fc38.noarch        3550/3646 
  Installing       : texlive-pkuthss-10:svn64869-63.fc38.noarch       3551/3646 
  Installing       : texlive-thuthesis-10:svn64628-63.fc38.noarch     3552/3646 
  Installing       : texlive-wallcalendar-10:svn45568-63.fc38.noarc   3553/3646 
  Installing       : texlive-wheelchart-10:svn64373-63.fc38.noarch    3554/3646 
  Installing       : texlive-widows-and-orphans-10:svn64212-63.fc38   3555/3646 
  Installing       : texlive-willowtreebook-10:svn60638-63.fc38.noa   3556/3646 
  Installing       : texlive-windycity-10:svn61223-63.fc38.noarch     3557/3646 
  Installing       : texlive-worksheet-10:svn48423-63.fc38.noarch     3558/3646 
  Installing       : texlive-wrapfig2-10:svn62039-63.fc38.noarch      3559/3646 
  Installing       : texlive-wrapstuff-10:svn64058-63.fc38.noarch     3560/3646 
  Installing       : texlive-wsuipa-10:svn25469.0-63.fc38.noarch      3561/3646 
  Installing       : texlive-xassoccnt-10:svn61112-63.fc38.noarch     3562/3646 
  Installing       : texlive-xbmks-10:svn53448-63.fc38.noarch         3563/3646 
  Installing       : texlive-xcharter-math-10:svn64927-63.fc38.noar   3564/3646 
  Installing       : texlive-xcntperchap-10:svn54080-63.fc38.noarch   3565/3646 
  Installing       : texlive-xcolor-material-10:svn42289-63.fc38.no   3566/3646 
  Installing       : texlive-xcpdftips-10:svn50449-63.fc38.noarch     3567/3646 
  Installing       : texlive-xduthesis-10:svn63116-63.fc38.noarch     3568/3646 
  Installing       : texlive-xduts-10:svn65243-63.fc38.noarch         3569/3646 
  Installing       : texlive-xellipsis-10:svn47546-63.fc38.noarch     3570/3646 
  Installing       : texlive-xetexconfig-10:svn45845-63.fc38.noarch   3571/3646 
  Installing       : texlive-dvipdfmx-10:svn61101-58.fc38.x86_64      3572/3646 
  Installing       : texlive-xetex-10:svn62387-58.fc38.x86_64         3573/3646 
  Installing       : texlive-collection-basic-10:svn59159-63.fc38.n   3574/3646 
  Installing       : texlive-collection-latex-10:svn63515-63.fc38.n   3575/3646 
  Installing       : texlive-collection-latexrecommended-10:svn6354   3576/3646 
  Installing       : R-core-4.2.2-6.fc38.x86_64                       3577/3646 
  Running scriptlet: R-core-4.2.2-6.fc38.x86_64                       3577/3646 
  Installing       : R-evaluate-0.15-1.fc38.noarch                    3578/3646 
  Installing       : R-stringi-1.7.8-2.fc38.x86_64                    3579/3646 
  Installing       : R-yaml-2.3.5-1.fc38.x86_64                       3580/3646 
  Installing       : R-cli-3.6.0-1.fc38.x86_64                        3581/3646 
  Installing       : R-lifecycle-1.0.3-1.fc38.noarch                  3582/3646 
  Installing       : R-magrittr-2.0.3-1.fc38.x86_64                   3583/3646 
  Installing       : R-rlang-1.0.6-1.fc38.x86_64                      3584/3646 
  Installing       : R-glue-1.6.2-1.fc38.x86_64                       3585/3646 
  Installing       : R-stringr-1.5.0-1.fc38.noarch                    3586/3646 
  Installing       : R-vctrs-0.5.1-1.fc38.x86_64                      3587/3646 
  Installing       : R-highr-0.10-1.fc38.noarch                       3588/3646 
  Installing       : R-xfun-0.36-1.fc38.x86_64                        3589/3646 
  Installing       : R-knitr-1.39-1.fc38.noarch                       3590/3646 
  Installing       : texlive-includernw-10:svn47557-63.fc38.noarch    3591/3646 
  Installing       : tex-preview-13.1-2.fc37.noarch                   3592/3646 
  Installing       : texlive-xistercian-10:svn61366-63.fc38.noarch    3593/3646 
  Installing       : texlive-xkcdcolors-10:svn54512-63.fc38.noarch    3594/3646 
  Installing       : texlive-xltabular-10:svn56855-63.fc38.noarch     3595/3646 
  Installing       : texlive-xnewcommand-10:svn15878.1.2-63.fc38.no   3596/3646 
  Installing       : texlive-xoptarg-10:svn15878.1.0-63.fc38.noarch   3597/3646 
  Installing       : texlive-xsavebox-10:svn64049-63.fc38.noarch      3598/3646 
  Installing       : texlive-xurl-10:svn61553-63.fc38.noarch          3599/3646 
  Installing       : texlive-yafoot-10:svn48568-63.fc38.noarch        3600/3646 
  Installing       : texlive-yaletter-10:svn42830-63.fc38.noarch      3601/3646 
  Installing       : texlive-yazd-thesis-10:svn61719-63.fc38.noarch   3602/3646 
  Installing       : texlive-ycbook-10:svn46201-63.fc38.noarch        3603/3646 
  Installing       : texlive-yfonts-otf-10:svn65030-63.fc38.noarch    3604/3646 
  Installing       : texlive-yfonts-t1-10:svn36013-63.fc38.noarch     3605/3646 
  Installing       : texlive-yinit-otf-10:svn40207-63.fc38.noarch     3606/3646 
  Installing       : texlive-youngtab-10:svn56500-63.fc38.noarch      3607/3646 
  Installing       : texlive-yquant-10:svn65351-63.fc38.noarch        3608/3646 
  Installing       : texlive-zapfchan-10:svn61719-63.fc38.noarch      3609/3646 
  Installing       : texlive-collection-fontsrecommended-10:svn5407   3610/3646 
  Installing       : texlive-zebra-goodies-10:svn51554-63.fc38.noar   3611/3646 
  Installing       : texlive-zed-csp-10:svn17258.0-63.fc38.noarch     3612/3646 
  Installing       : texlive-zhmetrics-10:svn22207.r206-63.fc38.noa   3613/3646 
  Installing       : texlive-zhmetrics-uptex-10:svn40728-63.fc38.no   3614/3646 
  Installing       : texlive-ctex-10:svn63891-63.fc38.noarch          3615/3646 
  Installing       : texlive-xecjk-10:svn64059-63.fc38.noarch         3616/3646 
  Installing       : texlive-xpinyin-10:svn63897-63.fc38.noarch       3617/3646 
  Installing       : texlive-resumecls-10:svn54815-63.fc38.noarch     3618/3646 
  Installing       : texlive-shtthesis-10:svn62441-63.fc38.noarch     3619/3646 
  Installing       : texlive-ziffer-10:svn32279.2.1-63.fc38.noarch    3620/3646 
  Installing       : texlive-zootaxa-bst-10:svn50619-63.fc38.noarch   3621/3646 
  Installing       : texlive-zref-check-10:svn63845-63.fc38.noarch    3622/3646 
  Installing       : texlive-zwgetfdate-10:svn15878.0-63.fc38.noarc   3623/3646 
  Installing       : texlive-zx-calculus-10:svn60838-63.fc38.noarch   3624/3646 
  Installing       : boost-system-1.78.0-11.fc38.x86_64               3625/3646 
  Installing       : boost-atomic-1.78.0-11.fc38.x86_64               3626/3646 
  Installing       : boost-filesystem-1.78.0-11.fc38.x86_64           3627/3646 
  Installing       : inkscape-1.2.2-4.fc38.x86_64                     3628/3646 
  Installing       : texlive-xput-10:svn65325-63.fc38.noarch          3629/3646 
  Installing       : texlive-collection-pictures-10:svn65359-63.fc3   3630/3646 
  Installing       : texlive-collection-latexextra-10:svn65353-63.f   3631/3646 
  Installing       : texlive-collection-mathscience-10:svn65312-63.   3632/3646 
  Installing       : texlive-collection-bibtexextra-10:svn65204-63.   3633/3646 
  Installing       : texlive-collection-publishers-10:svn65221-63.f   3634/3646 
  Installing       : texlive-collection-fontsextra-10:svn64952-63.f   3635/3646 
  Installing       : annobin-plugin-gcc-11.06-2.fc38.x86_64           3636/3646 
  Running scriptlet: annobin-plugin-gcc-11.06-2.fc38.x86_64           3636/3646 
  Installing       : gcc-c++-13.0.1-0.1.fc38.x86_64                   3637/3646 
  Installing       : gcc-plugin-annobin-13.0.1-0.1.fc38.x86_64        3638/3646 
  Installing       : abc-1.01-36.git20221229.fc38.x86_64              3639/3646 
  Installing       : readline-devel-8.2-2.fc38.x86_64                 3640/3646 
  Installing       : tcl-devel-1:8.6.12-3.fc38.x86_64                 3641/3646 
  Installing       : bison-3.8.2-3.fc37.x86_64                        3642/3646 
  Installing       : flex-2.6.4-11.fc37.x86_64                        3643/3646 
  Installing       : txt2man-1.7.1-3.fc37.noarch                      3644/3646 
  Installing       : libffi-devel-3.4.4-1.fc38.x86_64                 3645/3646 
  Installing       : iverilog-11.0-6.fc38.x86_64                      3646/3646 
  Running scriptlet: texlive-base-10:20220321-58.fc38.x86_64          3646/3646 
  Running scriptlet: urw-base35-bookman-fonts-20200910-15.fc37.noar   3646/3646 
  Running scriptlet: urw-base35-c059-fonts-20200910-15.fc37.noarch    3646/3646 
  Running scriptlet: urw-base35-d050000l-fonts-20200910-15.fc37.noa   3646/3646 
  Running scriptlet: urw-base35-gothic-fonts-20200910-15.fc37.noarc   3646/3646 
  Running scriptlet: urw-base35-nimbus-mono-ps-fonts-20200910-15.fc   3646/3646 
  Running scriptlet: urw-base35-nimbus-roman-fonts-20200910-15.fc37   3646/3646 
  Running scriptlet: urw-base35-nimbus-sans-fonts-20200910-15.fc37.   3646/3646 
  Running scriptlet: urw-base35-p052-fonts-20200910-15.fc37.noarch    3646/3646 
  Running scriptlet: urw-base35-standard-symbols-ps-fonts-20200910-   3646/3646 
  Running scriptlet: urw-base35-z003-fonts-20200910-15.fc37.noarch    3646/3646 
  Running scriptlet: fontconfig-2.14.1-2.fc38.x86_64                  3646/3646 
  Running scriptlet: crypto-policies-scripts-20221215-2.gita4c31a3.   3646/3646 
  Running scriptlet: nss-3.87.0-1.fc38.x86_64                         3646/3646 
  Running scriptlet: R-core-4.2.2-6.fc38.x86_64                       3646/3646 
  Running scriptlet: iverilog-11.0-6.fc38.x86_64                      3646/3646 
  Verifying        : ImageMagick-1:7.1.0.57-2.fc38.x86_64                1/3646 
  Verifying        : ImageMagick-libs-1:7.1.0.57-2.fc38.x86_64           2/3646 
  Verifying        : at-spi2-atk-2.47.1-2.fc38.x86_64                    3/3646 
  Verifying        : at-spi2-core-2.47.1-2.fc38.x86_64                   4/3646 
  Verifying        : atk-2.47.1-2.fc38.x86_64                            5/3646 
  Verifying        : boost-atomic-1.78.0-11.fc38.x86_64                  6/3646 
  Verifying        : boost-filesystem-1.78.0-11.fc38.x86_64              7/3646 
  Verifying        : boost-system-1.78.0-11.fc38.x86_64                  8/3646 
  Verifying        : crypto-policies-scripts-20221215-2.gita4c31a3.      9/3646 
  Verifying        : fontforge-20230101-3.fc38.x86_64                   10/3646 
  Verifying        : glib2-2.74.1-3.fc38.x86_64                         11/3646 
  Verifying        : glibc-devel-2.36.9000-23.fc38.x86_64               12/3646 
  Verifying        : glibc-headers-x86-2.36.9000-23.fc38.noarch         13/3646 
  Verifying        : gnutls-3.7.8-11.fc38.x86_64                        14/3646 
  Verifying        : graphite2-1.3.14-11.fc38.x86_64                    15/3646 
  Verifying        : graphviz-7.0.6-2.fc38.x86_64                       16/3646 
  Verifying        : gstreamer1-plugins-bad-free-1.20.5-2.fc38.x86_     17/3646 
  Verifying        : gumbo-parser-1:0.10.1-27.fc38.x86_64               18/3646 
  Verifying        : imath-3.1.6-2.fc38.x86_64                          19/3646 
  Verifying        : inkscape-1.2.2-4.fc38.x86_64                       20/3646 
  Verifying        : libaom-3.5.0-3.fc38.x86_64                         21/3646 
  Verifying        : libevdev-1.13.0-2.fc38.x86_64                      22/3646 
  Verifying        : libjxl-1:0.7.0-6.fc38.x86_64                       23/3646 
  Verifying        : libproxy-0.4.18-5.fc38.x86_64                      24/3646 
  Verifying        : librevenge-0.0.5-4.fc38.x86_64                     25/3646 
  Verifying        : libstemmer-2.2.0-4.fc38.x86_64                     26/3646 
  Verifying        : libxcb-1.13.1-11.fc38.x86_64                       27/3646 
  Verifying        : libxslt-1.1.37-2.fc38.x86_64                       28/3646 
  Verifying        : lilv-libs-0.24.14-4.fc38.x86_64                    29/3646 
  Verifying        : mesa-filesystem-22.3.3-2.fc38.x86_64               30/3646 
  Verifying        : mesa-libEGL-22.3.3-2.fc38.x86_64                   31/3646 
  Verifying        : mesa-libGL-22.3.3-2.fc38.x86_64                    32/3646 
  Verifying        : mesa-libgbm-22.3.3-2.fc38.x86_64                   33/3646 
  Verifying        : mesa-libglapi-22.3.3-2.fc38.x86_64                 34/3646 
  Verifying        : python-pip-wheel-22.3.1-2.fc38.noarch              35/3646 
  Verifying        : python-unversioned-command-3.11.1-3.fc38.noarc     36/3646 
  Verifying        : python3-3.11.1-3.fc38.x86_64                       37/3646 
  Verifying        : python3-appdirs-1.4.4-8.fc38.noarch                38/3646 
  Verifying        : python3-cssselect-1.1.0-4.fc38.noarch              39/3646 
  Verifying        : python3-libs-3.11.1-3.fc38.x86_64                  40/3646 
  Verifying        : python3-lxml-4.9.2-2.fc38.x86_64                   41/3646 
  Verifying        : python3-numpy-1:1.23.5-2.fc38.x86_64               42/3646 
  Verifying        : python3-olefile-0.46-19.fc38.noarch                43/3646 
  Verifying        : python3-packaging-23.0-1.fc38.noarch               44/3646 
  Verifying        : python3-pillow-9.4.0-2.fc38.x86_64                 45/3646 
  Verifying        : python3-pygments-2.13.0-2.fc38.noarch              46/3646 
  Verifying        : python3-scour-0.38.2-2.fc38.noarch                 47/3646 
  Verifying        : python3-six-1.16.0-9.fc38.noarch                   48/3646 
  Verifying        : ruby-3.2.0-178.fc38.x86_64                         49/3646 
  Verifying        : ruby-libs-3.2.0-178.fc38.x86_64                    50/3646 
  Verifying        : serd-0.30.12-2.fc38.x86_64                         51/3646 
  Verifying        : sratom-0.6.10-2.fc38.x86_64                        52/3646 
  Verifying        : texlive-amstex-10:svn63708-58.fc38.noarch          53/3646 
  Verifying        : texlive-attachfile2-10:svn57959-58.fc38.noarch     54/3646 
  Verifying        : texlive-authorindex-10:svn51757-58.fc38.noarch     55/3646 
  Verifying        : texlive-axodraw2-10:svn58155-58.fc38.x86_64        56/3646 
  Verifying        : texlive-base-10:20220321-58.fc38.x86_64            57/3646 
  Verifying        : texlive-bib2gls-10:svn64710-58.fc38.noarch         58/3646 
  Verifying        : texlive-bibexport-10:svn50677-58.fc38.noarch       59/3646 
  Verifying        : texlive-bibtex-10:svn64491-58.fc38.x86_64          60/3646 
  Verifying        : texlive-cachepic-10:svn26313-58.fc38.noarch        61/3646 
  Verifying        : texlive-citation-style-language-10:svn65357-58     62/3646 
  Verifying        : texlive-convbkmk-10:svn49252-58.fc38.noarch        63/3646 
  Verifying        : texlive-crossrefware-10:svn64754-58.fc38.noarc     64/3646 
  Verifying        : texlive-dvipdfmx-10:svn61101-58.fc38.x86_64        65/3646 
  Verifying        : texlive-dvips-10:svn62387-58.fc38.x86_64           66/3646 
  Verifying        : texlive-dvisvgm-10:svn64182.3.0.1-58.fc38.x86_     67/3646 
  Verifying        : texlive-epspdf-10:svn53472-58.fc38.noarch          68/3646 
  Verifying        : texlive-epstopdf-10:svn64439-58.fc38.noarch        69/3646 
  Verifying        : texlive-exceltex-10:svn26313-58.fc38.noarch        70/3646 
  Verifying        : texlive-fig4latex-10:svn26313-58.fc38.noarch       71/3646 
  Verifying        : texlive-getmap-10:svn50589-58.fc38.noarch          72/3646 
  Verifying        : texlive-glossaries-10:svn64919-58.fc38.noarch      73/3646 
  Verifying        : texlive-glyphlist-10:svn54074-58.fc38.noarch       74/3646 
  Verifying        : texlive-gsftopk-10:svn52851-58.fc38.x86_64         75/3646 
  Verifying        : texlive-hyperxmp-10:svn57004-58.fc38.x86_64        76/3646 
  Verifying        : texlive-kpathsea-10:svn64475-58.fc38.x86_64        77/3646 
  Verifying        : texlive-l3build-10:svn64402-58.fc38.noarch         78/3646 
  Verifying        : texlive-latex-10:svn63825-58.fc38.noarch           79/3646 
  Verifying        : texlive-lib-10:20220321-58.fc38.x86_64             80/3646 
  Verifying        : texlive-listbib-10:svn29349-58.fc38.noarch         81/3646 
  Verifying        : texlive-luahbtex-10:svn62387-58.fc38.x86_64        82/3646 
  Verifying        : texlive-luaotfload-10:svn64616-58.fc38.noarch      83/3646 
  Verifying        : texlive-luatex-10:svn64839-58.fc38.x86_64          84/3646 
  Verifying        : texlive-lwarp-10:svn63905-58.fc38.noarch           85/3646 
  Verifying        : texlive-make4ht-10:svn62953-58.fc38.noarch         86/3646 
  Verifying        : texlive-makedtx-10:svn46702-58.fc38.noarch         87/3646 
  Verifying        : texlive-makeindex-10:svn62517-58.fc38.x86_64       88/3646 
  Verifying        : texlive-mathspic-10:svn31957-58.fc38.noarch        89/3646 
  Verifying        : texlive-metafont-10:svn62387-58.fc38.x86_64        90/3646 
  Verifying        : texlive-mfware-10:svn62387-58.fc38.x86_64          91/3646 
  Verifying        : texlive-mkpic-10:svn33700-58.fc38.noarch           92/3646 
  Verifying        : texlive-mptopdf-10:svn61520-58.fc38.noarch         93/3646 
  Verifying        : texlive-multibibliography-10:svn30939-58.fc38.     94/3646 
  Verifying        : texlive-oberdiek-10:svn64463-58.fc38.noarch        95/3646 
  Verifying        : texlive-pax-10:svn63509-58.fc38.noarch             96/3646 
  Verifying        : texlive-pdfcrop-10:svn55435-58.fc38.noarch         97/3646 
  Verifying        : texlive-pdftex-10:svn64690-58.fc38.x86_64          98/3646 
  Verifying        : texlive-perltex-10:svn52162-58.fc38.noarch         99/3646 
  Verifying        : texlive-petri-nets-10:svn39165-58.fc38.noarch     100/3646 
  Verifying        : texlive-ptex-10:svn62464-58.fc38.x86_64           101/3646 
  Verifying        : texlive-pygmentex-10:svn64131-58.fc38.noarch      102/3646 
  Verifying        : texlive-pythontex-10:svn59514-58.fc38.noarch      103/3646 
  Verifying        : texlive-splitindex-10:svn39766-58.fc38.noarch     104/3646 
  Verifying        : texlive-svn-multi-10:svn56291-58.fc38.noarch      105/3646 
  Verifying        : texlive-tex-10:svn62387-58.fc38.x86_64            106/3646 
  Verifying        : texlive-tex4ht-10:svn64837-58.fc38.x86_64         107/3646 
  Verifying        : texlive-texlive-en-10:svn64221-58.fc38.noarch     108/3646 
  Verifying        : texlive-texlive-scripts-10:svn64830-58.fc38.no    109/3646 
  Verifying        : texlive-texlive.infra-10:svn63645-58.fc38.noar    110/3646 
  Verifying        : texlive-thumbpdf-10:svn62518-58.fc38.noarch       111/3646 
  Verifying        : texlive-tikztosvg-10:svn60289-58.fc38.x86_64      112/3646 
  Verifying        : texlive-ttfutils-10:svn62517-58.fc38.x86_64       113/3646 
  Verifying        : texlive-ulqda-10:svn26313-58.fc38.noarch          114/3646 
  Verifying        : texlive-uptex-10:svn62464-58.fc38.x86_64          115/3646 
  Verifying        : texlive-urlbst-10:svn55777-58.fc38.noarch         116/3646 
  Verifying        : texlive-vpe-10:svn26039-58.fc38.noarch            117/3646 
  Verifying        : texlive-webquiz-10:svn58808-58.fc38.noarch        118/3646 
  Verifying        : texlive-wordcount-10:svn46165-58.fc38.noarch      119/3646 
  Verifying        : texlive-xdvi-10:svn62387-58.fc38.x86_64           120/3646 
  Verifying        : texlive-xetex-10:svn62387-58.fc38.x86_64          121/3646 
  Verifying        : texlive-yplan-10:svn34398-58.fc38.noarch          122/3646 
  Verifying        : tre-0.8.0-38.20140228gitc2f5d13.fc38.x86_64       123/3646 
  Verifying        : tre-common-0.8.0-38.20140228gitc2f5d13.fc38.no    124/3646 
  Verifying        : vim-data-2:9.0.1221-1.fc38.noarch                 125/3646 
  Verifying        : vim-minimal-2:9.0.1221-1.fc38.x86_64              126/3646 
  Verifying        : vulkan-loader-1.3.231.1-2.fc38.x86_64             127/3646 
  Verifying        : GraphicsMagick-1.3.38-4.fc38.x86_64               128/3646 
  Verifying        : GraphicsMagick-c++-1.3.38-4.fc38.x86_64           129/3646 
  Verifying        : LibRaw-0.21.1-1.fc38.x86_64                       130/3646 
  Verifying        : R-cli-3.6.0-1.fc38.x86_64                         131/3646 
  Verifying        : R-core-4.2.2-6.fc38.x86_64                        132/3646 
  Verifying        : R-evaluate-0.15-1.fc38.noarch                     133/3646 
  Verifying        : R-glue-1.6.2-1.fc38.x86_64                        134/3646 
  Verifying        : R-highr-0.10-1.fc38.noarch                        135/3646 
  Verifying        : R-knitr-1.39-1.fc38.noarch                        136/3646 
  Verifying        : R-lifecycle-1.0.3-1.fc38.noarch                   137/3646 
  Verifying        : R-magrittr-2.0.3-1.fc38.x86_64                    138/3646 
  Verifying        : R-rlang-1.0.6-1.fc38.x86_64                       139/3646 
  Verifying        : R-stringi-1.7.8-2.fc38.x86_64                     140/3646 
  Verifying        : R-stringr-1.5.0-1.fc38.noarch                     141/3646 
  Verifying        : R-vctrs-0.5.1-1.fc38.x86_64                       142/3646 
  Verifying        : R-xfun-0.36-1.fc38.x86_64                         143/3646 
  Verifying        : R-yaml-2.3.5-1.fc38.x86_64                        144/3646 
  Verifying        : abc-1.01-36.git20221229.fc38.x86_64               145/3646 
  Verifying        : abc-libs-1.01-36.git20221229.fc38.x86_64          146/3646 
  Verifying        : adobe-mappings-cmap-20230118-1.fc38.noarch        147/3646 
  Verifying        : adobe-mappings-cmap-deprecated-20230118-1.fc38    148/3646 
  Verifying        : adobe-mappings-pdf-20190401-2.fc37.noarch         149/3646 
  Verifying        : adwaita-cursor-theme-43-1.fc38.noarch             150/3646 
  Verifying        : adwaita-icon-theme-43-1.fc38.noarch               151/3646 
  Verifying        : alsa-lib-1.2.8-2.fc38.x86_64                      152/3646 
  Verifying        : annobin-docs-11.06-2.fc38.noarch                  153/3646 
  Verifying        : annobin-plugin-gcc-11.06-2.fc38.x86_64            154/3646 
  Verifying        : atkmm-2.28.3-1.fc38.x86_64                        155/3646 
  Verifying        : autotrace-0.31.9-3.fc38.x86_64                    156/3646 
  Verifying        : avahi-libs-0.8-19.fc38.x86_64                     157/3646 
  Verifying        : biber-2.18-1.fc38.noarch                          158/3646 
  Verifying        : bison-3.8.2-3.fc37.x86_64                         159/3646 
  Verifying        : bubblewrap-0.5.0-3.fc37.x86_64                    160/3646 
  Verifying        : cairo-1.17.6-2.fc37.x86_64                        161/3646 
  Verifying        : cairo-gobject-1.17.6-2.fc37.x86_64                162/3646 
  Verifying        : cairomm-1.14.4-4.fc38.x86_64                      163/3646 
  Verifying        : cdparanoia-libs-10.2-40.fc37.x86_64               164/3646 
  Verifying        : colord-libs-1.4.6-2.fc37.x86_64                   165/3646 
  Verifying        : cpp-13.0.1-0.1.fc38.x86_64                        166/3646 
  Verifying        : cups-libs-1:2.4.2-5.fc38.x86_64                   167/3646 
  Verifying        : dbus-1:1.14.4-1.fc38.x86_64                       168/3646 
  Verifying        : dbus-broker-32-1.fc37.x86_64                      169/3646 
  Verifying        : dbus-common-1:1.14.4-1.fc38.noarch                170/3646 
  Verifying        : dbus-libs-1:1.14.4-1.fc38.x86_64                  171/3646 
  Verifying        : desktop-file-utils-0.26-7.fc37.x86_64             172/3646 
  Verifying        : double-conversion-3.1.5-7.fc37.x86_64             173/3646 
  Verifying        : emacs-filesystem-1:28.2-1.fc38.noarch             174/3646 
  Verifying        : enchant2-2.3.3-5.fc38.x86_64                      175/3646 
  Verifying        : expat-2.5.0-1.fc38.x86_64                         176/3646 
  Verifying        : fdk-aac-free-2.0.0-9.fc37.x86_64                  177/3646 
  Verifying        : fftw-libs-double-3.3.10-3.fc37.x86_64             178/3646 
  Verifying        : flac-libs-1.4.2-1.fc38.x86_64                     179/3646 
  Verifying        : flex-2.6.4-11.fc37.x86_64                         180/3646 
  Verifying        : flexiblas-3.3.0-1.fc38.x86_64                     181/3646 
  Verifying        : flexiblas-netlib-3.3.0-1.fc38.x86_64              182/3646 
  Verifying        : flexiblas-openblas-openmp-3.3.0-1.fc38.x86_64     183/3646 
  Verifying        : fontawesome-fonts-1:4.7.0-14.fc37.noarch          184/3646 
  Verifying        : fontconfig-2.14.1-2.fc38.x86_64                   185/3646 
  Verifying        : fonts-filesystem-1:2.0.5-10.fc38.noarch           186/3646 
  Verifying        : freetype-2.12.1-3.fc37.x86_64                     187/3646 
  Verifying        : fribidi-1.0.12-2.fc37.x86_64                      188/3646 
  Verifying        : gc-8.2.2-1.fc38.x86_64                            189/3646 
  Verifying        : gcc-13.0.1-0.1.fc38.x86_64                        190/3646 
  Verifying        : gcc-c++-13.0.1-0.1.fc38.x86_64                    191/3646 
  Verifying        : gcc-plugin-annobin-13.0.1-0.1.fc38.x86_64         192/3646 
  Verifying        : gd-2.3.3-9.fc38.x86_64                            193/3646 
  Verifying        : gdk-pixbuf2-2.42.10-1.fc38.x86_64                 194/3646 
  Verifying        : gdk-pixbuf2-modules-2.42.10-1.fc38.x86_64         195/3646 
  Verifying        : ghostscript-9.56.1-6.fc38.x86_64                  196/3646 
  Verifying        : ghostscript-tools-fonts-9.56.1-6.fc38.x86_64      197/3646 
  Verifying        : ghostscript-tools-printing-9.56.1-6.fc38.x86_6    198/3646 
  Verifying        : giflib-5.2.1-14.fc37.x86_64                       199/3646 
  Verifying        : glib-networking-2.74.0-1.fc38.x86_64              200/3646 
  Verifying        : glibmm2.4-2.66.5-2.fc38.x86_64                    201/3646 
  Verifying        : google-droid-sans-fonts-20200215-13.fc37.noarc    202/3646 
  Verifying        : google-noto-fonts-common-20201206^1.git0c78c83    203/3646 
  Verifying        : google-noto-sans-vf-fonts-20201206^1.git0c78c8    204/3646 
  Verifying        : graphene-1.10.6-4.fc37.x86_64                     205/3646 
  Verifying        : groff-base-1.22.4-10.fc37.x86_64                  206/3646 
  Verifying        : gsettings-desktop-schemas-43.0-1.fc38.x86_64      207/3646 
  Verifying        : gsl-2.7.1-3.fc38.x86_64                           208/3646 
  Verifying        : gsm-1.0.22-1.fc37.x86_64                          209/3646 
  Verifying        : gspell-1.12.0-2.fc38.x86_64                       210/3646 
  Verifying        : gssdp-1.6.2-1.fc38.x86_64                         211/3646 
  Verifying        : gstreamer1-1.21.90-1.fc38.x86_64                  212/3646 
  Verifying        : gstreamer1-plugins-base-1.20.5-1.fc38.x86_64      213/3646 
  Verifying        : gtk-update-icon-cache-3.24.36-1.fc38.x86_64       214/3646 
  Verifying        : gtk3-3.24.36-1.fc38.x86_64                        215/3646 
  Verifying        : gtkmm3.0-3.24.7-2.fc38.x86_64                     216/3646 
  Verifying        : gts-0.7.6-43.20121130.fc38.x86_64                 217/3646 
  Verifying        : guile22-2.2.7-6.fc37.x86_64                       218/3646 
  Verifying        : gupnp-1.6.3-1.fc38.x86_64                         219/3646 
  Verifying        : gupnp-igd-1.2.0-7.fc38.x86_64                     220/3646 
  Verifying        : harfbuzz-6.0.0-2.fc38.x86_64                      221/3646 
  Verifying        : harfbuzz-icu-6.0.0-2.fc38.x86_64                  222/3646 
  Verifying        : hicolor-icon-theme-0.17-14.fc37.noarch            223/3646 
  Verifying        : highway-1.0.2-1.fc38.x86_64                       224/3646 
  Verifying        : hunspell-1.7.2-2.fc38.x86_64                      225/3646 
  Verifying        : hunspell-en-US-0.20201207-2.fc38.noarch           226/3646 
  Verifying        : hunspell-filesystem-1.7.2-2.fc38.x86_64           227/3646 
  Verifying        : hwdata-0.366-1.fc38.noarch                        228/3646 
  Verifying        : hyphen-2.8.8-18.fc37.x86_64                       229/3646 
  Verifying        : iso-codes-4.12.0-2.fc38.noarch                    230/3646 
  Verifying        : iverilog-11.0-6.fc38.x86_64                       231/3646 
  Verifying        : jasper-libs-3.0.6-1.fc37.x86_64                   232/3646 
  Verifying        : javascriptcoregtk4.0-2.39.5-1.fc38.x86_64         233/3646 
  Verifying        : jbig2dec-libs-0.19-7.fc37.x86_64                  234/3646 
  Verifying        : jbigkit-libs-2.1-24.fc37.x86_64                   235/3646 
  Verifying        : json-glib-1.6.6-3.fc37.x86_64                     236/3646 
  Verifying        : kernel-headers-6.2.0-0.rc4.git0.1.fc38.x86_64     237/3646 
  Verifying        : lame-libs-3.100-13.fc37.x86_64                    238/3646 
  Verifying        : langpacks-core-font-en-3.0-27.fc38.noarch         239/3646 
  Verifying        : lasi-1.1.3-9.fc37.x86_64                          240/3646 
  Verifying        : latexmk-4.79-1.fc38.noarch                        241/3646 
  Verifying        : lcms2-2.14-1.fc38.x86_64                          242/3646 
  Verifying        : leptonica-1.83.0-1.fc38.x86_64                    243/3646 
  Verifying        : less-608-1.fc38.x86_64                            244/3646 
  Verifying        : libEMF-1.0.13-6.fc37.x86_64                       245/3646 
  Verifying        : libICE-1.0.10-9.fc37.x86_64                       246/3646 
  Verifying        : libRmath-4.2.2-6.fc38.x86_64                      247/3646 
  Verifying        : libSM-1.2.3-11.fc37.x86_64                        248/3646 
  Verifying        : libX11-1.8.3-2.fc38.x86_64                        249/3646 
  Verifying        : libX11-common-1.8.3-2.fc38.noarch                 250/3646 
  Verifying        : libX11-xcb-1.8.3-2.fc38.x86_64                    251/3646 
  Verifying        : libXau-1.0.11-1.fc38.x86_64                       252/3646 
  Verifying        : libXaw-1.0.14-3.fc37.x86_64                       253/3646 
  Verifying        : libXcomposite-0.4.5-8.fc37.x86_64                 254/3646 
  Verifying        : libXcursor-1.2.1-2.fc37.x86_64                    255/3646 
  Verifying        : libXdamage-1.1.5-8.fc37.x86_64                    256/3646 
  Verifying        : libXext-1.3.5-1.fc38.x86_64                       257/3646 
  Verifying        : libXfixes-6.0.0-4.fc37.x86_64                     258/3646 
  Verifying        : libXft-2.3.6-1.fc38.x86_64                        259/3646 
  Verifying        : libXi-1.8-3.fc37.x86_64                           260/3646 
  Verifying        : libXinerama-1.1.5-1.fc38.x86_64                   261/3646 
  Verifying        : libXmu-1.1.4-1.fc38.x86_64                        262/3646 
  Verifying        : libXpm-3.5.15-2.fc38.x86_64                       263/3646 
  Verifying        : libXrandr-1.5.2-9.fc37.x86_64                     264/3646 
  Verifying        : libXrender-0.9.11-1.fc38.x86_64                   265/3646 
  Verifying        : libXt-1.2.1-3.fc37.x86_64                         266/3646 
  Verifying        : libXtst-1.2.4-1.fc38.x86_64                       267/3646 
  Verifying        : libXv-1.0.11-17.fc37.x86_64                       268/3646 
  Verifying        : libXxf86vm-1.1.5-1.fc38.x86_64                    269/3646 
  Verifying        : libatomic-13.0.1-0.1.fc38.x86_64                  270/3646 
  Verifying        : libavif-0.11.1-4.fc38.x86_64                      271/3646 
  Verifying        : libb2-0.98.1-7.fc37.x86_64                        272/3646 
  Verifying        : libcloudproviders-0.3.1-6.fc37.x86_64             273/3646 
  Verifying        : libdatrie-0.2.13-4.fc37.x86_64                    274/3646 
  Verifying        : libdav1d-1.0.0-2.fc37.x86_64                      275/3646 
  Verifying        : libdrm-2.4.114-1.fc38.x86_64                      276/3646 
  Verifying        : libdvdnav-6.1.1-4.fc37.x86_64                     277/3646 
  Verifying        : libdvdread-6.1.3-2.fc37.x86_64                    278/3646 
  Verifying        : libepoxy-1.5.10-2.fc37.x86_64                     279/3646 
  Verifying        : libffi-devel-3.4.4-1.fc38.x86_64                  280/3646 
  Verifying        : libfontenc-1.1.6-1.fc38.x86_64                    281/3646 
  Verifying        : libgcrypt-1.10.1-6.fc38.x86_64                    282/3646 
  Verifying        : libgee-0.20.6-1.fc38.x86_64                       283/3646 
  Verifying        : libgfortran-13.0.1-0.1.fc38.x86_64                284/3646 
  Verifying        : libglvnd-1:1.6.0-1.fc38.x86_64                    285/3646 
  Verifying        : libglvnd-egl-1:1.6.0-1.fc38.x86_64                286/3646 
  Verifying        : libglvnd-glx-1:1.6.0-1.fc38.x86_64                287/3646 
  Verifying        : libgpg-error-1.46-1.fc38.x86_64                   288/3646 
  Verifying        : libgs-9.56.1-6.fc38.x86_64                        289/3646 
  Verifying        : libgudev-237-3.fc37.x86_64                        290/3646 
  Verifying        : libgusb-0.4.3-1.fc38.x86_64                       291/3646 
  Verifying        : libicu-72.1-1.fc38.x86_64                         292/3646 
  Verifying        : libijs-0.35-16.fc37.x86_64                        293/3646 
  Verifying        : libimagequant-2.17.0-3.fc37.x86_64                294/3646 
  Verifying        : libjpeg-turbo-2.1.4-1.fc38.x86_64                 295/3646 
  Verifying        : liblqr-1-0.4.2-20.fc37.x86_64                     296/3646 
  Verifying        : libmanette-0.2.6-5.fc37.x86_64                    297/3646 
  Verifying        : libmarkdown-2.2.7-3.fc38.x86_64                   298/3646 
  Verifying        : libmpc-1.3.1-1.fc38.x86_64                        299/3646 
  Verifying        : libnice-0.1.21-2.fc38.x86_64                      300/3646 
  Verifying        : libogg-2:1.3.5-4.fc37.x86_64                      301/3646 
  Verifying        : libpaper-1:2.0.4-2.fc38.x86_64                    302/3646 
  Verifying        : libpciaccess-0.16-7.fc37.x86_64                   303/3646 
  Verifying        : libpng-2:1.6.37-13.fc37.x86_64                    304/3646 
  Verifying        : libquadmath-13.0.1-0.1.fc38.x86_64                305/3646 
  Verifying        : libraqm-0.8.0-3.fc38.x86_64                       306/3646 
  Verifying        : librsvg2-2.55.1-3.fc38.x86_64                     307/3646 
  Verifying        : libseccomp-2.5.3-3.fc37.x86_64                    308/3646 
  Verifying        : libsecret-0.20.5-2.fc37.x86_64                    309/3646 
  Verifying        : libsigc++20-2.10.8-2.fc37.x86_64                  310/3646 
  Verifying        : libsndfile-1.1.0-5.fc38.x86_64                    311/3646 
  Verifying        : libsoup-2.74.3-1.fc38.x86_64                      312/3646 
  Verifying        : libsoup3-3.2.2-1.fc38.x86_64                      313/3646 
  Verifying        : libspiro-20221101-1.fc38.x86_64                   314/3646 
  Verifying        : libsrtp-2.3.0-9.fc37.x86_64                       315/3646 
  Verifying        : libstdc++-devel-13.0.1-0.1.fc38.x86_64            316/3646 
  Verifying        : libthai-0.1.29-3.fc37.x86_64                      317/3646 
  Verifying        : libtheora-1:1.1.1-32.fc37.x86_64                  318/3646 
  Verifying        : libtiff-4.4.0-4.fc37.x86_64                       319/3646 
  Verifying        : libtool-ltdl-2.4.7-5.fc38.x86_64                  320/3646 
  Verifying        : libtracker-sparql-3.4.2-2.fc38.x86_64             321/3646 
  Verifying        : libunwind-1.6.2-5.fc38.x86_64                     322/3646 
  Verifying        : libusb1-1.0.26-1.fc38.x86_64                      323/3646 
  Verifying        : libva-2.17.0-1.fc38.x86_64                        324/3646 
  Verifying        : libvisual-1:0.4.0-37.fc38.x86_64                  325/3646 
  Verifying        : libvmaf-2.3.0-4.fc37.x86_64                       326/3646 
  Verifying        : libvorbis-1:1.3.7-6.fc37.x86_64                   327/3646 
  Verifying        : libwayland-client-1.21.0-1.fc37.x86_64            328/3646 
  Verifying        : libwayland-cursor-1.21.0-1.fc37.x86_64            329/3646 
  Verifying        : libwayland-egl-1.21.0-1.fc37.x86_64               330/3646 
  Verifying        : libwayland-server-1.21.0-1.fc37.x86_64            331/3646 
  Verifying        : libwebp-1.3.0-1.fc38.x86_64                       332/3646 
  Verifying        : libwmf-lite-0.2.12-9.fc37.x86_64                  333/3646 
  Verifying        : libwpd-0.10.3-11.fc37.x86_64                      334/3646 
  Verifying        : libwpe-1.14.0-1.fc38.x86_64                       335/3646 
  Verifying        : libwpg-0.3.3-9.fc37.x86_64                        336/3646 
  Verifying        : libxcrypt-devel-4.4.33-5.fc38.x86_64              337/3646 
  Verifying        : libxkbcommon-1.5.0-1.fc38.x86_64                  338/3646 
  Verifying        : libxshmfence-1.3-11.fc37.x86_64                   339/3646 
  Verifying        : libzip-1.9.2-2.fc37.x86_64                        340/3646 
  Verifying        : m4-1.4.19-4.fc37.x86_64                           341/3646 
  Verifying        : mailcap-2.1.53-4.fc37.noarch                      342/3646 
  Verifying        : make-1:4.4-1.fc38.x86_64                          343/3646 
  Verifying        : mkfontscale-1.2.2-2.fc37.x86_64                   344/3646 
  Verifying        : mpdecimal-2.5.1-4.fc37.x86_64                     345/3646 
  Verifying        : mpg123-libs-1.31.2-1.fc38.x86_64                  346/3646 
  Verifying        : mupdf-1.21.1-5.fc38.x86_64                        347/3646 
  Verifying        : ncurses-6.4-2.20230114.fc38.x86_64                348/3646 
  Verifying        : ncurses-c++-libs-6.4-2.20230114.fc38.x86_64       349/3646 
  Verifying        : ncurses-devel-6.4-2.20230114.fc38.x86_64          350/3646 
  Verifying        : netpbm-11.01.00-1.fc38.x86_64                     351/3646 
  Verifying        : nspr-4.35.0-3.fc38.x86_64                         352/3646 
  Verifying        : nss-3.87.0-1.fc38.x86_64                          353/3646 
  Verifying        : nss-softokn-3.87.0-1.fc38.x86_64                  354/3646 
  Verifying        : nss-softokn-freebl-3.87.0-1.fc38.x86_64           355/3646 
  Verifying        : nss-sysinit-3.87.0-1.fc38.x86_64                  356/3646 
  Verifying        : nss-util-3.87.0-1.fc38.x86_64                     357/3646 
  Verifying        : oldstandard-sfd-fonts-2.0.2-32.fc37.noarch        358/3646 
  Verifying        : openblas-0.3.21-4.fc38.x86_64                     359/3646 
  Verifying        : openblas-openmp-0.3.21-4.fc38.x86_64              360/3646 
  Verifying        : openexr-libs-3.1.5-2.fc37.x86_64                  361/3646 
  Verifying        : openjpeg2-2.5.0-2.fc37.x86_64                     362/3646 
  Verifying        : opus-1.3.1-11.fc37.x86_64                         363/3646 
  Verifying        : orc-0.4.33-1.fc38.x86_64                          364/3646 
  Verifying        : pango-1.50.12-2.fc38.x86_64                       365/3646 
  Verifying        : pangomm-2.46.3-1.fc38.x86_64                      366/3646 
  Verifying        : pcre-8.45-1.fc37.2.x86_64                         367/3646 
  Verifying        : pdfpc-4.5.0-8.fc37.x86_64                         368/3646 
  Verifying        : perl-Authen-SASL-2.16-28.fc37.noarch              369/3646 
  Verifying        : perl-AutoLoader-5.74-494.fc38.noarch              370/3646 
  Verifying        : perl-B-1.83-494.fc38.x86_64                       371/3646 
  Verifying        : perl-B-Hooks-EndOfScope-0.26-4.fc37.noarch        372/3646 
  Verifying        : perl-BibTeX-Parser-1.03-6.fc37.noarch             373/3646 
  Verifying        : perl-Bit-Vector-7.4-28.fc38.x86_64                374/3646 
  Verifying        : perl-Business-ISBN-3.007-4.fc37.noarch            375/3646 
  Verifying        : perl-Business-ISBN-Data-20210112.006-6.fc37.no    376/3646 
  Verifying        : perl-Business-ISMN-1.202-8.fc38.noarch            377/3646 
  Verifying        : perl-Business-ISSN-1.005-5.fc38.noarch            378/3646 
  Verifying        : perl-Carp-1.52-489.fc37.noarch                    379/3646 
  Verifying        : perl-Carp-Clan-6.08-13.fc37.noarch                380/3646 
  Verifying        : perl-Class-Accessor-0.51-16.fc37.noarch           381/3646 
  Verifying        : perl-Class-Data-Inheritable-0.09-4.fc37.noarch    382/3646 
  Verifying        : perl-Class-Inspector-1.36-12.fc38.noarch          383/3646 
  Verifying        : perl-Class-Method-Modifiers-2.14-1.fc38.noarch    384/3646 
  Verifying        : perl-Class-Singleton-1.6-7.fc37.noarch            385/3646 
  Verifying        : perl-Class-Struct-0.66-494.fc38.noarch            386/3646 
  Verifying        : perl-Clone-0.46-1.fc38.x86_64                     387/3646 
  Verifying        : perl-Compress-Raw-Bzip2-2.201-2.fc37.x86_64       388/3646 
  Verifying        : perl-Compress-Raw-Zlib-2.202-4.fc38.x86_64        389/3646 
  Verifying        : perl-Convert-ASN1-0.33-4.fc37.noarch              390/3646 
  Verifying        : perl-Crypt-RC4-2.02-33.fc37.noarch                391/3646 
  Verifying        : perl-Data-Compare-1.27-10.fc37.noarch             392/3646 
  Verifying        : perl-Data-Dump-1.25-5.fc37.noarch                 393/3646 
  Verifying        : perl-Data-Dumper-2.184-490.fc37.x86_64            394/3646 
  Verifying        : perl-Data-OptList-0.113-1.fc38.noarch             395/3646 
  Verifying        : perl-Data-Uniqid-0.12-29.fc37.noarch              396/3646 
  Verifying        : perl-Date-Calc-6.4-23.fc37.noarch                 397/3646 
  Verifying        : perl-Date-ISO8601-0.005-16.fc37.noarch            398/3646 
  Verifying        : perl-Date-Manip-6.90-1.fc38.noarch                399/3646 
  Verifying        : perl-DateTime-2:1.59-1.fc38.x86_64                400/3646 
  Verifying        : perl-DateTime-Calendar-Julian-0.107-3.fc37.noa    401/3646 
  Verifying        : perl-DateTime-Format-Builder-0.8300-9.fc38.noa    402/3646 
  Verifying        : perl-DateTime-Format-Strptime-1:1.79-6.fc37.no    403/3646 
  Verifying        : perl-DateTime-Locale-1.37-1.fc38.noarch           404/3646 
  Verifying        : perl-DateTime-TimeZone-2.57-1.fc38.noarch         405/3646 
  Verifying        : perl-DateTime-TimeZone-SystemV-0.010-17.fc37.n    406/3646 
  Verifying        : perl-DateTime-TimeZone-Tzfile-0.011-17.fc37.no    407/3646 
  Verifying        : perl-Devel-CallChecker-0.008-18.fc38.x86_64       408/3646 
  Verifying        : perl-Devel-Caller-2.06-29.fc37.x86_64             409/3646 
  Verifying        : perl-Devel-GlobalDestruction-0.14-19.fc37.noar    410/3646 
  Verifying        : perl-Devel-LexAlias-0.05-30.fc37.x86_64           411/3646 
  Verifying        : perl-Devel-StackTrace-1:2.04-14.fc38.noarch       412/3646 
  Verifying        : perl-Digest-1.20-489.fc37.noarch                  413/3646 
  Verifying        : perl-Digest-HMAC-1.04-6.fc37.noarch               414/3646 
  Verifying        : perl-Digest-MD4-1.9-32.fc37.x86_64                415/3646 
  Verifying        : perl-Digest-MD5-2.58-489.fc37.x86_64              416/3646 
  Verifying        : perl-Digest-Perl-MD5-1.9-27.fc37.noarch           417/3646 
  Verifying        : perl-Digest-SHA-1:6.03-1.fc37.x86_64              418/3646 
  Verifying        : perl-Digest-SHA1-2.13-37.fc37.x86_64              419/3646 
  Verifying        : perl-Dist-CheckConflicts-0.11-26.fc37.noarch      420/3646 
  Verifying        : perl-DynaLoader-1.52-494.fc38.x86_64              421/3646 
  Verifying        : perl-DynaLoader-Functions-0.003-17.fc38.noarch    422/3646 
  Verifying        : perl-Email-Date-Format-1.008-1.fc38.noarch        423/3646 
  Verifying        : perl-Encode-4:3.19-492.fc38.x86_64                424/3646 
  Verifying        : perl-Encode-Locale-1.05-25.fc38.noarch            425/3646 
  Verifying        : perl-English-1.11-494.fc38.noarch                 426/3646 
  Verifying        : perl-Errno-1.36-494.fc38.x86_64                   427/3646 
  Verifying        : perl-Eval-Closure-0.14-19.fc37.noarch             428/3646 
  Verifying        : perl-Exception-Class-1.45-6.fc37.noarch           429/3646 
  Verifying        : perl-Exporter-5.77-489.fc37.noarch                430/3646 
  Verifying        : perl-ExtUtils-MM-Utils-2:7.66-1.fc38.noarch       431/3646 
  Verifying        : perl-Fcntl-1.15-494.fc38.x86_64                   432/3646 
  Verifying        : perl-File-Basename-2.85-494.fc38.noarch           433/3646 
  Verifying        : perl-File-Compare-1.100.700-494.fc38.noarch       434/3646 
  Verifying        : perl-File-Copy-2.39-494.fc38.noarch               435/3646 
  Verifying        : perl-File-Find-1.40-494.fc38.noarch               436/3646 
  Verifying        : perl-File-Find-Rule-0.34-24.fc38.noarch           437/3646 
  Verifying        : perl-File-Listing-6.15-4.fc38.noarch              438/3646 
  Verifying        : perl-File-Path-2.18-489.fc37.noarch               439/3646 
  Verifying        : perl-File-ShareDir-1.118-7.fc37.noarch            440/3646 
  Verifying        : perl-File-Slurper-0.014-1.fc38.noarch             441/3646 
  Verifying        : perl-File-Temp-1:0.231.100-489.fc37.noarch        442/3646 
  Verifying        : perl-File-Which-1.27-6.fc37.noarch                443/3646 
  Verifying        : perl-File-stat-1.12-494.fc38.noarch               444/3646 
  Verifying        : perl-FileHandle-2.03-494.fc38.noarch              445/3646 
  Verifying        : perl-Filter-2:1.64-1.fc38.x86_64                  446/3646 
  Verifying        : perl-FindBin-1.53-494.fc38.noarch                 447/3646 
  Verifying        : perl-GSSAPI-0.28-40.fc37.x86_64                   448/3646 
  Verifying        : perl-Getopt-Long-1:2.54-1.fc38.noarch             449/3646 
  Verifying        : perl-Getopt-Std-1.13-494.fc38.noarch              450/3646 
  Verifying        : perl-HTML-Parser-3.80-1.fc38.x86_64               451/3646 
  Verifying        : perl-HTML-Tagset-3.20-52.fc37.noarch              452/3646 
  Verifying        : perl-HTTP-Cookies-6.10-8.fc38.noarch              453/3646 
  Verifying        : perl-HTTP-Date-6.05-11.fc38.noarch                454/3646 
  Verifying        : perl-HTTP-Message-6.44-1.fc38.noarch              455/3646 
  Verifying        : perl-HTTP-Negotiate-6.01-34.fc38.noarch           456/3646 
  Verifying        : perl-HTTP-Tiny-0.082-1.fc37.noarch                457/3646 
  Verifying        : perl-Hash-Util-FieldHash-1.26-494.fc38.x86_64     458/3646 
  Verifying        : perl-I18N-LangTags-0.45-494.fc38.noarch           459/3646 
  Verifying        : perl-I18N-Langinfo-0.21-494.fc38.x86_64           460/3646 
  Verifying        : perl-IO-1.50-494.fc38.x86_64                      461/3646 
  Verifying        : perl-IO-Compress-2.201-3.fc37.noarch              462/3646 
  Verifying        : perl-IO-HTML-1.004-9.fc38.noarch                  463/3646 
  Verifying        : perl-IO-Socket-IP-0.41-490.fc37.noarch            464/3646 
  Verifying        : perl-IO-Socket-SSL-2.080-1.fc38.noarch            465/3646 
  Verifying        : perl-IO-String-1.08-46.fc37.noarch                466/3646 
  Verifying        : perl-IO-stringy-2.113-10.fc37.noarch              467/3646 
  Verifying        : perl-IPC-Cmd-2:1.04-490.fc37.noarch               468/3646 
  Verifying        : perl-IPC-Open3-1.22-494.fc38.noarch               469/3646 
  Verifying        : perl-IPC-Run3-0.048-27.fc38.noarch                470/3646 
  Verifying        : perl-IPC-SysV-2.09-490.fc37.x86_64                471/3646 
  Verifying        : perl-IPC-System-Simple-1.30-9.fc37.noarch         472/3646 
  Verifying        : perl-JSON-4.10-1.fc38.noarch                      473/3646 
  Verifying        : perl-Jcode-2.07-39.fc37.noarch                    474/3646 
  Verifying        : perl-LDAP-1:0.68-8.fc37.noarch                    475/3646 
  Verifying        : perl-LWP-MediaTypes-6.04-13.fc38.noarch           476/3646 
  Verifying        : perl-LWP-Protocol-https-6.10-8.fc38.noarch        477/3646 
  Verifying        : perl-LaTeX-ToUnicode-0.11-7.fc37.noarch           478/3646 
  Verifying        : perl-Lingua-Translit-0.29-2.fc37.noarch           479/3646 
  Verifying        : perl-List-AllUtils-0.19-8.fc38.noarch             480/3646 
  Verifying        : perl-List-SomeUtils-0.59-1.fc38.noarch            481/3646 
  Verifying        : perl-List-UtilsBy-0.12-5.fc38.noarch              482/3646 
  Verifying        : perl-Locale-Maketext-1.32-1.fc38.noarch           483/3646 
  Verifying        : perl-Locale-Maketext-Simple-1:0.21-494.fc38.no    484/3646 
  Verifying        : perl-Log-Dispatch-2.70-9.fc38.noarch              485/3646 
  Verifying        : perl-Log-Dispatch-FileRotate-1.38-5.fc37.noarc    486/3646 
  Verifying        : perl-Log-Log4perl-1.57-1.fc38.noarch              487/3646 
  Verifying        : perl-MIME-Base64-3.16-489.fc37.x86_64             488/3646 
  Verifying        : perl-MIME-Charset-1.013.1-1.fc38.noarch           489/3646 
  Verifying        : perl-MIME-Lite-3.033-5.fc37.noarch                490/3646 
  Verifying        : perl-MIME-Types-2.24-1.fc38.noarch                491/3646 
  Verifying        : perl-MRO-Compat-0.15-4.fc37.noarch                492/3646 
  Verifying        : perl-Mail-Sender-1:0.903-19.fc37.noarch           493/3646 
  Verifying        : perl-Mail-Sendmail-0.80-16.fc37.noarch            494/3646 
  Verifying        : perl-MailTools-2.21-12.fc37.noarch                495/3646 
  Verifying        : perl-Math-BigInt-1:1.9998.37-2.fc37.noarch        496/3646 
  Verifying        : perl-Math-BigRat-0.2624-2.fc37.noarch             497/3646 
  Verifying        : perl-Math-Complex-1.59-494.fc38.noarch            498/3646 
  Verifying        : perl-Module-CoreList-1:5.20221220-1.fc38.noarc    499/3646 
  Verifying        : perl-Module-Implementation-0.09-35.fc37.noarch    500/3646 
  Verifying        : perl-Module-Load-1:0.36-489.fc37.noarch           501/3646 
  Verifying        : perl-Module-Load-Conditional-0.74-489.fc37.noa    502/3646 
  Verifying        : perl-Module-Metadata-1.000037-489.fc37.noarch     503/3646 
  Verifying        : perl-Module-Runtime-0.016-18.fc38.noarch          504/3646 
  Verifying        : perl-Mozilla-CA-20221114-1.fc38.noarch            505/3646 
  Verifying        : perl-NTLM-1.09-33.fc37.noarch                     506/3646 
  Verifying        : perl-Net-HTTP-6.22-4.fc38.noarch                  507/3646 
  Verifying        : perl-Net-SMTP-SSL-1.04-19.fc37.noarch             508/3646 
  Verifying        : perl-Net-SSLeay-1.92-4.fc37.x86_64                509/3646 
  Verifying        : perl-Number-Compare-0.03-34.fc38.noarch           510/3646 
  Verifying        : perl-OLE-Storage_Lite-0.20-10.fc37.noarch         511/3646 
  Verifying        : perl-Opcode-1.57-494.fc38.x86_64                  512/3646 
  Verifying        : perl-POSIX-2.03-494.fc38.x86_64                   513/3646 
  Verifying        : perl-Package-Generator-1.106-26.fc37.noarch       514/3646 
  Verifying        : perl-Package-Stash-0.40-3.fc37.noarch             515/3646 
  Verifying        : perl-Package-Stash-XS-0.30-2.fc37.x86_64          516/3646 
  Verifying        : perl-PadWalker-2.5-7.fc37.x86_64                  517/3646 
  Verifying        : perl-Params-Check-1:0.38-489.fc37.noarch          518/3646 
  Verifying        : perl-Params-Classify-0.015-17.fc37.x86_64         519/3646 
  Verifying        : perl-Params-Util-1.102-9.fc38.x86_64              520/3646 
  Verifying        : perl-Params-Validate-1.31-2.fc38.x86_64           521/3646 
  Verifying        : perl-Params-ValidationCompiler-0.31-1.fc38.noa    522/3646 
  Verifying        : perl-Parse-RecDescent-1.967015-18.fc37.noarch     523/3646 
  Verifying        : perl-PathTools-3.84-489.fc37.x86_64               524/3646 
  Verifying        : perl-Pod-Escapes-1:1.07-489.fc37.noarch           525/3646 
  Verifying        : perl-Pod-Html-1.33-494.fc38.noarch                526/3646 
  Verifying        : perl-Pod-Perldoc-3.28.01-490.fc37.noarch          527/3646 
  Verifying        : perl-Pod-Simple-1:3.43-490.fc37.noarch            528/3646 
  Verifying        : perl-Pod-Usage-4:2.03-3.fc37.noarch               529/3646 
  Verifying        : perl-Ref-Util-0.204-15.fc37.noarch                530/3646 
  Verifying        : perl-Ref-Util-XS-0.117-16.fc37.x86_64             531/3646 
  Verifying        : perl-Regexp-Common-2017060201-20.fc38.noarch      532/3646 
  Verifying        : perl-Role-Tiny-2.002004-7.fc37.noarch             533/3646 
  Verifying        : perl-Safe-2.43-494.fc38.noarch                    534/3646 
  Verifying        : perl-Scalar-List-Utils-5:1.63-489.fc38.x86_64     535/3646 
  Verifying        : perl-SelectSaver-1.02-494.fc38.noarch             536/3646 
  Verifying        : perl-Socket-4:2.036-1.fc38.x86_64                 537/3646 
  Verifying        : perl-Sort-Key-1.33-25.fc37.x86_64                 538/3646 
  Verifying        : perl-Specio-0.48-2.fc37.noarch                    539/3646 
  Verifying        : perl-Spreadsheet-ParseExcel-0.6500-33.fc37.x86    540/3646 
  Verifying        : perl-Spreadsheet-WriteExcel-2.40-26.fc37.noarc    541/3646 
  Verifying        : perl-Storable-1:3.26-489.fc37.x86_64              542/3646 
  Verifying        : perl-Sub-Exporter-0.989-1.fc38.noarch             543/3646 
  Verifying        : perl-Sub-Exporter-Progressive-0.001013-19.fc37    544/3646 
  Verifying        : perl-Sub-Identify-0.14-20.fc37.x86_64             545/3646 
  Verifying        : perl-Sub-Install-0.929-1.fc38.noarch              546/3646 
  Verifying        : perl-Symbol-1.09-494.fc38.noarch                  547/3646 
  Verifying        : perl-Sys-Hostname-1.24-494.fc38.x86_64            548/3646 
  Verifying        : perl-Sys-Syslog-0.36-490.fc37.x86_64              549/3646 
  Verifying        : perl-Term-ANSIColor-5.01-490.fc37.noarch          550/3646 
  Verifying        : perl-Term-Cap-1.17-489.fc37.noarch                551/3646 
  Verifying        : perl-Text-Balanced-2.06-2.fc37.noarch             552/3646 
  Verifying        : perl-Text-BibTeX-0.88-12.fc37.x86_64              553/3646 
  Verifying        : perl-Text-CSV-2.02-1.fc38.noarch                  554/3646 
  Verifying        : perl-Text-CSV_XS-1.49-1.fc38.x86_64               555/3646 
  Verifying        : perl-Text-Glob-0.11-19.fc38.noarch                556/3646 
  Verifying        : perl-Text-ParseWords-3.31-489.fc37.noarch         557/3646 
  Verifying        : perl-Text-Roman-3.5-23.fc37.noarch                558/3646 
  Verifying        : perl-Text-Soundex-3.05-24.fc38.x86_64             559/3646 
  Verifying        : perl-Text-Tabs+Wrap-2021.0814-489.fc37.noarch     560/3646 
  Verifying        : perl-Text-Unidecode-1.30-19.fc37.noarch           561/3646 
  Verifying        : perl-Tie-4.6-494.fc38.noarch                      562/3646 
  Verifying        : perl-Tie-Cycle-1.227-6.fc38.noarch                563/3646 
  Verifying        : perl-Tie-RefHash-1.40-489.fc37.noarch             564/3646 
  Verifying        : perl-Time-HiRes-4:1.9770-489.fc37.x86_64          565/3646 
  Verifying        : perl-Time-Local-2:1.300-489.fc37.noarch           566/3646 
  Verifying        : perl-TimeDate-1:2.33-9.fc37.noarch                567/3646 
  Verifying        : perl-Try-Tiny-0.31-4.fc37.noarch                  568/3646 
  Verifying        : perl-UNIVERSAL-isa-1.20171012-15.fc37.noarch      569/3646 
  Verifying        : perl-URI-5.17-1.fc38.noarch                       570/3646 
  Verifying        : perl-Unicode-Collate-1.31-489.fc37.x86_64         571/3646 
  Verifying        : perl-Unicode-LineBreak-2019.001-14.fc37.x86_64    572/3646 
  Verifying        : perl-Unicode-Map-0.112-58.fc37.x86_64             573/3646 
  Verifying        : perl-Unicode-Normalize-1.31-489.fc37.x86_64       574/3646 
  Verifying        : perl-Unicode-UCD-0.78-494.fc38.noarch             575/3646 
  Verifying        : perl-Variable-Magic-0.63-1.fc38.x86_64            576/3646 
  Verifying        : perl-WWW-RobotRules-6.02-35.fc38.noarch           577/3646 
  Verifying        : perl-XML-LibXML-1:2.0208-1.fc38.x86_64            578/3646 
  Verifying        : perl-XML-LibXML-Simple-1.01-10.fc37.noarch        579/3646 
  Verifying        : perl-XML-LibXSLT-2.002.000-3.fc37.x86_64          580/3646 
  Verifying        : perl-XML-NamespaceSupport-1.12-18.fc37.noarch     581/3646 
  Verifying        : perl-XML-Parser-2.46-12.fc37.x86_64               582/3646 
  Verifying        : perl-XML-SAX-1.02-11.fc37.noarch                  583/3646 
  Verifying        : perl-XML-SAX-Base-1.09-18.fc37.noarch             584/3646 
  Verifying        : perl-XML-Writer-0.900-9.fc38.noarch               585/3646 
  Verifying        : perl-XML-XPath-1.48-2.fc38.noarch                 586/3646 
  Verifying        : perl-XString-0.005-7.fc37.x86_64                  587/3646 
  Verifying        : perl-autodie-2.34-490.fc37.noarch                 588/3646 
  Verifying        : perl-autouse-1.11-494.fc38.noarch                 589/3646 
  Verifying        : perl-autovivification-0.18-17.fc37.x86_64         590/3646 
  Verifying        : perl-base-2.27-494.fc38.noarch                    591/3646 
  Verifying        : perl-constant-1.33-490.fc37.noarch                592/3646 
  Verifying        : perl-deprecate-0.04-494.fc38.noarch               593/3646 
  Verifying        : perl-encoding-4:3.00-492.fc38.x86_64              594/3646 
  Verifying        : perl-if-0.61.000-494.fc38.noarch                  595/3646 
  Verifying        : perl-interpreter-4:5.36.0-494.fc38.x86_64         596/3646 
  Verifying        : perl-lib-0.65-494.fc38.x86_64                     597/3646 
  Verifying        : perl-libnet-3.14-490.fc37.noarch                  598/3646 
  Verifying        : perl-libs-4:5.36.0-494.fc38.x86_64                599/3646 
  Verifying        : perl-libwww-perl-6.67-3.fc38.noarch               600/3646 
  Verifying        : perl-locale-1.10-494.fc38.noarch                  601/3646 
  Verifying        : perl-meta-notation-5.36.0-494.fc38.noarch         602/3646 
  Verifying        : perl-mro-1.26-494.fc38.x86_64                     603/3646 
  Verifying        : perl-namespace-autoclean-0.29-13.fc37.noarch      604/3646 
  Verifying        : perl-namespace-clean-0.27-21.fc37.noarch          605/3646 
  Verifying        : perl-open-1.13-494.fc38.noarch                    606/3646 
  Verifying        : perl-overload-1.35-494.fc38.noarch                607/3646 
  Verifying        : perl-overloading-0.02-494.fc38.noarch             608/3646 
  Verifying        : perl-parent-1:0.239-1.fc38.noarch                 609/3646 
  Verifying        : perl-podlators-1:5.01-1.fc38.noarch               610/3646 
  Verifying        : perl-sigtrap-1.10-494.fc38.noarch                 611/3646 
  Verifying        : perl-subs-1.04-494.fc38.noarch                    612/3646 
  Verifying        : perl-vars-1.05-494.fc38.noarch                    613/3646 
  Verifying        : perl-version-8:0.99.29-490.fc37.x86_64            614/3646 
  Verifying        : perltidy-20221112-1.fc38.noarch                   615/3646 
  Verifying        : pixman-0.40.0-6.fc37.x86_64                       616/3646 
  Verifying        : plotutils-2.6-30.fc38.x86_64                      617/3646 
  Verifying        : poppler-22.08.0-4.fc38.x86_64                     618/3646 
  Verifying        : poppler-data-0.4.11-3.fc37.noarch                 619/3646 
  Verifying        : poppler-glib-22.08.0-4.fc38.x86_64                620/3646 
  Verifying        : potrace-1.16-8.fc37.x86_64                        621/3646 
  Verifying        : pstoedit-3.78-6.fc38.x86_64                       622/3646 
  Verifying        : python-setuptools-wheel-65.5.1-1.fc38.noarch      623/3646 
  Verifying        : rav1e-libs-0.5.1-6.fc38.x86_64                    624/3646 
  Verifying        : readline-devel-8.2-2.fc38.x86_64                  625/3646 
  Verifying        : rubypick-1.1.1-17.fc37.noarch                     626/3646 
  Verifying        : shared-mime-info-2.2-2.fc37.x86_64                627/3646 
  Verifying        : sombok-2.4.0-17.fc37.x86_64                       628/3646 
  Verifying        : sord-0.16.10-1.fc37.x86_64                        629/3646 
  Verifying        : soundtouch-2.3.1-3.fc37.x86_64                    630/3646 
  Verifying        : svt-av1-libs-1.4.1-2.fc38.x86_64                  631/3646 
  Verifying        : tcl-1:8.6.12-3.fc38.x86_64                        632/3646 
  Verifying        : tcl-devel-1:8.6.12-3.fc38.x86_64                  633/3646 
  Verifying        : teckit-2.5.9-9.fc37.x86_64                        634/3646 
  Verifying        : tesseract-5.3.0-3.fc38.x86_64                     635/3646 
  Verifying        : tesseract-langpack-eng-4.1.0-4.fc37.noarch        636/3646 
  Verifying        : tesseract-tessdata-doc-4.1.0-4.fc37.noarch        637/3646 
  Verifying        : tex-preview-13.1-2.fc37.noarch                    638/3646 
  Verifying        : texlive-12many-10:svn15878.0.3-63.fc38.noarch     639/3646 
  Verifying        : texlive-2up-10:svn55076-63.fc38.noarch            640/3646 
  Verifying        : texlive-a0poster-10:svn54071-63.fc38.noarch       641/3646 
  Verifying        : texlive-a4wide-10:svn20943.0-63.fc38.noarch       642/3646 
  Verifying        : texlive-a5comb-10:svn17020.4-63.fc38.noarch       643/3646 
  Verifying        : texlive-aaai-named-10:svn52470-63.fc38.noarch     644/3646 
  Verifying        : texlive-aastex-10:svn58057-63.fc38.noarch         645/3646 
  Verifying        : texlive-abnt-10:svn55471-63.fc38.noarch           646/3646 
  Verifying        : texlive-abntex2-10:svn49248-63.fc38.noarch        647/3646 
  Verifying        : texlive-abntexto-10:svn64694-63.fc38.noarch       648/3646 
  Verifying        : texlive-aboensis-10:svn62977-63.fc38.noarch       649/3646 
  Verifying        : texlive-abraces-10:svn64967-63.fc38.noarch        650/3646 
  Verifying        : texlive-abspos-10:svn64465-63.fc38.noarch         651/3646 
  Verifying        : texlive-abstract-10:svn15878.1.2a-63.fc38.noar    652/3646 
  Verifying        : texlive-academicons-10:svn62622-63.fc38.noarch    653/3646 
  Verifying        : texlive-accanthis-10:svn64844-63.fc38.noarch      654/3646 
  Verifying        : texlive-accents-10:svn51497-63.fc38.noarch        655/3646 
  Verifying        : texlive-accessibility-10:svn55777-63.fc38.noar    656/3646 
  Verifying        : texlive-accsupp-10:svn53052-63.fc38.noarch        657/3646 
  Verifying        : texlive-achemso-10:svn65103-63.fc38.noarch        658/3646 
  Verifying        : texlive-acmart-10:svn64967-63.fc38.noarch         659/3646 
  Verifying        : texlive-acmconf-10:svn15878.1.3-63.fc38.noarch    660/3646 
  Verifying        : texlive-acro-10:svn62925-63.fc38.noarch           661/3646 
  Verifying        : texlive-acronym-10:svn54758-63.fc38.noarch        662/3646 
  Verifying        : texlive-acroterm-10:svn61719-63.fc38.noarch       663/3646 
  Verifying        : texlive-active-conf-10:svn15878.0.3a-63.fc38.n    664/3646 
  Verifying        : texlive-actuarialangle-10:svn51376-63.fc38.noa    665/3646 
  Verifying        : texlive-actuarialsymbol-10:svn54080-63.fc38.no    666/3646 
  Verifying        : texlive-addfont-10:svn58559-63.fc38.noarch        667/3646 
  Verifying        : texlive-addlines-10:svn49326-63.fc38.noarch       668/3646 
  Verifying        : texlive-adfathesis-10:svn26048.2.42-63.fc38.no    669/3646 
  Verifying        : texlive-adforn-10:svn54512-63.fc38.noarch         670/3646 
  Verifying        : texlive-adfsymbols-10:svn54512-63.fc38.noarch     671/3646 
  Verifying        : texlive-adigraph-10:svn49862-63.fc38.noarch       672/3646 
  Verifying        : texlive-adjmulticol-10:svn63320-63.fc38.noarch    673/3646 
  Verifying        : texlive-adjustbox-10:svn64967-63.fc38.noarch      674/3646 
  Verifying        : texlive-adobemapping-10:svn51787-63.fc38.noarc    675/3646 
  Verifying        : texlive-adrconv-10:svn46817-63.fc38.noarch        676/3646 
  Verifying        : texlive-advdate-10:svn20538.0-63.fc38.noarch      677/3646 
  Verifying        : texlive-ae-10:svn15878.1.4-63.fc38.noarch         678/3646 
  Verifying        : texlive-aeguill-10:svn15878.0-63.fc38.noarch      679/3646 
  Verifying        : texlive-aesupp-10:svn58253-63.fc38.noarch         680/3646 
  Verifying        : texlive-afparticle-10:svn35900.1.3-63.fc38.noa    681/3646 
  Verifying        : texlive-afthesis-10:svn15878.2.7-63.fc38.noarc    682/3646 
  Verifying        : texlive-aguplus-10:svn17156.1.6b-63.fc38.noarc    683/3646 
  Verifying        : texlive-aiaa-10:svn15878.3.6-63.fc38.noarch       684/3646 
  Verifying        : texlive-aichej-10:svn15878.0-63.fc38.noarch       685/3646 
  Verifying        : texlive-ajl-10:svn34016.0-63.fc38.noarch          686/3646 
  Verifying        : texlive-akktex-10:svn26055.0.3.2-63.fc38.noarc    687/3646 
  Verifying        : texlive-akletter-10:svn15878.1.5i-63.fc38.noar    688/3646 
  Verifying        : texlive-alegreya-10:svn64384-63.fc38.noarch       689/3646 
  Verifying        : texlive-alertmessage-10:svn38055.1.1-63.fc38.n    690/3646 
  Verifying        : texlive-alfaslabone-10:svn57452-63.fc38.noarch    691/3646 
  Verifying        : texlive-alg-10:svn15878.0-63.fc38.noarch          692/3646 
  Verifying        : texlive-algobox-10:svn52204-63.fc38.noarch        693/3646 
  Verifying        : texlive-algolrevived-10:svn56864-63.fc38.noarc    694/3646 
  Verifying        : texlive-algorithm2e-10:svn44846-63.fc38.noarch    695/3646 
  Verifying        : texlive-algorithmicx-10:svn15878.0-63.fc38.noa    696/3646 
  Verifying        : texlive-algorithms-10:svn42428-63.fc38.noarch     697/3646 
  Verifying        : texlive-algpseudocodex-10:svn64654-63.fc38.noa    698/3646 
  Verifying        : texlive-algxpar-10:svn56006-63.fc38.noarch        699/3646 
  Verifying        : texlive-aligned-overset-10:svn47290-63.fc38.no    700/3646 
  Verifying        : texlive-allrunes-10:svn42221-63.fc38.noarch       701/3646 
  Verifying        : texlive-almendra-10:svn64539-63.fc38.noarch       702/3646 
  Verifying        : texlive-almfixed-10:svn35065.0.92-63.fc38.noar    703/3646 
  Verifying        : texlive-alnumsec-10:svn15878.v0.03-63.fc38.noa    704/3646 
  Verifying        : texlive-alphalph-10:svn53087-63.fc38.noarch       705/3646 
  Verifying        : texlive-alterqcm-10:svn59265-63.fc38.noarch       706/3646 
  Verifying        : texlive-altfont-10:svn15878.1.1-63.fc38.noarch    707/3646 
  Verifying        : texlive-altsubsup-10:svn62738-63.fc38.noarch      708/3646 
  Verifying        : texlive-amsaddr-10:svn64357-63.fc38.noarch        709/3646 
  Verifying        : texlive-amscdx-10:svn51532-63.fc38.noarch         710/3646 
  Verifying        : texlive-amscls-10:svn55378-63.fc38.noarch         711/3646 
  Verifying        : texlive-amsfonts-10:svn61937-63.fc38.noarch       712/3646 
  Verifying        : texlive-amsmath-10:svn63514-63.fc38.noarch        713/3646 
  Verifying        : texlive-amsrefs-10:svn61937-63.fc38.noarch        714/3646 
  Verifying        : texlive-andika-10:svn64540-63.fc38.noarch         715/3646 
  Verifying        : texlive-animate-10:svn64401-63.fc38.noarch        716/3646 
  Verifying        : texlive-annotate-equations-10:svn62932-63.fc38    717/3646 
  Verifying        : texlive-annotate-10:svn52824-63.fc38.noarch       718/3646 
  Verifying        : texlive-anonchap-10:svn17049.1.1a-63.fc38.noar    719/3646 
  Verifying        : texlive-anonymous-acm-10:svn55121-63.fc38.noar    720/3646 
  Verifying        : texlive-anonymouspro-10:svn51631-63.fc38.noarc    721/3646 
  Verifying        : texlive-answers-10:svn35032.2.16-63.fc38.noarc    722/3646 
  Verifying        : texlive-antiqua-10:svn24266.001.003-63.fc38.no    723/3646 
  Verifying        : texlive-antt-10:svn18651.2.08-63.fc38.noarch      724/3646 
  Verifying        : texlive-anufinalexam-doc-10:svn26053.0-63.fc38    725/3646 
  Verifying        : texlive-anyfontsize-10:svn17050.0-63.fc38.noar    726/3646 
  Verifying        : texlive-anysize-10:svn15878.0-63.fc38.noarch      727/3646 
  Verifying        : texlive-aobs-tikz-10:svn32662.1.0-63.fc38.noar    728/3646 
  Verifying        : texlive-aomart-10:svn63442-63.fc38.noarch         729/3646 
  Verifying        : texlive-apa-10:svn54080-63.fc38.noarch            730/3646 
  Verifying        : texlive-apa6-10:svn53406-63.fc38.noarch           731/3646 
  Verifying        : texlive-apa6e-10:svn23350.0.3-63.fc38.noarch      732/3646 
  Verifying        : texlive-apa7-10:svn63974-63.fc38.noarch           733/3646 
  Verifying        : texlive-apacite-10:svn54080-63.fc38.noarch        734/3646 
  Verifying        : texlive-apalike-ejor-10:svn59667-63.fc38.noarc    735/3646 
  Verifying        : texlive-apalike2-10:svn54080-63.fc38.noarch       736/3646 
  Verifying        : texlive-appendix-10:svn53718-63.fc38.noarch       737/3646 
  Verifying        : texlive-appendixnumberbeamer-10:svn46317-63.fc    738/3646 
  Verifying        : texlive-apptools-10:svn28400.1.0-63.fc38.noarc    739/3646 
  Verifying        : texlive-apxproof-10:svn64715-63.fc38.noarch       740/3646 
  Verifying        : texlive-arabicfront-10:svn51474-63.fc38.noarch    741/3646 
  Verifying        : texlive-archaeologie-10:svn57090-63.fc38.noarc    742/3646 
  Verifying        : texlive-archaic-10:svn38005.0-63.fc38.noarch      743/3646 
  Verifying        : texlive-arcs-10:svn15878.1-63.fc38.noarch         744/3646 
  Verifying        : texlive-arev-10:svn15878.0-63.fc38.noarch         745/3646 
  Verifying        : texlive-arimo-10:svn64548-63.fc38.noarch          746/3646 
  Verifying        : texlive-arphic-10:svn15878.0-63.fc38.noarch       747/3646 
  Verifying        : texlive-arraycols-10:svn61719-63.fc38.noarch      748/3646 
  Verifying        : texlive-arrayjobx-10:svn18125.1.04-63.fc38.noa    749/3646 
  Verifying        : texlive-arraysort-10:svn31576.1.0-63.fc38.noar    750/3646 
  Verifying        : texlive-arsclassica-10:svn45656-63.fc38.noarch    751/3646 
  Verifying        : texlive-articleingud-10:svn38741-63.fc38.noarc    752/3646 
  Verifying        : texlive-arvo-10:svn57213-63.fc38.noarch           753/3646 
  Verifying        : texlive-arydshln-10:svn50084-63.fc38.noarch       754/3646 
  Verifying        : texlive-asaetr-10:svn15878.1.0a-63.fc38.noarch    755/3646 
  Verifying        : texlive-asana-math-10:svn59629-63.fc38.noarch     756/3646 
  Verifying        : texlive-asapsym-10:svn40201-63.fc38.noarch        757/3646 
  Verifying        : texlive-ascelike-10:svn29129.2.3-63.fc38.noarc    758/3646 
  Verifying        : texlive-ascii-font-10:svn29989.2.0-63.fc38.noa    759/3646 
  Verifying        : texlive-asciilist-10:svn49060-63.fc38.noarch      760/3646 
  Verifying        : texlive-ascmac-10:svn53411-63.fc38.noarch         761/3646 
  Verifying        : texlive-askinclude-10:svn54725-63.fc38.noarch     762/3646 
  Verifying        : texlive-askmaps-10:svn56730-63.fc38.noarch        763/3646 
  Verifying        : texlive-asmeconf-10:svn64435-63.fc38.noarch       764/3646 
  Verifying        : texlive-asmejour-10:svn63736-63.fc38.noarch       765/3646 
  Verifying        : texlive-aspectratio-10:svn25243.2.0-63.fc38.no    766/3646 
  Verifying        : texlive-assignment-10:svn20431.0-63.fc38.noarc    767/3646 
  Verifying        : texlive-assoccnt-10:svn38497-63.fc38.noarch       768/3646 
  Verifying        : texlive-association-matrix-10:svn64845-63.fc38    769/3646 
  Verifying        : texlive-astro-10:svn15878.2.20-63.fc38.noarch     770/3646 
  Verifying        : texlive-asyfig-10:svn17512.0.1c-63.fc38.noarch    771/3646 
  Verifying        : texlive-asypictureb-10:svn33490.0.3-63.fc38.no    772/3646 
  Verifying        : texlive-atbegshi-10:svn53051-63.fc38.noarch       773/3646 
  Verifying        : texlive-atenddvi-10:svn56922-63.fc38.noarch       774/3646 
  Verifying        : texlive-atendofenv-10:svn62164-63.fc38.noarch     775/3646 
  Verifying        : texlive-atkinson-10:svn64385-63.fc38.noarch       776/3646 
  Verifying        : texlive-attachfile-10:svn42099-63.fc38.noarch     777/3646 
  Verifying        : texlive-atveryend-10:svn53108-63.fc38.noarch      778/3646 
  Verifying        : texlive-aucklandthesis-10:svn51323-63.fc38.noa    779/3646 
  Verifying        : texlive-augie-10:svn61719-63.fc38.noarch          780/3646 
  Verifying        : texlive-auncial-new-10:svn62977-63.fc38.noarch    781/3646 
  Verifying        : texlive-aurical-10:svn15878.1.5-63.fc38.noarch    782/3646 
  Verifying        : texlive-aurl-10:svn41853-63.fc38.noarch           783/3646 
  Verifying        : texlive-authoraftertitle-10:svn55889-63.fc38.n    784/3646 
  Verifying        : texlive-authorarchive-10:svn63146-63.fc38.noar    785/3646 
  Verifying        : texlive-authordate-10:svn52564-63.fc38.noarch     786/3646 
  Verifying        : texlive-auto-pst-pdf-10:svn56596-63.fc38.noarc    787/3646 
  Verifying        : texlive-autoarea-10:svn59552-63.fc38.noarch       788/3646 
  Verifying        : texlive-autobreak-10:svn43337-63.fc38.noarch      789/3646 
  Verifying        : texlive-autofancyhdr-10:svn54049-63.fc38.noarc    790/3646 
  Verifying        : texlive-autonum-10:svn36084.0.3.11-63.fc38.noa    791/3646 
  Verifying        : texlive-autopdf-10:svn32377.1.1-63.fc38.noarch    792/3646 
  Verifying        : texlive-autopuncitems-10:svn63045-63.fc38.noar    793/3646 
  Verifying        : texlive-auxhook-10:svn53173-63.fc38.noarch        794/3646 
  Verifying        : texlive-avantgar-10:svn61983-63.fc38.noarch       795/3646 
  Verifying        : texlive-avremu-10:svn35373.0.1-63.fc38.noarch     796/3646 
  Verifying        : texlive-axessibility-10:svn57105-63.fc38.noarc    797/3646 
  Verifying        : texlive-b1encoding-10:svn21271.1.0-63.fc38.noa    798/3646 
  Verifying        : texlive-babel-dutch-10:svn60362-63.fc38.noarch    799/3646 
  Verifying        : texlive-babel-english-10:svn44495-63.fc38.noar    800/3646 
  Verifying        : texlive-babel-10:svn65366-63.fc38.noarch          801/3646 
  Verifying        : texlive-babelbib-10:svn57349-63.fc38.noarch       802/3646 
  Verifying        : texlive-background-10:svn42428-63.fc38.noarch     803/3646 
  Verifying        : texlive-backnaur-10:svn54080-63.fc38.noarch       804/3646 
  Verifying        : texlive-bangorcsthesis-10:svn61770-63.fc38.noa    805/3646 
  Verifying        : texlive-bangorexam-10:svn65140-63.fc38.noarch     806/3646 
  Verifying        : texlive-bankstatement-10:svn38857-63.fc38.noar    807/3646 
  Verifying        : texlive-barcodes-10:svn15878.0-63.fc38.noarch     808/3646 
  Verifying        : texlive-bardiag-10:svn22013.0.4a-63.fc38.noarc    809/3646 
  Verifying        : texlive-bashful-10:svn25597.0.93-63.fc38.noarc    810/3646 
  Verifying        : texlive-basicarith-10:svn35460.1.1-63.fc38.noa    811/3646 
  Verifying        : texlive-baskervald-10:svn19490.1.016-63.fc38.n    812/3646 
  Verifying        : texlive-baskervaldx-10:svn57080-63.fc38.noarch    813/3646 
  Verifying        : texlive-baskervillef-10:svn55475-63.fc38.noarc    814/3646 
  Verifying        : texlive-bath-bst-10:svn63398-63.fc38.noarch       815/3646 
  Verifying        : texlive-bbding-10:svn17186.1.01-63.fc38.noarch    816/3646 
  Verifying        : texlive-bbm-macros-10:svn17224.0-63.fc38.noarc    817/3646 
  Verifying        : texlive-bbm-10:svn15878.0-63.fc38.noarch          818/3646 
  Verifying        : texlive-bbold-10:svn17187.1.01-63.fc38.noarch     819/3646 
  Verifying        : texlive-bbold-type1-10:svn33143.0-63.fc38.noar    820/3646 
  Verifying        : texlive-bboldx-10:svn60919-63.fc38.noarch         821/3646 
  Verifying        : texlive-bchart-10:svn43928-63.fc38.noarch         822/3646 
  Verifying        : texlive-beamer-fuberlin-10:svn63161-63.fc38.no    823/3646 
  Verifying        : texlive-beamer-rl-10:svn63668-63.fc38.noarch      824/3646 
  Verifying        : texlive-beamer-10:svn64388-63.fc38.noarch         825/3646 
  Verifying        : texlive-beamer-verona-10:svn39180-63.fc38.noar    826/3646 
  Verifying        : texlive-beamer2thesis-10:svn27539.2.2-63.fc38.    827/3646 
  Verifying        : texlive-beamerappendixnote-10:svn55732-63.fc38    828/3646 
  Verifying        : texlive-beameraudience-10:svn23427.0.1-63.fc38    829/3646 
  Verifying        : texlive-beamerauxtheme-10:svn56087-63.fc38.noa    830/3646 
  Verifying        : texlive-beamercolorthemeowl-10:svn40105-63.fc3    831/3646 
  Verifying        : texlive-beamerdarkthemes-10:svn55117-63.fc38.n    832/3646 
  Verifying        : texlive-beamerposter-10:svn54512-63.fc38.noarc    833/3646 
  Verifying        : texlive-beamersubframe-10:svn23510.0.2-63.fc38    834/3646 
  Verifying        : texlive-beamerswitch-10:svn64182-63.fc38.noarc    835/3646 
  Verifying        : texlive-beamertheme-arguelles-10:svn65234-63.f    836/3646 
  Verifying        : texlive-beamertheme-cuerna-10:svn42161-63.fc38    837/3646 
  Verifying        : texlive-beamertheme-detlevcm-10:svn39048-63.fc    838/3646 
  Verifying        : texlive-beamertheme-epyt-10:svn41404-63.fc38.n    839/3646 
  Verifying        : texlive-beamertheme-focus-10:svn62551-63.fc38.    840/3646 
  Verifying        : texlive-beamertheme-light-10:svn49867-63.fc38.    841/3646 
  Verifying        : texlive-beamertheme-metropolis-10:svn43031-63.    842/3646 
  Verifying        : texlive-beamertheme-npbt-10:svn54512-63.fc38.n    843/3646 
  Verifying        : texlive-beamertheme-phnompenh-10:svn39100-63.f    844/3646 
  Verifying        : texlive-beamertheme-pure-minimalistic-10:svn56    845/3646 
  Verifying        : texlive-beamertheme-saintpetersburg-10:svn4587    846/3646 
  Verifying        : texlive-beamertheme-simpledarkblue-10:svn60061    847/3646 
  Verifying        : texlive-beamertheme-simpleplus-10:svn64770-63.    848/3646 
  Verifying        : texlive-beamertheme-tcolorbox-10:svn64387-63.f    849/3646 
  Verifying        : texlive-beamertheme-trigon-10:svn63270-63.fc38    850/3646 
  Verifying        : texlive-beamertheme-upenn-bc-10:svn29937.1.0-6    851/3646 
  Verifying        : texlive-beamerthemeamurmaple-10:svn64346-63.fc    852/3646 
  Verifying        : texlive-beamerthemejltree-10:svn21977.1.1-63.f    853/3646 
  Verifying        : texlive-beamerthemelalic-10:svn58777-63.fc38.n    854/3646 
  Verifying        : texlive-beamerthemenirma-10:svn20765.0.1-63.fc    855/3646 
  Verifying        : texlive-beamerthemenord-10:svn56180-63.fc38.no    856/3646 
  Verifying        : texlive-bearwear-10:svn54826-63.fc38.noarch       857/3646 
  Verifying        : texlive-beaulivre-10:svn64280-63.fc38.noarch      858/3646 
  Verifying        : texlive-beebe-10:svn65356-63.fc38.noarch          859/3646 
  Verifying        : texlive-begriff-10:svn15878.1.6-63.fc38.noarch    860/3646 
  Verifying        : texlive-beilstein-10:svn56193-63.fc38.noarch      861/3646 
  Verifying        : texlive-belleek-10:svn18651.0-63.fc38.noarch      862/3646 
  Verifying        : texlive-bera-10:svn20031.0-63.fc38.noarch         863/3646 
  Verifying        : texlive-berenisadf-10:svn32215.1.004-63.fc38.n    864/3646 
  Verifying        : texlive-besjournals-10:svn45662-63.fc38.noarch    865/3646 
  Verifying        : texlive-bestpapers-10:svn38708-63.fc38.noarch     866/3646 
  Verifying        : texlive-beton-10:svn15878.0-63.fc38.noarch        867/3646 
  Verifying        : texlive-beuron-10:svn46374-63.fc38.noarch         868/3646 
  Verifying        : texlive-bewerbung-10:svn61632-63.fc38.noarch      869/3646 
  Verifying        : texlive-bez123-10:svn15878.1.1b-63.fc38.noarch    870/3646 
  Verifying        : texlive-bfh-ci-10:svn65019-63.fc38.noarch         871/3646 
  Verifying        : texlive-bgteubner-10:svn54080-63.fc38.noarch      872/3646 
  Verifying        : texlive-bguq-10:svn27401.0.4-63.fc38.noarch       873/3646 
  Verifying        : texlive-bhcexam-10:svn64093-63.fc38.noarch        874/3646 
  Verifying        : texlive-bibarts-10:svn64579-63.fc38.noarch        875/3646 
  Verifying        : texlive-bibcop-10:svn65306-63.fc38.noarch         876/3646 
  Verifying        : texlive-bibhtml-10:svn31607.2.0.2-63.fc38.noar    877/3646 
  Verifying        : texlive-biblatex-abnt-10:svn49179-63.fc38.noar    878/3646 
  Verifying        : texlive-biblatex-ajc2020unofficial-10:svn54401    879/3646 
  Verifying        : texlive-biblatex-anonymous-10:svn48548-63.fc38    880/3646 
  Verifying        : texlive-biblatex-apa-10:svn63719-63.fc38.noarc    881/3646 
  Verifying        : texlive-biblatex-apa6-10:svn56209-63.fc38.noar    882/3646 
  Verifying        : texlive-biblatex-archaeology-10:svn53281-63.fc    883/3646 
  Verifying        : texlive-biblatex-arthistory-bonn-10:svn46637-6    884/3646 
  Verifying        : texlive-biblatex-bath-10:svn63401-63.fc38.noar    885/3646 
  Verifying        : texlive-biblatex-bookinarticle-10:svn40323-63.    886/3646 
  Verifying        : texlive-biblatex-bookinother-10:svn54015-63.fc    887/3646 
  Verifying        : texlive-biblatex-bwl-10:svn26556.0.02-63.fc38.    888/3646 
  Verifying        : texlive-biblatex-caspervector-10:svn64866-63.f    889/3646 
  Verifying        : texlive-biblatex-chem-10:svn57904-63.fc38.noar    890/3646 
  Verifying        : texlive-biblatex-chicago-10:svn65037-63.fc38.n    891/3646 
  Verifying        : texlive-biblatex-claves-10:svn43723-63.fc38.no    892/3646 
  Verifying        : texlive-biblatex-cv-10:svn59433-63.fc38.noarch    893/3646 
  Verifying        : texlive-biblatex-dw-10:svn42649-63.fc38.noarch    894/3646 
  Verifying        : texlive-biblatex-enc-10:svn44627-63.fc38.noarc    895/3646 
  Verifying        : texlive-biblatex-ext-10:svn63638-63.fc38.noarc    896/3646 
  Verifying        : texlive-biblatex-fiwi-10:svn45876-63.fc38.noar    897/3646 
  Verifying        : texlive-biblatex-gb7714-2015-10:svn64967-63.fc    898/3646 
  Verifying        : texlive-biblatex-german-legal-10:svn56939-63.f    899/3646 
  Verifying        : texlive-biblatex-gost-10:svn59135-63.fc38.noar    900/3646 
  Verifying        : texlive-biblatex-historian-10:svn19787.0.4-63.    901/3646 
  Verifying        : texlive-biblatex-ieee-10:svn61243-63.fc38.noar    902/3646 
  Verifying        : texlive-biblatex-ijsra-10:svn41634-63.fc38.noa    903/3646 
  Verifying        : texlive-biblatex-iso690-10:svn62866-63.fc38.no    904/3646 
  Verifying        : texlive-biblatex-jura2-10:svn64762-63.fc38.noa    905/3646 
  Verifying        : texlive-biblatex-juradiss-10:svn56502-63.fc38.    906/3646 
  Verifying        : texlive-biblatex-license-10:svn58437-63.fc38.n    907/3646 
  Verifying        : texlive-biblatex-lncs-10:svn65280-63.fc38.noar    908/3646 
  Verifying        : texlive-biblatex-lni-10:svn61719-63.fc38.noarc    909/3646 
  Verifying        : texlive-biblatex-luh-ipw-10:svn32180.0.3-63.fc    910/3646 
  Verifying        : texlive-biblatex-manuscripts-philology-10:svn5    911/3646 
  Verifying        : texlive-biblatex-mla-10:svn62138-63.fc38.noarc    912/3646 
  Verifying        : texlive-biblatex-morenames-10:svn43049-63.fc38    913/3646 
  Verifying        : texlive-biblatex-ms-10:svn64180-63.fc38.noarch    914/3646 
  Verifying        : texlive-biblatex-multiple-dm-10:svn37081.1.0.1    915/3646 
  Verifying        : texlive-biblatex-musuos-10:svn24097.1.0-63.fc3    916/3646 
  Verifying        : texlive-biblatex-nature-10:svn57262-63.fc38.no    917/3646 
  Verifying        : texlive-biblatex-nejm-10:svn49839-63.fc38.noar    918/3646 
  Verifying        : texlive-biblatex-nottsclassic-10:svn41596-63.f    919/3646 
  Verifying        : texlive-biblatex-opcit-booktitle-10:svn48983-6    920/3646 
  Verifying        : texlive-biblatex-oxref-10:svn57513-63.fc38.noa    921/3646 
  Verifying        : texlive-biblatex-philosophy-10:svn64414-63.fc3    922/3646 
  Verifying        : texlive-biblatex-phys-10:svn55643-63.fc38.noar    923/3646 
  Verifying        : texlive-biblatex-publist-10:svn65182-63.fc38.n    924/3646 
  Verifying        : texlive-biblatex-readbbl-10:svn61549-63.fc38.n    925/3646 
  Verifying        : texlive-biblatex-realauthor-10:svn45865-63.fc3    926/3646 
  Verifying        : texlive-biblatex-sbl-10:svn63639-63.fc38.noarc    927/3646 
  Verifying        : texlive-biblatex-science-10:svn48945-63.fc38.n    928/3646 
  Verifying        : texlive-biblatex-shortfields-10:svn45858-63.fc    929/3646 
  Verifying        : texlive-biblatex-socialscienceshuberlin-10:svn    930/3646 
  Verifying        : texlive-biblatex-software-10:svn64030-63.fc38.    931/3646 
  Verifying        : texlive-biblatex-source-division-10:svn45379-6    932/3646 
  Verifying        : texlive-biblatex-spbasic-10:svn61439-63.fc38.n    933/3646 
  Verifying        : texlive-biblatex-subseries-10:svn43330-63.fc38    934/3646 
  Verifying        : texlive-biblatex-10:svn63878-63.fc38.noarch       935/3646 
  Verifying        : texlive-biblatex-swiss-legal-10:svn64491-63.fc    936/3646 
  Verifying        : texlive-biblatex-trad-10:svn58169-63.fc38.noar    937/3646 
  Verifying        : texlive-biblatex-true-citepages-omit-10:svn446    938/3646 
  Verifying        : texlive-biblatex-unified-10:svn64975-63.fc38.n    939/3646 
  Verifying        : texlive-biblatex-vancouver-10:svn55339-63.fc38    940/3646 
  Verifying        : texlive-biblatex2bibitem-10:svn61648-63.fc38.n    941/3646 
  Verifying        : texlive-bibletext-10:svn45196-63.fc38.noarch      942/3646 
  Verifying        : texlive-biblist-10:svn17116.0-63.fc38.noarch      943/3646 
  Verifying        : texlive-bibtexperllibs-10:svn57137-63.fc38.noa    944/3646 
  Verifying        : texlive-bibtopic-10:svn15878.1.1a-63.fc38.noar    945/3646 
  Verifying        : texlive-bibtopicprefix-10:svn15878.1.10-63.fc3    946/3646 
  Verifying        : texlive-bibunits-10:svn15878.2.2-63.fc38.noarc    947/3646 
  Verifying        : texlive-bidi-10:svn64967-63.fc38.noarch           948/3646 
  Verifying        : texlive-bigfoot-10:svn38248.2.1-63.fc38.noarch    949/3646 
  Verifying        : texlive-bigintcalc-10:svn53172-63.fc38.noarch     950/3646 
  Verifying        : texlive-bigints-10:svn29803.0-63.fc38.noarch      951/3646 
  Verifying        : texlive-bilingualpages-10:svn59643-63.fc38.noa    952/3646 
  Verifying        : texlive-binarytree-10:svn41777-63.fc38.noarch     953/3646 
  Verifying        : texlive-binomexp-10:svn15878.1.0-63.fc38.noarc    954/3646 
  Verifying        : texlive-biochemistry-colors-10:svn54512-63.fc3    955/3646 
  Verifying        : texlive-biocon-10:svn15878.0-63.fc38.noarch       956/3646 
  Verifying        : texlive-biolett-bst-10:svn61719-63.fc38.noarch    957/3646 
  Verifying        : texlive-bithesis-10:svn65314-63.fc38.noarch       958/3646 
  Verifying        : texlive-bitpattern-10:svn39073-63.fc38.noarch     959/3646 
  Verifying        : texlive-bitset-10:svn53837-63.fc38.noarch         960/3646 
  Verifying        : texlive-bitter-10:svn64541-63.fc38.noarch         961/3646 
  Verifying        : texlive-bizcard-10:svn15878.1.1-63.fc38.noarch    962/3646 
  Verifying        : texlive-bjfuthesis-10:svn59809-63.fc38.noarch     963/3646 
  Verifying        : texlive-blacklettert1-10:svn15878.0-63.fc38.no    964/3646 
  Verifying        : texlive-blindtext-10:svn25039.2.0-63.fc38.noar    965/3646 
  Verifying        : texlive-blkarray-10:svn36406.0.07-63.fc38.noar    966/3646 
  Verifying        : texlive-blochsphere-10:svn38388-63.fc38.noarch    967/3646 
  Verifying        : texlive-block-10:svn17209.0-63.fc38.noarch        968/3646 
  Verifying        : texlive-bloques-10:svn22490.1.0-63.fc38.noarch    969/3646 
  Verifying        : texlive-blowup-10:svn64466-63.fc38.noarch         970/3646 
  Verifying        : texlive-blox-10:svn57949-63.fc38.noarch           971/3646 
  Verifying        : texlive-bmstu-iu8-10:svn61937-63.fc38.noarch      972/3646 
  Verifying        : texlive-bmstu-10:svn62012-63.fc38.noarch          973/3646 
  Verifying        : texlive-bnumexpr-10:svn59244-63.fc38.noarch       974/3646 
  Verifying        : texlive-bodegraph-10:svn61719-63.fc38.noarch      975/3646 
  Verifying        : texlive-bodeplot-10:svn65074-63.fc38.noarch       976/3646 
  Verifying        : texlive-bohr-10:svn62977-63.fc38.noarch           977/3646 
  Verifying        : texlive-boisik-10:svn15878.0.5-63.fc38.noarch     978/3646 
  Verifying        : texlive-boites-10:svn32235.1.1-63.fc38.noarch     979/3646 
  Verifying        : texlive-bold-extra-10:svn17076.0.1-63.fc38.noa    980/3646 
  Verifying        : texlive-boldtensors-10:svn15878.0-63.fc38.noar    981/3646 
  Verifying        : texlive-bondgraph-10:svn21670.1.0-63.fc38.noar    982/3646 
  Verifying        : texlive-bondgraphs-10:svn36605.1.0.1-63.fc38.n    983/3646 
  Verifying        : texlive-book-of-common-prayer-10:svn62240-63.f    984/3646 
  Verifying        : texlive-bookcover-10:svn64078-63.fc38.noarch      985/3646 
  Verifying        : texlive-bookdb-10:svn37536.0.2-63.fc38.noarch     986/3646 
  Verifying        : texlive-bookest-10:svn15878.1.1-63.fc38.noarch    987/3646 
  Verifying        : texlive-bookhands-10:svn46480-63.fc38.noarch      988/3646 
  Verifying        : texlive-booklet-10:svn15878.0.7b-63.fc38.noarc    989/3646 
  Verifying        : texlive-bookman-10:svn61719-63.fc38.noarch        990/3646 
  Verifying        : texlive-bookmark-10:svn56885-63.fc38.noarch       991/3646 
  Verifying        : texlive-bookshelf-10:svn55475-63.fc38.noarch      992/3646 
  Verifying        : texlive-booktabs-10:svn53402-63.fc38.noarch       993/3646 
  Verifying        : texlive-boolexpr-10:svn17830.3.14-63.fc38.noar    994/3646 
  Verifying        : texlive-boondox-10:svn54512-63.fc38.noarch        995/3646 
  Verifying        : texlive-bophook-10:svn17062.0.02-63.fc38.noarc    996/3646 
  Verifying        : texlive-bosisio-10:svn16989.0-63.fc38.noarch      997/3646 
  Verifying        : texlive-boxedminipage-10:svn54827-63.fc38.noar    998/3646 
  Verifying        : texlive-boxhandler-10:svn28031.1.30-63.fc38.no    999/3646 
  Verifying        : texlive-bpchem-10:svn45120-63.fc38.noarch        1000/3646 
  Verifying        : texlive-br-lex-10:svn44939-63.fc38.noarch        1001/3646 
  Verifying        : texlive-bracketkey-10:svn17129.1.0-63.fc38.noa   1002/3646 
  Verifying        : texlive-braids-10:svn64817-63.fc38.noarch        1003/3646 
  Verifying        : texlive-braille-10:svn20655.0-63.fc38.noarch     1004/3646 
  Verifying        : texlive-braket-10:svn17127.0-63.fc38.noarch      1005/3646 
  Verifying        : texlive-brandeis-dissertation-10:svn61215-63.f   1006/3646 
  Verifying        : texlive-brandeis-problemset-10:svn50991-63.fc3   1007/3646 
  Verifying        : texlive-brandeis-thesis-10:svn59832-63.fc38.no   1008/3646 
  Verifying        : texlive-breakcites-10:svn21014-63.fc38.noarch    1009/3646 
  Verifying        : texlive-breakurl-10:svn29901.1.40-63.fc38.noar   1010/3646 
  Verifying        : texlive-breqn-10:svn60881-63.fc38.noarch         1011/3646 
  Verifying        : texlive-bropd-10:svn35383.1.2-63.fc38.noarch     1012/3646 
  Verifying        : texlive-brushscr-10:svn28363.0-63.fc38.noarch    1013/3646 
  Verifying        : texlive-bubblesort-10:svn56070-63.fc38.noarch    1014/3646 
  Verifying        : texlive-buctthesis-10:svn64004-63.fc38.noarch    1015/3646 
  Verifying        : texlive-bullcntr-10:svn15878.0.04-63.fc38.noar   1016/3646 
  Verifying        : texlive-bussproofs-extra-10:svn51299-63.fc38.n   1017/3646 
  Verifying        : texlive-bussproofs-10:svn54080-63.fc38.noarch    1018/3646 
  Verifying        : texlive-bxcalc-10:svn56431-63.fc38.noarch        1019/3646 
  Verifying        : texlive-bxdpx-beamer-10:svn41813-63.fc38.noarc   1020/3646 
  Verifying        : texlive-bxdvidriver-10:svn43219-63.fc38.noarch   1021/3646 
  Verifying        : texlive-bxeepic-10:svn30559.0.2-63.fc38.noarch   1022/3646 
  Verifying        : texlive-bxenclose-10:svn40213-63.fc38.noarch     1023/3646 
  Verifying        : texlive-bxnewfont-10:svn44173-63.fc38.noarch     1024/3646 
  Verifying        : texlive-bxpapersize-10:svn63174-63.fc38.noarch   1025/3646 
  Verifying        : texlive-bxpdfver-10:svn63185-63.fc38.noarch      1026/3646 
  Verifying        : texlive-bxtexlogo-10:svn63231-63.fc38.noarch     1027/3646 
  Verifying        : texlive-byo-twemojis-10:svn58917-63.fc38.noarc   1028/3646 
  Verifying        : texlive-byrne-10:svn61943-63.fc38.noarch         1029/3646 
  Verifying        : texlive-bytefield-10:svn60265-63.fc38.noarch     1030/3646 
  Verifying        : texlive-cabin-10:svn65358-63.fc38.noarch         1031/3646 
  Verifying        : texlive-caladea-10:svn64549-63.fc38.noarch       1032/3646 
  Verifying        : texlive-calcage-10:svn27725.0.90-63.fc38.noarc   1033/3646 
  Verifying        : texlive-calctab-10:svn15878.v0.6.1-63.fc38.noa   1034/3646 
  Verifying        : texlive-calculation-10:svn35973.1.0-63.fc38.no   1035/3646 
  Verifying        : texlive-calculator-10:svn64424-63.fc38.noarch    1036/3646 
  Verifying        : texlive-calligra-10:svn15878.0-63.fc38.noarch    1037/3646 
  Verifying        : texlive-calligra-type1-10:svn24302.001.000-63.   1038/3646 
  Verifying        : texlive-callouts-10:svn44899-63.fc38.noarch      1039/3646 
  Verifying        : texlive-calrsfs-10:svn17125.0-63.fc38.noarch     1040/3646 
  Verifying        : texlive-cals-10:svn43003-63.fc38.noarch          1041/3646 
  Verifying        : texlive-calxxxx-yyyy-10:svn61463-63.fc38.noarc   1042/3646 
  Verifying        : texlive-cancel-10:svn32508.2.2-63.fc38.noarch    1043/3646 
  Verifying        : texlive-canoniclayout-10:svn64889-63.fc38.noar   1044/3646 
  Verifying        : texlive-cantarell-10:svn54512-63.fc38.noarch     1045/3646 
  Verifying        : texlive-capt-of-10:svn29803.0-63.fc38.noarch     1046/3646 
  Verifying        : texlive-captcont-10:svn15878.2.0-63.fc38.noarc   1047/3646 
  Verifying        : texlive-captdef-10:svn17353.0-63.fc38.noarch     1048/3646 
  Verifying        : texlive-caption-10:svn62757-63.fc38.noarch       1049/3646 
  Verifying        : texlive-carbohydrates-10:svn39000-63.fc38.noar   1050/3646 
  Verifying        : texlive-carlisle-10:svn59577-63.fc38.noarch      1051/3646 
  Verifying        : texlive-carlito-10:svn64624-63.fc38.noarch       1052/3646 
  Verifying        : texlive-carolmin-ps-10:svn15878.0-63.fc38.noar   1053/3646 
  Verifying        : texlive-cartonaugh-10:svn59938-63.fc38.noarch    1054/3646 
  Verifying        : texlive-cascade-10:svn60310-63.fc38.noarch       1055/3646 
  Verifying        : texlive-cascadia-code-10:svn57478-63.fc38.noar   1056/3646 
  Verifying        : texlive-cascadilla-10:svn25144.1.8.2-63.fc38.n   1057/3646 
  Verifying        : texlive-cases-10:svn54682-63.fc38.noarch         1058/3646 
  Verifying        : texlive-casyl-10:svn15878.2.0-63.fc38.noarch     1059/3646 
  Verifying        : texlive-catchfile-10:svn53084-63.fc38.noarch     1060/3646 
  Verifying        : texlive-catchfilebetweentags-10:svn21476.1.1-6   1061/3646 
  Verifying        : texlive-catcodes-10:svn38859-63.fc38.noarch      1062/3646 
  Verifying        : texlive-catechis-10:svn59998-63.fc38.noarch      1063/3646 
  Verifying        : texlive-catoptions-10:svn35069.0.2.7h-63.fc38.   1064/3646 
  Verifying        : texlive-causets-10:svn63366-63.fc38.noarch       1065/3646 
  Verifying        : texlive-cbcoptic-10:svn16666.0.2-63.fc38.noarc   1066/3646 
  Verifying        : texlive-cbfonts-fd-10:svn54080-63.fc38.noarch    1067/3646 
  Verifying        : texlive-cbfonts-10:svn54080-63.fc38.noarch       1068/3646 
  Verifying        : texlive-ccaption-10:svn23443.3.2c-63.fc38.noar   1069/3646 
  Verifying        : texlive-ccfonts-10:svn61431-63.fc38.noarch       1070/3646 
  Verifying        : texlive-ccicons-10:svn54512-63.fc38.noarch       1071/3646 
  Verifying        : texlive-cclicenses-10:svn15878.0-63.fc38.noarc   1072/3646 
  Verifying        : texlive-ccool-10:svn60600-63.fc38.noarch         1073/3646 
  Verifying        : texlive-cd-cover-10:svn17121.1.0-63.fc38.noarc   1074/3646 
  Verifying        : texlive-cd-10:svn34452.1.4-63.fc38.noarch        1075/3646 
  Verifying        : texlive-cdcmd-10:svn60742-63.fc38.noarch         1076/3646 
  Verifying        : texlive-cdpbundl-10:svn61719-63.fc38.noarch      1077/3646 
  Verifying        : texlive-cell-10:svn42428-63.fc38.noarch          1078/3646 
  Verifying        : texlive-cellprops-10:svn57599-63.fc38.noarch     1079/3646 
  Verifying        : texlive-cellspace-10:svn61501-63.fc38.noarch     1080/3646 
  Verifying        : texlive-celtic-10:svn39797-63.fc38.noarch        1081/3646 
  Verifying        : texlive-censor-10:svn63126-63.fc38.noarch        1082/3646 
  Verifying        : texlive-centeredline-10:svn64672-63.fc38.noarc   1083/3646 
  Verifying        : texlive-centerlastline-10:svn56644-63.fc38.noa   1084/3646 
  Verifying        : texlive-cesenaexam-10:svn44960-63.fc38.noarch    1085/3646 
  Verifying        : texlive-cfr-initials-10:svn61719-63.fc38.noarc   1086/3646 
  Verifying        : texlive-cfr-lm-10:svn36195.1.5-63.fc38.noarch    1087/3646 
  Verifying        : texlive-changebar-10:svn63259-63.fc38.noarch     1088/3646 
  Verifying        : texlive-changelayout-10:svn16094.1.0-63.fc38.n   1089/3646 
  Verifying        : texlive-changelog-10:svn56338-63.fc38.noarch     1090/3646 
  Verifying        : texlive-changepage-10:svn15878.1.0c-63.fc38.no   1091/3646 
  Verifying        : texlive-changes-10:svn59950-63.fc38.noarch       1092/3646 
  Verifying        : texlive-chappg-10:svn15878.2.1b-63.fc38.noarch   1093/3646 
  Verifying        : texlive-chapterfolder-10:svn15878.2.0.1-63.fc3   1094/3646 
  Verifying        : texlive-charissil-10:svn64998-63.fc38.noarch     1095/3646 
  Verifying        : texlive-charter-10:svn15878.0-63.fc38.noarch     1096/3646 
  Verifying        : texlive-chbibref-10:svn17120.1.0-63.fc38.noarc   1097/3646 
  Verifying        : texlive-cheatsheet-10:svn45069-63.fc38.noarch    1098/3646 
  Verifying        : texlive-checkend-10:svn51475-63.fc38.noarch      1099/3646 
  Verifying        : texlive-chem-journal-10:svn15878.0-63.fc38.noa   1100/3646 
  Verifying        : texlive-chemarrow-10:svn17146.0.9-63.fc38.noar   1101/3646 
  Verifying        : texlive-chembst-10:svn15878.0.2.5-63.fc38.noar   1102/3646 
  Verifying        : texlive-chemcompounds-10:svn15878.0-63.fc38.no   1103/3646 
  Verifying        : texlive-chemcono-10:svn17119.1.3-63.fc38.noarc   1104/3646 
  Verifying        : texlive-chemexec-10:svn21632.1.0-63.fc38.noarc   1105/3646 
  Verifying        : texlive-chemfig-10:svn64529-63.fc38.noarch       1106/3646 
  Verifying        : texlive-chemformula-10:svn61719-63.fc38.noarch   1107/3646 
  Verifying        : texlive-chemgreek-10:svn53437-63.fc38.noarch     1108/3646 
  Verifying        : texlive-chemmacros-10:svn62655-63.fc38.noarch    1109/3646 
  Verifying        : texlive-chemnum-10:svn57490-63.fc38.noarch       1110/3646 
  Verifying        : texlive-chemobabel-10:svn64778-63.fc38.noarch    1111/3646 
  Verifying        : texlive-chemplants-10:svn60606-63.fc38.noarch    1112/3646 
  Verifying        : texlive-chemschemex-10:svn46723-63.fc38.noarch   1113/3646 
  Verifying        : texlive-chemsec-10:svn46972-63.fc38.noarch       1114/3646 
  Verifying        : texlive-chemstyle-10:svn31096.2.0m-63.fc38.noa   1115/3646 
  Verifying        : texlive-cherokee-10:svn21046.0-63.fc38.noarch    1116/3646 
  Verifying        : texlive-chessfss-10:svn19440.1.2a-63.fc38.noar   1117/3646 
  Verifying        : texlive-chet-10:svn45081-63.fc38.noarch          1118/3646 
  Verifying        : texlive-chextras-10:svn27118.1.01-63.fc38.noar   1119/3646 
  Verifying        : texlive-chicago-annote-10:svn15878.0-63.fc38.n   1120/3646 
  Verifying        : texlive-chicago-10:svn15878.0-63.fc38.noarch     1121/3646 
  Verifying        : texlive-chicagoa-10:svn52567-63.fc38.noarch      1122/3646 
  Verifying        : texlive-chifoot-10:svn57312-63.fc38.noarch       1123/3646 
  Verifying        : texlive-childdoc-10:svn49543-63.fc38.noarch      1124/3646 
  Verifying        : texlive-chivo-10:svn65029-63.fc38.noarch         1125/3646 
  Verifying        : texlive-chkfloat-10:svn27473.0.1-63.fc38.noarc   1126/3646 
  Verifying        : texlive-chletter-10:svn20060.2.0-63.fc38.noarc   1127/3646 
  Verifying        : texlive-chngcntr-10:svn47577-63.fc38.noarch      1128/3646 
  Verifying        : texlive-chronology-10:svn37934.1.1.1-63.fc38.n   1129/3646 
  Verifying        : texlive-chs-physics-report-10:svn54512-63.fc38   1130/3646 
  Verifying        : texlive-chscite-10:svn28552.2.9999-63.fc38.noa   1131/3646 
  Verifying        : texlive-cinzel-10:svn64550-63.fc38.noarch        1132/3646 
  Verifying        : texlive-circ-10:svn62977-63.fc38.noarch          1133/3646 
  Verifying        : texlive-circledsteps-10:svn63255-63.fc38.noarc   1134/3646 
  Verifying        : texlive-circledtext-10:svn63166-63.fc38.noarch   1135/3646 
  Verifying        : texlive-circuit-macros-10:svn65149-63.fc38.noa   1136/3646 
  Verifying        : texlive-circuitikz-10:svn65240-63.fc38.noarch    1137/3646 
  Verifying        : texlive-cite-10:svn36428.5.5-63.fc38.noarch      1138/3646 
  Verifying        : texlive-citeall-10:svn45975-63.fc38.noarch       1139/3646 
  Verifying        : texlive-citeref-10:svn47407-63.fc38.noarch       1140/3646 
  Verifying        : texlive-cje-10:svn46721-63.fc38.noarch           1141/3646 
  Verifying        : texlive-cjk-10:svn60865-63.fc38.noarch           1142/3646 
  Verifying        : texlive-cjkpunct-10:svn41119-63.fc38.noarch      1143/3646 
  Verifying        : texlive-clara-10:svn54512-63.fc38.noarch         1144/3646 
  Verifying        : texlive-classics-10:svn53671-63.fc38.noarch      1145/3646 
  Verifying        : texlive-classicthesis-10:svn48041-63.fc38.noar   1146/3646 
  Verifying        : texlive-classpack-10:svn55218-63.fc38.noarch     1147/3646 
  Verifying        : texlive-cleanthesis-10:svn51472-63.fc38.noarch   1148/3646 
  Verifying        : texlive-clearsans-10:svn64400-63.fc38.noarch     1149/3646 
  Verifying        : texlive-clefval-10:svn55985-63.fc38.noarch       1150/3646 
  Verifying        : texlive-cleveref-10:svn61719-63.fc38.noarch      1151/3646 
  Verifying        : texlive-clicks-10:svn64602-63.fc38.noarch        1152/3646 
  Verifying        : texlive-clipboard-10:svn47747-63.fc38.noarch     1153/3646 
  Verifying        : texlive-clistmap-10:svn61811-63.fc38.noarch      1154/3646 
  Verifying        : texlive-clock-10:svn15878.0-63.fc38.noarch       1155/3646 
  Verifying        : texlive-clrdblpg-10:svn47511-63.fc38.noarch      1156/3646 
  Verifying        : texlive-clrscode-10:svn51136-63.fc38.noarch      1157/3646 
  Verifying        : texlive-clrscode3e-10:svn51137-63.fc38.noarch    1158/3646 
  Verifying        : texlive-clrstrip-10:svn60363-63.fc38.noarch      1159/3646 
  Verifying        : texlive-cm-lgc-10:svn28250.0.5-63.fc38.noarch    1160/3646 
  Verifying        : texlive-cm-mf-extra-bold-10:svn54512-63.fc38.n   1161/3646 
  Verifying        : texlive-cm-super-10:svn15878.0-63.fc38.noarch    1162/3646 
  Verifying        : texlive-cm-10:svn57963-63.fc38.noarch            1163/3646 
  Verifying        : texlive-cm-unicode-10:svn58661-63.fc38.noarch    1164/3646 
  Verifying        : texlive-cmap-10:svn57640-63.fc38.noarch          1165/3646 
  Verifying        : texlive-cmathbb-10:svn56414-63.fc38.noarch       1166/3646 
  Verifying        : texlive-cmbright-10:svn21107.8.1-63.fc38.noarc   1167/3646 
  Verifying        : texlive-cmdstring-10:svn15878.1.1-63.fc38.noar   1168/3646 
  Verifying        : texlive-cmdtrack-10:svn28910-63.fc38.noarch      1169/3646 
  Verifying        : texlive-cmexb-10:svn54074-63.fc38.noarch         1170/3646 
  Verifying        : texlive-cmextra-10:svn57866-63.fc38.noarch       1171/3646 
  Verifying        : texlive-cmll-10:svn17964.0-63.fc38.noarch        1172/3646 
  Verifying        : texlive-cmpica-10:svn15878.0-63.fc38.noarch      1173/3646 
  Verifying        : texlive-cmpj-10:svn58506-63.fc38.noarch          1174/3646 
  Verifying        : texlive-cmsd-10:svn18787.0-63.fc38.noarch        1175/3646 
  Verifying        : texlive-cmsrb-10:svn54706-63.fc38.noarch         1176/3646 
  Verifying        : texlive-cmtiup-10:svn39728-63.fc38.noarch        1177/3646 
  Verifying        : texlive-cmupint-10:svn54735-63.fc38.noarch       1178/3646 
  Verifying        : texlive-cnltx-10:svn55265-63.fc38.noarch         1179/3646 
  Verifying        : texlive-cns-10:svn45677-63.fc38.noarch           1180/3646 
  Verifying        : texlive-cntformats-10:svn34668.0.7-63.fc38.noa   1181/3646 
  Verifying        : texlive-cntperchap-10:svn37572.0.3-63.fc38.noa   1182/3646 
  Verifying        : texlive-cochineal-10:svn62063-63.fc38.noarch     1183/3646 
  Verifying        : texlive-codeanatomy-10:svn51627-63.fc38.noarch   1184/3646 
  Verifying        : texlive-codebox-10:svn61771-63.fc38.noarch       1185/3646 
  Verifying        : texlive-codedoc-10:svn17630.0.3-63.fc38.noarch   1186/3646 
  Verifying        : texlive-codehigh-10:svn63175-63.fc38.noarch      1187/3646 
  Verifying        : texlive-codepage-10:svn51502-63.fc38.noarch      1188/3646 
  Verifying        : texlive-codesection-10:svn34481.0.1-63.fc38.no   1189/3646 
  Verifying        : texlive-coelacanth-10:svn64558-63.fc38.noarch    1190/3646 
  Verifying        : texlive-coffeestains-10:svn59703-63.fc38.noarc   1191/3646 
  Verifying        : texlive-collcell-10:svn64967-63.fc38.noarch      1192/3646 
  Verifying        : texlive-collectbox-10:svn64967-63.fc38.noarch    1193/3646 
  Verifying        : texlive-collection-basic-10:svn59159-63.fc38.n   1194/3646 
  Verifying        : texlive-collection-bibtexextra-10:svn65204-63.   1195/3646 
  Verifying        : texlive-collection-fontsextra-10:svn64952-63.f   1196/3646 
  Verifying        : texlive-collection-fontsrecommended-10:svn5407   1197/3646 
  Verifying        : texlive-collection-latex-10:svn63515-63.fc38.n   1198/3646 
  Verifying        : texlive-collection-latexextra-10:svn65353-63.f   1199/3646 
  Verifying        : texlive-collection-latexrecommended-10:svn6354   1200/3646 
  Verifying        : texlive-collection-mathscience-10:svn65312-63.   1201/3646 
  Verifying        : texlive-collection-pictures-10:svn65359-63.fc3   1202/3646 
  Verifying        : texlive-collection-publishers-10:svn65221-63.f   1203/3646 
  Verifying        : texlive-collref-10:svn46358-63.fc38.noarch       1204/3646 
  Verifying        : texlive-colophon-10:svn47913-63.fc38.noarch      1205/3646 
  Verifying        : texlive-color-edits-10:svn56707-63.fc38.noarch   1206/3646 
  Verifying        : texlive-colordoc-10:svn18270.0-63.fc38.noarch    1207/3646 
  Verifying        : texlive-colorframed-10:svn64551-63.fc38.noarch   1208/3646 
  Verifying        : texlive-colorinfo-10:svn15878.0.3c-63.fc38.noa   1209/3646 
  Verifying        : texlive-coloring-10:svn41042-63.fc38.noarch      1210/3646 
  Verifying        : texlive-colorist-10:svn64280-63.fc38.noarch      1211/3646 
  Verifying        : texlive-colorprofiles-10:svn49086-63.fc38.noar   1212/3646 
  Verifying        : texlive-colorspace-10:svn50585-63.fc38.noarch    1213/3646 
  Verifying        : texlive-colortab-10:svn22155.1.0-63.fc38.noarc   1214/3646 
  Verifying        : texlive-colortbl-10:svn64015-63.fc38.noarch      1215/3646 
  Verifying        : texlive-colorwav-10:svn15878.1.0-63.fc38.noarc   1216/3646 
  Verifying        : texlive-colorweb-10:svn31490.1.3-63.fc38.noarc   1217/3646 
  Verifying        : texlive-colourchange-10:svn21741.1.22-63.fc38.   1218/3646 
  Verifying        : texlive-combelow-10:svn18462.0.99f-63.fc38.noa   1219/3646 
  Verifying        : texlive-combine-10:svn19361.0.7a-63.fc38.noarc   1220/3646 
  Verifying        : texlive-combinedgraphics-10:svn27198.0.2.2-63.   1221/3646 
  Verifying        : texlive-comfortaa-10:svn54512-63.fc38.noarch     1222/3646 
  Verifying        : texlive-comicneue-10:svn54891-63.fc38.noarch     1223/3646 
  Verifying        : texlive-comma-10:svn18259.1.2-63.fc38.noarch     1224/3646 
  Verifying        : texlive-commado-10:svn38875-63.fc38.noarch       1225/3646 
  Verifying        : texlive-commath-10:svn15878.0.3-63.fc38.noarch   1226/3646 
  Verifying        : texlive-commedit-10:svn50116-63.fc38.noarch      1227/3646 
  Verifying        : texlive-comment-10:svn41927-63.fc38.noarch       1228/3646 
  Verifying        : texlive-commonunicode-10:svn62901-63.fc38.noar   1229/3646 
  Verifying        : texlive-commutative-diagrams-10:svn55526-63.fc   1230/3646 
  Verifying        : texlive-compactbib-10:svn15878.0-63.fc38.noarc   1231/3646 
  Verifying        : texlive-competences-10:svn47573-63.fc38.noarch   1232/3646 
  Verifying        : texlive-complexity-10:svn45322-63.fc38.noarch    1233/3646 
  Verifying        : texlive-computational-complexity-10:svn44847-6   1234/3646 
  Verifying        : texlive-concepts-10:svn29020.0.0.5_r1-63.fc38.   1235/3646 
  Verifying        : texlive-concmath-fonts-10:svn17218.0-63.fc38.n   1236/3646 
  Verifying        : texlive-concmath-otf-10:svn64924-63.fc38.noarc   1237/3646 
  Verifying        : texlive-concmath-10:svn17219.0-63.fc38.noarch    1238/3646 
  Verifying        : texlive-concprog-10:svn18791.0-63.fc38.noarch    1239/3646 
  Verifying        : texlive-concrete-10:svn57963-63.fc38.noarch      1240/3646 
  Verifying        : texlive-conditext-10:svn55387-63.fc38.noarch     1241/3646 
  Verifying        : texlive-confproc-10:svn29349.0.8-63.fc38.noarc   1242/3646 
  Verifying        : texlive-constants-10:svn15878.1.0-63.fc38.noar   1243/3646 
  Verifying        : texlive-conteq-10:svn37868.0.1.1-63.fc38.noarc   1244/3646 
  Verifying        : texlive-continue-10:svn49449-63.fc38.noarch      1245/3646 
  Verifying        : texlive-contour-10:svn18950.2.14-63.fc38.noarc   1246/3646 
  Verifying        : texlive-contracard-10:svn50217-63.fc38.noarch    1247/3646 
  Verifying        : texlive-conv-xkv-10:svn43558-63.fc38.noarch      1248/3646 
  Verifying        : texlive-cooking-10:svn15878.0.9b-63.fc38.noarc   1249/3646 
  Verifying        : texlive-cooking-units-10:svn65241-63.fc38.noar   1250/3646 
  Verifying        : texlive-cookingsymbols-10:svn35929.1.1-63.fc38   1251/3646 
  Verifying        : texlive-cool-10:svn15878.1.35-63.fc38.noarch     1252/3646 
  Verifying        : texlive-coolfn-10:svn64639-63.fc38.noarch        1253/3646 
  Verifying        : texlive-coollist-10:svn63523-63.fc38.noarch      1254/3646 
  Verifying        : texlive-coolstr-10:svn15878.2.2-63.fc38.noarch   1255/3646 
  Verifying        : texlive-coolthms-10:svn29062.1.2-63.fc38.noarc   1256/3646 
  Verifying        : texlive-cooltooltips-10:svn60201-63.fc38.noarc   1257/3646 
  Verifying        : texlive-coop-writing-10:svn61607-63.fc38.noarc   1258/3646 
  Verifying        : texlive-cooperhewitt-10:svn64967-63.fc38.noarc   1259/3646 
  Verifying        : texlive-coordsys-10:svn15878.1.4-63.fc38.noarc   1260/3646 
  Verifying        : texlive-copyedit-10:svn37928.1.6-63.fc38.noarc   1261/3646 
  Verifying        : texlive-copyrightbox-10:svn24829.0.1-63.fc38.n   1262/3646 
  Verifying        : texlive-cormorantgaramond-10:svn64411-63.fc38.   1263/3646 
  Verifying        : texlive-correctmathalign-10:svn44131-63.fc38.n   1264/3646 
  Verifying        : texlive-coseoul-10:svn23862.1.1-63.fc38.noarch   1265/3646 
  Verifying        : texlive-countriesofeurope-10:svn54512-63.fc38.   1266/3646 
  Verifying        : texlive-counttexruns-10:svn27576.1.00a-63.fc38   1267/3646 
  Verifying        : texlive-courier-scaled-10:svn24940.0-63.fc38.n   1268/3646 
  Verifying        : texlive-courier-10:svn61719-63.fc38.noarch       1269/3646 
  Verifying        : texlive-courierten-10:svn55436-63.fc38.noarch    1270/3646 
  Verifying        : texlive-courseoutline-10:svn15878.1.0-63.fc38.   1271/3646 
  Verifying        : texlive-coursepaper-10:svn15878.2.0-63.fc38.no   1272/3646 
  Verifying        : texlive-coverpage-10:svn63509-63.fc38.noarch     1273/3646 
  Verifying        : texlive-cprotect-10:svn21209.1.0e-63.fc38.noar   1274/3646 
  Verifying        : texlive-cprotectinside-10:svn63833-63.fc38.noa   1275/3646 
  Verifying        : texlive-cquthesis-10:svn55643-63.fc38.noarch     1276/3646 
  Verifying        : texlive-crbox-10:svn29803.0.1-63.fc38.noarch     1277/3646 
  Verifying        : texlive-create-theorem-10:svn64104-63.fc38.noa   1278/3646 
  Verifying        : texlive-crefthe-10:svn64498-63.fc38.noarch       1279/3646 
  Verifying        : texlive-crimson-10:svn64559-63.fc38.noarch       1280/3646 
  Verifying        : texlive-crimsonpro-10:svn64565-63.fc38.noarch    1281/3646 
  Verifying        : texlive-crop-10:svn55424-63.fc38.noarch          1282/3646 
  Verifying        : texlive-crossreference-10:svn15878.0-63.fc38.n   1283/3646 
  Verifying        : texlive-crossreftools-10:svn55879-63.fc38.noar   1284/3646 
  Verifying        : texlive-crumbs-10:svn64602-63.fc38.noarch        1285/3646 
  Verifying        : texlive-cryptocode-10:svn60249-63.fc38.noarch    1286/3646 
  Verifying        : texlive-cryst-10:svn15878.0-63.fc38.noarch       1287/3646 
  Verifying        : texlive-csassignments-10:svn63992-63.fc38.noar   1288/3646 
  Verifying        : texlive-csquotes-10:svn64389-63.fc38.noarch      1289/3646 
  Verifying        : texlive-css-colors-10:svn54512-63.fc38.noarch    1290/3646 
  Verifying        : texlive-csvmerge-10:svn51857-63.fc38.noarch      1291/3646 
  Verifying        : texlive-csvsimple-10:svn64450-63.fc38.noarch     1292/3646 
  Verifying        : texlive-ctable-10:svn38672-63.fc38.noarch        1293/3646 
  Verifying        : texlive-ctablestack-10:svn38514-63.fc38.noarch   1294/3646 
  Verifying        : texlive-ctex-10:svn63891-63.fc38.noarch          1295/3646 
  Verifying        : texlive-ctib-10:svn15878.0-63.fc38.noarch        1296/3646 
  Verifying        : texlive-cuisine-10:svn34453.0.7-63.fc38.noarch   1297/3646 
  Verifying        : texlive-cuprum-10:svn49909-63.fc38.noarch        1298/3646 
  Verifying        : texlive-currency-10:svn48990-63.fc38.noarch      1299/3646 
  Verifying        : texlive-currfile-10:svn64673-63.fc38.noarch      1300/3646 
  Verifying        : texlive-currvita-10:svn15878.0-63.fc38.noarch    1301/3646 
  Verifying        : texlive-curve-10:svn20745.1.16-63.fc38.noarch    1302/3646 
  Verifying        : texlive-curve2e-10:svn57402-63.fc38.noarch       1303/3646 
  Verifying        : texlive-curves-10:svn45255-63.fc38.noarch        1304/3646 
  Verifying        : texlive-custom-bib-10:svn24729.4.33-63.fc38.no   1305/3646 
  Verifying        : texlive-cutwin-10:svn60901-63.fc38.noarch        1306/3646 
  Verifying        : texlive-cv-10:svn15878.0-63.fc38.noarch          1307/3646 
  Verifying        : texlive-cv4tw-10:svn34577.0.2-63.fc38.noarch     1308/3646 
  Verifying        : texlive-cvss-10:svn65169-63.fc38.noarch          1309/3646 
  Verifying        : texlive-cweb-latex-10:svn28878.0-63.fc38.noarc   1310/3646 
  Verifying        : texlive-cyber-10:svn46776-63.fc38.noarch         1311/3646 
  Verifying        : texlive-cybercic-10:svn37659.2.1-63.fc38.noarc   1312/3646 
  Verifying        : texlive-cyklop-10:svn18651.0.915-63.fc38.noarc   1313/3646 
  Verifying        : texlive-dancers-10:svn13293.0-63.fc38.noarch     1314/3646 
  Verifying        : texlive-dantelogo-10:svn38599-63.fc38.noarch     1315/3646 
  Verifying        : texlive-darkmode-10:svn64271-63.fc38.noarch      1316/3646 
  Verifying        : texlive-dashbox-10:svn23425.1.14-63.fc38.noarc   1317/3646 
  Verifying        : texlive-dashrule-10:svn29579.1.3-63.fc38.noarc   1318/3646 
  Verifying        : texlive-dashundergaps-10:svn58150-63.fc38.noar   1319/3646 
  Verifying        : texlive-dataref-10:svn62942-63.fc38.noarch       1320/3646 
  Verifying        : texlive-datatool-10:svn52663-63.fc38.noarch      1321/3646 
  Verifying        : texlive-datax-10:svn61772-63.fc38.noarch         1322/3646 
  Verifying        : texlive-dateiliste-10:svn27974.0.6-63.fc38.noa   1323/3646 
  Verifying        : texlive-datenumber-10:svn61761-63.fc38.noarch    1324/3646 
  Verifying        : texlive-datestamp-10:svn61719-63.fc38.noarch     1325/3646 
  Verifying        : texlive-datetime-10:svn36650.2.60-63.fc38.noar   1326/3646 
  Verifying        : texlive-datetime2-bahasai-10:svn46287-63.fc38.   1327/3646 
  Verifying        : texlive-datetime2-basque-10:svn47064-63.fc38.n   1328/3646 
  Verifying        : texlive-datetime2-breton-10:svn52647-63.fc38.n   1329/3646 
  Verifying        : texlive-datetime2-bulgarian-10:svn47031-63.fc3   1330/3646 
  Verifying        : texlive-datetime2-catalan-10:svn47032-63.fc38.   1331/3646 
  Verifying        : texlive-datetime2-croatian-10:svn36682.1.0-63.   1332/3646 
  Verifying        : texlive-datetime2-czech-10:svn47033-63.fc38.no   1333/3646 
  Verifying        : texlive-datetime2-danish-10:svn47034-63.fc38.n   1334/3646 
  Verifying        : texlive-datetime2-dutch-10:svn47355-63.fc38.no   1335/3646 
  Verifying        : texlive-datetime2-en-fulltext-10:svn36705.1.0-   1336/3646 
  Verifying        : texlive-datetime2-english-10:svn52479-63.fc38.   1337/3646 
  Verifying        : texlive-datetime2-esperanto-10:svn47356-63.fc3   1338/3646 
  Verifying        : texlive-datetime2-estonian-10:svn47565-63.fc38   1339/3646 
  Verifying        : texlive-datetime2-finnish-10:svn47047-63.fc38.   1340/3646 
  Verifying        : texlive-datetime2-french-10:svn56393-63.fc38.n   1341/3646 
  Verifying        : texlive-datetime2-galician-10:svn47631-63.fc38   1342/3646 
  Verifying        : texlive-datetime2-german-10:svn53125-63.fc38.n   1343/3646 
  Verifying        : texlive-datetime2-greek-10:svn47533-63.fc38.no   1344/3646 
  Verifying        : texlive-datetime2-hebrew-10:svn47534-63.fc38.n   1345/3646 
  Verifying        : texlive-datetime2-icelandic-10:svn65213-63.fc3   1346/3646 
  Verifying        : texlive-datetime2-irish-10:svn47632-63.fc38.no   1347/3646 
  Verifying        : texlive-datetime2-it-fulltext-10:svn54779-63.f   1348/3646 
  Verifying        : texlive-datetime2-italian-10:svn37146.1.3-63.f   1349/3646 
  Verifying        : texlive-datetime2-latin-10:svn47748-63.fc38.no   1350/3646 
  Verifying        : texlive-datetime2-lsorbian-10:svn47749-63.fc38   1351/3646 
  Verifying        : texlive-datetime2-magyar-10:svn48266-63.fc38.n   1352/3646 
  Verifying        : texlive-datetime2-norsk-10:svn48267-63.fc38.no   1353/3646 
  Verifying        : texlive-datetime2-polish-10:svn48456-63.fc38.n   1354/3646 
  Verifying        : texlive-datetime2-portuges-10:svn48457-63.fc38   1355/3646 
  Verifying        : texlive-datetime2-romanian-10:svn56394-63.fc38   1356/3646 
  Verifying        : texlive-datetime2-russian-10:svn49345-63.fc38.   1357/3646 
  Verifying        : texlive-datetime2-samin-10:svn49346-63.fc38.no   1358/3646 
  Verifying        : texlive-datetime2-scottish-10:svn52101-63.fc38   1359/3646 
  Verifying        : texlive-datetime2-serbian-10:svn52893-63.fc38.   1360/3646 
  Verifying        : texlive-datetime2-slovak-10:svn52281-63.fc38.n   1361/3646 
  Verifying        : texlive-datetime2-slovene-10:svn52282-63.fc38.   1362/3646 
  Verifying        : texlive-datetime2-spanish-10:svn45785-63.fc38.   1363/3646 
  Verifying        : texlive-datetime2-10:svn63102-63.fc38.noarch     1364/3646 
  Verifying        : texlive-datetime2-swedish-10:svn36700.1.0-63.f   1365/3646 
  Verifying        : texlive-datetime2-turkish-10:svn52331-63.fc38.   1366/3646 
  Verifying        : texlive-datetime2-ukrainian-10:svn47552-63.fc3   1367/3646 
  Verifying        : texlive-datetime2-usorbian-10:svn52375-63.fc38   1368/3646 
  Verifying        : texlive-datetime2-welsh-10:svn52553-63.fc38.no   1369/3646 
  Verifying        : texlive-dblfloatfix-10:svn28983.1.0a-63.fc38.n   1370/3646 
  Verifying        : texlive-dbshow-10:svn61634-63.fc38.noarch        1371/3646 
  Verifying        : texlive-dccpaper-10:svn61763-63.fc38.noarch      1372/3646 
  Verifying        : texlive-dcpic-10:svn30206.5.0.0-63.fc38.noarch   1373/3646 
  Verifying        : texlive-debate-10:svn64846-63.fc38.noarch        1374/3646 
  Verifying        : texlive-decimal-10:svn23374.0-63.fc38.noarch     1375/3646 
  Verifying        : texlive-decision-table-10:svn60673-63.fc38.noa   1376/3646 
  Verifying        : texlive-decorule-10:svn55230-63.fc38.noarch      1377/3646 
  Verifying        : texlive-dehyph-10:svn48599-63.fc38.noarch        1378/3646 
  Verifying        : texlive-dejavu-otf-10:svn45991-63.fc38.noarch    1379/3646 
  Verifying        : texlive-dejavu-10:svn31771.2.34-63.fc38.noarch   1380/3646 
  Verifying        : texlive-delim-10:svn23974.1.0-63.fc38.noarch     1381/3646 
  Verifying        : texlive-delimseasy-10:svn39589-63.fc38.noarch    1382/3646 
  Verifying        : texlive-delimset-10:svn49544-63.fc38.noarch      1383/3646 
  Verifying        : texlive-delimtxt-10:svn16549.0-63.fc38.noarch    1384/3646 
  Verifying        : texlive-democodetools-10:svn64314-63.fc38.noar   1385/3646 
  Verifying        : texlive-denisbdoc-10:svn63589-63.fc38.noarch     1386/3646 
  Verifying        : texlive-derivative-10:svn63850-63.fc38.noarch    1387/3646 
  Verifying        : texlive-dhua-10:svn24035.0.11-63.fc38.noarch     1388/3646 
  Verifying        : texlive-diabetes-logbook-10:svn54810-63.fc38.n   1389/3646 
  Verifying        : texlive-diagbox-10:svn54080-63.fc38.noarch       1390/3646 
  Verifying        : texlive-diagmac2-10:svn15878.2.1-63.fc38.noarc   1391/3646 
  Verifying        : texlive-diagnose-10:svn19387.0.2-63.fc38.noarc   1392/3646 
  Verifying        : texlive-dialogl-10:svn28946.0-63.fc38.noarch     1393/3646 
  Verifying        : texlive-dice-10:svn28501.0-63.fc38.noarch        1394/3646 
  Verifying        : texlive-dichokey-10:svn17192.0-63.fc38.noarch    1395/3646 
  Verifying        : texlive-dictsym-10:svn20031.0-63.fc38.noarch     1396/3646 
  Verifying        : texlive-diffcoeff-10:svn63590-63.fc38.noarch     1397/3646 
  Verifying        : texlive-digiconfigs-10:svn15878.0.5-63.fc38.no   1398/3646 
  Verifying        : texlive-dijkstra-10:svn64580-63.fc38.noarch      1399/3646 
  Verifying        : texlive-dimnum-10:svn58774-63.fc38.noarch        1400/3646 
  Verifying        : texlive-din1505-10:svn19441.0-63.fc38.noarch     1401/3646 
  Verifying        : texlive-dinbrief-10:svn15878.0-63.fc38.noarch    1402/3646 
  Verifying        : texlive-dingbat-10:svn27918.1.0-63.fc38.noarch   1403/3646 
  Verifying        : texlive-directory-10:svn15878.1.20-63.fc38.noa   1404/3646 
  Verifying        : texlive-dirtree-10:svn42428-63.fc38.noarch       1405/3646 
  Verifying        : texlive-dirtytalk-10:svn20520.1.0-63.fc38.noar   1406/3646 
  Verifying        : texlive-ditaa-10:svn48932-63.fc38.noarch         1407/3646 
  Verifying        : texlive-dithesis-10:svn34295.0.2-63.fc38.noarc   1408/3646 
  Verifying        : texlive-dk-bib-10:svn15878.0.6-63.fc38.noarch    1409/3646 
  Verifying        : texlive-dlfltxb-10:svn17337.0-63.fc38.noarch     1410/3646 
  Verifying        : texlive-dnaseq-10:svn17194.0.01-63.fc38.noarch   1411/3646 
  Verifying        : texlive-doc-pictex-doc-10:svn24927.0-63.fc38.n   1412/3646 
  Verifying        : texlive-doclicense-10:svn63340-63.fc38.noarch    1413/3646 
  Verifying        : texlive-docmfp-10:svn15878.1.2d-63.fc38.noarch   1414/3646 
  Verifying        : texlive-docmute-10:svn25741.1.4-63.fc38.noarch   1415/3646 
  Verifying        : texlive-docshots-10:svn65141-63.fc38.noarch      1416/3646 
  Verifying        : texlive-doctools-10:svn34474.0.1-63.fc38.noarc   1417/3646 
  Verifying        : texlive-documentation-10:svn34521.0.1-63.fc38.   1418/3646 
  Verifying        : texlive-docutils-10:svn56594-63.fc38.noarch      1419/3646 
  Verifying        : texlive-doi-10:svn48634-63.fc38.noarch           1420/3646 
  Verifying        : texlive-doipubmed-10:svn15878.1.01-63.fc38.noa   1421/3646 
  Verifying        : texlive-domitian-10:svn55286-63.fc38.noarch      1422/3646 
  Verifying        : texlive-dot2texi-10:svn26237.3.0-63.fc38.noarc   1423/3646 
  Verifying        : texlive-dotarrow-10:svn15878.0.01a-63.fc38.noa   1424/3646 
  Verifying        : texlive-dotlessi-10:svn51476-63.fc38.noarch      1425/3646 
  Verifying        : texlive-dotseqn-10:svn17195.1.1-63.fc38.noarch   1426/3646 
  Verifying        : texlive-dottex-10:svn15878.0.6-63.fc38.noarch    1427/3646 
  Verifying        : texlive-doublestroke-10:svn15878.1.111-63.fc38   1428/3646 
  Verifying        : texlive-doulossil-10:svn63255-63.fc38.noarch     1429/3646 
  Verifying        : texlive-dowith-10:svn38860-63.fc38.noarch        1430/3646 
  Verifying        : texlive-download-10:svn52257-63.fc38.noarch      1431/3646 
  Verifying        : texlive-dox-10:svn46011-63.fc38.noarch           1432/3646 
  Verifying        : texlive-dozenal-10:svn47680-63.fc38.noarch       1433/3646 
  Verifying        : texlive-dpcircling-10:svn54994-63.fc38.noarch    1434/3646 
  Verifying        : texlive-dpfloat-10:svn17196.0-63.fc38.noarch     1435/3646 
  Verifying        : texlive-dprogress-10:svn15878.0.1-63.fc38.noar   1436/3646 
  Verifying        : texlive-drac-10:svn15878.1-63.fc38.noarch        1437/3646 
  Verifying        : texlive-draftcopy-10:svn15878.2.16-63.fc38.noa   1438/3646 
  Verifying        : texlive-draftfigure-10:svn44854-63.fc38.noarch   1439/3646 
  Verifying        : texlive-draftwatermark-10:svn57099-63.fc38.noa   1440/3646 
  Verifying        : texlive-dratex-10:svn15878.0-63.fc38.noarch      1441/3646 
  Verifying        : texlive-drawmatrix-10:svn44471-63.fc38.noarch    1442/3646 
  Verifying        : texlive-drawstack-10:svn28582.0-63.fc38.noarch   1443/3646 
  Verifying        : texlive-drm-10:svn38157.4.4-63.fc38.noarch       1444/3646 
  Verifying        : texlive-droid-10:svn54512-63.fc38.noarch         1445/3646 
  Verifying        : texlive-drs-10:svn19232.1.1b-63.fc38.noarch      1446/3646 
  Verifying        : texlive-dsserif-10:svn60898-63.fc38.noarch       1447/3646 
  Verifying        : texlive-dtk-10:svn65315-63.fc38.noarch           1448/3646 
  Verifying        : texlive-dtxdescribe-10:svn65223-63.fc38.noarch   1449/3646 
  Verifying        : texlive-dtxgallery-doc-10:svn49504-63.fc38.noa   1450/3646 
  Verifying        : texlive-ducksay-10:svn64655-63.fc38.noarch       1451/3646 
  Verifying        : texlive-duckuments-10:svn52271-63.fc38.noarch    1452/3646 
  Verifying        : texlive-duerer-latex-10:svn15878.1.1-63.fc38.n   1453/3646 
  Verifying        : texlive-duerer-10:svn20741.0-63.fc38.noarch      1454/3646 
  Verifying        : texlive-duotenzor-10:svn18728.1.00-63.fc38.noa   1455/3646 
  Verifying        : texlive-dutchcal-10:svn54080-63.fc38.noarch      1456/3646 
  Verifying        : texlive-dvdcoll-10:svn15878.v1.1a-63.fc38.noar   1457/3646 
  Verifying        : texlive-dynamicnumber-10:svn38726-63.fc38.noar   1458/3646 
  Verifying        : texlive-dynblocks-10:svn35193.0.2b-63.fc38.noa   1459/3646 
  Verifying        : texlive-dynkin-diagrams-10:svn58758-63.fc38.no   1460/3646 
  Verifying        : texlive-dyntree-10:svn15878.1.0-63.fc38.noarch   1461/3646 
  Verifying        : texlive-ean-10:svn20851.0-63.fc38.noarch         1462/3646 
  Verifying        : texlive-ean13isbn-10:svn57514-63.fc38.noarch     1463/3646 
  Verifying        : texlive-easing-10:svn59975-63.fc38.noarch        1464/3646 
  Verifying        : texlive-easy-10:svn19440.0.99-63.fc38.noarch     1465/3646 
  Verifying        : texlive-easy-todo-10:svn32677.0-63.fc38.noarch   1466/3646 
  Verifying        : texlive-easybook-10:svn64976-63.fc38.noarch      1467/3646 
  Verifying        : texlive-easyfig-10:svn64967-63.fc38.noarch       1468/3646 
  Verifying        : texlive-easyfloats-10:svn57204-63.fc38.noarch    1469/3646 
  Verifying        : texlive-easyformat-10:svn44543-63.fc38.noarch    1470/3646 
  Verifying        : texlive-easylist-10:svn32661.1.3-63.fc38.noarc   1471/3646 
  Verifying        : texlive-easyreview-10:svn38352.1.0-63.fc38.noa   1472/3646 
  Verifying        : texlive-ebezier-10:svn15878.4-63.fc38.noarch     1473/3646 
  Verifying        : texlive-ebgaramond-maths-10:svn52168-63.fc38.n   1474/3646 
  Verifying        : texlive-ebgaramond-10:svn64343-63.fc38.noarch    1475/3646 
  Verifying        : texlive-ebook-10:svn29466.0-63.fc38.noarch       1476/3646 
  Verifying        : texlive-ebproof-10:svn57544-63.fc38.noarch       1477/3646 
  Verifying        : texlive-ebsthesis-10:svn15878.1.0-63.fc38.noar   1478/3646 
  Verifying        : texlive-ec-10:svn25033.1.0-63.fc38.noarch        1479/3646 
  Verifying        : texlive-ecc-10:svn15878.0-63.fc38.noarch         1480/3646 
  Verifying        : texlive-ecclesiastic-10:svn38172.0.3-63.fc38.n   1481/3646 
  Verifying        : texlive-ecgdraw-10:svn41617-63.fc38.noarch       1482/3646 
  Verifying        : texlive-eco-10:svn29349.1.3-63.fc38.noarch       1483/3646 
  Verifying        : texlive-ecobiblatex-10:svn39233-63.fc38.noarch   1484/3646 
  Verifying        : texlive-econ-bst-10:svn61499-63.fc38.noarch      1485/3646 
  Verifying        : texlive-econlipsum-10:svn58390-63.fc38.noarch    1486/3646 
  Verifying        : texlive-econometrics-10:svn39396-63.fc38.noarc   1487/3646 
  Verifying        : texlive-economic-10:svn32639.0-63.fc38.noarch    1488/3646 
  Verifying        : texlive-ecothesis-10:svn48007-63.fc38.noarch     1489/3646 
  Verifying        : texlive-ecv-10:svn24928.0.3-63.fc38.noarch       1490/3646 
  Verifying        : texlive-eczar-10:svn57716-63.fc38.noarch         1491/3646 
  Verifying        : texlive-ed-10:svn25231.1.8-63.fc38.noarch        1492/3646 
  Verifying        : texlive-edichokey-10:svn56223-63.fc38.noarch     1493/3646 
  Verifying        : texlive-edmargin-10:svn27599.1.2-63.fc38.noarc   1494/3646 
  Verifying        : texlive-eemeir-10:svn15878.1.1b-63.fc38.noarch   1495/3646 
  Verifying        : texlive-eepic-10:svn15878.1.1e-63.fc38.noarch    1496/3646 
  Verifying        : texlive-efbox-10:svn33236.1.0-63.fc38.noarch     1497/3646 
  Verifying        : texlive-egplot-10:svn20617.1.02a-63.fc38.noarc   1498/3646 
  Verifying        : texlive-ehhline-10:svn54676-63.fc38.noarch       1499/3646 
  Verifying        : texlive-eiad-ltx-10:svn15878.1.0-63.fc38.noarc   1500/3646 
  Verifying        : texlive-eiad-10:svn15878.0-63.fc38.noarch        1501/3646 
  Verifying        : texlive-einfart-10:svn64280-63.fc38.noarch       1502/3646 
  Verifying        : texlive-ejpecp-10:svn60950-63.fc38.noarch        1503/3646 
  Verifying        : texlive-ekaia-10:svn49594-63.fc38.noarch         1504/3646 
  Verifying        : texlive-ektype-tanka-10:svn63255-63.fc38.noarc   1505/3646 
  Verifying        : texlive-elbioimp-10:svn21758.1.2-63.fc38.noarc   1506/3646 
  Verifying        : texlive-electrum-10:svn19705.1.005_b-63.fc38.n   1507/3646 
  Verifying        : texlive-elegantbook-10:svn64122-63.fc38.noarch   1508/3646 
  Verifying        : texlive-elegantnote-10:svn62989-63.fc38.noarch   1509/3646 
  Verifying        : texlive-elegantpaper-10:svn62989-63.fc38.noarc   1510/3646 
  Verifying        : texlive-elements-10:svn61792-63.fc38.noarch      1511/3646 
  Verifying        : texlive-ellipse-10:svn39025-63.fc38.noarch       1512/3646 
  Verifying        : texlive-ellipsis-10:svn55418-63.fc38.noarch      1513/3646 
  Verifying        : texlive-elmath-10:svn15878.v1.2-63.fc38.noarch   1514/3646 
  Verifying        : texlive-elocalloc-10:svn42712-63.fc38.noarch     1515/3646 
  Verifying        : texlive-elpres-10:svn60209-63.fc38.noarch        1516/3646 
  Verifying        : texlive-els-cas-templates-10:svn62931-63.fc38.   1517/3646 
  Verifying        : texlive-elsarticle-10:svn56999-63.fc38.noarch    1518/3646 
  Verifying        : texlive-elteikthesis-10:svn63186-63.fc38.noarc   1519/3646 
  Verifying        : texlive-eltex-10:svn15878.2.0-63.fc38.noarch     1520/3646 
  Verifying        : texlive-elvish-10:svn15878.0-63.fc38.noarch      1521/3646 
  Verifying        : texlive-elzcards-10:svn51894-63.fc38.noarch      1522/3646 
  Verifying        : texlive-emarks-10:svn24504.1.0-63.fc38.noarch    1523/3646 
  Verifying        : texlive-embedall-10:svn51177-63.fc38.noarch      1524/3646 
  Verifying        : texlive-embedfile-10:svn54865-63.fc38.noarch     1525/3646 
  Verifying        : texlive-embrac-10:svn57814-63.fc38.noarch        1526/3646 
  Verifying        : texlive-emf-10:svn42023-63.fc38.noarch           1527/3646 
  Verifying        : texlive-emisa-10:svn60068-63.fc38.noarch         1528/3646 
  Verifying        : texlive-emptypage-10:svn18064.1.2-63.fc38.noar   1529/3646 
  Verifying        : texlive-emulateapj-10:svn28469.0-63.fc38.noarc   1530/3646 
  Verifying        : texlive-enctex-10:svn34957.0-63.fc38.noarch      1531/3646 
  Verifying        : texlive-endfloat-10:svn57090-63.fc38.noarch      1532/3646 
  Verifying        : texlive-endheads-10:svn43750-63.fc38.noarch      1533/3646 
  Verifying        : texlive-endiagram-10:svn34486.0.1d-63.fc38.noa   1534/3646 
  Verifying        : texlive-endnotes-hy-10:svn54758-63.fc38.noarch   1535/3646 
  Verifying        : texlive-endnotes-10:svn53319-63.fc38.noarch      1536/3646 
  Verifying        : texlive-endofproofwd-10:svn55643-63.fc38.noarc   1537/3646 
  Verifying        : texlive-engpron-10:svn16558.2-63.fc38.noarch     1538/3646 
  Verifying        : texlive-engrec-10:svn15878.1.1-63.fc38.noarch    1539/3646 
  Verifying        : texlive-engtlc-10:svn28571.3.2-63.fc38.noarch    1540/3646 
  Verifying        : texlive-enotez-10:svn61490-63.fc38.noarch        1541/3646 
  Verifying        : texlive-enumitem-10:svn51423-63.fc38.noarch      1542/3646 
  Verifying        : texlive-enumitem-zref-10:svn21472.1.8-63.fc38.   1543/3646 
  Verifying        : texlive-envbig-10:svn15878.0-63.fc38.noarch      1544/3646 
  Verifying        : texlive-environ-10:svn56615-63.fc38.noarch       1545/3646 
  Verifying        : texlive-envlab-10:svn61937-63.fc38.noarch        1546/3646 
  Verifying        : texlive-eolang-10:svn65289-63.fc38.noarch        1547/3646 
  Verifying        : texlive-epigrafica-10:svn17210.1.01-63.fc38.no   1548/3646 
  Verifying        : texlive-epigraph-keys-10:svn61719-63.fc38.noar   1549/3646 
  Verifying        : texlive-epigraph-10:svn54857-63.fc38.noarch      1550/3646 
  Verifying        : texlive-epiolmec-10:svn15878.0-63.fc38.noarch    1551/3646 
  Verifying        : texlive-epsdice-10:svn15878.2.1-63.fc38.noarch   1552/3646 
  Verifying        : texlive-epsf-10:svn21461.2.7.4-63.fc38.noarch    1553/3646 
  Verifying        : texlive-epspdfconversion-10:svn18703.0.61-63.f   1554/3646 
  Verifying        : texlive-epstopdf-pkg-10:svn53546-63.fc38.noarc   1555/3646 
  Verifying        : texlive-eq-pin2corr-10:svn59477-63.fc38.noarch   1556/3646 
  Verifying        : texlive-eqell-10:svn22931.0-63.fc38.noarch       1557/3646 
  Verifying        : texlive-eqexpl-10:svn63629-63.fc38.noarch        1558/3646 
  Verifying        : texlive-eqlist-10:svn32257.2.1-63.fc38.noarch    1559/3646 
  Verifying        : texlive-eqnalign-10:svn43278-63.fc38.noarch      1560/3646 
  Verifying        : texlive-eqname-10:svn20678.0-63.fc38.noarch      1561/3646 
  Verifying        : texlive-eqnarray-10:svn20641.1.3-63.fc38.noarc   1562/3646 
  Verifying        : texlive-eqnnumwarn-10:svn45511-63.fc38.noarch    1563/3646 
  Verifying        : texlive-eqparbox-10:svn45215-63.fc38.noarch      1564/3646 
  Verifying        : texlive-erdc-10:svn15878.1.1-63.fc38.noarch      1565/3646 
  Verifying        : texlive-erewhon-math-10:svn64925-63.fc38.noarc   1566/3646 
  Verifying        : texlive-erewhon-10:svn63312-63.fc38.noarch       1567/3646 
  Verifying        : texlive-errata-10:svn42428-63.fc38.noarch        1568/3646 
  Verifying        : texlive-erw-l3-10:svn61799-63.fc38.noarch        1569/3646 
  Verifying        : texlive-esami-10:svn61596-63.fc38.noarch         1570/3646 
  Verifying        : texlive-esdiff-10:svn21385.1.2-63.fc38.noarch    1571/3646 
  Verifying        : texlive-esieecv-10:svn59638-63.fc38.noarch       1572/3646 
  Verifying        : texlive-esindex-10:svn52342-63.fc38.noarch       1573/3646 
  Verifying        : texlive-esint-10:svn52240-63.fc38.noarch         1574/3646 
  Verifying        : texlive-esint-type1-10:svn15878.0-63.fc38.noar   1575/3646 
  Verifying        : texlive-esk-10:svn18115.1.0-63.fc38.noarch       1576/3646 
  Verifying        : texlive-eso-pic-10:svn56658-63.fc38.noarch       1577/3646 
  Verifying        : texlive-esrelation-10:svn37236.0-63.fc38.noarc   1578/3646 
  Verifying        : texlive-esstix-10:svn22426.1.0-63.fc38.noarch    1579/3646 
  Verifying        : texlive-estcpmm-10:svn17335.0.4-63.fc38.noarch   1580/3646 
  Verifying        : texlive-esvect-10:svn32098.1.3-63.fc38.noarch    1581/3646 
  Verifying        : texlive-etaremune-10:svn15878.v1.2-63.fc38.noa   1582/3646 
  Verifying        : texlive-etbb-10:svn61872-63.fc38.noarch          1583/3646 
  Verifying        : texlive-etex-pkg-10:svn41784-63.fc38.noarch      1584/3646 
  Verifying        : texlive-etex-10:svn56291-63.fc38.noarch          1585/3646 
  Verifying        : texlive-etexcmds-10:svn53171-63.fc38.noarch      1586/3646 
  Verifying        : texlive-etextools-10:svn20694.3.1415926-63.fc3   1587/3646 
  Verifying        : texlive-etl-10:svn60998-63.fc38.noarch           1588/3646 
  Verifying        : texlive-etoc-10:svn65068-63.fc38.noarch          1589/3646 
  Verifying        : texlive-etoolbox-10:svn56554-63.fc38.noarch      1590/3646 
  Verifying        : texlive-etsvthor-10:svn48186-63.fc38.noarch      1591/3646 
  Verifying        : texlive-euclideangeometry-10:svn60697-63.fc38.   1592/3646 
  Verifying        : texlive-euenc-10:svn19795.0.1h-63.fc38.noarch    1593/3646 
  Verifying        : texlive-euflag-10:svn55265-63.fc38.noarch        1594/3646 
  Verifying        : texlive-eukdate-10:svn15878.1.04-63.fc38.noarc   1595/3646 
  Verifying        : texlive-euler-math-10:svn65010-63.fc38.noarch    1596/3646 
  Verifying        : texlive-euler-10:svn42428-63.fc38.noarch         1597/3646 
  Verifying        : texlive-eulerpx-10:svn63967-63.fc38.noarch       1598/3646 
  Verifying        : texlive-eulervm-10:svn15878.4.0-63.fc38.noarch   1599/3646 
  Verifying        : texlive-euro-ce-10:svn25714-63.fc38.noarch       1600/3646 
  Verifying        : texlive-euro-10:svn22191.1.1-63.fc38.noarch      1601/3646 
  Verifying        : texlive-europasscv-10:svn56829-63.fc38.noarch    1602/3646 
  Verifying        : texlive-europecv-10:svn64037-63.fc38.noarch      1603/3646 
  Verifying        : texlive-eurosym-10:svn17265.1.4_subrfix-63.fc3   1604/3646 
  Verifying        : texlive-euxm-10:svn54074-63.fc38.noarch          1605/3646 
  Verifying        : texlive-everyhook-10:svn35675.1.2-63.fc38.noar   1606/3646 
  Verifying        : texlive-everypage-10:svn56694-63.fc38.noarch     1607/3646 
  Verifying        : texlive-everysel-10:svn57489-63.fc38.noarch      1608/3646 
  Verifying        : texlive-everyshi-10:svn57001-63.fc38.noarch      1609/3646 
  Verifying        : texlive-exam-n-10:svn64674-63.fc38.noarch        1610/3646 
  Verifying        : texlive-exam-randomizechoices-10:svn61719-63.f   1611/3646 
  Verifying        : texlive-exam-10:svn64134-63.fc38.noarch          1612/3646 
  Verifying        : texlive-examdesign-10:svn15878.1.02-63.fc38.no   1613/3646 
  Verifying        : texlive-example-10:svn33398.0-63.fc38.noarch     1614/3646 
  Verifying        : texlive-examplep-10:svn55265-63.fc38.noarch      1615/3646 
  Verifying        : texlive-excludeonly-10:svn17262.1.0-63.fc38.no   1616/3646 
  Verifying        : texlive-exercise-10:svn35417.1.6-63.fc38.noarc   1617/3646 
  Verifying        : texlive-exercisebank-10:svn50448-63.fc38.noarc   1618/3646 
  Verifying        : texlive-exercisepoints-10:svn49590-63.fc38.noa   1619/3646 
  Verifying        : texlive-exercises-10:svn55188-63.fc38.noarch     1620/3646 
  Verifying        : texlive-exesheet-10:svn61061-63.fc38.noarch      1621/3646 
  Verifying        : texlive-exframe-10:svn53911-63.fc38.noarch       1622/3646 
  Verifying        : texlive-exp-testopt-10:svn15878.0.3-63.fc38.no   1623/3646 
  Verifying        : texlive-expdlist-10:svn15878.2.4-63.fc38.noarc   1624/3646 
  Verifying        : texlive-expkv-10:svn60573-63.fc38.noarch         1625/3646 
  Verifying        : texlive-export-10:svn27206.1.8-63.fc38.noarch    1626/3646 
  Verifying        : texlive-exsheets-10:svn52227-63.fc38.noarch      1627/3646 
  Verifying        : texlive-exsol-10:svn48977-63.fc38.noarch         1628/3646 
  Verifying        : texlive-extarrows-10:svn54400-63.fc38.noarch     1629/3646 
  Verifying        : texlive-extpfeil-10:svn16243.0.4-63.fc38.noarc   1630/3646 
  Verifying        : texlive-extract-10:svn52117-63.fc38.noarch       1631/3646 
  Verifying        : texlive-extsizes-10:svn17263.1.4a-63.fc38.noar   1632/3646 
  Verifying        : texlive-facsimile-10:svn21328.1.0-63.fc38.noar   1633/3646 
  Verifying        : texlive-factura-10:svn61697-63.fc38.noarch       1634/3646 
  Verifying        : texlive-facture-belge-simple-sans-tva-10:svn49   1635/3646 
  Verifying        : texlive-faktor-10:svn15878.0.1b-63.fc38.noarch   1636/3646 
  Verifying        : texlive-familytree-10:svn63739-63.fc38.noarch    1637/3646 
  Verifying        : texlive-fancybox-10:svn18304.1.4-63.fc38.noarc   1638/3646 
  Verifying        : texlive-fancyhandout-10:svn46411-63.fc38.noarc   1639/3646 
  Verifying        : texlive-fancyhdr-10:svn64977-63.fc38.noarch      1640/3646 
  Verifying        : texlive-fancylabel-10:svn46736-63.fc38.noarch    1641/3646 
  Verifying        : texlive-fancynum-10:svn15878.0.92-63.fc38.noar   1642/3646 
  Verifying        : texlive-fancypar-10:svn58895-63.fc38.noarch      1643/3646 
  Verifying        : texlive-fancyqr-10:svn64182-63.fc38.noarch       1644/3646 
  Verifying        : texlive-fancyref-10:svn15878.0.9c-63.fc38.noar   1645/3646 
  Verifying        : texlive-fancyslides-10:svn36263.1.0-63.fc38.no   1646/3646 
  Verifying        : texlive-fancytabs-10:svn41549-63.fc38.noarch     1647/3646 
  Verifying        : texlive-fancytooltips-10:svn56291-63.fc38.noar   1648/3646 
  Verifying        : texlive-fancyvrb-10:svn63496-63.fc38.noarch      1649/3646 
  Verifying        : texlive-fandol-10:svn37889.0.3-63.fc38.noarch    1650/3646 
  Verifying        : texlive-fascicules-10:svn54080-63.fc38.noarch    1651/3646 
  Verifying        : texlive-fast-diagram-10:svn29264.1.1-63.fc38.n   1652/3646 
  Verifying        : texlive-fbb-10:svn55728-63.fc38.noarch           1653/3646 
  Verifying        : texlive-fbithesis-10:svn21340.1.2m-63.fc38.noa   1654/3646 
  Verifying        : texlive-fbox-10:svn62126-63.fc38.noarch          1655/3646 
  Verifying        : texlive-fbs-10:svn15878.0-63.fc38.noarch         1656/3646 
  Verifying        : texlive-fcavtex-10:svn38074.1.1-63.fc38.noarch   1657/3646 
  Verifying        : texlive-fcltxdoc-10:svn24500.1.0-63.fc38.noarc   1658/3646 
  Verifying        : texlive-fcolumn-10:svn61855-63.fc38.noarch       1659/3646 
  Verifying        : texlive-fdsymbol-10:svn61719-63.fc38.noarch      1660/3646 
  Verifying        : texlive-fei-10:svn65352-63.fc38.noarch           1661/3646 
  Verifying        : texlive-fetamont-10:svn43812-63.fc38.noarch      1662/3646 
  Verifying        : texlive-fetchcls-10:svn45245-63.fc38.noarch      1663/3646 
  Verifying        : texlive-fewerfloatpages-10:svn58058-63.fc38.no   1664/3646 
  Verifying        : texlive-feyn-10:svn63945-63.fc38.noarch          1665/3646 
  Verifying        : texlive-feynmf-10:svn17259.1.08-63.fc38.noarch   1666/3646 
  Verifying        : texlive-ffcode-10:svn65170-63.fc38.noarch        1667/3646 
  Verifying        : texlive-ffslides-10:svn38895-63.fc38.noarch      1668/3646 
  Verifying        : texlive-fge-10:svn37628.1.25-63.fc38.noarch      1669/3646 
  Verifying        : texlive-fgruler-10:svn63721-63.fc38.noarch       1670/3646 
  Verifying        : texlive-fifo-stack-10:svn33288.1.0-63.fc38.noa   1671/3646 
  Verifying        : texlive-figbib-10:svn19388.0-63.fc38.noarch      1672/3646 
  Verifying        : texlive-figchild-10:svn62945-63.fc38.noarch      1673/3646 
  Verifying        : texlive-figput-10:svn63957-63.fc38.noarch        1674/3646 
  Verifying        : texlive-figsize-10:svn18784.0.1-63.fc38.noarch   1675/3646 
  Verifying        : texlive-filecontents-10:svn52142-63.fc38.noarc   1676/3646 
  Verifying        : texlive-filecontentsdef-10:svn52208-63.fc38.no   1677/3646 
  Verifying        : texlive-filedate-10:svn29529.0-63.fc38.noarch    1678/3646 
  Verifying        : texlive-filehook-10:svn64822-63.fc38.noarch      1679/3646 
  Verifying        : texlive-fileinfo-10:svn28421.0.81a-63.fc38.noa   1680/3646 
  Verifying        : texlive-filemod-10:svn64967-63.fc38.noarch       1681/3646 
  Verifying        : texlive-fink-10:svn24329.2.2.1-63.fc38.noarch    1682/3646 
  Verifying        : texlive-finstrut-10:svn21719.0.5-63.fc38.noarc   1683/3646 
  Verifying        : texlive-fira-10:svn64422-63.fc38.noarch          1684/3646 
  Verifying        : texlive-firamath-otf-10:svn50732-63.fc38.noarc   1685/3646 
  Verifying        : texlive-firamath-10:svn56672-63.fc38.noarch      1686/3646 
  Verifying        : texlive-firstaid-10:svn64892-63.fc38.noarch      1687/3646 
  Verifying        : texlive-fitbox-10:svn50088-63.fc38.noarch        1688/3646 
  Verifying        : texlive-fithesis-10:svn64135-63.fc38.noarch      1689/3646 
  Verifying        : texlive-fix2col-10:svn38770-63.fc38.noarch       1690/3646 
  Verifying        : texlive-fixcmex-10:svn51825-63.fc38.noarch       1691/3646 
  Verifying        : texlive-fixdif-10:svn64835-63.fc38.noarch        1692/3646 
  Verifying        : texlive-fixfoot-10:svn17131.0.3a-63.fc38.noarc   1693/3646 
  Verifying        : texlive-fixltxhyph-10:svn25832.0.4-63.fc38.noa   1694/3646 
  Verifying        : texlive-fixmath-10:svn64648-63.fc38.noarch       1695/3646 
  Verifying        : texlive-fixme-10:svn63708-63.fc38.noarch         1696/3646 
  Verifying        : texlive-fixmetodonotes-10:svn30168.0.2.2-63.fc   1697/3646 
  Verifying        : texlive-fjodor-10:svn53207-63.fc38.noarch        1698/3646 
  Verifying        : texlive-flabels-10:svn17272.1.0-63.fc38.noarch   1699/3646 
  Verifying        : texlive-flacards-10:svn19440.0.1.1b-63.fc38.no   1700/3646 
  Verifying        : texlive-flagderiv-10:svn15878.0.10-63.fc38.noa   1701/3646 
  Verifying        : texlive-flashcards-10:svn62104-63.fc38.noarch    1702/3646 
  Verifying        : texlive-flashmovie-10:svn25768.0.4-63.fc38.noa   1703/3646 
  Verifying        : texlive-flexipage-10:svn64572-63.fc38.noarch     1704/3646 
  Verifying        : texlive-flipbook-10:svn25584.0.2-63.fc38.noarc   1705/3646 
  Verifying        : texlive-flippdf-10:svn56782-63.fc38.noarch       1706/3646 
  Verifying        : texlive-float-10:svn15878.1.3d-63.fc38.noarch    1707/3646 
  Verifying        : texlive-floatflt-10:svn25540.1.31-63.fc38.noar   1708/3646 
  Verifying        : texlive-floatrow-10:svn15878.0.3b-63.fc38.noar   1709/3646 
  Verifying        : texlive-flowchart-10:svn36572.3.3-63.fc38.noar   1710/3646 
  Verifying        : texlive-flowfram-10:svn35291.1.17-63.fc38.noar   1711/3646 
  Verifying        : texlive-fltpoint-10:svn56594-63.fc38.noarch      1712/3646 
  Verifying        : texlive-fmp-10:svn15878.0-63.fc38.noarch         1713/3646 
  Verifying        : texlive-fmtcount-10:svn53912-63.fc38.noarch      1714/3646 
  Verifying        : texlive-fn2end-10:svn15878.1.1-63.fc38.noarch    1715/3646 
  Verifying        : texlive-fnbreak-10:svn25003.1.30-63.fc38.noarc   1716/3646 
  Verifying        : texlive-fncychap-10:svn20710.v1.34-63.fc38.noa   1717/3646 
  Verifying        : texlive-fncylab-10:svn52090-63.fc38.noarch       1718/3646 
  Verifying        : texlive-fnpara-10:svn25607.0-63.fc38.noarch      1719/3646 
  Verifying        : texlive-fnpct-10:svn62248-63.fc38.noarch         1720/3646 
  Verifying        : texlive-fnspe-10:svn45360-63.fc38.noarch         1721/3646 
  Verifying        : texlive-fnumprint-10:svn29173.1.1a-63.fc38.noa   1722/3646 
  Verifying        : texlive-foekfont-10:svn15878.0-63.fc38.noarch    1723/3646 
  Verifying        : texlive-foilhtml-10:svn61937-63.fc38.noarch      1724/3646 
  Verifying        : texlive-foliono-10:svn58877-63.fc38.noarch       1725/3646 
  Verifying        : texlive-fonetika-10:svn21326.0-63.fc38.noarch    1726/3646 
  Verifying        : texlive-fontawesome-10:svn48145-63.fc38.noarch   1727/3646 
  Verifying        : texlive-fontawesome5-10:svn63207-63.fc38.noarc   1728/3646 
  Verifying        : texlive-fontaxes-10:svn55920-63.fc38.noarch      1729/3646 
  Verifying        : texlive-fontmfizz-10:svn43546-63.fc38.noarch     1730/3646 
  Verifying        : texlive-fonts-churchslavonic-10:svn56350-63.fc   1731/3646 
  Verifying        : texlive-fonts-tlwg-10:svn60817-63.fc38.noarch    1732/3646 
  Verifying        : texlive-fontsetup-10:svn62477-63.fc38.noarch     1733/3646 
  Verifying        : texlive-fontsize-10:svn60161-63.fc38.noarch      1734/3646 
  Verifying        : texlive-fontspec-10:svn63386-63.fc38.noarch      1735/3646 
  Verifying        : texlive-fonttable-10:svn44799-63.fc38.noarch     1736/3646 
  Verifying        : texlive-footbib-10:svn17115.2.0.7-63.fc38.noar   1737/3646 
  Verifying        : texlive-footmisc-10:svn62524-63.fc38.noarch      1738/3646 
  Verifying        : texlive-footmisx-10:svn42621-63.fc38.noarch      1739/3646 
  Verifying        : texlive-footnotebackref-10:svn27034.1.0-63.fc3   1740/3646 
  Verifying        : texlive-footnotehyper-10:svn60374-63.fc38.noar   1741/3646 
  Verifying        : texlive-footnoterange-10:svn52910-63.fc38.noar   1742/3646 
  Verifying        : texlive-footnpag-10:svn15878.0-63.fc38.noarch    1743/3646 
  Verifying        : texlive-forarray-10:svn15878.1.01-63.fc38.noar   1744/3646 
  Verifying        : texlive-foreign-10:svn27819.2.7-63.fc38.noarch   1745/3646 
  Verifying        : texlive-forest-10:svn57398-63.fc38.noarch        1746/3646 
  Verifying        : texlive-forloop-10:svn15878.3.0-63.fc38.noarch   1747/3646 
  Verifying        : texlive-formal-grammar-10:svn61955-63.fc38.noa   1748/3646 
  Verifying        : texlive-formlett-10:svn21480.2.3-63.fc38.noarc   1749/3646 
  Verifying        : texlive-forms16be-10:svn51305-63.fc38.noarch     1750/3646 
  Verifying        : texlive-formular-10:svn15878.1.0a-63.fc38.noar   1751/3646 
  Verifying        : texlive-forum-10:svn64566-63.fc38.noarch         1752/3646 
  Verifying        : texlive-fouridx-10:svn32214.2.00-63.fc38.noarc   1753/3646 
  Verifying        : texlive-fourier-10:svn61937-63.fc38.noarch       1754/3646 
  Verifying        : texlive-fouriernc-10:svn29646.0-63.fc38.noarch   1755/3646 
  Verifying        : texlive-fp-10:svn49719-63.fc38.noarch            1756/3646 
  Verifying        : texlive-fpl-10:svn54512-63.fc38.noarch           1757/3646 
  Verifying        : texlive-fragments-10:svn15878.0-63.fc38.noarch   1758/3646 
  Verifying        : texlive-frame-10:svn18312.1.0-63.fc38.noarch     1759/3646 
  Verifying        : texlive-framed-10:svn26789.0.96-63.fc38.noarch   1760/3646 
  Verifying        : texlive-francais-bst-10:svn38922-63.fc38.noarc   1761/3646 
  Verifying        : texlive-frankenstein-10:svn15878.0-63.fc38.noa   1762/3646 
  Verifying        : texlive-frcursive-10:svn24559.0-63.fc38.noarch   1763/3646 
  Verifying        : texlive-frederika2016-10:svn42157-63.fc38.noar   1764/3646 
  Verifying        : texlive-frege-10:svn27417.1.3-63.fc38.noarch     1765/3646 
  Verifying        : texlive-frimurer-10:svn56704-63.fc38.noarch      1766/3646 
  Verifying        : texlive-froufrou-10:svn59103-63.fc38.noarch      1767/3646 
  Verifying        : texlive-ftc-notebook-10:svn50043-63.fc38.noarc   1768/3646 
  Verifying        : texlive-ftcap-10:svn17275.1.4-63.fc38.noarch     1769/3646 
  Verifying        : texlive-ftnxtra-10:svn29652.0.1-63.fc38.noarch   1770/3646 
  Verifying        : texlive-fullblck-10:svn25434.1.03-63.fc38.noar   1771/3646 
  Verifying        : texlive-fullminipage-10:svn34545.0.1.1-63.fc38   1772/3646 
  Verifying        : texlive-fullwidth-10:svn24684.0.1-63.fc38.noar   1773/3646 
  Verifying        : texlive-functan-10:svn15878.0-63.fc38.noarch     1774/3646 
  Verifying        : texlive-functional-10:svn63640-63.fc38.noarch    1775/3646 
  Verifying        : texlive-fundus-calligra-10:svn26018.1.2-63.fc3   1776/3646 
  Verifying        : texlive-fundus-cyr-10:svn26019.0-63.fc38.noarc   1777/3646 
  Verifying        : texlive-fundus-sueterlin-10:svn26030.1.2-63.fc   1778/3646 
  Verifying        : texlive-fvextra-10:svn65158-63.fc38.noarch       1779/3646 
  Verifying        : texlive-fwlw-10:svn29803.0-63.fc38.noarch        1780/3646 
  Verifying        : texlive-g-brief-10:svn50415-63.fc38.noarch       1781/3646 
  Verifying        : texlive-gaceta-10:svn15878.1.06-63.fc38.noarch   1782/3646 
  Verifying        : texlive-galois-10:svn15878.1.5-63.fc38.noarch    1783/3646 
  Verifying        : texlive-gammas-10:svn56403-63.fc38.noarch        1784/3646 
  Verifying        : texlive-garamond-libre-10:svn64412-63.fc38.noa   1785/3646 
  Verifying        : texlive-garamond-math-10:svn61481-63.fc38.noar   1786/3646 
  Verifying        : texlive-garuda-c90-10:svn60832-63.fc38.noarch    1787/3646 
  Verifying        : texlive-gastex-10:svn58505-63.fc38.noarch        1788/3646 
  Verifying        : texlive-gatherenum-10:svn52209-63.fc38.noarch    1789/3646 
  Verifying        : texlive-gauss-10:svn32934.0-63.fc38.noarch       1790/3646 
  Verifying        : texlive-gb4e-10:svn19216.0-63.fc38.noarch        1791/3646 
  Verifying        : texlive-gbt7714-10:svn64633-63.fc38.noarch       1792/3646 
  Verifying        : texlive-gcard-10:svn15878.0-63.fc38.noarch       1793/3646 
  Verifying        : texlive-gcite-10:svn15878.1.0.1-63.fc38.noarch   1794/3646 
  Verifying        : texlive-gender-10:svn36464.1.0-63.fc38.noarch    1795/3646 
  Verifying        : texlive-gene-logic-10:svn15878.1.4-63.fc38.noa   1796/3646 
  Verifying        : texlive-genealogy-10:svn25112.0-63.fc38.noarch   1797/3646 
  Verifying        : texlive-genealogytree-10:svn62759-63.fc38.noar   1798/3646 
  Verifying        : texlive-genmpage-10:svn15878.0.3.1-63.fc38.noa   1799/3646 
  Verifying        : texlive-gensymb-10:svn64740-63.fc38.noarch       1800/3646 
  Verifying        : texlive-gentium-tug-10:svn63470-63.fc38.noarch   1801/3646 
  Verifying        : texlive-geometry-10:svn61719-63.fc38.noarch      1802/3646 
  Verifying        : texlive-geradwp-10:svn63134-63.fc38.noarch       1803/3646 
  Verifying        : texlive-geschichtsfrkl-10:svn42121-63.fc38.noa   1804/3646 
  Verifying        : texlive-getfiledate-10:svn16189.1.2-63.fc38.no   1805/3646 
  Verifying        : texlive-getitems-10:svn39365-63.fc38.noarch      1806/3646 
  Verifying        : texlive-gettitlestring-10:svn53170-63.fc38.noa   1807/3646 
  Verifying        : texlive-gfsartemisia-10:svn19469.1.0-63.fc38.n   1808/3646 
  Verifying        : texlive-gfsbodoni-10:svn28484.1.01-63.fc38.noa   1809/3646 
  Verifying        : texlive-gfscomplutum-10:svn19469.1.0-63.fc38.n   1810/3646 
  Verifying        : texlive-gfsdidot-10:svn54080-63.fc38.noarch      1811/3646 
  Verifying        : texlive-gfsdidotclassic-10:svn52778-63.fc38.no   1812/3646 
  Verifying        : texlive-gfsneohellenic-10:svn63944-63.fc38.noa   1813/3646 
  Verifying        : texlive-gfsneohellenicmath-10:svn63928-63.fc38   1814/3646 
  Verifying        : texlive-gfssolomos-10:svn18651.1.0-63.fc38.noa   1815/3646 
  Verifying        : texlive-ghsystem-10:svn53822-63.fc38.noarch      1816/3646 
  Verifying        : texlive-gillcm-10:svn19878.1.1-63.fc38.noarch    1817/3646 
  Verifying        : texlive-gillius-10:svn64865-63.fc38.noarch       1818/3646 
  Verifying        : texlive-gincltex-10:svn64967-63.fc38.noarch      1819/3646 
  Verifying        : texlive-gindex-10:svn52311-63.fc38.noarch        1820/3646 
  Verifying        : texlive-ginpenc-10:svn24980.1.0-63.fc38.noarch   1821/3646 
  Verifying        : texlive-gitfile-info-10:svn51928-63.fc38.noarc   1822/3646 
  Verifying        : texlive-gitinfo-10:svn34049.1.0-63.fc38.noarch   1823/3646 
  Verifying        : texlive-gitinfo2-10:svn38913-63.fc38.noarch      1824/3646 
  Verifying        : texlive-gitlog-10:svn38932-63.fc38.noarch        1825/3646 
  Verifying        : texlive-gitstatus-10:svn64662-63.fc38.noarch     1826/3646 
  Verifying        : texlive-gitver-10:svn63920-63.fc38.noarch        1827/3646 
  Verifying        : texlive-globalvals-10:svn49962-63.fc38.noarch    1828/3646 
  Verifying        : texlive-glosmathtools-10:svn55920-63.fc38.noar   1829/3646 
  Verifying        : texlive-gloss-10:svn15878.1.5.2-63.fc38.noarch   1830/3646 
  Verifying        : texlive-glossaries-danish-10:svn35665.1.0-63.f   1831/3646 
  Verifying        : texlive-glossaries-dutch-10:svn35685.1.1-63.fc   1832/3646 
  Verifying        : texlive-glossaries-english-10:svn35665.1.0-63.   1833/3646 
  Verifying        : texlive-glossaries-estonian-10:svn49928-63.fc3   1834/3646 
  Verifying        : texlive-glossaries-extra-10:svn64973-63.fc38.n   1835/3646 
  Verifying        : texlive-glossaries-finnish-10:svn54080-63.fc38   1836/3646 
  Verifying        : texlive-glossaries-french-10:svn42873-63.fc38.   1837/3646 
  Verifying        : texlive-glossaries-german-10:svn35665.1.0-63.f   1838/3646 
  Verifying        : texlive-glossaries-irish-10:svn35665.1.0-63.fc   1839/3646 
  Verifying        : texlive-glossaries-italian-10:svn35665.1.0-63.   1840/3646 
  Verifying        : texlive-glossaries-magyar-10:svn35665.1.0-63.f   1841/3646 
  Verifying        : texlive-glossaries-nynorsk-10:svn55189-63.fc38   1842/3646 
  Verifying        : texlive-glossaries-polish-10:svn35665.1.0-63.f   1843/3646 
  Verifying        : texlive-glossaries-portuges-10:svn36064.1.1-63   1844/3646 
  Verifying        : texlive-glossaries-serbian-10:svn35665.1.0-63.   1845/3646 
  Verifying        : texlive-glossaries-slovene-10:svn51211-63.fc38   1846/3646 
  Verifying        : texlive-glossaries-spanish-10:svn35665.1.0-63.   1847/3646 
  Verifying        : texlive-gmdoc-enhance-10:svn15878.v0.2-63.fc38   1848/3646 
  Verifying        : texlive-gmdoc-10:svn21292.0.993-63.fc38.noarch   1849/3646 
  Verifying        : texlive-gmiflink-10:svn15878.v0.97-63.fc38.noa   1850/3646 
  Verifying        : texlive-gmp-10:svn21691.1.0-63.fc38.noarch       1851/3646 
  Verifying        : texlive-gmutils-10:svn24287.v0.996-63.fc38.noa   1852/3646 
  Verifying        : texlive-gmverb-10:svn24288.v0.98-63.fc38.noarc   1853/3646 
  Verifying        : texlive-gnu-freefont-10:svn29349.0-63.fc38.noa   1854/3646 
  Verifying        : texlive-gnuplottex-10:svn54758-63.fc38.noarch    1855/3646 
  Verifying        : texlive-gofonts-10:svn64358-63.fc38.noarch       1856/3646 
  Verifying        : texlive-gothic-10:svn49869-63.fc38.noarch        1857/3646 
  Verifying        : texlive-gotoh-10:svn44764-63.fc38.noarch         1858/3646 
  Verifying        : texlive-grabbox-10:svn65223-63.fc38.noarch       1859/3646 
  Verifying        : texlive-gradient-text-10:svn65353-63.fc38.noar   1860/3646 
  Verifying        : texlive-gradientframe-10:svn21387.0.2-63.fc38.   1861/3646 
  Verifying        : texlive-grading-scheme-10:svn62505-63.fc38.noa   1862/3646 
  Verifying        : texlive-gradstudentresume-10:svn38832-63.fc38.   1863/3646 
  Verifying        : texlive-grafcet-10:svn22509.1.3.5-63.fc38.noar   1864/3646 
  Verifying        : texlive-grant-10:svn56852-63.fc38.noarch         1865/3646 
  Verifying        : texlive-graph35-10:svn65138-63.fc38.noarch       1866/3646 
  Verifying        : texlive-graphbox-10:svn46360-63.fc38.noarch      1867/3646 
  Verifying        : texlive-graphics-cfg-10:svn41448-63.fc38.noarc   1868/3646 
  Verifying        : texlive-graphics-def-10:svn64487-63.fc38.noarc   1869/3646 
  Verifying        : texlive-graphics-10:svn64892-63.fc38.noarch      1870/3646 
  Verifying        : texlive-graphicscache-10:svn65318-63.fc38.noar   1871/3646 
  Verifying        : texlive-graphicx-psmin-10:svn56931-63.fc38.noa   1872/3646 
  Verifying        : texlive-graphicxbox-10:svn32630.1.0-63.fc38.no   1873/3646 
  Verifying        : texlive-graphicxpsd-10:svn57341-63.fc38.noarch   1874/3646 
  Verifying        : texlive-graphpaper-10:svn63116-63.fc38.noarch    1875/3646 
  Verifying        : texlive-graphviz-10:svn31517.0.94-63.fc38.noar   1876/3646 
  Verifying        : texlive-grayhints-10:svn49052-63.fc38.noarch     1877/3646 
  Verifying        : texlive-greek-fontenc-10:svn63604-63.fc38.noar   1878/3646 
  Verifying        : texlive-greek-inputenc-10:svn51612-63.fc38.noa   1879/3646 
  Verifying        : texlive-greenpoint-10:svn15878.0-63.fc38.noarc   1880/3646 
  Verifying        : texlive-grfext-10:svn53024-63.fc38.noarch        1881/3646 
  Verifying        : texlive-grffile-10:svn52756-63.fc38.noarch       1882/3646 
  Verifying        : texlive-grfpaste-10:svn17354.0.2-63.fc38.noarc   1883/3646 
  Verifying        : texlive-grid-10:svn61719-63.fc38.noarch          1884/3646 
  Verifying        : texlive-grid-system-10:svn32981.0.3.0-63.fc38.   1885/3646 
  Verifying        : texlive-gridpapers-10:svn58723-63.fc38.noarch    1886/3646 
  Verifying        : texlive-gridset-10:svn53762-63.fc38.noarch       1887/3646 
  Verifying        : texlive-gridslides-10:svn54512-63.fc38.noarch    1888/3646 
  Verifying        : texlive-grotesq-10:svn35859.0-63.fc38.noarch     1889/3646 
  Verifying        : texlive-grundgesetze-10:svn58997-63.fc38.noarc   1890/3646 
  Verifying        : texlive-gs1-10:svn59620-63.fc38.noarch           1891/3646 
  Verifying        : texlive-gsemthesis-10:svn56291-63.fc38.noarch    1892/3646 
  Verifying        : texlive-gtl-10:svn49527-63.fc38.noarch           1893/3646 
  Verifying        : texlive-gtrlib-largetrees-10:svn49062-63.fc38.   1894/3646 
  Verifying        : texlive-gu-10:svn15878.0-63.fc38.noarch          1895/3646 
  Verifying        : texlive-gudea-10:svn57359-63.fc38.noarch         1896/3646 
  Verifying        : texlive-guitlogo-10:svn55741-63.fc38.noarch      1897/3646 
  Verifying        : texlive-gzt-10:svn63591-63.fc38.noarch           1898/3646 
  Verifying        : texlive-h2020proposal-10:svn38428-63.fc38.noar   1899/3646 
  Verifying        : texlive-ha-prosper-10:svn59651-63.fc38.noarch    1900/3646 
  Verifying        : texlive-hackthefootline-10:svn46494-63.fc38.no   1901/3646 
  Verifying        : texlive-hacm-10:svn27671.0.1-63.fc38.noarch      1902/3646 
  Verifying        : texlive-hagenberg-thesis-10:svn56798-63.fc38.n   1903/3646 
  Verifying        : texlive-halloweenmath-10:svn52602-63.fc38.noar   1904/3646 
  Verifying        : texlive-hamnosys-10:svn61941-63.fc38.noarch      1905/3646 
  Verifying        : texlive-handin-10:svn48255-63.fc38.noarch        1906/3646 
  Verifying        : texlive-handout-10:svn43962-63.fc38.noarch       1907/3646 
  Verifying        : texlive-handoutwithnotes-10:svn62140-63.fc38.n   1908/3646 
  Verifying        : texlive-hands-10:svn13293.0-63.fc38.noarch       1909/3646 
  Verifying        : texlive-hang-10:svn43280-63.fc38.noarch          1910/3646 
  Verifying        : texlive-hanging-10:svn15878.1.2b-63.fc38.noarc   1911/3646 
  Verifying        : texlive-har2nat-10:svn54080-63.fc38.noarch       1912/3646 
  Verifying        : texlive-hardwrap-10:svn21396.0.2-63.fc38.noarc   1913/3646 
  Verifying        : texlive-harnon-cv-10:svn26543.1.0-63.fc38.noar   1914/3646 
  Verifying        : texlive-harpoon-10:svn21327.1.0-63.fc38.noarch   1915/3646 
  Verifying        : texlive-harvard-10:svn15878.2.0.5-63.fc38.noar   1916/3646 
  Verifying        : texlive-harveyballs-10:svn32003.1.1-63.fc38.no   1917/3646 
  Verifying        : texlive-harvmac-10:svn15878.0-63.fc38.noarch     1918/3646 
  Verifying        : texlive-hc-10:svn15878.0-63.fc38.noarch          1919/3646 
  Verifying        : texlive-he-she-10:svn41359-63.fc38.noarch        1920/3646 
  Verifying        : texlive-hecthese-10:svn60455-63.fc38.noarch      1921/3646 
  Verifying        : texlive-helmholtz-ellis-ji-notation-10:svn5521   1922/3646 
  Verifying        : texlive-helvetic-10:svn61719-63.fc38.noarch      1923/3646 
  Verifying        : texlive-hep-acronym-10:svn64890-63.fc38.noarch   1924/3646 
  Verifying        : texlive-hep-bibliography-10:svn64888-63.fc38.n   1925/3646 
  Verifying        : texlive-hep-float-10:svn64904-63.fc38.noarch     1926/3646 
  Verifying        : texlive-hep-math-10:svn64905-63.fc38.noarch      1927/3646 
  Verifying        : texlive-hep-paper-10:svn64917-63.fc38.noarch     1928/3646 
  Verifying        : texlive-hep-reference-10:svn64853-63.fc38.noar   1929/3646 
  Verifying        : texlive-hep-10:svn15878.1.0-63.fc38.noarch       1930/3646 
  Verifying        : texlive-hep-text-10:svn64906-63.fc38.noarch      1931/3646 
  Verifying        : texlive-hep-title-10:svn64907-63.fc38.noarch     1932/3646 
  Verifying        : texlive-hepnames-10:svn35722.2.0-63.fc38.noarc   1933/3646 
  Verifying        : texlive-hepparticles-10:svn35723.2.0-63.fc38.n   1934/3646 
  Verifying        : texlive-hepthesis-10:svn46054-63.fc38.noarch     1935/3646 
  Verifying        : texlive-hepunits-10:svn54758-63.fc38.noarch      1936/3646 
  Verifying        : texlive-here-10:svn16135.0-63.fc38.noarch        1937/3646 
  Verifying        : texlive-hereapplies-10:svn65251-63.fc38.noarch   1938/3646 
  Verifying        : texlive-heuristica-10:svn51362-63.fc38.noarch    1939/3646 
  Verifying        : texlive-hf-tikz-10:svn34733.0.3a-63.fc38.noarc   1940/3646 
  Verifying        : texlive-hfbright-10:svn29349.0-63.fc38.noarch    1941/3646 
  Verifying        : texlive-hfoldsty-10:svn29349.1.15-63.fc38.noar   1942/3646 
  Verifying        : texlive-hfutexam-10:svn65223-63.fc38.noarch      1943/3646 
  Verifying        : texlive-hfutthesis-10:svn64025-63.fc38.noarch    1944/3646 
  Verifying        : texlive-hhtensor-10:svn54080-63.fc38.noarch      1945/3646 
  Verifying        : texlive-hideanswer-10:svn63852-63.fc38.noarch    1946/3646 
  Verifying        : texlive-highlightlatex-10:svn58392-63.fc38.noa   1947/3646 
  Verifying        : texlive-hindmadurai-10:svn57360-63.fc38.noarch   1948/3646 
  Verifying        : texlive-histogr-10:svn15878.1.01-63.fc38.noarc   1949/3646 
  Verifying        : texlive-historische-zeitschrift-10:svn42635-63   1950/3646 
  Verifying        : texlive-hitec-10:svn15878.0.0_beta_-63.fc38.no   1951/3646 
  Verifying        : texlive-hithesis-10:svn64005-63.fc38.noarch      1952/3646 
  Verifying        : texlive-hitreport-10:svn58357-63.fc38.noarch     1953/3646 
  Verifying        : texlive-hitszbeamer-10:svn54381-63.fc38.noarch   1954/3646 
  Verifying        : texlive-hitszthesis-10:svn61073-63.fc38.noarch   1955/3646 
  Verifying        : texlive-hletter-10:svn30002.4.2-63.fc38.noarch   1956/3646 
  Verifying        : texlive-hobby-10:svn44474-63.fc38.noarch         1957/3646 
  Verifying        : texlive-hobete-10:svn27036.0-63.fc38.noarch      1958/3646 
  Verifying        : texlive-hobsub-10:svn52810-63.fc38.noarch        1959/3646 
  Verifying        : texlive-hologo-10:svn61719-63.fc38.noarch        1960/3646 
  Verifying        : texlive-hopatch-10:svn56106-63.fc38.noarch       1961/3646 
  Verifying        : texlive-hpsdiss-10:svn15878.1.0-63.fc38.noarch   1962/3646 
  Verifying        : texlive-href-ul-10:svn64880-63.fc38.noarch       1963/3646 
  Verifying        : texlive-hrefhide-10:svn22255.1.0f-63.fc38.noar   1964/3646 
  Verifying        : texlive-hu-berlin-bundle-10:svn57580-63.fc38.n   1965/3646 
  Verifying        : texlive-huawei-10:svn65264-63.fc38.noarch        1966/3646 
  Verifying        : texlive-hustthesis-10:svn42547-63.fc38.noarch    1967/3646 
  Verifying        : texlive-hvextern-10:svn63711-63.fc38.noarch      1968/3646 
  Verifying        : texlive-hvfloat-10:svn65069-63.fc38.noarch       1969/3646 
  Verifying        : texlive-hvindex-10:svn46051-63.fc38.noarch       1970/3646 
  Verifying        : texlive-hvlogos-10:svn63261-63.fc38.noarch       1971/3646 
  Verifying        : texlive-hvpygmentex-10:svn62405-63.fc38.noarch   1972/3646 
  Verifying        : texlive-hvqrurl-10:svn59256-63.fc38.noarch       1973/3646 
  Verifying        : texlive-hwemoji-10:svn65001-63.fc38.noarch       1974/3646 
  Verifying        : texlive-hycolor-10:svn53584-63.fc38.noarch       1975/3646 
  Verifying        : texlive-hypdestopt-10:svn56253-63.fc38.noarch    1976/3646 
  Verifying        : texlive-hypdoc-10:svn63808-63.fc38.noarch        1977/3646 
  Verifying        : texlive-hypdvips-10:svn53197-63.fc38.noarch      1978/3646 
  Verifying        : texlive-hyper-10:svn17357.4.2d-63.fc38.noarch    1979/3646 
  Verifying        : texlive-hyperbar-10:svn48147-63.fc38.noarch      1980/3646 
  Verifying        : texlive-hypernat-10:svn17358.1.0b-63.fc38.noar   1981/3646 
  Verifying        : texlive-hyperref-10:svn65014-63.fc38.noarch      1982/3646 
  Verifying        : texlive-hyph-utf8-10:svn61719-63.fc38.noarch     1983/3646 
  Verifying        : texlive-hyphen-base-10:svn62751-63.fc38.noarch   1984/3646 
  Verifying        : texlive-hyphen-polish-10:svn58609-63.fc38.noar   1985/3646 
  Verifying        : texlive-hyphenat-10:svn15878.2.3c-63.fc38.noar   1986/3646 
  Verifying        : texlive-hyphenex-10:svn57387-63.fc38.noarch      1987/3646 
  Verifying        : texlive-ibarra-10:svn64567-63.fc38.noarch        1988/3646 
  Verifying        : texlive-ibrackets-10:svn65312-63.fc38.noarch     1989/3646 
  Verifying        : texlive-icite-10:svn54512-63.fc38.noarch         1990/3646 
  Verifying        : texlive-icsv-10:svn15878.0.2-63.fc38.noarch      1991/3646 
  Verifying        : texlive-identkey-10:svn61719-63.fc38.noarch      1992/3646 
  Verifying        : texlive-idxcmds-10:svn54554-63.fc38.noarch       1993/3646 
  Verifying        : texlive-idxlayout-10:svn25821.0.4d-63.fc38.noa   1994/3646 
  Verifying        : texlive-ieeeconf-10:svn59665-63.fc38.noarch      1995/3646 
  Verifying        : texlive-ieeepes-10:svn17359.4.0-63.fc38.noarch   1996/3646 
  Verifying        : texlive-ieeetran-10:svn59672-63.fc38.noarch      1997/3646 
  Verifying        : texlive-ietfbibs-doc-10:svn41332-63.fc38.noarc   1998/3646 
  Verifying        : texlive-iexec-10:svn64908-63.fc38.noarch         1999/3646 
  Verifying        : texlive-ifallfalse-10:svn60027-63.fc38.noarch    2000/3646 
  Verifying        : texlive-iffont-10:svn38823-63.fc38.noarch        2001/3646 
  Verifying        : texlive-ifmslide-10:svn20727.0.47-63.fc38.noar   2002/3646 
  Verifying        : texlive-ifmtarg-10:svn47544-63.fc38.noarch       2003/3646 
  Verifying        : texlive-ifnextok-10:svn23379.0.3-63.fc38.noarc   2004/3646 
  Verifying        : texlive-ifoddpage-10:svn64967-63.fc38.noarch     2005/3646 
  Verifying        : texlive-ifplatform-10:svn45533-63.fc38.noarch    2006/3646 
  Verifying        : texlive-ifsym-10:svn24868.0-63.fc38.noarch       2007/3646 
  Verifying        : texlive-iftex-10:svn61910-63.fc38.noarch         2008/3646 
  Verifying        : texlive-ifthenx-10:svn25819.0.1a-63.fc38.noarc   2009/3646 
  Verifying        : texlive-iitem-10:svn29613.1.0-63.fc38.noarch     2010/3646 
  Verifying        : texlive-ijmart-10:svn30958.1.7-63.fc38.noarch    2011/3646 
  Verifying        : texlive-ijqc-10:svn15878.1.2-63.fc38.noarch      2012/3646 
  Verifying        : texlive-ijsra-10:svn44886-63.fc38.noarch         2013/3646 
  Verifying        : texlive-imac-10:svn17347.0-63.fc38.noarch        2014/3646 
  Verifying        : texlive-image-gallery-10:svn15878.v1.0j-63.fc3   2015/3646 
  Verifying        : texlive-imakeidx-10:svn42287-63.fc38.noarch      2016/3646 
  Verifying        : texlive-imfellenglish-10:svn64568-63.fc38.noar   2017/3646 
  Verifying        : texlive-import-10:svn54683-63.fc38.noarch        2018/3646 
  Verifying        : texlive-imtekda-10:svn17667.1.7-63.fc38.noarch   2019/3646 
  Verifying        : texlive-incgraph-10:svn60810-63.fc38.noarch      2020/3646 
  Verifying        : texlive-includernw-10:svn47557-63.fc38.noarch    2021/3646 
  Verifying        : texlive-inconsolata-10:svn54512-63.fc38.noarch   2022/3646 
  Verifying        : texlive-index-10:svn24099.4.1beta-63.fc38.noar   2023/3646 
  Verifying        : texlive-indextools-10:svn38931-63.fc38.noarch    2024/3646 
  Verifying        : texlive-infwarerr-10:svn53023-63.fc38.noarch     2025/3646 
  Verifying        : texlive-initials-10:svn54080-63.fc38.noarch      2026/3646 
  Verifying        : texlive-inkpaper-10:svn54080-63.fc38.noarch      2027/3646 
  Verifying        : texlive-inline-images-10:svn61719-63.fc38.noar   2028/3646 
  Verifying        : texlive-inlinebib-10:svn22018.0-63.fc38.noarch   2029/3646 
  Verifying        : texlive-inlinedef-10:svn15878.1.0-63.fc38.noar   2030/3646 
  Verifying        : texlive-inlinelabel-10:svn63853-63.fc38.noarch   2031/3646 
  Verifying        : texlive-inputenx-10:svn52986-63.fc38.noarch      2032/3646 
  Verifying        : texlive-inputtrc-10:svn28019.0.3-63.fc38.noarc   2033/3646 
  Verifying        : texlive-inriafonts-10:svn54512-63.fc38.noarch    2034/3646 
  Verifying        : texlive-intcalc-10:svn53168-63.fc38.noarch       2035/3646 
  Verifying        : texlive-inter-10:svn58892-63.fc38.noarch         2036/3646 
  Verifying        : texlive-interactiveworkbook-10:svn15878.0-63.f   2037/3646 
  Verifying        : texlive-interfaces-10:svn21474.3.1-63.fc38.noa   2038/3646 
  Verifying        : texlive-interval-10:svn50265-63.fc38.noarch      2039/3646 
  Verifying        : texlive-intopdf-10:svn63987-63.fc38.noarch       2040/3646 
  Verifying        : texlive-inversepath-10:svn15878.0.2-63.fc38.no   2041/3646 
  Verifying        : texlive-invoice-class-10:svn49749-63.fc38.noar   2042/3646 
  Verifying        : texlive-invoice-10:svn48359-63.fc38.noarch       2043/3646 
  Verifying        : texlive-invoice2-10:svn46364-63.fc38.noarch      2044/3646 
  Verifying        : texlive-iodhbwm-10:svn57773-63.fc38.noarch       2045/3646 
  Verifying        : texlive-ionumbers-10:svn33457.0.3.3-63.fc38.no   2046/3646 
  Verifying        : texlive-iopart-num-10:svn15878.2.1-63.fc38.noa   2047/3646 
  Verifying        : texlive-ipaex-10:svn61719-63.fc38.noarch         2048/3646 
  Verifying        : texlive-ipaex-type1-10:svn47700-63.fc38.noarch   2049/3646 
  Verifying        : texlive-is-bst-10:svn52623-63.fc38.noarch        2050/3646 
  Verifying        : texlive-iscram-10:svn45801-63.fc38.noarch        2051/3646 
  Verifying        : texlive-iso-10:svn15878.2.4-63.fc38.noarch       2052/3646 
  Verifying        : texlive-iso10303-10:svn15878.1.5-63.fc38.noarc   2053/3646 
  Verifying        : texlive-isodate-10:svn16613.2.28-63.fc38.noarc   2054/3646 
  Verifying        : texlive-isodoc-10:svn59709-63.fc38.noarch        2055/3646 
  Verifying        : texlive-isomath-10:svn27654.0.6.1-63.fc38.noar   2056/3646 
  Verifying        : texlive-isonums-10:svn17362.1.0-63.fc38.noarch   2057/3646 
  Verifying        : texlive-isopt-10:svn45509-63.fc38.noarch         2058/3646 
  Verifying        : texlive-isorot-10:svn15878.0-63.fc38.noarch      2059/3646 
  Verifying        : texlive-isotope-10:svn23711.v0.3-63.fc38.noarc   2060/3646 
  Verifying        : texlive-issuulinks-10:svn25742.1.1-63.fc38.noa   2061/3646 
  Verifying        : texlive-istgame-10:svn62946-63.fc38.noarch       2062/3646 
  Verifying        : texlive-itnumpar-10:svn15878.1.0-63.fc38.noarc   2063/3646 
  Verifying        : texlive-iwhdp-10:svn37552.0.50-63.fc38.noarch    2064/3646 
  Verifying        : texlive-iwona-10:svn19611.0.995b-63.fc38.noarc   2065/3646 
  Verifying        : texlive-jablantile-10:svn16364.0-63.fc38.noarc   2066/3646 
  Verifying        : texlive-jacow-10:svn63060-63.fc38.noarch         2067/3646 
  Verifying        : texlive-jamtimes-10:svn20408.1.12-63.fc38.noar   2068/3646 
  Verifying        : texlive-japanese-otf-10:svn64072-63.fc38.noarc   2069/3646 
  Verifying        : texlive-jbact-10:svn52717-63.fc38.noarch         2070/3646 
  Verifying        : texlive-jkmath-10:svn47109-63.fc38.noarch        2071/3646 
  Verifying        : texlive-jknapltx-10:svn19440.0-63.fc38.noarch    2072/3646 
  Verifying        : texlive-jlabels-10:svn24858.0-63.fc38.noarch     2073/3646 
  Verifying        : texlive-jmb-10:svn52718-63.fc38.noarch           2074/3646 
  Verifying        : texlive-jmlr-10:svn61957-63.fc38.noarch          2075/3646 
  Verifying        : texlive-jmsdelim-10:svn62630-63.fc38.noarch      2076/3646 
  Verifying        : texlive-jneurosci-10:svn17346.1.00-63.fc38.noa   2077/3646 
  Verifying        : texlive-jnuexam-10:svn56867-63.fc38.noarch       2078/3646 
  Verifying        : texlive-jobname-suffix-10:svn64797-63.fc38.noa   2079/3646 
  Verifying        : texlive-josefin-10:svn64569-63.fc38.noarch       2080/3646 
  Verifying        : texlive-jourcl-10:svn65290-63.fc38.noarch        2081/3646 
  Verifying        : texlive-jpsj-10:svn15878.1.2.2-63.fc38.noarch    2082/3646 
  Verifying        : texlive-jslectureplanner-10:svn57095-63.fc38.n   2083/3646 
  Verifying        : texlive-jumplines-10:svn37553.0.2-63.fc38.noar   2084/3646 
  Verifying        : texlive-junicode-10:svn61719-63.fc38.noarch      2085/3646 
  Verifying        : texlive-jupynotex-10:svn56715-63.fc38.noarch     2086/3646 
  Verifying        : texlive-jurabib-10:svn15878.0.6-63.fc38.noarch   2087/3646 
  Verifying        : texlive-jvlisting-10:svn24638.0.7-63.fc38.noar   2088/3646 
  Verifying        : texlive-kalendarium-10:svn48744-63.fc38.noarch   2089/3646 
  Verifying        : texlive-kantlipsum-10:svn51727-63.fc38.noarch    2090/3646 
  Verifying        : texlive-karnaugh-map-10:svn61614-63.fc38.noarc   2091/3646 
  Verifying        : texlive-karnaugh-10:svn21338.0-63.fc38.noarch    2092/3646 
  Verifying        : texlive-karnaughmap-10:svn36989.2.0-63.fc38.no   2093/3646 
  Verifying        : texlive-kastrup-10:svn15878.0-63.fc38.noarch     2094/3646 
  Verifying        : texlive-kblocks-10:svn57617-63.fc38.noarch       2095/3646 
  Verifying        : texlive-kdgdocs-10:svn24498.1.0-63.fc38.noarch   2096/3646 
  Verifying        : texlive-kdpcover-10:svn65150-63.fc38.noarch      2097/3646 
  Verifying        : texlive-kerntest-10:svn15878.1.32-63.fc38.noar   2098/3646 
  Verifying        : texlive-keycommand-10:svn18042.3.1415-63.fc38.   2099/3646 
  Verifying        : texlive-keyfloat-10:svn61561-63.fc38.noarch      2100/3646 
  Verifying        : texlive-keyindex-10:svn50828-63.fc38.noarch      2101/3646 
  Verifying        : texlive-keyparse-10:svn60277-63.fc38.noarch      2102/3646 
  Verifying        : texlive-keyreader-10:svn28195.0.5b-63.fc38.noa   2103/3646 
  Verifying        : texlive-keystroke-10:svn17992.v1.6-63.fc38.noa   2104/3646 
  Verifying        : texlive-keyval2e-10:svn23698.0.0.2-63.fc38.noa   2105/3646 
  Verifying        : texlive-keyvaltable-10:svn54677-63.fc38.noarch   2106/3646 
  Verifying        : texlive-kfupm-math-exam-10:svn63977-63.fc38.no   2107/3646 
  Verifying        : texlive-kinematikz-10:svn61392-63.fc38.noarch    2108/3646 
  Verifying        : texlive-kix-10:svn21606.0-63.fc38.noarch         2109/3646 
  Verifying        : texlive-kixfont-10:svn18488.0-63.fc38.noarch     2110/3646 
  Verifying        : texlive-kluwer-10:svn54074-63.fc38.noarch        2111/3646 
  Verifying        : texlive-knitting-10:svn50782-63.fc38.noarch      2112/3646 
  Verifying        : texlive-knittingpattern-10:svn17205.0-63.fc38.   2113/3646 
  Verifying        : texlive-knowledge-10:svn61991-63.fc38.noarch     2114/3646 
  Verifying        : texlive-knuth-lib-10:svn57963-63.fc38.noarch     2115/3646 
  Verifying        : texlive-knuth-local-10:svn57963-63.fc38.noarch   2116/3646 
  Verifying        : texlive-koma-moderncvclassic-10:svn25025.v0.5-   2117/3646 
  Verifying        : texlive-koma-script-sfs-10:svn26137.1.0-63.fc3   2118/3646 
  Verifying        : texlive-koma-script-10:svn64685-63.fc38.noarch   2119/3646 
  Verifying        : texlive-komacv-rg-10:svn49064-63.fc38.noarch     2120/3646 
  Verifying        : texlive-komacv-10:svn57721-63.fc38.noarch        2121/3646 
  Verifying        : texlive-kpfonts-otf-10:svn65082-63.fc38.noarch   2122/3646 
  Verifying        : texlive-kpfonts-10:svn64440-63.fc38.noarch       2123/3646 
  Verifying        : texlive-ksfh_nat-10:svn24825.1.1-63.fc38.noarc   2124/3646 
  Verifying        : texlive-ksp-thesis-10:svn39080-63.fc38.noarch    2125/3646 
  Verifying        : texlive-ktv-texdata-10:svn27369.05.34-63.fc38.   2126/3646 
  Verifying        : texlive-ku-template-10:svn45935-63.fc38.noarch   2127/3646 
  Verifying        : texlive-kurier-10:svn19612.0.995b-63.fc38.noar   2128/3646 
  Verifying        : texlive-kvdefinekeys-10:svn53193-63.fc38.noarc   2129/3646 
  Verifying        : texlive-kvmap-10:svn56361-63.fc38.noarch         2130/3646 
  Verifying        : texlive-kvoptions-10:svn63622-63.fc38.noarch     2131/3646 
  Verifying        : texlive-kvsetkeys-10:svn64632-63.fc38.noarch     2132/3646 
  Verifying        : texlive-l3backend-10:svn64836-63.fc38.noarch     2133/3646 
  Verifying        : texlive-l3experimental-10:svn63089-63.fc38.noa   2134/3646 
  Verifying        : texlive-l3kernel-10:svn65299-63.fc38.noarch      2135/3646 
  Verifying        : texlive-l3packages-10:svn65300-63.fc38.noarch    2136/3646 
  Verifying        : texlive-labbook-10:svn15878.0-63.fc38.noarch     2137/3646 
  Verifying        : texlive-labels-10:svn15878.13-63.fc38.noarch     2138/3646 
  Verifying        : texlive-labels4easylist-10:svn51124-63.fc38.no   2139/3646 
  Verifying        : texlive-labelschanged-10:svn46040-63.fc38.noar   2140/3646 
  Verifying        : texlive-ladder-10:svn44394-63.fc38.noarch        2141/3646 
  Verifying        : texlive-lambda-lists-10:svn31402.0-63.fc38.noa   2142/3646 
  Verifying        : texlive-lambdax-10:svn60278-63.fc38.noarch       2143/3646 
  Verifying        : texlive-langcode-10:svn27764.0.2-63.fc38.noarc   2144/3646 
  Verifying        : texlive-langsci-avm-10:svn55846-63.fc38.noarch   2145/3646 
  Verifying        : texlive-langsci-10:svn62061-63.fc38.noarch       2146/3646 
  Verifying        : texlive-lapdf-10:svn23806.1.1-63.fc38.noarch     2147/3646 
  Verifying        : texlive-lastpackage-10:svn34481.0.1-63.fc38.no   2148/3646 
  Verifying        : texlive-lastpage-10:svn60414-63.fc38.noarch      2149/3646 
  Verifying        : texlive-latex-base-dev-10:svn65180-63.fc38.noa   2150/3646 
  Verifying        : texlive-latex-firstaid-dev-10:svn65181-63.fc38   2151/3646 
  Verifying        : texlive-latex-fonts-10:svn28888.0-63.fc38.noar   2152/3646 
  Verifying        : texlive-latex-lab-10:svn64892-63.fc38.noarch     2153/3646 
  Verifying        : texlive-latex-make-10:svn60874-63.fc38.noarch    2154/3646 
  Verifying        : texlive-latex-uni8-10:svn49729-63.fc38.noarch    2155/3646 
  Verifying        : texlive-latexbug-10:svn63596-63.fc38.noarch      2156/3646 
  Verifying        : texlive-latexcolors-10:svn49888-63.fc38.noarch   2157/3646 
  Verifying        : texlive-latexconfig-10:svn53525-63.fc38.noarch   2158/3646 
  Verifying        : texlive-latexdemo-10:svn55265-63.fc38.noarch     2159/3646 
  Verifying        : texlive-latexgit-10:svn54811-63.fc38.noarch      2160/3646 
  Verifying        : texlive-lato-10:svn54512-63.fc38.noarch          2161/3646 
  Verifying        : texlive-layouts-10:svn42428-63.fc38.noarch       2162/3646 
  Verifying        : texlive-lazylist-10:svn17691.1.0a-63.fc38.noar   2163/3646 
  Verifying        : texlive-lccaps-10:svn46432-63.fc38.noarch        2164/3646 
  Verifying        : texlive-lcd-10:svn16549.0.3-63.fc38.noarch       2165/3646 
  Verifying        : texlive-lcg-10:svn31474.1.3-63.fc38.noarch       2166/3646 
  Verifying        : texlive-leading-10:svn15878.0.3-63.fc38.noarch   2167/3646 
  Verifying        : texlive-leaflet-10:svn56878-63.fc38.noarch       2168/3646 
  Verifying        : texlive-lebhart-10:svn64280-63.fc38.noarch       2169/3646 
  Verifying        : texlive-lectures-10:svn53642-63.fc38.noarch      2170/3646 
  Verifying        : texlive-lectureslides-10:svn62292-63.fc38.noar   2171/3646 
  Verifying        : texlive-leftidx-10:svn15878.0-63.fc38.noarch     2172/3646 
  Verifying        : texlive-leftindex-10:svn56182-63.fc38.noarch     2173/3646 
  Verifying        : texlive-leipzig-10:svn52450-63.fc38.noarch       2174/3646 
  Verifying        : texlive-lengthconvert-10:svn55064-63.fc38.noar   2175/3646 
  Verifying        : texlive-letltxmacro-10:svn53022-63.fc38.noarch   2176/3646 
  Verifying        : texlive-letterswitharrows-10:svn59993-63.fc38.   2177/3646 
  Verifying        : texlive-lettre-10:svn54722-63.fc38.noarch        2178/3646 
  Verifying        : texlive-lettrine-10:svn64511-63.fc38.noarch      2179/3646 
  Verifying        : texlive-lewis-10:svn15878.0.1-63.fc38.noarch     2180/3646 
  Verifying        : texlive-lexend-10:svn57564-63.fc38.noarch        2181/3646 
  Verifying        : texlive-lfb-10:svn15878.1.0-63.fc38.noarch       2182/3646 
  Verifying        : texlive-lhelp-10:svn23638.2.0-63.fc38.noarch     2183/3646 
  Verifying        : texlive-libertine-10:svn64359-63.fc38.noarch     2184/3646 
  Verifying        : texlive-libertinegc-10:svn44616-63.fc38.noarch   2185/3646 
  Verifying        : texlive-libertinus-fonts-10:svn57948-63.fc38.n   2186/3646 
  Verifying        : texlive-libertinus-otf-10:svn60023-63.fc38.noa   2187/3646 
  Verifying        : texlive-libertinus-10:svn61719-63.fc38.noarch    2188/3646 
  Verifying        : texlive-libertinus-type1-10:svn64958-63.fc38.n   2189/3646 
  Verifying        : texlive-libertinust1math-10:svn61751-63.fc38.n   2190/3646 
  Verifying        : texlive-libgreek-10:svn65004-63.fc38.noarch      2191/3646 
  Verifying        : texlive-librebaskerville-10:svn64421-63.fc38.n   2192/3646 
  Verifying        : texlive-librebodoni-10:svn64431-63.fc38.noarch   2193/3646 
  Verifying        : texlive-librecaslon-10:svn64432-63.fc38.noarch   2194/3646 
  Verifying        : texlive-librefranklin-10:svn64441-63.fc38.noar   2195/3646 
  Verifying        : texlive-libris-10:svn19409.1.007-63.fc38.noarc   2196/3646 
  Verifying        : texlive-lie-hasse-10:svn61719-63.fc38.noarch     2197/3646 
  Verifying        : texlive-liftarm-10:svn62981-63.fc38.noarch       2198/3646 
  Verifying        : texlive-limap-10:svn44863-63.fc38.noarch         2199/3646 
  Verifying        : texlive-limecv-10:svn61199-63.fc38.noarch        2200/3646 
  Verifying        : texlive-lineara-10:svn63169-63.fc38.noarch       2201/3646 
  Verifying        : texlive-linegoal-10:svn21523.2.9-63.fc38.noarc   2202/3646 
  Verifying        : texlive-lineno-10:svn65204-63.fc38.noarch        2203/3646 
  Verifying        : texlive-linguex-10:svn30815.4.3-63.fc38.noarch   2204/3646 
  Verifying        : texlive-linguisticspro-10:svn64858-63.fc38.noa   2205/3646 
  Verifying        : texlive-linop-10:svn41304-63.fc38.noarch         2206/3646 
  Verifying        : texlive-lion-msc-10:svn55415-63.fc38.noarch      2207/3646 
  Verifying        : texlive-lipsum-10:svn60561-63.fc38.noarch        2208/3646 
  Verifying        : texlive-lisp-on-tex-10:svn38722-63.fc38.noarch   2209/3646 
  Verifying        : texlive-listing-10:svn17373.1.2-63.fc38.noarch   2210/3646 
  Verifying        : texlive-listings-10:svn55265-63.fc38.noarch      2211/3646 
  Verifying        : texlive-listingsutf8-10:svn53097-63.fc38.noarc   2212/3646 
  Verifying        : texlive-listlbls-10:svn34893.1.03-63.fc38.noar   2213/3646 
  Verifying        : texlive-listliketab-10:svn15878.0-63.fc38.noar   2214/3646 
  Verifying        : texlive-listofitems-10:svn51923-63.fc38.noarch   2215/3646 
  Verifying        : texlive-listofsymbols-10:svn16134.0.2-63.fc38.   2216/3646 
  Verifying        : texlive-lkproof-10:svn20021.3.1-63.fc38.noarch   2217/3646 
  Verifying        : texlive-llncs-10:svn64299-63.fc38.noarch         2218/3646 
  Verifying        : texlive-llncsconf-10:svn63136-63.fc38.noarch     2219/3646 
  Verifying        : texlive-lm-math-10:svn36915.1.959-63.fc38.noar   2220/3646 
  Verifying        : texlive-lm-10:svn61719-63.fc38.noarch            2221/3646 
  Verifying        : texlive-lmake-10:svn25552.1.0-63.fc38.noarch     2222/3646 
  Verifying        : texlive-lni-10:svn58061-63.fc38.noarch           2223/3646 
  Verifying        : texlive-lobster2-10:svn64442-63.fc38.noarch      2224/3646 
  Verifying        : texlive-locality-10:svn20422.0.2-63.fc38.noarc   2225/3646 
  Verifying        : texlive-logbox-10:svn24499.1.0-63.fc38.noarch    2226/3646 
  Verifying        : texlive-logical-markup-utils-10:svn15878.0-63.   2227/3646 
  Verifying        : texlive-logicproof-10:svn33254.0-63.fc38.noarc   2228/3646 
  Verifying        : texlive-logix-10:svn63688-63.fc38.noarch         2229/3646 
  Verifying        : texlive-logpap-10:svn15878.0.6-63.fc38.noarch    2230/3646 
  Verifying        : texlive-logreq-10:svn53003-63.fc38.noarch        2231/3646 
  Verifying        : texlive-longdivision-10:svn59979-63.fc38.noarc   2232/3646 
  Verifying        : texlive-longfbox-10:svn39028-63.fc38.noarch      2233/3646 
  Verifying        : texlive-longfigure-10:svn34302.1.0-63.fc38.noa   2234/3646 
  Verifying        : texlive-longnamefilelist-10:svn27889.0.2-63.fc   2235/3646 
  Verifying        : texlive-loops-10:svn30704.1.3-63.fc38.noarch     2236/3646 
  Verifying        : texlive-lpform-10:svn36918.0-63.fc38.noarch      2237/3646 
  Verifying        : texlive-lpic-10:svn20843.0.8-63.fc38.noarch      2238/3646 
  Verifying        : texlive-lplfitch-10:svn31077.0.9-63.fc38.noarc   2239/3646 
  Verifying        : texlive-lps-10:svn21322.0.7-63.fc38.noarch       2240/3646 
  Verifying        : texlive-lroundrect-10:svn39804-63.fc38.noarch    2241/3646 
  Verifying        : texlive-lsc-10:svn15878.0-63.fc38.noarch         2242/3646 
  Verifying        : texlive-lstaddons-10:svn64967-63.fc38.noarch     2243/3646 
  Verifying        : texlive-lstbayes-10:svn48160-63.fc38.noarch      2244/3646 
  Verifying        : texlive-lstfiracode-10:svn49503-63.fc38.noarch   2245/3646 
  Verifying        : texlive-lt3graph-10:svn45913-63.fc38.noarch      2246/3646 
  Verifying        : texlive-lt3rawobjects-10:svn65230-63.fc38.noar   2247/3646 
  Verifying        : texlive-ltablex-10:svn34923.1.1-63.fc38.noarch   2248/3646 
  Verifying        : texlive-ltabptch-10:svn17533.1.74d-63.fc38.noa   2249/3646 
  Verifying        : texlive-ltb2bib-10:svn43746-63.fc38.noarch       2250/3646 
  Verifying        : texlive-ltxcmds-10:svn56421-63.fc38.noarch       2251/3646 
  Verifying        : texlive-ltxdockit-10:svn21869.1.2d-63.fc38.noa   2252/3646 
  Verifying        : texlive-ltxguidex-10:svn50992-63.fc38.noarch     2253/3646 
  Verifying        : texlive-ltxkeys-10:svn28332.0.0.3c-63.fc38.noa   2254/3646 
  Verifying        : texlive-ltxmisc-10:svn21927.0-63.fc38.noarch     2255/3646 
  Verifying        : texlive-ltxnew-10:svn21586.1.3-63.fc38.noarch    2256/3646 
  Verifying        : texlive-ltxtools-10:svn24897.0.0.1a-63.fc38.no   2257/3646 
  Verifying        : texlive-lua-alt-getopt-10:svn56414-63.fc38.noa   2258/3646 
  Verifying        : texlive-lua-check-hyphen-10:svn47527-63.fc38.n   2259/3646 
  Verifying        : texlive-lua-physical-10:svn59138-63.fc38.noarc   2260/3646 
  Verifying        : texlive-lua-uca-10:svn61023-63.fc38.noarch       2261/3646 
  Verifying        : texlive-lua-uni-algos-10:svn62204-63.fc38.noar   2262/3646 
  Verifying        : texlive-lua-widow-control-10:svn65084-63.fc38.   2263/3646 
  Verifying        : texlive-luabibentry-10:svn55777-63.fc38.noarch   2264/3646 
  Verifying        : texlive-luabidi-10:svn54512-63.fc38.noarch       2265/3646 
  Verifying        : texlive-luacode-10:svn25193.1.2a-63.fc38.noarc   2266/3646 
  Verifying        : texlive-luacolor-10:svn57829-63.fc38.noarch      2267/3646 
  Verifying        : texlive-luainputenc-10:svn20491.0.973-63.fc38.   2268/3646 
  Verifying        : texlive-lualatex-math-10:svn61464-63.fc38.noar   2269/3646 
  Verifying        : texlive-lualibs-10:svn64615-63.fc38.noarch       2270/3646 
  Verifying        : texlive-luamesh-10:svn63875-63.fc38.noarch       2271/3646 
  Verifying        : texlive-luamplib-10:svn61587-63.fc38.noarch      2272/3646 
  Verifying        : texlive-luasseq-10:svn37877.0-63.fc38.noarch     2273/3646 
  Verifying        : texlive-luatexbase-10:svn52663-63.fc38.noarch    2274/3646 
  Verifying        : texlive-luatexja-10:svn65267-63.fc38.noarch      2275/3646 
  Verifying        : texlive-luatextra-10:svn20747.1.0.1-63.fc38.no   2276/3646 
  Verifying        : texlive-luatodonotes-10:svn53825-63.fc38.noarc   2277/3646 
  Verifying        : texlive-luaxml-10:svn60709-63.fc38.noarch        2278/3646 
  Verifying        : texlive-lxfonts-10:svn32354.2.0b-63.fc38.noarc   2279/3646 
  Verifying        : texlive-ly1-10:svn63565-63.fc38.noarch           2280/3646 
  Verifying        : texlive-macrolist-10:svn60139-63.fc38.noarch     2281/3646 
  Verifying        : texlive-macroswap-10:svn31498.1.1-63.fc38.noar   2282/3646 
  Verifying        : texlive-magaz-10:svn24694.0.4-63.fc38.noarch     2283/3646 
  Verifying        : texlive-magicnum-10:svn52983-63.fc38.noarch      2284/3646 
  Verifying        : texlive-magicwatermark-10:svn63656-63.fc38.noa   2285/3646 
  Verifying        : texlive-magra-10:svn57373-63.fc38.noarch         2286/3646 
  Verifying        : texlive-mailing-10:svn15878.0-63.fc38.noarch     2287/3646 
  Verifying        : texlive-mailmerge-10:svn15878.1.0-63.fc38.noar   2288/3646 
  Verifying        : texlive-makebarcode-10:svn15878.1.0-63.fc38.no   2289/3646 
  Verifying        : texlive-makebase-10:svn41012-63.fc38.noarch      2290/3646 
  Verifying        : texlive-makebox-10:svn15878.0.1-63.fc38.noarch   2291/3646 
  Verifying        : texlive-makecell-10:svn15878.0.1e-63.fc38.noar   2292/3646 
  Verifying        : texlive-makecirc-10:svn15878.0-63.fc38.noarch    2293/3646 
  Verifying        : texlive-makecmds-10:svn15878.0-63.fc38.noarch    2294/3646 
  Verifying        : texlive-makecookbook-10:svn49311-63.fc38.noarc   2295/3646 
  Verifying        : texlive-makeglos-10:svn15878.0-63.fc38.noarch    2296/3646 
  Verifying        : texlive-makelabels-10:svn60255-63.fc38.noarch    2297/3646 
  Verifying        : texlive-maker-10:svn44823-63.fc38.noarch         2298/3646 
  Verifying        : texlive-makerobust-10:svn52811-63.fc38.noarch    2299/3646 
  Verifying        : texlive-makeshape-10:svn28973.2.1-63.fc38.noar   2300/3646 
  Verifying        : texlive-mandi-10:svn61764-63.fc38.noarch         2301/3646 
  Verifying        : texlive-manfnt-font-10:svn45777-63.fc38.noarch   2302/3646 
  Verifying        : texlive-manfnt-10:svn54684-63.fc38.noarch        2303/3646 
  Verifying        : texlive-manuscript-10:svn36110.1.7-63.fc38.noa   2304/3646 
  Verifying        : texlive-manyind-10:svn49874-63.fc38.noarch       2305/3646 
  Verifying        : texlive-marcellus-10:svn64451-63.fc38.noarch     2306/3646 
  Verifying        : texlive-margbib-10:svn15878.1.0c-63.fc38.noarc   2307/3646 
  Verifying        : texlive-marginfit-10:svn48281-63.fc38.noarch     2308/3646 
  Verifying        : texlive-marginfix-10:svn55064-63.fc38.noarch     2309/3646 
  Verifying        : texlive-marginnote-10:svn48383-63.fc38.noarch    2310/3646 
  Verifying        : texlive-markdown-10:svn65346-63.fc38.noarch      2311/3646 
  Verifying        : texlive-marvosym-10:svn29349.2.2a-63.fc38.noar   2312/3646 
  Verifying        : texlive-matc3-10:svn29845.1.0.1-63.fc38.noarch   2313/3646 
  Verifying        : texlive-matc3mem-10:svn35773.1.1-63.fc38.noarc   2314/3646 
  Verifying        : texlive-mathabx-10:svn15878.0-63.fc38.noarch     2315/3646 
  Verifying        : texlive-mathabx-type1-10:svn21129.0-63.fc38.no   2316/3646 
  Verifying        : texlive-mathalpha-10:svn61089-63.fc38.noarch     2317/3646 
  Verifying        : texlive-mathastext-10:svn64930-63.fc38.noarch    2318/3646 
  Verifying        : texlive-mathcommand-10:svn59512-63.fc38.noarch   2319/3646 
  Verifying        : texlive-mathcomp-10:svn15878.0.1f-63.fc38.noar   2320/3646 
  Verifying        : texlive-mathdesign-10:svn31639.2.31-63.fc38.no   2321/3646 
  Verifying        : texlive-mathexam-10:svn15878.1.00-63.fc38.noar   2322/3646 
  Verifying        : texlive-mathfam256-10:svn53519-63.fc38.noarch    2323/3646 
  Verifying        : texlive-mathfixs-10:svn49547-63.fc38.noarch      2324/3646 
  Verifying        : texlive-mathfont-10:svn65205-63.fc38.noarch      2325/3646 
  Verifying        : texlive-mathlig-10:svn54244-63.fc38.noarch       2326/3646 
  Verifying        : texlive-mathpartir-10:svn39864-63.fc38.noarch    2327/3646 
  Verifying        : texlive-mathpazo-10:svn52663-63.fc38.noarch      2328/3646 
  Verifying        : texlive-mathpunctspace-10:svn46754-63.fc38.noa   2329/3646 
  Verifying        : texlive-mathsemantics-10:svn63241-63.fc38.noar   2330/3646 
  Verifying        : texlive-mathspec-10:svn42773-63.fc38.noarch      2331/3646 
  Verifying        : texlive-mathtools-10:svn63767-63.fc38.noarch     2332/3646 
  Verifying        : texlive-matlab-prettifier-10:svn34323.0.3-63.f   2333/3646 
  Verifying        : texlive-matrix-skeleton-10:svn65013-63.fc38.no   2334/3646 
  Verifying        : texlive-mattens-10:svn62326-63.fc38.noarch       2335/3646 
  Verifying        : texlive-maybemath-10:svn15878.0-63.fc38.noarch   2336/3646 
  Verifying        : texlive-mcaption-10:svn15878.3.0-63.fc38.noarc   2337/3646 
  Verifying        : texlive-mceinleger-10:svn15878.0-63.fc38.noarc   2338/3646 
  Verifying        : texlive-mcexam-10:svn60481-63.fc38.noarch        2339/3646 
  Verifying        : texlive-mcite-10:svn18173.1.6-63.fc38.noarch     2340/3646 
  Verifying        : texlive-mciteplus-10:svn31648.1.2-63.fc38.noar   2341/3646 
  Verifying        : texlive-mcmthesis-10:svn57333-63.fc38.noarch     2342/3646 
  Verifying        : texlive-mdframed-10:svn31075.1.9b-63.fc38.noar   2343/3646 
  Verifying        : texlive-mdputu-10:svn20298.1.2-63.fc38.noarch    2344/3646 
  Verifying        : texlive-mdsymbol-10:svn28399.0.5-63.fc38.noarc   2345/3646 
  Verifying        : texlive-mdwtools-10:svn15878.1.05.4-63.fc38.no   2346/3646 
  Verifying        : texlive-mecaso-10:svn60346-63.fc38.noarch        2347/3646 
  Verifying        : texlive-media4svg-10:svn64686-63.fc38.noarch     2348/3646 
  Verifying        : texlive-media9-10:svn64047-63.fc38.noarch        2349/3646 
  Verifying        : texlive-medstarbeamer-10:svn38828-63.fc38.noar   2350/3646 
  Verifying        : texlive-meetingmins-10:svn31878.1.6-63.fc38.no   2351/3646 
  Verifying        : texlive-membranecomputing-10:svn64627-63.fc38.   2352/3646 
  Verifying        : texlive-memexsupp-10:svn15878.0.1-63.fc38.noar   2353/3646 
  Verifying        : texlive-memoir-10:svn65040-63.fc38.noarch        2354/3646 
  Verifying        : texlive-memory-10:svn30452.1.2-63.fc38.noarch    2355/3646 
  Verifying        : texlive-memorygraphs-10:svn49631-63.fc38.noarc   2356/3646 
  Verifying        : texlive-mensa-tex-10:svn45997-63.fc38.noarch     2357/3646 
  Verifying        : texlive-mentis-10:svn15878.1.5-63.fc38.noarch    2358/3646 
  Verifying        : texlive-menu-10:svn15878.0.994-63.fc38.noarch    2359/3646 
  Verifying        : texlive-menucard-10:svn55643-63.fc38.noarch      2360/3646 
  Verifying        : texlive-menukeys-10:svn64314-63.fc38.noarch      2361/3646 
  Verifying        : texlive-mercatormap-10:svn56060-63.fc38.noarch   2362/3646 
  Verifying        : texlive-merriweather-10:svn64452-63.fc38.noarc   2363/3646 
  Verifying        : texlive-messagepassing-10:svn63116-63.fc38.noa   2364/3646 
  Verifying        : texlive-metalogo-10:svn18611.0.12-63.fc38.noar   2365/3646 
  Verifying        : texlive-metalogox-10:svn49774-63.fc38.noarch     2366/3646 
  Verifying        : texlive-metanorma-10:svn55010-63.fc38.noarch     2367/3646 
  Verifying        : texlive-metastr-10:svn56246-63.fc38.noarch       2368/3646 
  Verifying        : texlive-method-10:svn17485.2.0b-63.fc38.noarch   2369/3646 
  Verifying        : texlive-metre-10:svn18489.1.0-63.fc38.noarch     2370/3646 
  Verifying        : texlive-mfirstuc-10:svn64743-63.fc38.noarch      2371/3646 
  Verifying        : texlive-mflogo-font-10:svn54512-63.fc38.noarch   2372/3646 
  Verifying        : texlive-mflogo-10:svn42428-63.fc38.noarch        2373/3646 
  Verifying        : texlive-mfnfss-10:svn46036-63.fc38.noarch        2374/3646 
  Verifying        : texlive-mftinc-10:svn15878.1.0a-63.fc38.noarch   2375/3646 
  Verifying        : texlive-mgltex-10:svn63255-63.fc38.noarch        2376/3646 
  Verifying        : texlive-mhchem-10:svn61456-63.fc38.noarch        2377/3646 
  Verifying        : texlive-mhequ-10:svn64978-63.fc38.noarch         2378/3646 
  Verifying        : texlive-mi-solns-10:svn49651-63.fc38.noarch      2379/3646 
  Verifying        : texlive-miama-10:svn54512-63.fc38.noarch         2380/3646 
  Verifying        : texlive-microtype-10:svn63708-63.fc38.noarch     2381/3646 
  Verifying        : texlive-midpage-10:svn17484.1.1a-63.fc38.noarc   2382/3646 
  Verifying        : texlive-miller-10:svn18789.1.2-63.fc38.noarch    2383/3646 
  Verifying        : texlive-milsymb-10:svn54361-63.fc38.noarch       2384/3646 
  Verifying        : texlive-mindflow-10:svn65236-63.fc38.noarch      2385/3646 
  Verifying        : texlive-minibox-10:svn30914.0.2a-63.fc38.noarc   2386/3646 
  Verifying        : texlive-minidocument-10:svn43752-63.fc38.noarc   2387/3646 
  Verifying        : texlive-minifp-10:svn32559.0.96-63.fc38.noarch   2388/3646 
  Verifying        : texlive-minimalist-10:svn64280-63.fc38.noarch    2389/3646 
  Verifying        : texlive-minipage-marginpar-10:svn15878.v0.2-63   2390/3646 
  Verifying        : texlive-miniplot-10:svn17483.0-63.fc38.noarch    2391/3646 
  Verifying        : texlive-minitoc-10:svn61719-63.fc38.noarch       2392/3646 
  Verifying        : texlive-minorrevision-10:svn32165.1.1-63.fc38.   2393/3646 
  Verifying        : texlive-minted-10:svn65252-63.fc38.noarch        2394/3646 
  Verifying        : texlive-mintspirit-10:svn64461-63.fc38.noarch    2395/3646 
  Verifying        : texlive-minutes-10:svn42186-63.fc38.noarch       2396/3646 
  Verifying        : texlive-mismath-10:svn65365-63.fc38.noarch       2397/3646 
  Verifying        : texlive-missaali-10:svn61719-63.fc38.noarch      2398/3646 
  Verifying        : texlive-mla-paper-10:svn54080-63.fc38.noarch     2399/3646 
  Verifying        : texlive-mlacls-10:svn60508-63.fc38.noarch        2400/3646 
  Verifying        : texlive-mleftright-10:svn53021-63.fc38.noarch    2401/3646 
  Verifying        : texlive-mlist-10:svn15878.0.6a-63.fc38.noarch    2402/3646 
  Verifying        : texlive-mlmodern-10:svn57458-63.fc38.noarch      2403/3646 
  Verifying        : texlive-mluexercise-10:svn56927-63.fc38.noarch   2404/3646 
  Verifying        : texlive-mmap-10:svn15878.1.03-63.fc38.noarch     2405/3646 
  Verifying        : texlive-mnotes-10:svn63406-63.fc38.noarch        2406/3646 
  Verifying        : texlive-mnras-10:svn55729-63.fc38.noarch         2407/3646 
  Verifying        : texlive-mnsymbol-10:svn18651.1.4-63.fc38.noarc   2408/3646 
  Verifying        : texlive-modeles-factures-belges-assocs-10:svn5   2409/3646 
  Verifying        : texlive-moderncv-10:svn62128-63.fc38.noarch      2410/3646 
  Verifying        : texlive-modernposter-10:svn47269-63.fc38.noarc   2411/3646 
  Verifying        : texlive-moderntimeline-10:svn55518-63.fc38.noa   2412/3646 
  Verifying        : texlive-modes-10:svn61719-63.fc38.noarch         2413/3646 
  Verifying        : texlive-modiagram-10:svn56886-63.fc38.noarch     2414/3646 
  Verifying        : texlive-modref-10:svn15878.1.0-63.fc38.noarch    2415/3646 
  Verifying        : texlive-modroman-10:svn29803.1-63.fc38.noarch    2416/3646 
  Verifying        : texlive-modular-10:svn44142-63.fc38.noarch       2417/3646 
  Verifying        : texlive-monofill-10:svn28140.0.2-63.fc38.noarc   2418/3646 
  Verifying        : texlive-montserrat-10:svn54512-63.fc38.noarch    2419/3646 
  Verifying        : texlive-moodle-10:svn57683-63.fc38.noarch        2420/3646 
  Verifying        : texlive-moreenum-10:svn24479.1.03-63.fc38.noar   2421/3646 
  Verifying        : texlive-morefloats-10:svn37927.1.0h-63.fc38.no   2422/3646 
  Verifying        : texlive-morehype-10:svn38815-63.fc38.noarch      2423/3646 
  Verifying        : texlive-moresize-10:svn17513.1.9-63.fc38.noarc   2424/3646 
  Verifying        : texlive-moreverb-10:svn22126.2.3a-63.fc38.noar   2425/3646 
  Verifying        : texlive-morewrites-10:svn49531-63.fc38.noarch    2426/3646 
  Verifying        : texlive-movie15-10:svn26473-63.fc38.noarch       2427/3646 
  Verifying        : texlive-mparhack-10:svn59066-63.fc38.noarch      2428/3646 
  Verifying        : texlive-mpfonts-10:svn54512-63.fc38.noarch       2429/3646 
  Verifying        : texlive-mpostinl-10:svn49559-63.fc38.noarch      2430/3646 
  Verifying        : texlive-ms-10:svn57473-63.fc38.noarch            2431/3646 
  Verifying        : texlive-msc-10:svn63291-63.fc38.noarch           2432/3646 
  Verifying        : texlive-msg-10:svn49578-63.fc38.noarch           2433/3646 
  Verifying        : texlive-mslapa-10:svn54080-63.fc38.noarch        2434/3646 
  Verifying        : texlive-msu-thesis-10:svn65282-63.fc38.noarch    2435/3646 
  Verifying        : texlive-mtgreek-10:svn17967.1.1+-63.fc38.noarc   2436/3646 
  Verifying        : texlive-mucproc-10:svn43445-63.fc38.noarch       2437/3646 
  Verifying        : texlive-mugsthesis-10:svn64259-63.fc38.noarch    2438/3646 
  Verifying        : texlive-muling-10:svn61719-63.fc38.noarch        2439/3646 
  Verifying        : texlive-multenum-10:svn21775.0-63.fc38.noarch    2440/3646 
  Verifying        : texlive-multiaudience-10:svn60688-63.fc38.noar   2441/3646 
  Verifying        : texlive-multibbl-10:svn15878.v1.1-63.fc38.noar   2442/3646 
  Verifying        : texlive-multibib-10:svn15878.1.4-63.fc38.noarc   2443/3646 
  Verifying        : texlive-multicap-10:svn15878.0-63.fc38.noarch    2444/3646 
  Verifying        : texlive-multicolrule-10:svn56366-63.fc38.noarc   2445/3646 
  Verifying        : texlive-multidef-10:svn40637-63.fc38.noarch      2446/3646 
  Verifying        : texlive-multido-10:svn18302.1.42-63.fc38.noarc   2447/3646 
  Verifying        : texlive-multienv-10:svn64967-63.fc38.noarch      2448/3646 
  Verifying        : texlive-multiexpand-10:svn45943-63.fc38.noarch   2449/3646 
  Verifying        : texlive-multifootnote-10:svn63456-63.fc38.noar   2450/3646 
  Verifying        : texlive-multilang-10:svn49065-63.fc38.noarch     2451/3646 
  Verifying        : texlive-multiobjective-10:svn15878.1.0-63.fc38   2452/3646 
  Verifying        : texlive-multiple-choice-10:svn63722-63.fc38.no   2453/3646 
  Verifying        : texlive-multirow-10:svn58396-63.fc38.noarch      2454/3646 
  Verifying        : texlive-munich-10:svn15878.0-63.fc38.noarch      2455/3646 
  Verifying        : texlive-musuos-10:svn24857.1.1d-63.fc38.noarch   2456/3646 
  Verifying        : texlive-muthesis-10:svn23861.0-63.fc38.noarch    2457/3646 
  Verifying        : texlive-mversion-10:svn29370.1.0.1-63.fc38.noa   2458/3646 
  Verifying        : texlive-mwe-10:svn64967-63.fc38.noarch           2459/3646 
  Verifying        : texlive-mweights-10:svn53520-63.fc38.noarch      2460/3646 
  Verifying        : texlive-mycv-10:svn26807.1.5.6-63.fc38.noarch    2461/3646 
  Verifying        : texlive-mylatex-10:svn56751-63.fc38.noarch       2462/3646 
  Verifying        : texlive-mylatexformat-10:svn21392.3.4-63.fc38.   2463/3646 
  Verifying        : texlive-mynsfc-10:svn60280-63.fc38.noarch        2464/3646 
  Verifying        : texlive-nag-10:svn24741.0.7-63.fc38.noarch       2465/3646 
  Verifying        : texlive-nameauth-10:svn58026-63.fc38.noarch      2466/3646 
  Verifying        : texlive-namedtensor-10:svn65346-63.fc38.noarch   2467/3646 
  Verifying        : texlive-namespc-10:svn15878.0-63.fc38.noarch     2468/3646 
  Verifying        : texlive-nar-10:svn38100.3.19-63.fc38.noarch      2469/3646 
  Verifying        : texlive-natbib-10:svn20668.8.31b-63.fc38.noarc   2470/3646 
  Verifying        : texlive-natded-10:svn32693.0.1-63.fc38.noarch    2471/3646 
  Verifying        : texlive-nath-10:svn15878.0-63.fc38.noarch        2472/3646 
  Verifying        : texlive-nature-10:svn21819.1.0-63.fc38.noarch    2473/3646 
  Verifying        : texlive-navydocs-10:svn41643-63.fc38.noarch      2474/3646 
  Verifying        : texlive-ncclatex-10:svn15878.1.5-63.fc38.noarc   2475/3646 
  Verifying        : texlive-ncctools-10:svn51810-63.fc38.noarch      2476/3646 
  Verifying        : texlive-nchairx-10:svn60196-63.fc38.noarch       2477/3646 
  Verifying        : texlive-ncntrsbk-10:svn61719-63.fc38.noarch      2478/3646 
  Verifying        : texlive-nddiss-10:svn45107-63.fc38.noarch        2479/3646 
  Verifying        : texlive-ndsu-thesis-2022-10:svn63881-63.fc38.n   2480/3646 
  Verifying        : texlive-ndsu-thesis-10:svn46639-63.fc38.noarch   2481/3646 
  Verifying        : texlive-needspace-10:svn29601.1.3d-63.fc38.noa   2482/3646 
  Verifying        : texlive-nestquot-10:svn27323.0-63.fc38.noarch    2483/3646 
  Verifying        : texlive-neuralnetwork-10:svn31500.1.0-63.fc38.   2484/3646 
  Verifying        : texlive-newcastle-bst-10:svn62856-63.fc38.noar   2485/3646 
  Verifying        : texlive-newcommand-doc-10:svn18704.2.0-63.fc38   2486/3646 
  Verifying        : texlive-newcomputermodern-10:svn61310-63.fc38.   2487/3646 
  Verifying        : texlive-newenviron-10:svn29331.1.0-63.fc38.noa   2488/3646 
  Verifying        : texlive-newfile-10:svn15878.1.0c-63.fc38.noarc   2489/3646 
  Verifying        : texlive-newfloat-10:svn52906-63.fc38.noarch      2490/3646 
  Verifying        : texlive-newlfm-10:svn15878.9.4-63.fc38.noarch    2491/3646 
  Verifying        : texlive-newpx-10:svn61806-63.fc38.noarch         2492/3646 
  Verifying        : texlive-newspaper-10:svn15878.1.0-63.fc38.noar   2493/3646 
  Verifying        : texlive-newtx-10:svn62369-63.fc38.noarch         2494/3646 
  Verifying        : texlive-newtxsf-10:svn59227-63.fc38.noarch       2495/3646 
  Verifying        : texlive-newtxtt-10:svn54512-63.fc38.noarch       2496/3646 
  Verifying        : texlive-newunicodechar-10:svn47382-63.fc38.noa   2497/3646 
  Verifying        : texlive-newvbtm-10:svn23996.1.1-63.fc38.noarch   2498/3646 
  Verifying        : texlive-newverbs-10:svn64833-63.fc38.noarch      2499/3646 
  Verifying        : texlive-nextpage-10:svn15878.1.1a-63.fc38.noar   2500/3646 
  Verifying        : texlive-nfssext-cfr-10:svn43640-63.fc38.noarch   2501/3646 
  Verifying        : texlive-nicefilelist-10:svn65235-63.fc38.noarc   2502/3646 
  Verifying        : texlive-niceframe-10:svn36086.1.1c-63.fc38.noa   2503/3646 
  Verifying        : texlive-niceframe-type1-10:svn44671-63.fc38.no   2504/3646 
  Verifying        : texlive-nicematrix-10:svn65253-63.fc38.noarch    2505/3646 
  Verifying        : texlive-nicetext-10:svn38914-63.fc38.noarch      2506/3646 
  Verifying        : texlive-nidanfloat-10:svn48295-63.fc38.noarch    2507/3646 
  Verifying        : texlive-nih-10:svn15878.0-63.fc38.noarch         2508/3646 
  Verifying        : texlive-nihbiosketch-10:svn54191-63.fc38.noarc   2509/3646 
  Verifying        : texlive-nimbus15-10:svn58839-63.fc38.noarch      2510/3646 
  Verifying        : texlive-ninecolors-10:svn62006-63.fc38.noarch    2511/3646 
  Verifying        : texlive-njustthesis-10:svn62451-63.fc38.noarch   2512/3646 
  Verifying        : texlive-njuthesis-10:svn65196-63.fc38.noarch     2513/3646 
  Verifying        : texlive-njuvisual-10:svn65261-63.fc38.noarch     2514/3646 
  Verifying        : texlive-nkarta-10:svn16437.0.2-63.fc38.noarch    2515/3646 
  Verifying        : texlive-nl-interval-10:svn58328-63.fc38.noarch   2516/3646 
  Verifying        : texlive-nlctdoc-10:svn64708-63.fc38.noarch       2517/3646 
  Verifying        : texlive-nmbib-10:svn37984.1.04-63.fc38.noarch    2518/3646 
  Verifying        : texlive-nndraw-10:svn59674-63.fc38.noarch        2519/3646 
  Verifying        : texlive-noconflict-10:svn30140.1.0-63.fc38.noa   2520/3646 
  Verifying        : texlive-noindentafter-10:svn59195-63.fc38.noar   2521/3646 
  Verifying        : texlive-noitcrul-10:svn15878.0.2-63.fc38.noarc   2522/3646 
  Verifying        : texlive-nolbreaks-10:svn26786.1.2-63.fc38.noar   2523/3646 
  Verifying        : texlive-nomencl-10:svn61029-63.fc38.noarch       2524/3646 
  Verifying        : texlive-nomentbl-10:svn16549.0.4-63.fc38.noarc   2525/3646 
  Verifying        : texlive-nonfloat-10:svn17598.1.0-63.fc38.noarc   2526/3646 
  Verifying        : texlive-nonumonpart-10:svn22114.1-63.fc38.noar   2527/3646 
  Verifying        : texlive-nopageno-10:svn18128.0-63.fc38.noarch    2528/3646 
  Verifying        : texlive-norasi-c90-10:svn60831-63.fc38.noarch    2529/3646 
  Verifying        : texlive-normalcolor-10:svn40125-63.fc38.noarch   2530/3646 
  Verifying        : texlive-nostarch-10:svn15878.1.3-63.fc38.noarc   2531/3646 
  Verifying        : texlive-notes-10:svn42428-63.fc38.noarch         2532/3646 
  Verifying        : texlive-notes2bib-10:svn52231-63.fc38.noarch     2533/3646 
  Verifying        : texlive-notespages-10:svn41906-63.fc38.noarch    2534/3646 
  Verifying        : texlive-notestex-10:svn45396-63.fc38.noarch      2535/3646 
  Verifying        : texlive-notex-bst-10:svn42361-63.fc38.noarch     2536/3646 
  Verifying        : texlive-noto-emoji-10:svn62950-63.fc38.noarch    2537/3646 
  Verifying        : texlive-noto-10:svn64351-63.fc38.noarch          2538/3646 
  Verifying        : texlive-notoccite-10:svn18129.0-63.fc38.noarch   2539/3646 
  Verifying        : texlive-notomath-10:svn58726-63.fc38.noarch      2540/3646 
  Verifying        : texlive-novel-10:svn54512-63.fc38.noarch         2541/3646 
  Verifying        : texlive-nowidow-10:svn24066.1.0-63.fc38.noarch   2542/3646 
  Verifying        : texlive-nox-10:svn30991.1.0-63.fc38.noarch       2543/3646 
  Verifying        : texlive-nrc-10:svn29027.2.01a-63.fc38.noarch     2544/3646 
  Verifying        : texlive-ntgclass-10:svn56959-63.fc38.noarch      2545/3646 
  Verifying        : texlive-nth-10:svn54252-63.fc38.noarch           2546/3646 
  Verifying        : texlive-ntheorem-10:svn27609.1.33-63.fc38.noar   2547/3646 
  Verifying        : texlive-nuc-10:svn22256.0.1-63.fc38.noarch       2548/3646 
  Verifying        : texlive-nucleardata-10:svn47307-63.fc38.noarch   2549/3646 
  Verifying        : texlive-numberedblock-10:svn33109.1.10-63.fc38   2550/3646 
  Verifying        : texlive-numerica-plus-10:svn61289-63.fc38.noar   2551/3646 
  Verifying        : texlive-numerica-10:svn61283-63.fc38.noarch      2552/3646 
  Verifying        : texlive-numerica-tables-10:svn61288-63.fc38.no   2553/3646 
  Verifying        : texlive-numericplots-10:svn31729.2.0.2-63.fc38   2554/3646 
  Verifying        : texlive-numname-10:svn18130.0-63.fc38.noarch     2555/3646 
  Verifying        : texlive-numprint-10:svn27498.1.39-63.fc38.noar   2556/3646 
  Verifying        : texlive-numspell-10:svn61132-63.fc38.noarch      2557/3646 
  Verifying        : texlive-nunito-10:svn57429-63.fc38.noarch        2558/3646 
  Verifying        : texlive-nwafuthesis-10:svn63438-63.fc38.noarch   2559/3646 
  Verifying        : texlive-nwejm-10:svn64462-63.fc38.noarch         2560/3646 
  Verifying        : texlive-objectz-10:svn61719-63.fc38.noarch       2561/3646 
  Verifying        : texlive-obnov-10:svn33355.0.11-63.fc38.noarch    2562/3646 
  Verifying        : texlive-ocg-p-10:svn28803.0.4-63.fc38.noarch     2563/3646 
  Verifying        : texlive-ocgx-10:svn54512-63.fc38.noarch          2564/3646 
  Verifying        : texlive-ocgx2-10:svn65292-63.fc38.noarch         2565/3646 
  Verifying        : texlive-ocherokee-10:svn25689.0-63.fc38.noarch   2566/3646 
  Verifying        : texlive-ocr-b-outline-10:svn20969.0-63.fc38.no   2567/3646 
  Verifying        : texlive-ocr-b-10:svn20852.0-63.fc38.noarch       2568/3646 
  Verifying        : texlive-ocr-latex-10:svn15878.0-63.fc38.noarch   2569/3646 
  Verifying        : texlive-octavo-10:svn15878.1.2-63.fc38.noarch    2570/3646 
  Verifying        : texlive-ogham-10:svn24876.0-63.fc38.noarch       2571/3646 
  Verifying        : texlive-oinuit-10:svn28668.0-63.fc38.noarch      2572/3646 
  Verifying        : texlive-old-arrows-10:svn42872-63.fc38.noarch    2573/3646 
  Verifying        : texlive-oldlatin-10:svn17932.1.00-63.fc38.noar   2574/3646 
  Verifying        : texlive-oldstandard-10:svn64464-63.fc38.noarch   2575/3646 
  Verifying        : texlive-oldstyle-10:svn15878.0.2-63.fc38.noarc   2576/3646 
  Verifying        : texlive-onlyamsmath-10:svn42927-63.fc38.noarch   2577/3646 
  Verifying        : texlive-onrannual-10:svn17474.1.1-63.fc38.noar   2578/3646 
  Verifying        : texlive-opcit-10:svn15878.1.1-63.fc38.noarch     2579/3646 
  Verifying        : texlive-opencolor-10:svn64403-63.fc38.noarch     2580/3646 
  Verifying        : texlive-opensans-10:svn54512-63.fc38.noarch      2581/3646 
  Verifying        : texlive-oplotsymbl-10:svn44951-63.fc38.noarch    2582/3646 
  Verifying        : texlive-opteng-10:svn27331.1.0-63.fc38.noarch    2583/3646 
  Verifying        : texlive-optidef-10:svn50941-63.fc38.noarch       2584/3646 
  Verifying        : texlive-optional-10:svn18131.2.2b-63.fc38.noar   2585/3646 
  Verifying        : texlive-options-10:svn39030-63.fc38.noarch       2586/3646 
  Verifying        : texlive-orcidlink-10:svn59560-63.fc38.noarch     2587/3646 
  Verifying        : texlive-orientation-10:svn57390-63.fc38.noarch   2588/3646 
  Verifying        : texlive-orkhun-10:svn15878.0-63.fc38.noarch      2589/3646 
  Verifying        : texlive-oscola-10:svn54328-63.fc38.noarch        2590/3646 
  Verifying        : texlive-oswald-10:svn60784-63.fc38.noarch        2591/3646 
  Verifying        : texlive-ot-tableau-10:svn59318-63.fc38.noarch    2592/3646 
  Verifying        : texlive-oubraces-10:svn21833.0-63.fc38.noarch    2593/3646 
  Verifying        : texlive-oup-authoring-template-10:svn64491-63.   2594/3646 
  Verifying        : texlive-outline-10:svn18360.0-63.fc38.noarch     2595/3646 
  Verifying        : texlive-outliner-10:svn21095.0.94-63.fc38.noar   2596/3646 
  Verifying        : texlive-outlines-10:svn25192.1.1-63.fc38.noarc   2597/3646 
  Verifying        : texlive-outlining-10:svn45601-63.fc38.noarch     2598/3646 
  Verifying        : texlive-overlays-10:svn57866-63.fc38.noarch      2599/3646 
  Verifying        : texlive-overlock-10:svn64495-63.fc38.noarch      2600/3646 
  Verifying        : texlive-overpic-10:svn53889-63.fc38.noarch       2601/3646 
  Verifying        : texlive-pacioli-10:svn24947.0-63.fc38.noarch     2602/3646 
  Verifying        : texlive-padcount-10:svn47621-63.fc38.noarch      2603/3646 
  Verifying        : texlive-pagecolor-10:svn65120-63.fc38.noarch     2604/3646 
  Verifying        : texlive-pagecont-10:svn15878.1.0-63.fc38.noarc   2605/3646 
  Verifying        : texlive-pagegrid-10:svn64470-63.fc38.noarch      2606/3646 
  Verifying        : texlive-pagella-otf-10:svn64705-63.fc38.noarch   2607/3646 
  Verifying        : texlive-pagenote-10:svn63708-63.fc38.noarch      2608/3646 
  Verifying        : texlive-pagerange-10:svn16915.0.5-63.fc38.noar   2609/3646 
  Verifying        : texlive-pagesel-10:svn56105-63.fc38.noarch       2610/3646 
  Verifying        : texlive-pageslts-10:svn39164-63.fc38.noarch      2611/3646 
  Verifying        : texlive-palatino-10:svn61719-63.fc38.noarch      2612/3646 
  Verifying        : texlive-palette-10:svn60119-63.fc38.noarch       2613/3646 
  Verifying        : texlive-pangram-10:svn64783-63.fc38.noarch       2614/3646 
  Verifying        : texlive-paper-10:svn34521.1.0l-63.fc38.noarch    2615/3646 
  Verifying        : texlive-papercdcase-10:svn15878.0-63.fc38.noar   2616/3646 
  Verifying        : texlive-papermas-10:svn23667.1.0h-63.fc38.noar   2617/3646 
  Verifying        : texlive-papertex-10:svn19230.1.2b-63.fc38.noar   2618/3646 
  Verifying        : texlive-paracol-10:svn49560-63.fc38.noarch       2619/3646 
  Verifying        : texlive-parades-10:svn40042-63.fc38.noarch       2620/3646 
  Verifying        : texlive-paralist-10:svn43021-63.fc38.noarch      2621/3646 
  Verifying        : texlive-parallel-10:svn15878.0-63.fc38.noarch    2622/3646 
  Verifying        : texlive-paratype-10:svn32859.0-63.fc38.noarch    2623/3646 
  Verifying        : texlive-paresse-10:svn59228-63.fc38.noarch       2624/3646 
  Verifying        : texlive-parnotes-10:svn51720-63.fc38.noarch      2625/3646 
  Verifying        : texlive-parsa-10:svn54840-63.fc38.noarch         2626/3646 
  Verifying        : texlive-parselines-10:svn21475.1.4-63.fc38.noa   2627/3646 
  Verifying        : texlive-parskip-10:svn58358-63.fc38.noarch       2628/3646 
  Verifying        : texlive-pas-cours-10:svn55859-63.fc38.noarch     2629/3646 
  Verifying        : texlive-pas-cv-10:svn32263.2.01-63.fc38.noarch   2630/3646 
  Verifying        : texlive-pas-tableur-10:svn39542-63.fc38.noarch   2631/3646 
  Verifying        : texlive-pascaltriangle-10:svn61774-63.fc38.noa   2632/3646 
  Verifying        : texlive-patchcmd-10:svn41379-63.fc38.noarch      2633/3646 
  Verifying        : texlive-path-10:svn22045.3.05-63.fc38.noarch     2634/3646 
  Verifying        : texlive-pauldoc-10:svn16005.0.5-63.fc38.noarch   2635/3646 
  Verifying        : texlive-pawpict-10:svn21629.1.0-63.fc38.noarch   2636/3646 
  Verifying        : texlive-pb-diagram-10:svn15878.5.0-63.fc38.noa   2637/3646 
  Verifying        : texlive-pbalance-10:svn64002-63.fc38.noarch      2638/3646 
  Verifying        : texlive-pbox-10:svn24807.1.2-63.fc38.noarch      2639/3646 
  Verifying        : texlive-pbsheet-10:svn24830.0.1-63.fc38.noarch   2640/3646 
  Verifying        : texlive-pdf14-10:svn17583.0.1-63.fc38.noarch     2641/3646 
  Verifying        : texlive-pdfcol-10:svn64469-63.fc38.noarch        2642/3646 
  Verifying        : texlive-pdfcolmk-10:svn52912-63.fc38.noarch      2643/3646 
  Verifying        : texlive-pdfcomment-10:svn49047-63.fc38.noarch    2644/3646 
  Verifying        : texlive-pdfcprot-10:svn18735.1.7a-63.fc38.noar   2645/3646 
  Verifying        : texlive-pdfescape-10:svn53082-63.fc38.noarch     2646/3646 
  Verifying        : texlive-pdflscape-10:svn64851-63.fc38.noarch     2647/3646 
  Verifying        : texlive-pdfmanagement-testphase-10:svn64931-63   2648/3646 
  Verifying        : texlive-pdfmarginpar-10:svn23492.0.92-63.fc38.   2649/3646 
  Verifying        : texlive-pdfoverlay-10:svn64210-63.fc38.noarch    2650/3646 
  Verifying        : texlive-pdfpagediff-10:svn37946.1.4-63.fc38.no   2651/3646 
  Verifying        : texlive-pdfpages-10:svn65319-63.fc38.noarch      2652/3646 
  Verifying        : texlive-pdfpc-movie-10:svn48245-63.fc38.noarch   2653/3646 
  Verifying        : texlive-pdfpc-10:svn63866-63.fc38.noarch         2654/3646 
  Verifying        : texlive-pdfprivacy-10:svn45985-63.fc38.noarch    2655/3646 
  Verifying        : texlive-pdfreview-10:svn50100-63.fc38.noarch     2656/3646 
  Verifying        : texlive-pdfscreen-10:svn42428-63.fc38.noarch     2657/3646 
  Verifying        : texlive-pdfslide-10:svn15878.0-63.fc38.noarch    2658/3646 
  Verifying        : texlive-pdfsync-10:svn20373.0-63.fc38.noarch     2659/3646 
  Verifying        : texlive-pdftexcmds-10:svn55777-63.fc38.noarch    2660/3646 
  Verifying        : texlive-pdfwin-10:svn54074-63.fc38.noarch        2661/3646 
  Verifying        : texlive-pdfx-10:svn50338-63.fc38.noarch          2662/3646 
  Verifying        : texlive-pecha-10:svn15878.0.1-63.fc38.noarch     2663/3646 
  Verifying        : texlive-penrose-10:svn57508-63.fc38.noarch       2664/3646 
  Verifying        : texlive-perception-10:svn48861-63.fc38.noarch    2665/3646 
  Verifying        : texlive-perfectcut-10:svn54080-63.fc38.noarch    2666/3646 
  Verifying        : texlive-permute-10:svn15878.0-63.fc38.noarch     2667/3646 
  Verifying        : texlive-petiteannonce-10:svn25915.1.0001-63.fc   2668/3646 
  Verifying        : texlive-pfdicons-10:svn60089-63.fc38.noarch      2669/3646 
  Verifying        : texlive-pgf-blur-10:svn54512-63.fc38.noarch      2670/3646 
  Verifying        : texlive-pgf-cmykshadings-10:svn52635-63.fc38.n   2671/3646 
  Verifying        : texlive-pgf-interference-10:svn61562-63.fc38.n   2672/3646 
  Verifying        : texlive-pgf-periodictable-10:svn64974-63.fc38.   2673/3646 
  Verifying        : texlive-pgf-pie-10:svn63603-63.fc38.noarch       2674/3646 
  Verifying        : texlive-pgf-soroban-10:svn32269.1.1-63.fc38.no   2675/3646 
  Verifying        : texlive-pgf-spectra-10:svn59827-63.fc38.noarch   2676/3646 
  Verifying        : texlive-pgf-10:svn59210-63.fc38.noarch           2677/3646 
  Verifying        : texlive-pgf-umlcd-10:svn63386-63.fc38.noarch     2678/3646 
  Verifying        : texlive-pgf-umlsd-10:svn55342-63.fc38.noarch     2679/3646 
  Verifying        : texlive-pgfgantt-10:svn52662-63.fc38.noarch      2680/3646 
  Verifying        : texlive-pgfkeyx-10:svn26093.0.0.1-63.fc38.noar   2681/3646 
  Verifying        : texlive-pgfmath-xfp-10:svn59268-63.fc38.noarch   2682/3646 
  Verifying        : texlive-pgfmolbio-10:svn35152.0.21-63.fc38.noa   2683/3646 
  Verifying        : texlive-pgfmorepages-10:svn54770-63.fc38.noarc   2684/3646 
  Verifying        : texlive-pgfopts-10:svn56615-63.fc38.noarch       2685/3646 
  Verifying        : texlive-pgfornament-10:svn55326-63.fc38.noarch   2686/3646 
  Verifying        : texlive-pgfplots-10:svn61719-63.fc38.noarch      2687/3646 
  Verifying        : texlive-phaistos-10:svn18651.1.0-63.fc38.noarc   2688/3646 
  Verifying        : texlive-phfcc-10:svn60731-63.fc38.noarch         2689/3646 
  Verifying        : texlive-phfextendedabstract-10:svn60732-63.fc3   2690/3646 
  Verifying        : texlive-phffullpagefigure-10:svn41857-63.fc38.   2691/3646 
  Verifying        : texlive-phfnote-10:svn60733-63.fc38.noarch       2692/3646 
  Verifying        : texlive-phfparen-10:svn41859-63.fc38.noarch      2693/3646 
  Verifying        : texlive-phfqit-10:svn60734-63.fc38.noarch        2694/3646 
  Verifying        : texlive-phfquotetext-10:svn41869-63.fc38.noarc   2695/3646 
  Verifying        : texlive-phfsvnwatermark-10:svn41870-63.fc38.no   2696/3646 
  Verifying        : texlive-phfthm-10:svn60735-63.fc38.noarch        2697/3646 
  Verifying        : texlive-philex-10:svn36396.1.3-63.fc38.noarch    2698/3646 
  Verifying        : texlive-philosophersimprint-10:svn56954-63.fc3   2699/3646 
  Verifying        : texlive-phonenumbers-10:svn63774-63.fc38.noarc   2700/3646 
  Verifying        : texlive-phonetic-10:svn56468-63.fc38.noarch      2701/3646 
  Verifying        : texlive-photo-10:svn18739.0-63.fc38.noarch       2702/3646 
  Verifying        : texlive-photobook-10:svn65022-63.fc38.noarch     2703/3646 
  Verifying        : texlive-physconst-10:svn58727-63.fc38.noarch     2704/3646 
  Verifying        : texlive-physics-10:svn28590.1.3-63.fc38.noarch   2705/3646 
  Verifying        : texlive-physunits-10:svn58728-63.fc38.noarch     2706/3646 
  Verifying        : texlive-picinpar-10:svn65097-63.fc38.noarch      2707/3646 
  Verifying        : texlive-pict2e-10:svn56504-63.fc38.noarch        2708/3646 
  Verifying        : texlive-pictex-10:svn59551-63.fc38.noarch        2709/3646 
  Verifying        : texlive-pictex2-10:svn15878.0-63.fc38.noarch     2710/3646 
  Verifying        : texlive-picture-10:svn54867-63.fc38.noarch       2711/3646 
  Verifying        : texlive-piff-10:svn21894.0-63.fc38.noarch        2712/3646 
  Verifying        : texlive-pigpen-10:svn15878.0.2-63.fc38.noarch    2713/3646 
  Verifying        : texlive-pinlabel-10:svn24769.1.2-63.fc38.noarc   2714/3646 
  Verifying        : texlive-pinoutikz-10:svn55966-63.fc38.noarch     2715/3646 
  Verifying        : texlive-pittetd-10:svn15878.1.618-63.fc38.noar   2716/3646 
  Verifying        : texlive-pixelart-10:svn65075-63.fc38.noarch      2717/3646 
  Verifying        : texlive-pkgloader-10:svn47486-63.fc38.noarch     2718/3646 
  Verifying        : texlive-pkuthss-10:svn64869-63.fc38.noarch       2719/3646 
  Verifying        : texlive-pl-10:svn58661-63.fc38.noarch            2720/3646 
  Verifying        : texlive-placeins-10:svn19848.2.2-63.fc38.noarc   2721/3646 
  Verifying        : texlive-plain-10:svn57963-63.fc38.noarch         2722/3646 
  Verifying        : texlive-plainpkg-10:svn27765.0.4a-63.fc38.noar   2723/3646 
  Verifying        : texlive-plainyr-10:svn52783-63.fc38.noarch       2724/3646 
  Verifying        : texlive-plantslabels-10:svn29803.1.0-63.fc38.n   2725/3646 
  Verifying        : texlive-plates-10:svn15878.0.1-63.fc38.noarch    2726/3646 
  Verifying        : texlive-platex-10:svn65305-63.fc38.noarch        2727/3646 
  Verifying        : texlive-platex-tools-10:svn64072-63.fc38.noarc   2728/3646 
  Verifying        : texlive-playfair-10:svn64857-63.fc38.noarch      2729/3646 
  Verifying        : texlive-plex-otf-10:svn47562-63.fc38.noarch      2730/3646 
  Verifying        : texlive-plex-10:svn64496-63.fc38.noarch          2731/3646 
  Verifying        : texlive-plimsoll-10:svn56605-63.fc38.noarch      2732/3646 
  Verifying        : texlive-plweb-10:svn15878.3.0-63.fc38.noarch     2733/3646 
  Verifying        : texlive-pm-isomath-10:svn60368-63.fc38.noarch    2734/3646 
  Verifying        : texlive-pmboxdraw-10:svn53046-63.fc38.noarch     2735/3646 
  Verifying        : texlive-pmgraph-10:svn15878.1.0-63.fc38.noarch   2736/3646 
  Verifying        : texlive-pnas2009-10:svn16287.1.0-63.fc38.noarc   2737/3646 
  Verifying        : texlive-poiretone-10:svn64856-63.fc38.noarch     2738/3646 
  Verifying        : texlive-polexpr-10:svn63337-63.fc38.noarch       2739/3646 
  Verifying        : texlive-polski-10:svn60322-63.fc38.noarch        2740/3646 
  Verifying        : texlive-poltawski-10:svn20075.1.101-63.fc38.no   2741/3646 
  Verifying        : texlive-polyglossia-10:svn65144-63.fc38.noarch   2742/3646 
  Verifying        : texlive-polynom-10:svn44832-63.fc38.noarch       2743/3646 
  Verifying        : texlive-polynomial-10:svn15878.1.0-63.fc38.noa   2744/3646 
  Verifying        : texlive-polytable-10:svn55837-63.fc38.noarch     2745/3646 
  Verifying        : texlive-postage-10:svn55920-63.fc38.noarch       2746/3646 
  Verifying        : texlive-postcards-10:svn21641.0-63.fc38.noarch   2747/3646 
  Verifying        : texlive-poster-mac-10:svn18305.1.1-63.fc38.noa   2748/3646 
  Verifying        : texlive-postnotes-10:svn65007-63.fc38.noarch     2749/3646 
  Verifying        : texlive-powerdot-fuberlin-10:svn52922-63.fc38.   2750/3646 
  Verifying        : texlive-powerdot-10:svn59272-63.fc38.noarch      2751/3646 
  Verifying        : texlive-powerdot-tuliplab-10:svn47963-63.fc38.   2752/3646 
  Verifying        : texlive-ppr-prv-10:svn15878.0.13c-63.fc38.noar   2753/3646 
  Verifying        : texlive-ppt-slides-10:svn65194-63.fc38.noarch    2754/3646 
  Verifying        : texlive-pracjourn-10:svn61719-63.fc38.noarch     2755/3646 
  Verifying        : texlive-practicalreports-10:svn52312-63.fc38.n   2756/3646 
  Verifying        : texlive-precattl-10:svn63967-63.fc38.noarch      2757/3646 
  Verifying        : texlive-prelim2e-10:svn57000-63.fc38.noarch      2758/3646 
  Verifying        : texlive-preprint-10:svn30447.2011-63.fc38.noar   2759/3646 
  Verifying        : texlive-prerex-10:svn54512-63.fc38.noarch        2760/3646 
  Verifying        : texlive-pressrelease-10:svn35147.1.0-63.fc38.n   2761/3646 
  Verifying        : texlive-prettyref-10:svn15878.3.0-63.fc38.noar   2762/3646 
  Verifying        : texlive-prettytok-10:svn63842-63.fc38.noarch     2763/3646 
  Verifying        : texlive-prftree-10:svn54080-63.fc38.noarch       2764/3646 
  Verifying        : texlive-principia-10:svn58927-63.fc38.noarch     2765/3646 
  Verifying        : texlive-printlen-10:svn19847.1.1a-63.fc38.noar   2766/3646 
  Verifying        : texlive-proba-10:svn15878.0-63.fc38.noarch       2767/3646 
  Verifying        : texlive-probsoln-10:svn44783-63.fc38.noarch      2768/3646 
  Verifying        : texlive-prociagssymp-10:svn63242-63.fc38.noarc   2769/3646 
  Verifying        : texlive-prodint-10:svn21893.0-63.fc38.noarch     2770/3646 
  Verifying        : texlive-productbox-10:svn20886.1.1-63.fc38.noa   2771/3646 
  Verifying        : texlive-program-10:svn44214-63.fc38.noarch       2772/3646 
  Verifying        : texlive-progress-10:svn19519.1.10-63.fc38.noar   2773/3646 
  Verifying        : texlive-progressbar-10:svn33822.v1.0b_4-63.fc3   2774/3646 
  Verifying        : texlive-projlib-10:svn65023-63.fc38.noarch       2775/3646 
  Verifying        : texlive-proof-at-the-end-10:svn64188-63.fc38.n   2776/3646 
  Verifying        : texlive-proofread-10:svn61719-63.fc38.noarch     2777/3646 
  Verifying        : texlive-prooftrees-10:svn52221-63.fc38.noarch    2778/3646 
  Verifying        : texlive-properties-10:svn15878.0.2-63.fc38.noa   2779/3646 
  Verifying        : texlive-proposal-10:svn40538-63.fc38.noarch      2780/3646 
  Verifying        : texlive-prosper-10:svn33033.1.0h-63.fc38.noarc   2781/3646 
  Verifying        : texlive-protex-10:svn41633-63.fc38.noarch        2782/3646 
  Verifying        : texlive-protocol-10:svn25562.1.13-63.fc38.noar   2783/3646 
  Verifying        : texlive-prtec-10:svn51919-63.fc38.noarch         2784/3646 
  Verifying        : texlive-pseudo-10:svn64182-63.fc38.noarch        2785/3646 
  Verifying        : texlive-pseudocode-10:svn54080-63.fc38.noarch    2786/3646 
  Verifying        : texlive-psfrag-10:svn15878.3.04-63.fc38.noarch   2787/3646 
  Verifying        : texlive-psfragx-10:svn26243.1.1-63.fc38.noarch   2788/3646 
  Verifying        : texlive-pslatex-10:svn57434-63.fc38.noarch       2789/3646 
  Verifying        : texlive-psnfss-10:svn54694-63.fc38.noarch        2790/3646 
  Verifying        : texlive-pspicture-10:svn15878.0-63.fc38.noarch   2791/3646 
  Verifying        : texlive-pst-3d-10:svn17257.1.10-63.fc38.noarch   2792/3646 
  Verifying        : texlive-pst-blur-10:svn15878.2.0-63.fc38.noarc   2793/3646 
  Verifying        : texlive-pst-coil-10:svn62977-63.fc38.noarch      2794/3646 
  Verifying        : texlive-pst-eps-10:svn15878.1.0-63.fc38.noarch   2795/3646 
  Verifying        : texlive-pst-fill-10:svn60671-63.fc38.noarch      2796/3646 
  Verifying        : texlive-pst-grad-10:svn15878.1.06-63.fc38.noar   2797/3646 
  Verifying        : texlive-pst-math-10:svn64732-63.fc38.noarch      2798/3646 
  Verifying        : texlive-pst-node-10:svn61838-63.fc38.noarch      2799/3646 
  Verifying        : texlive-pst-ovl-10:svn54963-63.fc38.noarch       2800/3646 
  Verifying        : texlive-pst-plot-10:svn65346-63.fc38.noarch      2801/3646 
  Verifying        : texlive-pst-slpe-10:svn24391.1.31-63.fc38.noar   2802/3646 
  Verifying        : texlive-pst-text-10:svn49542-63.fc38.noarch      2803/3646 
  Verifying        : texlive-pst-tools-10:svn60621-63.fc38.noarch     2804/3646 
  Verifying        : texlive-pst-tree-10:svn60421-63.fc38.noarch      2805/3646 
  Verifying        : texlive-pstool-10:svn46393-63.fc38.noarch        2806/3646 
  Verifying        : texlive-pstricks-add-10:svn65067-63.fc38.noarc   2807/3646 
  Verifying        : texlive-pstricks-10:svn65346-63.fc38.noarch      2808/3646 
  Verifying        : texlive-pstring-10:svn42857-63.fc38.noarch       2809/3646 
  Verifying        : texlive-ptex-base-10:svn64072-63.fc38.noarch     2810/3646 
  Verifying        : texlive-ptex-fonts-10:svn64330-63.fc38.noarch    2811/3646 
  Verifying        : texlive-ptolemaicastronomy-10:svn50810-63.fc38   2812/3646 
  Verifying        : texlive-ptptex-10:svn19440.0.91-63.fc38.noarch   2813/3646 
  Verifying        : texlive-punk-latex-10:svn27389.1.1-63.fc38.noa   2814/3646 
  Verifying        : texlive-punk-10:svn27388.0-63.fc38.noarch        2815/3646 
  Verifying        : texlive-punknova-10:svn24649.1.003-63.fc38.noa   2816/3646 
  Verifying        : texlive-puyotikz-10:svn57254-63.fc38.noarch      2817/3646 
  Verifying        : texlive-pxfonts-10:svn15878.0-63.fc38.noarch     2818/3646 
  Verifying        : texlive-pxgreeks-10:svn21838.1.0-63.fc38.noarc   2819/3646 
  Verifying        : texlive-pxpgfmark-10:svn30212.0.2-63.fc38.noar   2820/3646 
  Verifying        : texlive-pxpic-10:svn61294-63.fc38.noarch         2821/3646 
  Verifying        : texlive-pxtxalfa-10:svn60847-63.fc38.noarch      2822/3646 
  Verifying        : texlive-python-10:svn60162-63.fc38.noarch        2823/3646 
  Verifying        : texlive-pythonhighlight-10:svn43191-63.fc38.no   2824/3646 
  Verifying        : texlive-pythonimmediate-10:svn65349-63.fc38.no   2825/3646 
  Verifying        : texlive-qcircuit-10:svn48400-63.fc38.noarch      2826/3646 
  Verifying        : texlive-qcm-10:svn63833-63.fc38.noarch           2827/3646 
  Verifying        : texlive-qrbill-10:svn64773-63.fc38.noarch        2828/3646 
  Verifying        : texlive-qrcode-10:svn36065.1.51-63.fc38.noarch   2829/3646 
  Verifying        : texlive-qsharp-10:svn49722-63.fc38.noarch        2830/3646 
  Verifying        : texlive-qstest-10:svn15878.0-63.fc38.noarch      2831/3646 
  Verifying        : texlive-qsymbols-10:svn15878.0-63.fc38.noarch    2832/3646 
  Verifying        : texlive-qtree-10:svn15878.3.1b-63.fc38.noarch    2833/3646 
  Verifying        : texlive-qualitype-10:svn54512-63.fc38.noarch     2834/3646 
  Verifying        : texlive-quantikz-10:svn54911-63.fc38.noarch      2835/3646 
  Verifying        : texlive-quantumarticle-10:svn65242-63.fc38.noa   2836/3646 
  Verifying        : texlive-quattrocento-10:svn64372-63.fc38.noarc   2837/3646 
  Verifying        : texlive-quicktype-10:svn42183-63.fc38.noarch     2838/3646 
  Verifying        : texlive-quiz2socrative-10:svn52276-63.fc38.noa   2839/3646 
  Verifying        : texlive-quotchap-10:svn56926-63.fc38.noarch      2840/3646 
  Verifying        : texlive-quoting-10:svn32818.v0.1c-63.fc38.noar   2841/3646 
  Verifying        : texlive-quotmark-10:svn15878.1.0-63.fc38.noarc   2842/3646 
  Verifying        : texlive-ragged2e-10:svn65008-63.fc38.noarch      2843/3646 
  Verifying        : texlive-raleway-10:svn42629-63.fc38.noarch       2844/3646 
  Verifying        : texlive-ran_toks-10:svn59515-63.fc38.noarch      2845/3646 
  Verifying        : texlive-randbild-10:svn15878.0.2-63.fc38.noarc   2846/3646 
  Verifying        : texlive-random-10:svn54723-63.fc38.noarch        2847/3646 
  Verifying        : texlive-randomwalk-10:svn49513-63.fc38.noarch    2848/3646 
  Verifying        : texlive-randtext-10:svn15878.0-63.fc38.noarch    2849/3646 
  Verifying        : texlive-rank-2-roots-10:svn61719-63.fc38.noarc   2850/3646 
  Verifying        : texlive-rbt-mathnotes-10:svn61193-63.fc38.noar   2851/3646 
  Verifying        : texlive-rccol-10:svn15878.1.2c-63.fc38.noarch    2852/3646 
  Verifying        : texlive-rcs-multi-10:svn64967-63.fc38.noarch     2853/3646 
  Verifying        : texlive-rcs-10:svn15878.0-63.fc38.noarch         2854/3646 
  Verifying        : texlive-rcsinfo-10:svn15878.1.11-63.fc38.noarc   2855/3646 
  Verifying        : texlive-readablecv-10:svn61719-63.fc38.noarch    2856/3646 
  Verifying        : texlive-readarray-10:svn60540-63.fc38.noarch     2857/3646 
  Verifying        : texlive-realboxes-10:svn64967-63.fc38.noarch     2858/3646 
  Verifying        : texlive-realhats-10:svn63595-63.fc38.noarch      2859/3646 
  Verifying        : texlive-realscripts-10:svn56594-63.fc38.noarch   2860/3646 
  Verifying        : texlive-rec-thy-10:svn63982-63.fc38.noarch       2861/3646 
  Verifying        : texlive-recipe-10:svn54080-63.fc38.noarch        2862/3646 
  Verifying        : texlive-recipebook-10:svn37026.0-63.fc38.noarc   2863/3646 
  Verifying        : texlive-recipecard-10:svn15878.2.0-63.fc38.noa   2864/3646 
  Verifying        : texlive-rectopma-10:svn19980.0-63.fc38.noarch    2865/3646 
  Verifying        : texlive-recycle-10:svn15878.0-63.fc38.noarch     2866/3646 
  Verifying        : texlive-refcheck-10:svn29128.1.9.1-63.fc38.noa   2867/3646 
  Verifying        : texlive-refcount-10:svn53164-63.fc38.noarch      2868/3646 
  Verifying        : texlive-refenums-10:svn44131-63.fc38.noarch      2869/3646 
  Verifying        : texlive-reflectgraphics-10:svn40612-63.fc38.no   2870/3646 
  Verifying        : texlive-refman-10:svn15878.2.0e-63.fc38.noarch   2871/3646 
  Verifying        : texlive-refstyle-10:svn20318.0.5-63.fc38.noarc   2872/3646 
  Verifying        : texlive-regcount-10:svn19979.1.0-63.fc38.noarc   2873/3646 
  Verifying        : texlive-regexpatch-10:svn58668-63.fc38.noarch    2874/3646 
  Verifying        : texlive-register-10:svn54485-63.fc38.noarch      2875/3646 
  Verifying        : texlive-regstats-10:svn25050.1.0h-63.fc38.noar   2876/3646 
  Verifying        : texlive-relenc-10:svn22050.0-63.fc38.noarch      2877/3646 
  Verifying        : texlive-relsize-10:svn30707.4.1-63.fc38.noarch   2878/3646 
  Verifying        : texlive-reotex-10:svn34924.1.1-63.fc38.noarch    2879/3646 
  Verifying        : texlive-repeatindex-10:svn24305.0.01-63.fc38.n   2880/3646 
  Verifying        : texlive-repltext-10:svn56433-63.fc38.noarch      2881/3646 
  Verifying        : texlive-rerunfilecheck-10:svn63869-63.fc38.noa   2882/3646 
  Verifying        : texlive-rescansync-10:svn63856-63.fc38.noarch    2883/3646 
  Verifying        : texlive-resphilosophica-10:svn50935-63.fc38.no   2884/3646 
  Verifying        : texlive-rest-api-10:svn57068-63.fc38.noarch      2885/3646 
  Verifying        : texlive-resumecls-10:svn54815-63.fc38.noarch     2886/3646 
  Verifying        : texlive-returntogrid-10:svn48485-63.fc38.noarc   2887/3646 
  Verifying        : texlive-revquantum-10:svn43505-63.fc38.noarch    2888/3646 
  Verifying        : texlive-revtex-10:svn56591-63.fc38.noarch        2889/3646 
  Verifying        : texlive-revtex4-1-10:svn56590-63.fc38.noarch     2890/3646 
  Verifying        : texlive-revtex4-10:svn56589-63.fc38.noarch       2891/3646 
  Verifying        : texlive-rgltxdoc-10:svn53858-63.fc38.noarch      2892/3646 
  Verifying        : texlive-ribbonproofs-10:svn31137.1.0-63.fc38.n   2893/3646 
  Verifying        : texlive-rjlparshap-10:svn15878.1.0-63.fc38.noa   2894/3646 
  Verifying        : texlive-rlepsf-10:svn19082.0-63.fc38.noarch      2895/3646 
  Verifying        : texlive-rmathbr-10:svn57173-63.fc38.noarch       2896/3646 
  Verifying        : texlive-rmpage-10:svn54080-63.fc38.noarch        2897/3646 
  Verifying        : texlive-robotarm-10:svn63116-63.fc38.noarch      2898/3646 
  Verifying        : texlive-roboto-10:svn64350-63.fc38.noarch        2899/3646 
  Verifying        : texlive-robustcommand-10:svn15878.0.1-63.fc38.   2900/3646 
  Verifying        : texlive-robustindex-10:svn49877-63.fc38.noarch   2901/3646 
  Verifying        : texlive-romanbar-10:svn25005.1.0f-63.fc38.noar   2902/3646 
  Verifying        : texlive-romanbarpagenumber-10:svn36236.1.0-63.   2903/3646 
  Verifying        : texlive-romande-10:svn19537.1.008_v7_sc-63.fc3   2904/3646 
  Verifying        : texlive-romanneg-10:svn20087.0-63.fc38.noarch    2905/3646 
  Verifying        : texlive-romannum-10:svn15878.1.0b-63.fc38.noar   2906/3646 
  Verifying        : texlive-rosario-10:svn51688-63.fc38.noarch       2907/3646 
  Verifying        : texlive-rotfloat-10:svn18292.1.2-63.fc38.noarc   2908/3646 
  Verifying        : texlive-rotpages-10:svn18740.3.0-63.fc38.noarc   2909/3646 
  Verifying        : texlive-roundbox-10:svn29675.0.2-63.fc38.noarc   2910/3646 
  Verifying        : texlive-rsc-10:svn41923-63.fc38.noarch           2911/3646 
  Verifying        : texlive-rsfs-10:svn15878.0-63.fc38.noarch        2912/3646 
  Verifying        : texlive-rsfso-10:svn60849-63.fc38.noarch         2913/3646 
  Verifying        : texlive-rterface-10:svn30084.0-63.fc38.noarch    2914/3646 
  Verifying        : texlive-rtkinenc-10:svn20003.1.0-63.fc38.noarc   2915/3646 
  Verifying        : texlive-rulerbox-10:svn50984-63.fc38.noarch      2916/3646 
  Verifying        : texlive-rulercompass-10:svn32392.1-63.fc38.noa   2917/3646 
  Verifying        : texlive-runcode-10:svn64161-63.fc38.noarch       2918/3646 
  Verifying        : texlive-rutitlepage-10:svn62143-63.fc38.noarch   2919/3646 
  Verifying        : texlive-rviewport-10:svn23739.v1.0-63.fc38.noa   2920/3646 
  Verifying        : texlive-rvwrite-10:svn19614.1.2-63.fc38.noarch   2921/3646 
  Verifying        : texlive-ryersonsgsthesis-10:svn50119-63.fc38.n   2922/3646 
  Verifying        : texlive-ryethesis-10:svn33945.1.36-63.fc38.noa   2923/3646 
  Verifying        : texlive-sa-tikz-10:svn32815.0.7a-63.fc38.noarc   2924/3646 
  Verifying        : texlive-sageep-10:svn15878.1.0-63.fc38.noarch    2925/3646 
  Verifying        : texlive-sanitize-umlaut-10:svn63770-63.fc38.no   2926/3646 
  Verifying        : texlive-sankey-10:svn61874-63.fc38.noarch        2927/3646 
  Verifying        : texlive-sansmath-10:svn17997.1.1-63.fc38.noarc   2928/3646 
  Verifying        : texlive-sansmathaccent-10:svn53628-63.fc38.noa   2929/3646 
  Verifying        : texlive-sansmathfonts-10:svn64661-63.fc38.noar   2930/3646 
  Verifying        : texlive-sapthesis-10:svn63810-63.fc38.noarch     2931/3646 
  Verifying        : texlive-sasnrdisplay-10:svn63255-63.fc38.noarc   2932/3646 
  Verifying        : texlive-sauerj-10:svn15878.0-63.fc38.noarch      2933/3646 
  Verifying        : texlive-sauter-10:svn13293.2.4-63.fc38.noarch    2934/3646 
  Verifying        : texlive-sauterfonts-10:svn15878.0-63.fc38.noar   2935/3646 
  Verifying        : texlive-saveenv-10:svn65346-63.fc38.noarch       2936/3646 
  Verifying        : texlive-savefnmark-10:svn15878.1.0-63.fc38.noa   2937/3646 
  Verifying        : texlive-savesym-10:svn31565.1.2-63.fc38.noarch   2938/3646 
  Verifying        : texlive-savetrees-10:svn40525-63.fc38.noarch     2939/3646 
  Verifying        : texlive-scale-10:svn15878.1.1.2-63.fc38.noarch   2940/3646 
  Verifying        : texlive-scalebar-10:svn15878.1.0-63.fc38.noarc   2941/3646 
  Verifying        : texlive-scalerel-10:svn42809-63.fc38.noarch      2942/3646 
  Verifying        : texlive-scanpages-10:svn42633-63.fc38.noarch     2943/3646 
  Verifying        : texlive-schedule-10:svn51805-63.fc38.noarch      2944/3646 
  Verifying        : texlive-schemabloc-10:svn58212-63.fc38.noarch    2945/3646 
  Verifying        : texlive-schola-otf-10:svn64734-63.fc38.noarch    2946/3646 
  Verifying        : texlive-scholax-10:svn61836-63.fc38.noarch       2947/3646 
  Verifying        : texlive-schooldocs-10:svn61719-63.fc38.noarch    2948/3646 
  Verifying        : texlive-schule-10:svn60210-63.fc38.noarch        2949/3646 
  Verifying        : texlive-schulschriften-10:svn59388-63.fc38.noa   2950/3646 
  Verifying        : texlive-scientific-thesis-cover-10:svn47923-63   2951/3646 
  Verifying        : texlive-sciposter-10:svn15878.1.18-63.fc38.noa   2952/3646 
  Verifying        : texlive-sclang-prettifier-10:svn35087.0.1-63.f   2953/3646 
  Verifying        : texlive-scontents-10:svn62902-63.fc38.noarch     2954/3646 
  Verifying        : texlive-scrambledenvs-10:svn60615-63.fc38.noar   2955/3646 
  Verifying        : texlive-scratch-10:svn50073-63.fc38.noarch       2956/3646 
  Verifying        : texlive-scratch3-10:svn61921-63.fc38.noarch      2957/3646 
  Verifying        : texlive-scratchx-10:svn44906-63.fc38.noarch      2958/3646 
  Verifying        : texlive-scripture-10:svn64910-63.fc38.noarch     2959/3646 
  Verifying        : texlive-scrjrnl-10:svn27810.0.1-63.fc38.noarch   2960/3646 
  Verifying        : texlive-scrlayer-fancyhdr-10:svn63844-63.fc38.   2961/3646 
  Verifying        : texlive-scrlttr2copy-10:svn56733-63.fc38.noarc   2962/3646 
  Verifying        : texlive-scsnowman-10:svn54080-63.fc38.noarch     2963/3646 
  Verifying        : texlive-sdaps-10:svn65345-63.fc38.noarch         2964/3646 
  Verifying        : texlive-sdrt-10:svn15878.1.0-63.fc38.noarch      2965/3646 
  Verifying        : texlive-sduthesis-10:svn41401-63.fc38.noarch     2966/3646 
  Verifying        : texlive-se2thesis-10:svn65093-63.fc38.noarch     2967/3646 
  Verifying        : texlive-secdot-10:svn20208.1.0-63.fc38.noarch    2968/3646 
  Verifying        : texlive-secnum-10:svn61813-63.fc38.noarch        2969/3646 
  Verifying        : texlive-section-10:svn20180.0-63.fc38.noarch     2970/3646 
  Verifying        : texlive-sectionbox-10:svn37749.1.01-63.fc38.no   2971/3646 
  Verifying        : texlive-sectionbreak-10:svn50339-63.fc38.noarc   2972/3646 
  Verifying        : texlive-sectsty-10:svn15878.2.0.2-63.fc38.noar   2973/3646 
  Verifying        : texlive-seealso-10:svn43595-63.fc38.noarch       2974/3646 
  Verifying        : texlive-selectp-10:svn20185.1.0-63.fc38.noarch   2975/3646 
  Verifying        : texlive-selinput-10:svn53098-63.fc38.noarch      2976/3646 
  Verifying        : texlive-semantex-10:svn65183-63.fc38.noarch      2977/3646 
  Verifying        : texlive-semantic-markup-10:svn53607-63.fc38.no   2978/3646 
  Verifying        : texlive-semantic-10:svn15878.2.0-63.fc38.noarc   2979/3646 
  Verifying        : texlive-semaphor-10:svn18651.0-63.fc38.noarch    2980/3646 
  Verifying        : texlive-semesterplanner-10:svn56841-63.fc38.no   2981/3646 
  Verifying        : texlive-seminar-10:svn59801-63.fc38.noarch       2982/3646 
  Verifying        : texlive-semioneside-10:svn15878.v0.41-63.fc38.   2983/3646 
  Verifying        : texlive-semproc-10:svn37568.0.1-63.fc38.noarch   2984/3646 
  Verifying        : texlive-semtex-10:svn56530-63.fc38.noarch        2985/3646 
  Verifying        : texlive-sepfootnotes-10:svn41732-63.fc38.noarc   2986/3646 
  Verifying        : texlive-sepnum-10:svn20186.2.0-63.fc38.noarch    2987/3646 
  Verifying        : texlive-seqsplit-10:svn15878.0.1-63.fc38.noarc   2988/3646 
  Verifying        : texlive-sesamanuel-10:svn36613.0.6-63.fc38.noa   2989/3646 
  Verifying        : texlive-sesstime-10:svn49750-63.fc38.noarch      2990/3646 
  Verifying        : texlive-setdeck-10:svn40613-63.fc38.noarch       2991/3646 
  Verifying        : texlive-setspace-10:svn65206-63.fc38.noarch      2992/3646 
  Verifying        : texlive-seu-ml-assign-10:svn62933-63.fc38.noar   2993/3646 
  Verifying        : texlive-seuthesis-10:svn33042.2.1.2-63.fc38.no   2994/3646 
  Verifying        : texlive-seuthesix-10:svn40088-63.fc38.noarch     2995/3646 
  Verifying        : texlive-sf298-10:svn41653-63.fc38.noarch         2996/3646 
  Verifying        : texlive-sffms-10:svn15878.2.0-63.fc38.noarch     2997/3646 
  Verifying        : texlive-sfg-10:svn20209.0.91-63.fc38.noarch      2998/3646 
  Verifying        : texlive-sfmath-10:svn15878.0.8-63.fc38.noarch    2999/3646 
  Verifying        : texlive-shadethm-10:svn53350-63.fc38.noarch      3000/3646 
  Verifying        : texlive-shadow-10:svn20312.0-63.fc38.noarch      3001/3646 
  Verifying        : texlive-shadowtext-10:svn26522.0.3-63.fc38.noa   3002/3646 
  Verifying        : texlive-shapepar-10:svn30708.2.2-63.fc38.noarc   3003/3646 
  Verifying        : texlive-shdoc-10:svn41991-63.fc38.noarch         3004/3646 
  Verifying        : texlive-shipunov-10:svn52334-63.fc38.noarch      3005/3646 
  Verifying        : texlive-shobhika-10:svn50555-63.fc38.noarch      3006/3646 
  Verifying        : texlive-shortmathj-10:svn54407-63.fc38.noarch    3007/3646 
  Verifying        : texlive-shorttoc-10:svn15878.1.3-63.fc38.noarc   3008/3646 
  Verifying        : texlive-show2e-10:svn15878.1.0-63.fc38.noarch    3009/3646 
  Verifying        : texlive-showcharinbox-10:svn29803.0.1-63.fc38.   3010/3646 
  Verifying        : texlive-showdim-10:svn28918.1.2-63.fc38.noarch   3011/3646 
  Verifying        : texlive-showexpl-10:svn57414-63.fc38.noarch      3012/3646 
  Verifying        : texlive-showlabels-10:svn63940-63.fc38.noarch    3013/3646 
  Verifying        : texlive-showtags-10:svn20336.1.05-63.fc38.noar   3014/3646 
  Verifying        : texlive-shtthesis-10:svn62441-63.fc38.noarch     3015/3646 
  Verifying        : texlive-shuffle-10:svn15878.1.0-63.fc38.noarch   3016/3646 
  Verifying        : texlive-sidecap-10:svn15878.1.6f-63.fc38.noarc   3017/3646 
  Verifying        : texlive-sidenotes-10:svn54524-63.fc38.noarch     3018/3646 
  Verifying        : texlive-sidenotesplus-10:svn63867-63.fc38.noar   3019/3646 
  Verifying        : texlive-signchart-10:svn39707-63.fc38.noarch     3020/3646 
  Verifying        : texlive-silence-10:svn27028.1.5b-63.fc38.noarc   3021/3646 
  Verifying        : texlive-sillypage-10:svn64034-63.fc38.noarch     3022/3646 
  Verifying        : texlive-simplebnf-10:svn64091-63.fc38.noarch     3023/3646 
  Verifying        : texlive-simplecd-10:svn29260.1.4-63.fc38.noarc   3024/3646 
  Verifying        : texlive-simplecv-10:svn35537.1.6a-63.fc38.noar   3025/3646 
  Verifying        : texlive-simpleicons-10:svn65288-63.fc38.noarch   3026/3646 
  Verifying        : texlive-simpleinvoice-10:svn45673-63.fc38.noar   3027/3646 
  Verifying        : texlive-simplekv-10:svn64578-63.fc38.noarch      3028/3646 
  Verifying        : texlive-simplenodes-10:svn62888-63.fc38.noarch   3029/3646 
  Verifying        : texlive-simpleoptics-10:svn62977-63.fc38.noarc   3030/3646 
  Verifying        : texlive-simpler-wick-10:svn39074-63.fc38.noarc   3031/3646 
  Verifying        : texlive-simples-matrices-10:svn63802-63.fc38.n   3032/3646 
  Verifying        : texlive-simplewick-10:svn15878.1.2a-63.fc38.no   3033/3646 
  Verifying        : texlive-simplivre-10:svn64280-63.fc38.noarch     3034/3646 
  Verifying        : texlive-sistyle-10:svn59682-63.fc38.noarch       3035/3646 
  Verifying        : texlive-sitem-10:svn22136.1.0-63.fc38.noarch     3036/3646 
  Verifying        : texlive-siunits-10:svn59702-63.fc38.noarch       3037/3646 
  Verifying        : texlive-siunitx-10:svn65207-63.fc38.noarch       3038/3646 
  Verifying        : texlive-skak-10:svn61719-63.fc38.noarch          3039/3646 
  Verifying        : texlive-skb-10:svn22781.0.52-63.fc38.noarch      3040/3646 
  Verifying        : texlive-skdoc-10:svn56950-63.fc38.noarch         3041/3646 
  Verifying        : texlive-skeldoc-10:svn57922-63.fc38.noarch       3042/3646 
  Verifying        : texlive-skeycommand-10:svn24652.0.4-63.fc38.no   3043/3646 
  Verifying        : texlive-skeyval-10:svn30560.1.3-63.fc38.noarch   3044/3646 
  Verifying        : texlive-skills-10:svn56734-63.fc38.noarch        3045/3646 
  Verifying        : texlive-skmath-10:svn52411-63.fc38.noarch        3046/3646 
  Verifying        : texlive-skrapport-10:svn52412-63.fc38.noarch     3047/3646 
  Verifying        : texlive-skull-10:svn51907-63.fc38.noarch         3048/3646 
  Verifying        : texlive-slantsc-10:svn25007.2.11-63.fc38.noarc   3049/3646 
  Verifying        : texlive-smalltableof-10:svn20333.0-63.fc38.noa   3050/3646 
  Verifying        : texlive-smart-eqn-10:svn61719-63.fc38.noarch     3051/3646 
  Verifying        : texlive-smartdiagram-10:svn42781-63.fc38.noarc   3052/3646 
  Verifying        : texlive-smartref-10:svn20311.1.9-63.fc38.noarc   3053/3646 
  Verifying        : texlive-smartunits-10:svn39592-63.fc38.noarch    3054/3646 
  Verifying        : texlive-smflatex-10:svn58910-63.fc38.noarch      3055/3646 
  Verifying        : texlive-snapshot-10:svn56735-63.fc38.noarch      3056/3646 
  Verifying        : texlive-snaptodo-10:svn61155-63.fc38.noarch      3057/3646 
  Verifying        : texlive-snotez-10:svn61992-63.fc38.noarch        3058/3646 
  Verifying        : texlive-songbook-10:svn18136.4.5-63.fc38.noarc   3059/3646 
  Verifying        : texlive-sort-by-letters-10:svn27128.0-63.fc38.   3060/3646 
  Verifying        : texlive-soton-10:svn16215.0.1-63.fc38.noarch     3061/3646 
  Verifying        : texlive-soul-10:svn56495-63.fc38.noarch          3062/3646 
  Verifying        : texlive-soulpos-10:svn60772-63.fc38.noarch       3063/3646 
  Verifying        : texlive-soulutf8-10:svn53163-63.fc38.noarch      3064/3646 
  Verifying        : texlive-sourcecodepro-10:svn54512-63.fc38.noar   3065/3646 
  Verifying        : texlive-sourcesanspro-10:svn54892-63.fc38.noar   3066/3646 
  Verifying        : texlive-sourceserifpro-10:svn54512-63.fc38.noa   3067/3646 
  Verifying        : texlive-spacingtricks-10:svn60559-63.fc38.noar   3068/3646 
  Verifying        : texlive-spalign-10:svn42225-63.fc38.noarch       3069/3646 
  Verifying        : texlive-spark-otf-10:svn62481-63.fc38.noarch     3070/3646 
  Verifying        : texlive-sparklines-10:svn42821-63.fc38.noarch    3071/3646 
  Verifying        : texlive-spath3-10:svn64818-63.fc38.noarch        3072/3646 
  Verifying        : texlive-spbmark-10:svn64706-63.fc38.noarch       3073/3646 
  Verifying        : texlive-spectral-10:svn64528-63.fc38.noarch      3074/3646 
  Verifying        : texlive-spectralsequences-10:svn62261-63.fc38.   3075/3646 
  Verifying        : texlive-sphack-10:svn20842.0-63.fc38.noarch      3076/3646 
  Verifying        : texlive-sphdthesis-10:svn34374.1.0-63.fc38.noa   3077/3646 
  Verifying        : texlive-spie-10:svn15878.3.25-63.fc38.noarch     3078/3646 
  Verifying        : texlive-splitbib-10:svn15878.1.17-63.fc38.noar   3079/3646 
  Verifying        : texlive-spot-10:svn22408.1.1-63.fc38.noarch      3080/3646 
  Verifying        : texlive-spotcolor-10:svn15878.1.2-63.fc38.noar   3081/3646 
  Verifying        : texlive-spreadtab-10:svn50147-63.fc38.noarch     3082/3646 
  Verifying        : texlive-spverbatim-10:svn15878.v1.0-63.fc38.no   3083/3646 
  Verifying        : texlive-sr-vorl-10:svn59333-63.fc38.noarch       3084/3646 
  Verifying        : texlive-srbook-mem-10:svn45818-63.fc38.noarch    3085/3646 
  Verifying        : texlive-srbtiks-10:svn63308-63.fc38.noarch       3086/3646 
  Verifying        : texlive-srcltx-10:svn15878.1.6-63.fc38.noarch    3087/3646 
  Verifying        : texlive-srdp-mathematik-10:svn65293-63.fc38.no   3088/3646 
  Verifying        : texlive-sseq-10:svn31585.2.01-63.fc38.noarch     3089/3646 
  Verifying        : texlive-sslides-10:svn32293.0-63.fc38.noarch     3090/3646 
  Verifying        : texlive-stack-10:svn15878.1.00-63.fc38.noarch    3091/3646 
  Verifying        : texlive-stackengine-10:svn60019-63.fc38.noarch   3092/3646 
  Verifying        : texlive-standalone-10:svn64677-63.fc38.noarch    3093/3646 
  Verifying        : texlive-stanli-10:svn54512-63.fc38.noarch        3094/3646 
  Verifying        : texlive-starfont-10:svn19982.1.2-63.fc38.noarc   3095/3646 
  Verifying        : texlive-statex-10:svn20306.1.6-63.fc38.noarch    3096/3646 
  Verifying        : texlive-statex2-10:svn23961.2.1-63.fc38.noarch   3097/3646 
  Verifying        : texlive-statistics-10:svn52212-63.fc38.noarch    3098/3646 
  Verifying        : texlive-statistik-10:svn20334.0.03-63.fc38.noa   3099/3646 
  Verifying        : texlive-statmath-10:svn46925-63.fc38.noarch      3100/3646 
  Verifying        : texlive-staves-10:svn15878.0-63.fc38.noarch      3101/3646 
  Verifying        : texlive-stdclsdv-10:svn15878.1.1a-63.fc38.noar   3102/3646 
  Verifying        : texlive-stdpage-10:svn15878.0.6-63.fc38.noarch   3103/3646 
  Verifying        : texlive-stealcaps-10:svn64967-63.fc38.noarch     3104/3646 
  Verifying        : texlive-steinmetz-10:svn15878.1.0-63.fc38.noar   3105/3646 
  Verifying        : texlive-stellenbosch-10:svn36696.11a-63.fc38.n   3106/3646 
  Verifying        : texlive-step-10:svn57307-63.fc38.noarch          3107/3646 
  Verifying        : texlive-stepgreek-10:svn57074-63.fc38.noarch     3108/3646 
  Verifying        : texlive-stex-10:svn64383-63.fc38.noarch          3109/3646 
  Verifying        : texlive-stickstoo-10:svn60793-63.fc38.noarch     3110/3646 
  Verifying        : texlive-stix-10:svn54512-63.fc38.noarch          3111/3646 
  Verifying        : texlive-stix2-otf-10:svn58735-63.fc38.noarch     3112/3646 
  Verifying        : texlive-stix2-type1-10:svn57448-63.fc38.noarch   3113/3646 
  Verifying        : texlive-stmaryrd-10:svn22027.0-63.fc38.noarch    3114/3646 
  Verifying        : texlive-storebox-10:svn64967-63.fc38.noarch      3115/3646 
  Verifying        : texlive-storecmd-10:svn24431.0.0.2-63.fc38.noa   3116/3646 
  Verifying        : texlive-strands-10:svn59906-63.fc38.noarch       3117/3646 
  Verifying        : texlive-stringenc-10:svn52982-63.fc38.noarch     3118/3646 
  Verifying        : texlive-stringstrings-10:svn57097-63.fc38.noar   3119/3646 
  Verifying        : texlive-structmech-10:svn58985-63.fc38.noarch    3120/3646 
  Verifying        : texlive-struktex-10:svn47931-63.fc38.noarch      3121/3646 
  Verifying        : texlive-sttools-10:svn60736-63.fc38.noarch       3122/3646 
  Verifying        : texlive-stubs-10:svn19440.0.1.1-63.fc38.noarch   3123/3646 
  Verifying        : texlive-studenthandouts-10:svn43516-63.fc38.no   3124/3646 
  Verifying        : texlive-styledcmd-10:svn65262-63.fc38.noarch     3125/3646 
  Verifying        : texlive-subdepth-10:svn15878.0.1-63.fc38.noarc   3126/3646 
  Verifying        : texlive-subdocs-10:svn51480-63.fc38.noarch       3127/3646 
  Verifying        : texlive-subeqn-10:svn15878.2.0b-63.fc38.noarch   3128/3646 
  Verifying        : texlive-subeqnarray-10:svn15878.2.1c-63.fc38.n   3129/3646 
  Verifying        : texlive-subfig-10:svn15878.1.3-63.fc38.noarch    3130/3646 
  Verifying        : texlive-subfigmat-10:svn20308.1.0-63.fc38.noar   3131/3646 
  Verifying        : texlive-subfigure-10:svn15878.2.1.5-63.fc38.no   3132/3646 
  Verifying        : texlive-subfiles-10:svn56977-63.fc38.noarch      3133/3646 
  Verifying        : texlive-subfloat-10:svn29349.2.14-63.fc38.noar   3134/3646 
  Verifying        : texlive-substances-10:svn40989-63.fc38.noarch    3135/3646 
  Verifying        : texlive-substitutefont-10:svn32066.0.1.4-63.fc   3136/3646 
  Verifying        : texlive-substr-10:svn16117.1.2-63.fc38.noarch    3137/3646 
  Verifying        : texlive-subsupscripts-10:svn16080.1.0-63.fc38.   3138/3646 
  Verifying        : texlive-subtext-10:svn51273-63.fc38.noarch       3139/3646 
  Verifying        : texlive-suftesi-10:svn60991-63.fc38.noarch       3140/3646 
  Verifying        : texlive-sugconf-10:svn58752-63.fc38.noarch       3141/3646 
  Verifying        : texlive-superiors-10:svn51909-63.fc38.noarch     3142/3646 
  Verifying        : texlive-supertabular-10:svn53658-63.fc38.noarc   3143/3646 
  Verifying        : texlive-suppose-10:svn59281-63.fc38.noarch       3144/3646 
  Verifying        : texlive-susy-10:svn19440.0-63.fc38.noarch        3145/3646 
  Verifying        : texlive-svg-10:svn57010-63.fc38.noarch           3146/3646 
  Verifying        : texlive-svgcolor-10:svn15878.1.0-63.fc38.noarc   3147/3646 
  Verifying        : texlive-svn-prov-10:svn64967-63.fc38.noarch      3148/3646 
  Verifying        : texlive-svn-10:svn15878.43-63.fc38.noarch        3149/3646 
  Verifying        : texlive-svninfo-10:svn62157-63.fc38.noarch       3150/3646 
  Verifying        : texlive-svrsymbols-10:svn50019-63.fc38.noarch    3151/3646 
  Verifying        : texlive-swfigure-10:svn63255-63.fc38.noarch      3152/3646 
  Verifying        : texlive-swimgraf-10:svn25446.0-63.fc38.noarch    3153/3646 
  Verifying        : texlive-swungdash-10:svn64204-63.fc38.noarch     3154/3646 
  Verifying        : texlive-syllogism-10:svn15878.1.2-63.fc38.noar   3155/3646 
  Verifying        : texlive-symbats3-10:svn63833-63.fc38.noarch      3156/3646 
  Verifying        : texlive-symbol-10:svn61719-63.fc38.noarch        3157/3646 
  Verifying        : texlive-sympytexpackage-10:svn57090-63.fc38.no   3158/3646 
  Verifying        : texlive-synproof-10:svn15878.1.0-63.fc38.noarc   3159/3646 
  Verifying        : texlive-syntax-10:svn15878.0-63.fc38.noarch      3160/3646 
  Verifying        : texlive-syntaxdi-10:svn56685-63.fc38.noarch      3161/3646 
  Verifying        : texlive-syntrace-10:svn15878.1.1-63.fc38.noarc   3162/3646 
  Verifying        : texlive-synttree-10:svn16252.1.4.2-63.fc38.noa   3163/3646 
  Verifying        : texlive-t-angles-10:svn15878.0-63.fc38.noarch    3164/3646 
  Verifying        : texlive-tabbing-10:svn59715-63.fc38.noarch       3165/3646 
  Verifying        : texlive-tabfigures-10:svn25202.1.1-63.fc38.noa   3166/3646 
  Verifying        : texlive-table-fct-10:svn41849-63.fc38.noarch     3167/3646 
  Verifying        : texlive-tableaux-10:svn42413-63.fc38.noarch      3168/3646 
  Verifying        : texlive-tablefootnote-10:svn32804.1.1c-63.fc38   3169/3646 
  Verifying        : texlive-tableof-10:svn59837-63.fc38.noarch       3170/3646 
  Verifying        : texlive-tablestyles-10:svn34495.0-63.fc38.noar   3171/3646 
  Verifying        : texlive-tablists-10:svn15878.0.0e-63.fc38.noar   3172/3646 
  Verifying        : texlive-tablor-10:svn31855.4.07_g-63.fc38.noar   3173/3646 
  Verifying        : texlive-tabls-10:svn17255.3.5-63.fc38.noarch     3174/3646 
  Verifying        : texlive-tablvar-10:svn51543-63.fc38.noarch       3175/3646 
  Verifying        : texlive-tabriz-thesis-10:svn51729-63.fc38.noar   3176/3646 
  Verifying        : texlive-tabstackengine-10:svn46848-63.fc38.noa   3177/3646 
  Verifying        : texlive-tabto-ltx-10:svn54080-63.fc38.noarch     3178/3646 
  Verifying        : texlive-tabu-10:svn61719-63.fc38.noarch          3179/3646 
  Verifying        : texlive-tabularborder-10:svn17885.1.0a-63.fc38   3180/3646 
  Verifying        : texlive-tabularcalc-10:svn15878.0.2-63.fc38.no   3181/3646 
  Verifying        : texlive-tabularew-10:svn15878.0.1-63.fc38.noar   3182/3646 
  Verifying        : texlive-tabularray-10:svn64891-63.fc38.noarch    3183/3646 
  Verifying        : texlive-tabulary-10:svn34368.0.10-63.fc38.noar   3184/3646 
  Verifying        : texlive-tagging-10:svn52064-63.fc38.noarch       3185/3646 
  Verifying        : texlive-tagpair-10:svn42138-63.fc38.noarch       3186/3646 
  Verifying        : texlive-tagpdf-10:svn65346-63.fc38.noarch        3187/3646 
  Verifying        : texlive-talk-10:svn42428-63.fc38.noarch          3188/3646 
  Verifying        : texlive-tamefloats-10:svn27345.v0.42-63.fc38.n   3189/3646 
  Verifying        : texlive-tapir-10:svn20484.0.2-63.fc38.noarch     3190/3646 
  Verifying        : texlive-tasks-10:svn61541-63.fc38.noarch         3191/3646 
  Verifying        : texlive-tcldoc-10:svn22018.2.40-63.fc38.noarch   3192/3646 
  Verifying        : texlive-tcolorbox-10:svn63713-63.fc38.noarch     3193/3646 
  Verifying        : texlive-tdclock-10:svn33043.v2.5-63.fc38.noarc   3194/3646 
  Verifying        : texlive-technics-10:svn29349.1.0-63.fc38.noarc   3195/3646 
  Verifying        : texlive-technion-thesis-template-10:svn49889-6   3196/3646 
  Verifying        : texlive-ted-10:svn15878.1.06-63.fc38.noarch      3197/3646 
  Verifying        : texlive-templatetools-10:svn34495.0-63.fc38.no   3198/3646 
  Verifying        : texlive-tempora-10:svn39596-63.fc38.noarch       3199/3646 
  Verifying        : texlive-tengwarscript-10:svn34594.1.3.1-63.fc3   3200/3646 
  Verifying        : texlive-tensind-10:svn51481-63.fc38.noarch       3201/3646 
  Verifying        : texlive-tensor-10:svn15878.2.1-63.fc38.noarch    3202/3646 
  Verifying        : texlive-termcal-10:svn22514.1.8-63.fc38.noarch   3203/3646 
  Verifying        : texlive-termes-otf-10:svn64733-63.fc38.noarch    3204/3646 
  Verifying        : texlive-termlist-10:svn18923.1.1-63.fc38.noarc   3205/3646 
  Verifying        : texlive-termsim-10:svn61414-63.fc38.noarch       3206/3646 
  Verifying        : texlive-testhyphens-10:svn38928-63.fc38.noarch   3207/3646 
  Verifying        : texlive-testidx-10:svn60966-63.fc38.noarch       3208/3646 
  Verifying        : texlive-tex-ewd-10:svn15878.0-63.fc38.noarch     3209/3646 
  Verifying        : texlive-tex-gyre-math-10:svn41264-63.fc38.noar   3210/3646 
  Verifying        : texlive-tex-gyre-10:svn48058-63.fc38.noarch      3211/3646 
  Verifying        : texlive-tex-ini-files-10:svn40533-63.fc38.noar   3212/3646 
  Verifying        : texlive-tex-label-10:svn16372.0-63.fc38.noarch   3213/3646 
  Verifying        : texlive-tex-locale-10:svn48500-63.fc38.noarch    3214/3646 
  Verifying        : texlive-texdraw-10:svn64477-63.fc38.noarch       3215/3646 
  Verifying        : texlive-texilikechaps-10:svn28553.1.0a-63.fc38   3216/3646 
  Verifying        : texlive-texilikecover-10:svn15878.0.1-63.fc38.   3217/3646 
  Verifying        : texlive-texlive-common-doc-10:svn54176-63.fc38   3218/3646 
  Verifying        : texlive-texlive-msg-translations-10:svn63700-6   3219/3646 
  Verifying        : texlive-texlogos-10:svn19083.1.3.1-63.fc38.noa   3220/3646 
  Verifying        : texlive-texmate-10:svn15878.2-63.fc38.noarch     3221/3646 
  Verifying        : texlive-texments-10:svn15878.0.2.0-63.fc38.noa   3222/3646 
  Verifying        : texlive-texpower-10:svn29349.0.2-63.fc38.noarc   3223/3646 
  Verifying        : texlive-texshade-10:svn64242-63.fc38.noarch      3224/3646 
  Verifying        : texlive-texsurgery-10:svn59885-63.fc38.noarch    3225/3646 
  Verifying        : texlive-textcase-10:svn63868-63.fc38.noarch      3226/3646 
  Verifying        : texlive-textcsc-10:svn64935-63.fc38.noarch       3227/3646 
  Verifying        : texlive-textfit-10:svn20591.5-63.fc38.noarch     3228/3646 
  Verifying        : texlive-textgreek-10:svn44192-63.fc38.noarch     3229/3646 
  Verifying        : texlive-textmerg-10:svn20677.2.01-63.fc38.noar   3230/3646 
  Verifying        : texlive-textopo-10:svn23796.1.5-63.fc38.noarch   3231/3646 
  Verifying        : texlive-textpos-10:svn63967-63.fc38.noarch       3232/3646 
  Verifying        : texlive-textualicomma-10:svn48474-63.fc38.noar   3233/3646 
  Verifying        : texlive-texvc-10:svn46844-63.fc38.noarch         3234/3646 
  Verifying        : texlive-tfrupee-10:svn20770.1.02-63.fc38.noarc   3235/3646 
  Verifying        : texlive-theanodidot-10:svn64518-63.fc38.noarch   3236/3646 
  Verifying        : texlive-theanomodern-10:svn64520-63.fc38.noarc   3237/3646 
  Verifying        : texlive-theanooldstyle-10:svn64519-63.fc38.noa   3238/3646 
  Verifying        : texlive-theoremref-10:svn54512-63.fc38.noarch    3239/3646 
  Verifying        : texlive-thermodynamics-10:svn63188-63.fc38.noa   3240/3646 
  Verifying        : texlive-thesis-ekf-10:svn60228-63.fc38.noarch    3241/3646 
  Verifying        : texlive-thesis-gwu-10:svn54287-63.fc38.noarch    3242/3646 
  Verifying        : texlive-thesis-qom-10:svn63524-63.fc38.noarch    3243/3646 
  Verifying        : texlive-thesis-titlepage-fhac-10:svn15878.0.1-   3244/3646 
  Verifying        : texlive-thinsp-10:svn39669-63.fc38.noarch        3245/3646 
  Verifying        : texlive-thmbox-10:svn15878.0-63.fc38.noarch      3246/3646 
  Verifying        : texlive-thmtools-10:svn63477-63.fc38.noarch      3247/3646 
  Verifying        : texlive-threadcol-10:svn28754.1.0-63.fc38.noar   3248/3646 
  Verifying        : texlive-threeparttable-10:svn17383.0-63.fc38.n   3249/3646 
  Verifying        : texlive-threeparttablex-10:svn34206.0.3-63.fc3   3250/3646 
  Verifying        : texlive-thuaslogos-10:svn51347-63.fc38.noarch    3251/3646 
  Verifying        : texlive-thubeamer-10:svn61071-63.fc38.noarch     3252/3646 
  Verifying        : texlive-thucoursework-10:svn56435-63.fc38.noar   3253/3646 
  Verifying        : texlive-thumb-10:svn16549.1.0-63.fc38.noarch     3254/3646 
  Verifying        : texlive-thumbs-10:svn33134.1.0q-63.fc38.noarch   3255/3646 
  Verifying        : texlive-thumby-10:svn16736.0.1-63.fc38.noarch    3256/3646 
  Verifying        : texlive-thuthesis-10:svn64628-63.fc38.noarch     3257/3646 
  Verifying        : texlive-ticket-10:svn42280-63.fc38.noarch        3258/3646 
  Verifying        : texlive-ticollege-10:svn36306.1.0-63.fc38.noar   3259/3646 
  Verifying        : texlive-tikz-3dplot-10:svn25087.0-63.fc38.noar   3260/3646 
  Verifying        : texlive-tikz-among-us-10:svn60880-63.fc38.noar   3261/3646 
  Verifying        : texlive-tikz-bagua-10:svn64103-63.fc38.noarch    3262/3646 
  Verifying        : texlive-tikz-bayesnet-10:svn38295.0.1-63.fc38.   3263/3646 
  Verifying        : texlive-tikz-bbox-10:svn57444-63.fc38.noarch     3264/3646 
  Verifying        : texlive-tikz-cd-10:svn59133-63.fc38.noarch       3265/3646 
  Verifying        : texlive-tikz-dependency-10:svn54512-63.fc38.no   3266/3646 
  Verifying        : texlive-tikz-dimline-10:svn35805.1.0-63.fc38.n   3267/3646 
  Verifying        : texlive-tikz-ext-10:svn64920-63.fc38.noarch      3268/3646 
  Verifying        : texlive-tikz-feynhand-10:svn51915-63.fc38.noar   3269/3646 
  Verifying        : texlive-tikz-feynman-10:svn56615-63.fc38.noarc   3270/3646 
  Verifying        : texlive-tikz-imagelabels-10:svn51490-63.fc38.n   3271/3646 
  Verifying        : texlive-tikz-inet-10:svn15878.0.1-63.fc38.noar   3272/3646 
  Verifying        : texlive-tikz-kalender-10:svn52890-63.fc38.noar   3273/3646 
  Verifying        : texlive-tikz-karnaugh-10:svn62040-63.fc38.noar   3274/3646 
  Verifying        : texlive-tikz-ladder-10:svn62992-63.fc38.noarch   3275/3646 
  Verifying        : texlive-tikz-lake-fig-10:svn55288-63.fc38.noar   3276/3646 
  Verifying        : texlive-tikz-layers-10:svn46660-63.fc38.noarch   3277/3646 
  Verifying        : texlive-tikz-mirror-lens-10:svn65372-63.fc38.n   3278/3646 
  Verifying        : texlive-tikz-nef-10:svn55920-63.fc38.noarch      3279/3646 
  Verifying        : texlive-tikz-network-10:svn51884-63.fc38.noarc   3280/3646 
  Verifying        : texlive-tikz-opm-10:svn32769.0.1.1-63.fc38.noa   3281/3646 
  Verifying        : texlive-tikz-optics-10:svn62977-63.fc38.noarch   3282/3646 
  Verifying        : texlive-tikz-page-10:svn42039-63.fc38.noarch     3283/3646 
  Verifying        : texlive-tikz-palattice-10:svn43442-63.fc38.noa   3284/3646 
  Verifying        : texlive-tikz-planets-10:svn55002-63.fc38.noarc   3285/3646 
  Verifying        : texlive-tikz-qtree-10:svn26108.1.2-63.fc38.noa   3286/3646 
  Verifying        : texlive-tikz-relay-10:svn64072-63.fc38.noarch    3287/3646 
  Verifying        : texlive-tikz-sfc-10:svn49424-63.fc38.noarch      3288/3646 
  Verifying        : texlive-tikz-swigs-10:svn59889-63.fc38.noarch    3289/3646 
  Verifying        : texlive-tikz-timing-10:svn64967-63.fc38.noarch   3290/3646 
  Verifying        : texlive-tikz-trackschematic-10:svn63480-63.fc3   3291/3646 
  Verifying        : texlive-tikz-truchet-10:svn50020-63.fc38.noarc   3292/3646 
  Verifying        : texlive-tikzbricks-10:svn63952-63.fc38.noarch    3293/3646 
  Verifying        : texlive-tikzcodeblocks-10:svn54758-63.fc38.noa   3294/3646 
  Verifying        : texlive-tikzducks-10:svn55713-63.fc38.noarch     3295/3646 
  Verifying        : texlive-tikzfill-10:svn63947-63.fc38.noarch      3296/3646 
  Verifying        : texlive-tikzinclude-10:svn28715.1.0-63.fc38.no   3297/3646 
  Verifying        : texlive-tikzlings-10:svn63628-63.fc38.noarch     3298/3646 
  Verifying        : texlive-tikzmark-10:svn64819-63.fc38.noarch      3299/3646 
  Verifying        : texlive-tikzmarmots-10:svn54080-63.fc38.noarch   3300/3646 
  Verifying        : texlive-tikzorbital-10:svn36439.0-63.fc38.noar   3301/3646 
  Verifying        : texlive-tikzpackets-10:svn55827-63.fc38.noarch   3302/3646 
  Verifying        : texlive-tikzpagenodes-10:svn64967-63.fc38.noar   3303/3646 
  Verifying        : texlive-tikzpeople-10:svn43978-63.fc38.noarch    3304/3646 
  Verifying        : texlive-tikzpfeile-10:svn25777.1.0-63.fc38.noa   3305/3646 
  Verifying        : texlive-tikzpingus-10:svn64199-63.fc38.noarch    3306/3646 
  Verifying        : texlive-tikzposter-10:svn32732.2.0-63.fc38.noa   3307/3646 
  Verifying        : texlive-tikzscale-10:svn30637.0.2.6-63.fc38.no   3308/3646 
  Verifying        : texlive-tikzsymbols-10:svn61300-63.fc38.noarch   3309/3646 
  Verifying        : texlive-tile-graphic-10:svn55325-63.fc38.noarc   3310/3646 
  Verifying        : texlive-timbreicmc-10:svn49740-63.fc38.noarch    3311/3646 
  Verifying        : texlive-times-10:svn61719-63.fc38.noarch         3312/3646 
  Verifying        : texlive-timing-diagrams-10:svn31491.0-63.fc38.   3313/3646 
  Verifying        : texlive-tinos-10:svn64504-63.fc38.noarch         3314/3646 
  Verifying        : texlive-tipa-10:svn29349.1.3-63.fc38.noarch      3315/3646 
  Verifying        : texlive-tipauni-10:svn64774-63.fc38.noarch       3316/3646 
  Verifying        : texlive-tipfr-doc-10:svn38646-63.fc38.noarch     3317/3646 
  Verifying        : texlive-tiscreen-10:svn62602-63.fc38.noarch      3318/3646 
  Verifying        : texlive-titlecaps-10:svn63020-63.fc38.noarch     3319/3646 
  Verifying        : texlive-titlefoot-10:svn15878.0-63.fc38.noarch   3320/3646 
  Verifying        : texlive-titlepic-10:svn43497-63.fc38.noarch      3321/3646 
  Verifying        : texlive-titleref-10:svn18729.3.1-63.fc38.noarc   3322/3646 
  Verifying        : texlive-titlesec-10:svn59845-63.fc38.noarch      3323/3646 
  Verifying        : texlive-titling-10:svn15878.2.1d-63.fc38.noarc   3324/3646 
  Verifying        : texlive-tkz-base-10:svn63906-63.fc38.noarch      3325/3646 
  Verifying        : texlive-tkz-berge-10:svn57485-63.fc38.noarch     3326/3646 
  Verifying        : texlive-tkz-doc-10:svn63902-63.fc38.noarch       3327/3646 
  Verifying        : texlive-tkz-euclide-10:svn64491-63.fc38.noarch   3328/3646 
  Verifying        : texlive-tkz-fct-10:svn61949-63.fc38.noarch       3329/3646 
  Verifying        : texlive-tkz-graph-10:svn57484-63.fc38.noarch     3330/3646 
  Verifying        : texlive-tkz-orm-10:svn61719-63.fc38.noarch       3331/3646 
  Verifying        : texlive-tkz-tab-10:svn54940-63.fc38.noarch       3332/3646 
  Verifying        : texlive-tkzexample-10:svn63908-63.fc38.noarch    3333/3646 
  Verifying        : texlive-tlc-article-10:svn51431-63.fc38.noarch   3334/3646 
  Verifying        : texlive-to-be-determined-10:svn64882-63.fc38.n   3335/3646 
  Verifying        : texlive-tocbibind-10:svn20085.1.5k-63.fc38.noa   3336/3646 
  Verifying        : texlive-tocdata-10:svn55852-63.fc38.noarch       3337/3646 
  Verifying        : texlive-tocloft-10:svn53364-63.fc38.noarch       3338/3646 
  Verifying        : texlive-tocvsec2-10:svn33146.1.3a-63.fc38.noar   3339/3646 
  Verifying        : texlive-todo-10:svn17746.2.142-63.fc38.noarch    3340/3646 
  Verifying        : texlive-todonotes-10:svn59465-63.fc38.noarch     3341/3646 
  Verifying        : texlive-tokcycle-10:svn60320-63.fc38.noarch      3342/3646 
  Verifying        : texlive-tokenizer-10:svn15878.1.1.0-63.fc38.no   3343/3646 
  Verifying        : texlive-tonevalue-10:svn60058-63.fc38.noarch     3344/3646 
  Verifying        : texlive-toolbox-10:svn32260.5.1-63.fc38.noarch   3345/3646 
  Verifying        : texlive-tools-10:svn64892-63.fc38.noarch         3346/3646 
  Verifying        : texlive-topfloat-10:svn19084.0-63.fc38.noarch    3347/3646 
  Verifying        : texlive-topiclongtable-10:svn54758-63.fc38.noa   3348/3646 
  Verifying        : texlive-topletter-10:svn48182-63.fc38.noarch     3349/3646 
  Verifying        : texlive-toptesi-10:svn56276-63.fc38.noarch       3350/3646 
  Verifying        : texlive-totalcount-10:svn56214-63.fc38.noarch    3351/3646 
  Verifying        : texlive-totcount-10:svn21178.1.2-63.fc38.noarc   3352/3646 
  Verifying        : texlive-totpages-10:svn15878.2.00-63.fc38.noar   3353/3646 
  Verifying        : texlive-tpslifonts-10:svn42428-63.fc38.noarch    3354/3646 
  Verifying        : texlive-tqft-10:svn44455-63.fc38.noarch          3355/3646 
  Verifying        : texlive-tracklang-10:svn65263-63.fc38.noarch     3356/3646 
  Verifying        : texlive-trajan-10:svn15878.1.1-63.fc38.noarch    3357/3646 
  Verifying        : texlive-translations-10:svn61896-63.fc38.noarc   3358/3646 
  Verifying        : texlive-translator-10:svn59412-63.fc38.noarch    3359/3646 
  Verifying        : texlive-transparent-10:svn64852-63.fc38.noarch   3360/3646 
  Verifying        : texlive-tree-dvips-10:svn21751.91-63.fc38.noar   3361/3646 
  Verifying        : texlive-trfsigns-10:svn15878.1.01-63.fc38.noar   3362/3646 
  Verifying        : texlive-trimspaces-10:svn15878.1.1-63.fc38.noa   3363/3646 
  Verifying        : texlive-trivfloat-10:svn15878.1.3b-63.fc38.noa   3364/3646 
  Verifying        : texlive-trsym-10:svn18732.1.0-63.fc38.noarch     3365/3646 
  Verifying        : texlive-truncate-10:svn18921.3.6-63.fc38.noarc   3366/3646 
  Verifying        : texlive-tsemlines-10:svn23440.1.0-63.fc38.noar   3367/3646 
  Verifying        : texlive-tucv-10:svn20680.1.0-63.fc38.noarch      3368/3646 
  Verifying        : texlive-tuda-ci-10:svn65254-63.fc38.noarch       3369/3646 
  Verifying        : texlive-tudscr-10:svn64085-63.fc38.noarch        3370/3646 
  Verifying        : texlive-tufte-latex-10:svn37649.3.5.2-63.fc38.   3371/3646 
  Verifying        : texlive-tugboat-plain-10:svn63386-63.fc38.noar   3372/3646 
  Verifying        : texlive-tugboat-10:svn63386-63.fc38.noarch       3373/3646 
  Verifying        : texlive-tui-10:svn27253.1.9-63.fc38.noarch       3374/3646 
  Verifying        : texlive-turabian-formatting-10:svn58561-63.fc3   3375/3646 
  Verifying        : texlive-turabian-10:svn36298.0.1.0-63.fc38.noa   3376/3646 
  Verifying        : texlive-turnstile-10:svn64967-63.fc38.noarch     3377/3646 
  Verifying        : texlive-turnthepage-10:svn29803.1.3a-63.fc38.n   3378/3646 
  Verifying        : texlive-twemoji-colr-10:svn64854-63.fc38.noarc   3379/3646 
  Verifying        : texlive-twemojis-10:svn62930-63.fc38.noarch      3380/3646 
  Verifying        : texlive-twoinone-10:svn17024.0-63.fc38.noarch    3381/3646 
  Verifying        : texlive-twoup-10:svn15878.1.3-63.fc38.noarch     3382/3646 
  Verifying        : texlive-txfonts-10:svn15878.0-63.fc38.noarch     3383/3646 
  Verifying        : texlive-txfontsb-10:svn54512-63.fc38.noarch      3384/3646 
  Verifying        : texlive-txgreeks-10:svn21839.1.0-63.fc38.noarc   3385/3646 
  Verifying        : texlive-txuprcal-10:svn43327-63.fc38.noarch      3386/3646 
  Verifying        : texlive-type1cm-10:svn21820.0-63.fc38.noarch     3387/3646 
  Verifying        : texlive-typed-checklist-10:svn63445-63.fc38.no   3388/3646 
  Verifying        : texlive-typeface-10:svn27046.0.1-63.fc38.noarc   3389/3646 
  Verifying        : texlive-typehtml-10:svn17134.0-63.fc38.noarch    3390/3646 
  Verifying        : texlive-typicons-10:svn37623.2.0.7-63.fc38.noa   3391/3646 
  Verifying        : texlive-typoaid-10:svn44238-63.fc38.noarch       3392/3646 
  Verifying        : texlive-typogrid-10:svn24994.0.21-63.fc38.noar   3393/3646 
  Verifying        : texlive-tzplot-10:svn64537-63.fc38.noarch        3394/3646 
  Verifying        : texlive-uaclasses-10:svn15878.0-63.fc38.noarch   3395/3646 
  Verifying        : texlive-uafthesis-10:svn57349-63.fc38.noarch     3396/3646 
  Verifying        : texlive-uantwerpendocs-10:svn64165-63.fc38.noa   3397/3646 
  Verifying        : texlive-uassign-10:svn38459-63.fc38.noarch       3398/3646 
  Verifying        : texlive-ucalgmthesis-10:svn52527-63.fc38.noarc   3399/3646 
  Verifying        : texlive-ucbthesis-10:svn51690-63.fc38.noarch     3400/3646 
  Verifying        : texlive-ucdavisthesis-10:svn40772-63.fc38.noar   3401/3646 
  Verifying        : texlive-ucharcat-10:svn38907-63.fc38.noarch      3402/3646 
  Verifying        : texlive-ucs-10:svn64114-63.fc38.noarch           3403/3646 
  Verifying        : texlive-ucsmonograph-10:svn52698-63.fc38.noarc   3404/3646 
  Verifying        : texlive-ucthesis-10:svn15878.3.2-63.fc38.noarc   3405/3646 
  Verifying        : texlive-udes-genie-these-10:svn65039-63.fc38.n   3406/3646 
  Verifying        : texlive-uebungsblatt-10:svn15878.1.5.0-63.fc38   3407/3646 
  Verifying        : texlive-uestcthesis-10:svn36371.1.1.0-63.fc38.   3408/3646 
  Verifying        : texlive-ufrgscca-10:svn65284-63.fc38.noarch      3409/3646 
  Verifying        : texlive-uhc-10:svn16791.0-63.fc38.noarch         3410/3646 
  Verifying        : texlive-uhhassignment-10:svn44026-63.fc38.noar   3411/3646 
  Verifying        : texlive-uiucredborder-10:svn29974.1.00-63.fc38   3412/3646 
  Verifying        : texlive-uiucthesis-10:svn15878.2.25-63.fc38.no   3413/3646 
  Verifying        : texlive-ukbill-10:svn65266-63.fc38.noarch        3414/3646 
  Verifying        : texlive-ulem-10:svn53365-63.fc38.noarch          3415/3646 
  Verifying        : texlive-ulthese-10:svn60217-63.fc38.noarch       3416/3646 
  Verifying        : texlive-umbclegislation-10:svn41348-63.fc38.no   3417/3646 
  Verifying        : texlive-umich-thesis-10:svn15878.1.20-63.fc38.   3418/3646 
  Verifying        : texlive-umoline-10:svn19085.0-63.fc38.noarch     3419/3646 
  Verifying        : texlive-umthesis-10:svn15878.0.2-63.fc38.noarc   3420/3646 
  Verifying        : texlive-umtypewriter-10:svn64443-63.fc38.noarc   3421/3646 
  Verifying        : texlive-unam-thesis-10:svn51207-63.fc38.noarch   3422/3646 
  Verifying        : texlive-unamth-template-doc-10:svn33625.2.0-63   3423/3646 
  Verifying        : texlive-unamthesis-10:svn43639-63.fc38.noarch    3424/3646 
  Verifying        : texlive-unbtex-10:svn64634-63.fc38.noarch        3425/3646 
  Verifying        : texlive-underlin-10:svn15878.1.01-63.fc38.noar   3426/3646 
  Verifying        : texlive-underoverlap-10:svn29019.0.0.1_r1-63.f   3427/3646 
  Verifying        : texlive-underscore-10:svn18261.0-63.fc38.noarc   3428/3646 
  Verifying        : texlive-undolabl-10:svn36681.1.0l-63.fc38.noar   3429/3646 
  Verifying        : texlive-uni-titlepage-10:svn64306-63.fc38.noar   3430/3646 
  Verifying        : texlive-uni-wtal-ger-10:svn31541.0.2-63.fc38.n   3431/3646 
  Verifying        : texlive-uni-wtal-lin-10:svn31409.0.2-63.fc38.n   3432/3646 
  Verifying        : texlive-unicode-data-10:svn64423-63.fc38.noarc   3433/3646 
  Verifying        : texlive-unicode-math-10:svn61719-63.fc38.noarc   3434/3646 
  Verifying        : texlive-unicodefonttable-10:svn65009-63.fc38.n   3435/3646 
  Verifying        : texlive-unifith-10:svn60698-63.fc38.noarch       3436/3646 
  Verifying        : texlive-unigrazpub-10:svn64797-63.fc38.noarch    3437/3646 
  Verifying        : texlive-uniquecounter-10:svn53162-63.fc38.noar   3438/3646 
  Verifying        : texlive-unisc-10:svn63178-63.fc38.noarch         3439/3646 
  Verifying        : texlive-unitconv-10:svn55060-63.fc38.noarch      3440/3646 
  Verifying        : texlive-unitn-bimrep-10:svn45581-63.fc38.noarc   3441/3646 
  Verifying        : texlive-units-10:svn42428-63.fc38.noarch         3442/3646 
  Verifying        : texlive-unitsdef-10:svn15878.0.2-63.fc38.noarc   3443/3646 
  Verifying        : texlive-universa-10:svn51984-63.fc38.noarch      3444/3646 
  Verifying        : texlive-universalis-10:svn64505-63.fc38.noarch   3445/3646 
  Verifying        : texlive-univie-ling-10:svn65214-63.fc38.noarch   3446/3646 
  Verifying        : texlive-unizgklasa-10:svn51647-63.fc38.noarch    3447/3646 
  Verifying        : texlive-unravel-10:svn59175-63.fc38.noarch       3448/3646 
  Verifying        : texlive-unswcover-10:svn29476.1.0-63.fc38.noar   3449/3646 
  Verifying        : texlive-uothesis-10:svn25355.2.5.6-63.fc38.noa   3450/3646 
  Verifying        : texlive-uowthesis-10:svn19700.1.0a-63.fc38.noa   3451/3646 
  Verifying        : texlive-uowthesistitlepage-10:svn54512-63.fc38   3452/3646 
  Verifying        : texlive-uplatex-10:svn65305-63.fc38.noarch       3453/3646 
  Verifying        : texlive-upmethodology-10:svn64613-63.fc38.noar   3454/3646 
  Verifying        : texlive-uppunctlm-10:svn42334-63.fc38.noarch     3455/3646 
  Verifying        : texlive-upquote-10:svn26059.v1.3-63.fc38.noarc   3456/3646 
  Verifying        : texlive-uptex-base-10:svn64072-63.fc38.noarch    3457/3646 
  Verifying        : texlive-uptex-fonts-10:svn62592-63.fc38.noarch   3458/3646 
  Verifying        : texlive-urcls-10:svn49903-63.fc38.noarch         3459/3646 
  Verifying        : texlive-uri-10:svn48602-63.fc38.noarch           3460/3646 
  Verifying        : texlive-url-10:svn32528.3.4-63.fc38.noarch       3461/3646 
  Verifying        : texlive-urwchancal-10:svn21701.1-63.fc38.noarc   3462/3646 
  Verifying        : texlive-usebib-10:svn25969.1.0a-63.fc38.noarch   3463/3646 
  Verifying        : texlive-ushort-10:svn32261.2.2-63.fc38.noarch    3464/3646 
  Verifying        : texlive-uspace-10:svn63123-63.fc38.noarch        3465/3646 
  Verifying        : texlive-uspatent-10:svn27744.1.0-63.fc38.noarc   3466/3646 
  Verifying        : texlive-ut-thesis-10:svn64491-63.fc38.noarch     3467/3646 
  Verifying        : texlive-utexasthesis-10:svn48648-63.fc38.noarc   3468/3646 
  Verifying        : texlive-utf8add-10:svn61074-63.fc38.noarch       3469/3646 
  Verifying        : texlive-utfsym-10:svn63076-63.fc38.noarch        3470/3646 
  Verifying        : texlive-utopia-10:svn15878.0-63.fc38.noarch      3471/3646 
  Verifying        : texlive-uwa-colours-10:svn60443-63.fc38.noarch   3472/3646 
  Verifying        : texlive-uwa-letterhead-10:svn64491-63.fc38.noa   3473/3646 
  Verifying        : texlive-uwa-pcf-10:svn64491-63.fc38.noarch       3474/3646 
  Verifying        : texlive-uwa-pif-10:svn64491-63.fc38.noarch       3475/3646 
  Verifying        : texlive-uwthesis-10:svn15878.6.13-63.fc38.noar   3476/3646 
  Verifying        : texlive-vak-10:svn23431.0-63.fc38.noarch         3477/3646 
  Verifying        : texlive-vancouver-10:svn59192-63.fc38.noarch     3478/3646 
  Verifying        : texlive-variablelm-10:svn60014-63.fc38.noarch    3479/3646 
  Verifying        : texlive-varindex-10:svn32262.2.3-63.fc38.noarc   3480/3646 
  Verifying        : texlive-varsfromjobname-10:svn44154-63.fc38.no   3481/3646 
  Verifying        : texlive-varwidth-10:svn24104.0.92-63.fc38.noar   3482/3646 
  Verifying        : texlive-vcell-10:svn59039-63.fc38.noarch         3483/3646 
  Verifying        : texlive-vdmlisting-10:svn56905-63.fc38.noarch    3484/3646 
  Verifying        : texlive-venn-10:svn15878.0-63.fc38.noarch        3485/3646 
  Verifying        : texlive-venndiagram-10:svn47952-63.fc38.noarch   3486/3646 
  Verifying        : texlive-venturisadf-10:svn19444.1.005-63.fc38.   3487/3646 
  Verifying        : texlive-verbasef-10:svn21922.1.1-63.fc38.noarc   3488/3646 
  Verifying        : texlive-verbatimbox-10:svn33197.3.13-63.fc38.n   3489/3646 
  Verifying        : texlive-verbatimcopy-10:svn15878.0.06-63.fc38.   3490/3646 
  Verifying        : texlive-verbdef-10:svn17177.0.2-63.fc38.noarch   3491/3646 
  Verifying        : texlive-verbments-10:svn23670.1.2-63.fc38.noar   3492/3646 
  Verifying        : texlive-verifiche-10:svn64425-63.fc38.noarch     3493/3646 
  Verifying        : texlive-verse-10:svn34017.2.4b-63.fc38.noarch    3494/3646 
  Verifying        : texlive-version-10:svn21920.2.0-63.fc38.noarch   3495/3646 
  Verifying        : texlive-versions-10:svn21921.0.55-63.fc38.noar   3496/3646 
  Verifying        : texlive-versonotes-10:svn55777-63.fc38.noarch    3497/3646 
  Verifying        : texlive-vertbars-10:svn49429-63.fc38.noarch      3498/3646 
  Verifying        : texlive-vgrid-10:svn32457.0.1-63.fc38.noarch     3499/3646 
  Verifying        : texlive-vhistory-10:svn61719-63.fc38.noarch      3500/3646 
  Verifying        : texlive-visualpstricks-doc-10:svn39799-63.fc38   3501/3646 
  Verifying        : texlive-vmargin-10:svn15878.2.5-63.fc38.noarch   3502/3646 
  Verifying        : texlive-volumes-10:svn15878.1.0-63.fc38.noarch   3503/3646 
  Verifying        : texlive-vruler-10:svn21598.2.3-63.fc38.noarch    3504/3646 
  Verifying        : texlive-vtable-10:svn51126-63.fc38.noarch        3505/3646 
  Verifying        : texlive-vwcol-10:svn36254.0.2-63.fc38.noarch     3506/3646 
  Verifying        : texlive-wadalab-10:svn42428-63.fc38.noarch       3507/3646 
  Verifying        : texlive-wallcalendar-10:svn45568-63.fc38.noarc   3508/3646 
  Verifying        : texlive-wallpaper-10:svn15878.1.10-63.fc38.noa   3509/3646 
  Verifying        : texlive-warning-10:svn22028.0.01-63.fc38.noarc   3510/3646 
  Verifying        : texlive-warpcol-10:svn15878.1.0c-63.fc38.noarc   3511/3646 
  Verifying        : texlive-was-10:svn64691-63.fc38.noarch           3512/3646 
  Verifying        : texlive-wasy-10:svn53533-63.fc38.noarch          3513/3646 
  Verifying        : texlive-wasy-type1-10:svn53534-63.fc38.noarch    3514/3646 
  Verifying        : texlive-wasysym-10:svn54080-63.fc38.noarch       3515/3646 
  Verifying        : texlive-wheelchart-10:svn64373-63.fc38.noarch    3516/3646 
  Verifying        : texlive-widetable-10:svn53409-63.fc38.noarch     3517/3646 
  Verifying        : texlive-widows-and-orphans-10:svn64212-63.fc38   3518/3646 
  Verifying        : texlive-williams-10:svn15878.0-63.fc38.noarch    3519/3646 
  Verifying        : texlive-willowtreebook-10:svn60638-63.fc38.noa   3520/3646 
  Verifying        : texlive-windycity-10:svn61223-63.fc38.noarch     3521/3646 
  Verifying        : texlive-withargs-10:svn52641-63.fc38.noarch      3522/3646 
  Verifying        : texlive-witharrows-10:svn63087-63.fc38.noarch    3523/3646 
  Verifying        : texlive-wordlike-10:svn15878.1.2b-63.fc38.noar   3524/3646 
  Verifying        : texlive-worksheet-10:svn48423-63.fc38.noarch     3525/3646 
  Verifying        : texlive-worldflags-10:svn59171-63.fc38.noarch    3526/3646 
  Verifying        : texlive-wrapfig-10:svn61719-63.fc38.noarch       3527/3646 
  Verifying        : texlive-wrapfig2-10:svn62039-63.fc38.noarch      3528/3646 
  Verifying        : texlive-wrapstuff-10:svn64058-63.fc38.noarch     3529/3646 
  Verifying        : texlive-wsemclassic-10:svn31532.1.0.1-63.fc38.   3530/3646 
  Verifying        : texlive-wsuipa-10:svn25469.0-63.fc38.noarch      3531/3646 
  Verifying        : texlive-wtref-10:svn55558-63.fc38.noarch         3532/3646 
  Verifying        : texlive-xargs-10:svn15878.1.1-63.fc38.noarch     3533/3646 
  Verifying        : texlive-xassoccnt-10:svn61112-63.fc38.noarch     3534/3646 
  Verifying        : texlive-xbmks-10:svn53448-63.fc38.noarch         3535/3646 
  Verifying        : texlive-xcharter-math-10:svn64927-63.fc38.noar   3536/3646 
  Verifying        : texlive-xcharter-10:svn63057-63.fc38.noarch      3537/3646 
  Verifying        : texlive-xcite-10:svn53486-63.fc38.noarch         3538/3646 
  Verifying        : texlive-xcjk2uni-10:svn54958-63.fc38.noarch      3539/3646 
  Verifying        : texlive-xcntperchap-10:svn54080-63.fc38.noarch   3540/3646 
  Verifying        : texlive-xcolor-material-10:svn42289-63.fc38.no   3541/3646 
  Verifying        : texlive-xcolor-solarized-10:svn61719-63.fc38.n   3542/3646 
  Verifying        : texlive-xcolor-10:svn63563-63.fc38.noarch        3543/3646 
  Verifying        : texlive-xcomment-10:svn20031.1.3-63.fc38.noarc   3544/3646 
  Verifying        : texlive-xcookybooky-10:svn36435.1.5-63.fc38.no   3545/3646 
  Verifying        : texlive-xcpdftips-10:svn50449-63.fc38.noarch     3546/3646 
  Verifying        : texlive-xdoc-10:svn15878.prot2.5-63.fc38.noarc   3547/3646 
  Verifying        : texlive-xduthesis-10:svn63116-63.fc38.noarch     3548/3646 
  Verifying        : texlive-xduts-10:svn65243-63.fc38.noarch         3549/3646 
  Verifying        : texlive-xecjk-10:svn64059-63.fc38.noarch         3550/3646 
  Verifying        : texlive-xellipsis-10:svn47546-63.fc38.noarch     3551/3646 
  Verifying        : texlive-xetexconfig-10:svn45845-63.fc38.noarch   3552/3646 
  Verifying        : texlive-xfakebold-10:svn55654-63.fc38.noarch     3553/3646 
  Verifying        : texlive-xfor-10:svn15878.1.05-63.fc38.noarch     3554/3646 
  Verifying        : texlive-xhfill-10:svn22575.1.01-63.fc38.noarch   3555/3646 
  Verifying        : texlive-xifthen-10:svn38929-63.fc38.noarch       3556/3646 
  Verifying        : texlive-xint-10:svn63562-63.fc38.noarch          3557/3646 
  Verifying        : texlive-xistercian-10:svn61366-63.fc38.noarch    3558/3646 
  Verifying        : texlive-xits-10:svn55730-63.fc38.noarch          3559/3646 
  Verifying        : texlive-xkcdcolors-10:svn54512-63.fc38.noarch    3560/3646 
  Verifying        : texlive-xkeyval-10:svn63616-63.fc38.noarch       3561/3646 
  Verifying        : texlive-xltabular-10:svn56855-63.fc38.noarch     3562/3646 
  Verifying        : texlive-xltxtra-10:svn56594-63.fc38.noarch       3563/3646 
  Verifying        : texlive-xmpincl-10:svn60593-63.fc38.noarch       3564/3646 
  Verifying        : texlive-xmuthesis-10:svn56614-63.fc38.noarch     3565/3646 
  Verifying        : texlive-xnewcommand-10:svn15878.1.2-63.fc38.no   3566/3646 
  Verifying        : texlive-xoptarg-10:svn15878.1.0-63.fc38.noarch   3567/3646 
  Verifying        : texlive-xpatch-10:svn54563-63.fc38.noarch        3568/3646 
  Verifying        : texlive-xpeek-10:svn61719-63.fc38.noarch         3569/3646 
  Verifying        : texlive-xpicture-10:svn28770.1.2a-63.fc38.noar   3570/3646 
  Verifying        : texlive-xpinyin-10:svn63897-63.fc38.noarch       3571/3646 
  Verifying        : texlive-xprintlen-10:svn35928.1.0-63.fc38.noar   3572/3646 
  Verifying        : texlive-xpunctuate-10:svn26641.1.0-63.fc38.noa   3573/3646 
  Verifying        : texlive-xput-10:svn65325-63.fc38.noarch          3574/3646 
  Verifying        : texlive-xsavebox-10:svn64049-63.fc38.noarch      3575/3646 
  Verifying        : texlive-xsim-10:svn61988-63.fc38.noarch          3576/3646 
  Verifying        : texlive-xstring-10:svn60007-63.fc38.noarch       3577/3646 
  Verifying        : texlive-xtab-10:svn23347.2.3f-63.fc38.noarch     3578/3646 
  Verifying        : texlive-xunicode-10:svn30466.0.981-63.fc38.noa   3579/3646 
  Verifying        : texlive-xurl-10:svn61553-63.fc38.noarch          3580/3646 
  Verifying        : texlive-xwatermark-10:svn61719-63.fc38.noarch    3581/3646 
  Verifying        : texlive-xyling-10:svn15878.1.1-63.fc38.noarch    3582/3646 
  Verifying        : texlive-xymtex-10:svn32182.5.06-63.fc38.noarch   3583/3646 
  Verifying        : texlive-xypic-10:svn61719-63.fc38.noarch         3584/3646 
  Verifying        : texlive-xytree-10:svn15878.1.5-63.fc38.noarch    3585/3646 
  Verifying        : texlive-yafoot-10:svn48568-63.fc38.noarch        3586/3646 
  Verifying        : texlive-yagusylo-10:svn29803.1.2-63.fc38.noarc   3587/3646 
  Verifying        : texlive-yaletter-10:svn42830-63.fc38.noarch      3588/3646 
  Verifying        : texlive-yathesis-10:svn63576-63.fc38.noarch      3589/3646 
  Verifying        : texlive-yazd-thesis-10:svn61719-63.fc38.noarch   3590/3646 
  Verifying        : texlive-yb-book-10:svn64586-63.fc38.noarch       3591/3646 
  Verifying        : texlive-ycbook-10:svn46201-63.fc38.noarch        3592/3646 
  Verifying        : texlive-ydoc-10:svn64887-63.fc38.noarch          3593/3646 
  Verifying        : texlive-yfonts-otf-10:svn65030-63.fc38.noarch    3594/3646 
  Verifying        : texlive-yfonts-10:svn50755-63.fc38.noarch        3595/3646 
  Verifying        : texlive-yfonts-t1-10:svn36013-63.fc38.noarch     3596/3646 
  Verifying        : texlive-yhmath-10:svn54377-63.fc38.noarch        3597/3646 
  Verifying        : texlive-yinit-otf-10:svn40207-63.fc38.noarch     3598/3646 
  Verifying        : texlive-york-thesis-10:svn23348.3.6-63.fc38.no   3599/3646 
  Verifying        : texlive-youngtab-10:svn56500-63.fc38.noarch      3600/3646 
  Verifying        : texlive-yquant-10:svn65351-63.fc38.noarch        3601/3646 
  Verifying        : texlive-ytableau-10:svn59580-63.fc38.noarch      3602/3646 
  Verifying        : texlive-zapfchan-10:svn61719-63.fc38.noarch      3603/3646 
  Verifying        : texlive-zapfding-10:svn61719-63.fc38.noarch      3604/3646 
  Verifying        : texlive-zebra-goodies-10:svn51554-63.fc38.noar   3605/3646 
  Verifying        : texlive-zed-csp-10:svn17258.0-63.fc38.noarch     3606/3646 
  Verifying        : texlive-zhmetrics-10:svn22207.r206-63.fc38.noa   3607/3646 
  Verifying        : texlive-zhmetrics-uptex-10:svn40728-63.fc38.no   3608/3646 
  Verifying        : texlive-zhnumber-10:svn63898-63.fc38.noarch      3609/3646 
  Verifying        : texlive-ziffer-10:svn32279.2.1-63.fc38.noarch    3610/3646 
  Verifying        : texlive-zlmtt-10:svn64076-63.fc38.noarch         3611/3646 
  Verifying        : texlive-zootaxa-bst-10:svn50619-63.fc38.noarch   3612/3646 
  Verifying        : texlive-zref-check-10:svn63845-63.fc38.noarch    3613/3646 
  Verifying        : texlive-zref-clever-10:svn63428-63.fc38.noarch   3614/3646 
  Verifying        : texlive-zref-10:svn62977-63.fc38.noarch          3615/3646 
  Verifying        : texlive-zref-vario-10:svn63874-63.fc38.noarch    3616/3646 
  Verifying        : texlive-zwgetfdate-10:svn15878.0-63.fc38.noarc   3617/3646 
  Verifying        : texlive-zwpagelayout-10:svn63074-63.fc38.noarc   3618/3646 
  Verifying        : texlive-zx-calculus-10:svn60838-63.fc38.noarch   3619/3646 
  Verifying        : tk-1:8.6.12-3.fc37.x86_64                        3620/3646 
  Verifying        : txt2man-1.7.1-3.fc37.noarch                      3621/3646 
  Verifying        : urw-base35-bookman-fonts-20200910-15.fc37.noar   3622/3646 
  Verifying        : urw-base35-c059-fonts-20200910-15.fc37.noarch    3623/3646 
  Verifying        : urw-base35-d050000l-fonts-20200910-15.fc37.noa   3624/3646 
  Verifying        : urw-base35-fonts-20200910-15.fc37.noarch         3625/3646 
  Verifying        : urw-base35-fonts-common-20200910-15.fc37.noarc   3626/3646 
  Verifying        : urw-base35-fonts-legacy-20200910-15.fc37.noarc   3627/3646 
  Verifying        : urw-base35-gothic-fonts-20200910-15.fc37.noarc   3628/3646 
  Verifying        : urw-base35-nimbus-mono-ps-fonts-20200910-15.fc   3629/3646 
  Verifying        : urw-base35-nimbus-roman-fonts-20200910-15.fc37   3630/3646 
  Verifying        : urw-base35-nimbus-sans-fonts-20200910-15.fc37.   3631/3646 
  Verifying        : urw-base35-p052-fonts-20200910-15.fc37.noarch    3632/3646 
  Verifying        : urw-base35-standard-symbols-ps-fonts-20200910-   3633/3646 
  Verifying        : urw-base35-z003-fonts-20200910-15.fc37.noarch    3634/3646 
  Verifying        : webkit2gtk4.0-2.39.5-1.fc38.x86_64               3635/3646 
  Verifying        : webrtc-audio-processing-0.3.1-9.fc37.x86_64      3636/3646 
  Verifying        : woff2-1.0.2-15.fc37.x86_64                       3637/3646 
  Verifying        : wpebackend-fdo-1.14.0-1.fc38.x86_64              3638/3646 
  Verifying        : xdg-dbus-proxy-0.1.3-2.fc37.x86_64               3639/3646 
  Verifying        : xdg-utils-1.1.3-12.fc37.noarch                   3640/3646 
  Verifying        : xkeyboard-config-2.36-3.fc38.noarch              3641/3646 
  Verifying        : xml-common-0.6.3-59.fc37.noarch                  3642/3646 
  Verifying        : xorg-x11-fonts-ISO8859-1-100dpi-7.5-34.fc37.no   3643/3646 
  Verifying        : xprop-1.2.5-2.fc37.x86_64                        3644/3646 
  Verifying        : zlib-devel-1.2.13-2.fc38.x86_64                  3645/3646 
  Verifying        : zziplib-0.13.72-2.fc37.x86_64                    3646/3646 

Installed:
  GraphicsMagick-1.3.38-4.fc38.x86_64                                           
  GraphicsMagick-c++-1.3.38-4.fc38.x86_64                                       
  ImageMagick-1:7.1.0.57-2.fc38.x86_64                                          
  ImageMagick-libs-1:7.1.0.57-2.fc38.x86_64                                     
  LibRaw-0.21.1-1.fc38.x86_64                                                   
  R-cli-3.6.0-1.fc38.x86_64                                                     
  R-core-4.2.2-6.fc38.x86_64                                                    
  R-evaluate-0.15-1.fc38.noarch                                                 
  R-glue-1.6.2-1.fc38.x86_64                                                    
  R-highr-0.10-1.fc38.noarch                                                    
  R-knitr-1.39-1.fc38.noarch                                                    
  R-lifecycle-1.0.3-1.fc38.noarch                                               
  R-magrittr-2.0.3-1.fc38.x86_64                                                
  R-rlang-1.0.6-1.fc38.x86_64                                                   
  R-stringi-1.7.8-2.fc38.x86_64                                                 
  R-stringr-1.5.0-1.fc38.noarch                                                 
  R-vctrs-0.5.1-1.fc38.x86_64                                                   
  R-xfun-0.36-1.fc38.x86_64                                                     
  R-yaml-2.3.5-1.fc38.x86_64                                                    
  abc-1.01-36.git20221229.fc38.x86_64                                           
  abc-libs-1.01-36.git20221229.fc38.x86_64                                      
  adobe-mappings-cmap-20230118-1.fc38.noarch                                    
  adobe-mappings-cmap-deprecated-20230118-1.fc38.noarch                         
  adobe-mappings-pdf-20190401-2.fc37.noarch                                     
  adwaita-cursor-theme-43-1.fc38.noarch                                         
  adwaita-icon-theme-43-1.fc38.noarch                                           
  alsa-lib-1.2.8-2.fc38.x86_64                                                  
  annobin-docs-11.06-2.fc38.noarch                                              
  annobin-plugin-gcc-11.06-2.fc38.x86_64                                        
  at-spi2-atk-2.47.1-2.fc38.x86_64                                              
  at-spi2-core-2.47.1-2.fc38.x86_64                                             
  atk-2.47.1-2.fc38.x86_64                                                      
  atkmm-2.28.3-1.fc38.x86_64                                                    
  autotrace-0.31.9-3.fc38.x86_64                                                
  avahi-libs-0.8-19.fc38.x86_64                                                 
  biber-2.18-1.fc38.noarch                                                      
  bison-3.8.2-3.fc37.x86_64                                                     
  boost-atomic-1.78.0-11.fc38.x86_64                                            
  boost-filesystem-1.78.0-11.fc38.x86_64                                        
  boost-system-1.78.0-11.fc38.x86_64                                            
  bubblewrap-0.5.0-3.fc37.x86_64                                                
  cairo-1.17.6-2.fc37.x86_64                                                    
  cairo-gobject-1.17.6-2.fc37.x86_64                                            
  cairomm-1.14.4-4.fc38.x86_64                                                  
  cdparanoia-libs-10.2-40.fc37.x86_64                                           
  colord-libs-1.4.6-2.fc37.x86_64                                               
  cpp-13.0.1-0.1.fc38.x86_64                                                    
  crypto-policies-scripts-20221215-2.gita4c31a3.fc38.noarch                     
  cups-libs-1:2.4.2-5.fc38.x86_64                                               
  dbus-1:1.14.4-1.fc38.x86_64                                                   
  dbus-broker-32-1.fc37.x86_64                                                  
  dbus-common-1:1.14.4-1.fc38.noarch                                            
  dbus-libs-1:1.14.4-1.fc38.x86_64                                              
  desktop-file-utils-0.26-7.fc37.x86_64                                         
  double-conversion-3.1.5-7.fc37.x86_64                                         
  emacs-filesystem-1:28.2-1.fc38.noarch                                         
  enchant2-2.3.3-5.fc38.x86_64                                                  
  expat-2.5.0-1.fc38.x86_64                                                     
  fdk-aac-free-2.0.0-9.fc37.x86_64                                              
  fftw-libs-double-3.3.10-3.fc37.x86_64                                         
  flac-libs-1.4.2-1.fc38.x86_64                                                 
  flex-2.6.4-11.fc37.x86_64                                                     
  flexiblas-3.3.0-1.fc38.x86_64                                                 
  flexiblas-netlib-3.3.0-1.fc38.x86_64                                          
  flexiblas-openblas-openmp-3.3.0-1.fc38.x86_64                                 
  fontawesome-fonts-1:4.7.0-14.fc37.noarch                                      
  fontconfig-2.14.1-2.fc38.x86_64                                               
  fontforge-20230101-3.fc38.x86_64                                              
  fonts-filesystem-1:2.0.5-10.fc38.noarch                                       
  freetype-2.12.1-3.fc37.x86_64                                                 
  fribidi-1.0.12-2.fc37.x86_64                                                  
  gc-8.2.2-1.fc38.x86_64                                                        
  gcc-13.0.1-0.1.fc38.x86_64                                                    
  gcc-c++-13.0.1-0.1.fc38.x86_64                                                
  gcc-plugin-annobin-13.0.1-0.1.fc38.x86_64                                     
  gd-2.3.3-9.fc38.x86_64                                                        
  gdk-pixbuf2-2.42.10-1.fc38.x86_64                                             
  gdk-pixbuf2-modules-2.42.10-1.fc38.x86_64                                     
  ghostscript-9.56.1-6.fc38.x86_64                                              
  ghostscript-tools-fonts-9.56.1-6.fc38.x86_64                                  
  ghostscript-tools-printing-9.56.1-6.fc38.x86_64                               
  giflib-5.2.1-14.fc37.x86_64                                                   
  glib-networking-2.74.0-1.fc38.x86_64                                          
  glib2-2.74.1-3.fc38.x86_64                                                    
  glibc-devel-2.36.9000-23.fc38.x86_64                                          
  glibc-headers-x86-2.36.9000-23.fc38.noarch                                    
  glibmm2.4-2.66.5-2.fc38.x86_64                                                
  gnutls-3.7.8-11.fc38.x86_64                                                   
  google-droid-sans-fonts-20200215-13.fc37.noarch                               
  google-noto-fonts-common-20201206^1.git0c78c8329-9.fc38.noarch                
  google-noto-sans-vf-fonts-20201206^1.git0c78c8329-9.fc38.noarch               
  graphene-1.10.6-4.fc37.x86_64                                                 
  graphite2-1.3.14-11.fc38.x86_64                                               
  graphviz-7.0.6-2.fc38.x86_64                                                  
  groff-base-1.22.4-10.fc37.x86_64                                              
  gsettings-desktop-schemas-43.0-1.fc38.x86_64                                  
  gsl-2.7.1-3.fc38.x86_64                                                       
  gsm-1.0.22-1.fc37.x86_64                                                      
  gspell-1.12.0-2.fc38.x86_64                                                   
  gssdp-1.6.2-1.fc38.x86_64                                                     
  gstreamer1-1.21.90-1.fc38.x86_64                                              
  gstreamer1-plugins-bad-free-1.20.5-2.fc38.x86_64                              
  gstreamer1-plugins-base-1.20.5-1.fc38.x86_64                                  
  gtk-update-icon-cache-3.24.36-1.fc38.x86_64                                   
  gtk3-3.24.36-1.fc38.x86_64                                                    
  gtkmm3.0-3.24.7-2.fc38.x86_64                                                 
  gts-0.7.6-43.20121130.fc38.x86_64                                             
  guile22-2.2.7-6.fc37.x86_64                                                   
  gumbo-parser-1:0.10.1-27.fc38.x86_64                                          
  gupnp-1.6.3-1.fc38.x86_64                                                     
  gupnp-igd-1.2.0-7.fc38.x86_64                                                 
  harfbuzz-6.0.0-2.fc38.x86_64                                                  
  harfbuzz-icu-6.0.0-2.fc38.x86_64                                              
  hicolor-icon-theme-0.17-14.fc37.noarch                                        
  highway-1.0.2-1.fc38.x86_64                                                   
  hunspell-1.7.2-2.fc38.x86_64                                                  
  hunspell-en-US-0.20201207-2.fc38.noarch                                       
  hunspell-filesystem-1.7.2-2.fc38.x86_64                                       
  hwdata-0.366-1.fc38.noarch                                                    
  hyphen-2.8.8-18.fc37.x86_64                                                   
  imath-3.1.6-2.fc38.x86_64                                                     
  inkscape-1.2.2-4.fc38.x86_64                                                  
  iso-codes-4.12.0-2.fc38.noarch                                                
  iverilog-11.0-6.fc38.x86_64                                                   
  jasper-libs-3.0.6-1.fc37.x86_64                                               
  javascriptcoregtk4.0-2.39.5-1.fc38.x86_64                                     
  jbig2dec-libs-0.19-7.fc37.x86_64                                              
  jbigkit-libs-2.1-24.fc37.x86_64                                               
  json-glib-1.6.6-3.fc37.x86_64                                                 
  kernel-headers-6.2.0-0.rc4.git0.1.fc38.x86_64                                 
  lame-libs-3.100-13.fc37.x86_64                                                
  langpacks-core-font-en-3.0-27.fc38.noarch                                     
  lasi-1.1.3-9.fc37.x86_64                                                      
  latexmk-4.79-1.fc38.noarch                                                    
  lcms2-2.14-1.fc38.x86_64                                                      
  leptonica-1.83.0-1.fc38.x86_64                                                
  less-608-1.fc38.x86_64                                                        
  libEMF-1.0.13-6.fc37.x86_64                                                   
  libICE-1.0.10-9.fc37.x86_64                                                   
  libRmath-4.2.2-6.fc38.x86_64                                                  
  libSM-1.2.3-11.fc37.x86_64                                                    
  libX11-1.8.3-2.fc38.x86_64                                                    
  libX11-common-1.8.3-2.fc38.noarch                                             
  libX11-xcb-1.8.3-2.fc38.x86_64                                                
  libXau-1.0.11-1.fc38.x86_64                                                   
  libXaw-1.0.14-3.fc37.x86_64                                                   
  libXcomposite-0.4.5-8.fc37.x86_64                                             
  libXcursor-1.2.1-2.fc37.x86_64                                                
  libXdamage-1.1.5-8.fc37.x86_64                                                
  libXext-1.3.5-1.fc38.x86_64                                                   
  libXfixes-6.0.0-4.fc37.x86_64                                                 
  libXft-2.3.6-1.fc38.x86_64                                                    
  libXi-1.8-3.fc37.x86_64                                                       
  libXinerama-1.1.5-1.fc38.x86_64                                               
  libXmu-1.1.4-1.fc38.x86_64                                                    
  libXpm-3.5.15-2.fc38.x86_64                                                   
  libXrandr-1.5.2-9.fc37.x86_64                                                 
  libXrender-0.9.11-1.fc38.x86_64                                               
  libXt-1.2.1-3.fc37.x86_64                                                     
  libXtst-1.2.4-1.fc38.x86_64                                                   
  libXv-1.0.11-17.fc37.x86_64                                                   
  libXxf86vm-1.1.5-1.fc38.x86_64                                                
  libaom-3.5.0-3.fc38.x86_64                                                    
  libatomic-13.0.1-0.1.fc38.x86_64                                              
  libavif-0.11.1-4.fc38.x86_64                                                  
  libb2-0.98.1-7.fc37.x86_64                                                    
  libcloudproviders-0.3.1-6.fc37.x86_64                                         
  libdatrie-0.2.13-4.fc37.x86_64                                                
  libdav1d-1.0.0-2.fc37.x86_64                                                  
  libdrm-2.4.114-1.fc38.x86_64                                                  
  libdvdnav-6.1.1-4.fc37.x86_64                                                 
  libdvdread-6.1.3-2.fc37.x86_64                                                
  libepoxy-1.5.10-2.fc37.x86_64                                                 
  libevdev-1.13.0-2.fc38.x86_64                                                 
  libffi-devel-3.4.4-1.fc38.x86_64                                              
  libfontenc-1.1.6-1.fc38.x86_64                                                
  libgcrypt-1.10.1-6.fc38.x86_64                                                
  libgee-0.20.6-1.fc38.x86_64                                                   
  libgfortran-13.0.1-0.1.fc38.x86_64                                            
  libglvnd-1:1.6.0-1.fc38.x86_64                                                
  libglvnd-egl-1:1.6.0-1.fc38.x86_64                                            
  libglvnd-glx-1:1.6.0-1.fc38.x86_64                                            
  libgpg-error-1.46-1.fc38.x86_64                                               
  libgs-9.56.1-6.fc38.x86_64                                                    
  libgudev-237-3.fc37.x86_64                                                    
  libgusb-0.4.3-1.fc38.x86_64                                                   
  libicu-72.1-1.fc38.x86_64                                                     
  libijs-0.35-16.fc37.x86_64                                                    
  libimagequant-2.17.0-3.fc37.x86_64                                            
  libjpeg-turbo-2.1.4-1.fc38.x86_64                                             
  libjxl-1:0.7.0-6.fc38.x86_64                                                  
  liblqr-1-0.4.2-20.fc37.x86_64                                                 
  libmanette-0.2.6-5.fc37.x86_64                                                
  libmarkdown-2.2.7-3.fc38.x86_64                                               
  libmpc-1.3.1-1.fc38.x86_64                                                    
  libnice-0.1.21-2.fc38.x86_64                                                  
  libogg-2:1.3.5-4.fc37.x86_64                                                  
  libpaper-1:2.0.4-2.fc38.x86_64                                                
  libpciaccess-0.16-7.fc37.x86_64                                               
  libpng-2:1.6.37-13.fc37.x86_64                                                
  libproxy-0.4.18-5.fc38.x86_64                                                 
  libquadmath-13.0.1-0.1.fc38.x86_64                                            
  libraqm-0.8.0-3.fc38.x86_64                                                   
  librevenge-0.0.5-4.fc38.x86_64                                                
  librsvg2-2.55.1-3.fc38.x86_64                                                 
  libseccomp-2.5.3-3.fc37.x86_64                                                
  libsecret-0.20.5-2.fc37.x86_64                                                
  libsigc++20-2.10.8-2.fc37.x86_64                                              
  libsndfile-1.1.0-5.fc38.x86_64                                                
  libsoup-2.74.3-1.fc38.x86_64                                                  
  libsoup3-3.2.2-1.fc38.x86_64                                                  
  libspiro-20221101-1.fc38.x86_64                                               
  libsrtp-2.3.0-9.fc37.x86_64                                                   
  libstdc++-devel-13.0.1-0.1.fc38.x86_64                                        
  libstemmer-2.2.0-4.fc38.x86_64                                                
  libthai-0.1.29-3.fc37.x86_64                                                  
  libtheora-1:1.1.1-32.fc37.x86_64                                              
  libtiff-4.4.0-4.fc37.x86_64                                                   
  libtool-ltdl-2.4.7-5.fc38.x86_64                                              
  libtracker-sparql-3.4.2-2.fc38.x86_64                                         
  libunwind-1.6.2-5.fc38.x86_64                                                 
  libusb1-1.0.26-1.fc38.x86_64                                                  
  libva-2.17.0-1.fc38.x86_64                                                    
  libvisual-1:0.4.0-37.fc38.x86_64                                              
  libvmaf-2.3.0-4.fc37.x86_64                                                   
  libvorbis-1:1.3.7-6.fc37.x86_64                                               
  libwayland-client-1.21.0-1.fc37.x86_64                                        
  libwayland-cursor-1.21.0-1.fc37.x86_64                                        
  libwayland-egl-1.21.0-1.fc37.x86_64                                           
  libwayland-server-1.21.0-1.fc37.x86_64                                        
  libwebp-1.3.0-1.fc38.x86_64                                                   
  libwmf-lite-0.2.12-9.fc37.x86_64                                              
  libwpd-0.10.3-11.fc37.x86_64                                                  
  libwpe-1.14.0-1.fc38.x86_64                                                   
  libwpg-0.3.3-9.fc37.x86_64                                                    
  libxcb-1.13.1-11.fc38.x86_64                                                  
  libxcrypt-devel-4.4.33-5.fc38.x86_64                                          
  libxkbcommon-1.5.0-1.fc38.x86_64                                              
  libxshmfence-1.3-11.fc37.x86_64                                               
  libxslt-1.1.37-2.fc38.x86_64                                                  
  libzip-1.9.2-2.fc37.x86_64                                                    
  lilv-libs-0.24.14-4.fc38.x86_64                                               
  m4-1.4.19-4.fc37.x86_64                                                       
  mailcap-2.1.53-4.fc37.noarch                                                  
  make-1:4.4-1.fc38.x86_64                                                      
  mesa-filesystem-22.3.3-2.fc38.x86_64                                          
  mesa-libEGL-22.3.3-2.fc38.x86_64                                              
  mesa-libGL-22.3.3-2.fc38.x86_64                                               
  mesa-libgbm-22.3.3-2.fc38.x86_64                                              
  mesa-libglapi-22.3.3-2.fc38.x86_64                                            
  mkfontscale-1.2.2-2.fc37.x86_64                                               
  mpdecimal-2.5.1-4.fc37.x86_64                                                 
  mpg123-libs-1.31.2-1.fc38.x86_64                                              
  mupdf-1.21.1-5.fc38.x86_64                                                    
  ncurses-6.4-2.20230114.fc38.x86_64                                            
  ncurses-c++-libs-6.4-2.20230114.fc38.x86_64                                   
  ncurses-devel-6.4-2.20230114.fc38.x86_64                                      
  netpbm-11.01.00-1.fc38.x86_64                                                 
  nspr-4.35.0-3.fc38.x86_64                                                     
  nss-3.87.0-1.fc38.x86_64                                                      
  nss-softokn-3.87.0-1.fc38.x86_64                                              
  nss-softokn-freebl-3.87.0-1.fc38.x86_64                                       
  nss-sysinit-3.87.0-1.fc38.x86_64                                              
  nss-util-3.87.0-1.fc38.x86_64                                                 
  oldstandard-sfd-fonts-2.0.2-32.fc37.noarch                                    
  openblas-0.3.21-4.fc38.x86_64                                                 
  openblas-openmp-0.3.21-4.fc38.x86_64                                          
  openexr-libs-3.1.5-2.fc37.x86_64                                              
  openjpeg2-2.5.0-2.fc37.x86_64                                                 
  opus-1.3.1-11.fc37.x86_64                                                     
  orc-0.4.33-1.fc38.x86_64                                                      
  pango-1.50.12-2.fc38.x86_64                                                   
  pangomm-2.46.3-1.fc38.x86_64                                                  
  pcre-8.45-1.fc37.2.x86_64                                                     
  pdfpc-4.5.0-8.fc37.x86_64                                                     
  perl-Authen-SASL-2.16-28.fc37.noarch                                          
  perl-AutoLoader-5.74-494.fc38.noarch                                          
  perl-B-1.83-494.fc38.x86_64                                                   
  perl-B-Hooks-EndOfScope-0.26-4.fc37.noarch                                    
  perl-BibTeX-Parser-1.03-6.fc37.noarch                                         
  perl-Bit-Vector-7.4-28.fc38.x86_64                                            
  perl-Business-ISBN-3.007-4.fc37.noarch                                        
  perl-Business-ISBN-Data-20210112.006-6.fc37.noarch                            
  perl-Business-ISMN-1.202-8.fc38.noarch                                        
  perl-Business-ISSN-1.005-5.fc38.noarch                                        
  perl-Carp-1.52-489.fc37.noarch                                                
  perl-Carp-Clan-6.08-13.fc37.noarch                                            
  perl-Class-Accessor-0.51-16.fc37.noarch                                       
  perl-Class-Data-Inheritable-0.09-4.fc37.noarch                                
  perl-Class-Inspector-1.36-12.fc38.noarch                                      
  perl-Class-Method-Modifiers-2.14-1.fc38.noarch                                
  perl-Class-Singleton-1.6-7.fc37.noarch                                        
  perl-Class-Struct-0.66-494.fc38.noarch                                        
  perl-Clone-0.46-1.fc38.x86_64                                                 
  perl-Compress-Raw-Bzip2-2.201-2.fc37.x86_64                                   
  perl-Compress-Raw-Zlib-2.202-4.fc38.x86_64                                    
  perl-Convert-ASN1-0.33-4.fc37.noarch                                          
  perl-Crypt-RC4-2.02-33.fc37.noarch                                            
  perl-Data-Compare-1.27-10.fc37.noarch                                         
  perl-Data-Dump-1.25-5.fc37.noarch                                             
  perl-Data-Dumper-2.184-490.fc37.x86_64                                        
  perl-Data-OptList-0.113-1.fc38.noarch                                         
  perl-Data-Uniqid-0.12-29.fc37.noarch                                          
  perl-Date-Calc-6.4-23.fc37.noarch                                             
  perl-Date-ISO8601-0.005-16.fc37.noarch                                        
  perl-Date-Manip-6.90-1.fc38.noarch                                            
  perl-DateTime-2:1.59-1.fc38.x86_64                                            
  perl-DateTime-Calendar-Julian-0.107-3.fc37.noarch                             
  perl-DateTime-Format-Builder-0.8300-9.fc38.noarch                             
  perl-DateTime-Format-Strptime-1:1.79-6.fc37.noarch                            
  perl-DateTime-Locale-1.37-1.fc38.noarch                                       
  perl-DateTime-TimeZone-2.57-1.fc38.noarch                                     
  perl-DateTime-TimeZone-SystemV-0.010-17.fc37.noarch                           
  perl-DateTime-TimeZone-Tzfile-0.011-17.fc37.noarch                            
  perl-Devel-CallChecker-0.008-18.fc38.x86_64                                   
  perl-Devel-Caller-2.06-29.fc37.x86_64                                         
  perl-Devel-GlobalDestruction-0.14-19.fc37.noarch                              
  perl-Devel-LexAlias-0.05-30.fc37.x86_64                                       
  perl-Devel-StackTrace-1:2.04-14.fc38.noarch                                   
  perl-Digest-1.20-489.fc37.noarch                                              
  perl-Digest-HMAC-1.04-6.fc37.noarch                                           
  perl-Digest-MD4-1.9-32.fc37.x86_64                                            
  perl-Digest-MD5-2.58-489.fc37.x86_64                                          
  perl-Digest-Perl-MD5-1.9-27.fc37.noarch                                       
  perl-Digest-SHA-1:6.03-1.fc37.x86_64                                          
  perl-Digest-SHA1-2.13-37.fc37.x86_64                                          
  perl-Dist-CheckConflicts-0.11-26.fc37.noarch                                  
  perl-DynaLoader-1.52-494.fc38.x86_64                                          
  perl-DynaLoader-Functions-0.003-17.fc38.noarch                                
  perl-Email-Date-Format-1.008-1.fc38.noarch                                    
  perl-Encode-4:3.19-492.fc38.x86_64                                            
  perl-Encode-Locale-1.05-25.fc38.noarch                                        
  perl-English-1.11-494.fc38.noarch                                             
  perl-Errno-1.36-494.fc38.x86_64                                               
  perl-Eval-Closure-0.14-19.fc37.noarch                                         
  perl-Exception-Class-1.45-6.fc37.noarch                                       
  perl-Exporter-5.77-489.fc37.noarch                                            
  perl-ExtUtils-MM-Utils-2:7.66-1.fc38.noarch                                   
  perl-Fcntl-1.15-494.fc38.x86_64                                               
  perl-File-Basename-2.85-494.fc38.noarch                                       
  perl-File-Compare-1.100.700-494.fc38.noarch                                   
  perl-File-Copy-2.39-494.fc38.noarch                                           
  perl-File-Find-1.40-494.fc38.noarch                                           
  perl-File-Find-Rule-0.34-24.fc38.noarch                                       
  perl-File-Listing-6.15-4.fc38.noarch                                          
  perl-File-Path-2.18-489.fc37.noarch                                           
  perl-File-ShareDir-1.118-7.fc37.noarch                                        
  perl-File-Slurper-0.014-1.fc38.noarch                                         
  perl-File-Temp-1:0.231.100-489.fc37.noarch                                    
  perl-File-Which-1.27-6.fc37.noarch                                            
  perl-File-stat-1.12-494.fc38.noarch                                           
  perl-FileHandle-2.03-494.fc38.noarch                                          
  perl-Filter-2:1.64-1.fc38.x86_64                                              
  perl-FindBin-1.53-494.fc38.noarch                                             
  perl-GSSAPI-0.28-40.fc37.x86_64                                               
  perl-Getopt-Long-1:2.54-1.fc38.noarch                                         
  perl-Getopt-Std-1.13-494.fc38.noarch                                          
  perl-HTML-Parser-3.80-1.fc38.x86_64                                           
  perl-HTML-Tagset-3.20-52.fc37.noarch                                          
  perl-HTTP-Cookies-6.10-8.fc38.noarch                                          
  perl-HTTP-Date-6.05-11.fc38.noarch                                            
  perl-HTTP-Message-6.44-1.fc38.noarch                                          
  perl-HTTP-Negotiate-6.01-34.fc38.noarch                                       
  perl-HTTP-Tiny-0.082-1.fc37.noarch                                            
  perl-Hash-Util-FieldHash-1.26-494.fc38.x86_64                                 
  perl-I18N-LangTags-0.45-494.fc38.noarch                                       
  perl-I18N-Langinfo-0.21-494.fc38.x86_64                                       
  perl-IO-1.50-494.fc38.x86_64                                                  
  perl-IO-Compress-2.201-3.fc37.noarch                                          
  perl-IO-HTML-1.004-9.fc38.noarch                                              
  perl-IO-Socket-IP-0.41-490.fc37.noarch                                        
  perl-IO-Socket-SSL-2.080-1.fc38.noarch                                        
  perl-IO-String-1.08-46.fc37.noarch                                            
  perl-IO-stringy-2.113-10.fc37.noarch                                          
  perl-IPC-Cmd-2:1.04-490.fc37.noarch                                           
  perl-IPC-Open3-1.22-494.fc38.noarch                                           
  perl-IPC-Run3-0.048-27.fc38.noarch                                            
  perl-IPC-SysV-2.09-490.fc37.x86_64                                            
  perl-IPC-System-Simple-1.30-9.fc37.noarch                                     
  perl-JSON-4.10-1.fc38.noarch                                                  
  perl-Jcode-2.07-39.fc37.noarch                                                
  perl-LDAP-1:0.68-8.fc37.noarch                                                
  perl-LWP-MediaTypes-6.04-13.fc38.noarch                                       
  perl-LWP-Protocol-https-6.10-8.fc38.noarch                                    
  perl-LaTeX-ToUnicode-0.11-7.fc37.noarch                                       
  perl-Lingua-Translit-0.29-2.fc37.noarch                                       
  perl-List-AllUtils-0.19-8.fc38.noarch                                         
  perl-List-SomeUtils-0.59-1.fc38.noarch                                        
  perl-List-UtilsBy-0.12-5.fc38.noarch                                          
  perl-Locale-Maketext-1.32-1.fc38.noarch                                       
  perl-Locale-Maketext-Simple-1:0.21-494.fc38.noarch                            
  perl-Log-Dispatch-2.70-9.fc38.noarch                                          
  perl-Log-Dispatch-FileRotate-1.38-5.fc37.noarch                               
  perl-Log-Log4perl-1.57-1.fc38.noarch                                          
  perl-MIME-Base64-3.16-489.fc37.x86_64                                         
  perl-MIME-Charset-1.013.1-1.fc38.noarch                                       
  perl-MIME-Lite-3.033-5.fc37.noarch                                            
  perl-MIME-Types-2.24-1.fc38.noarch                                            
  perl-MRO-Compat-0.15-4.fc37.noarch                                            
  perl-Mail-Sender-1:0.903-19.fc37.noarch                                       
  perl-Mail-Sendmail-0.80-16.fc37.noarch                                        
  perl-MailTools-2.21-12.fc37.noarch                                            
  perl-Math-BigInt-1:1.9998.37-2.fc37.noarch                                    
  perl-Math-BigRat-0.2624-2.fc37.noarch                                         
  perl-Math-Complex-1.59-494.fc38.noarch                                        
  perl-Module-CoreList-1:5.20221220-1.fc38.noarch                               
  perl-Module-Implementation-0.09-35.fc37.noarch                                
  perl-Module-Load-1:0.36-489.fc37.noarch                                       
  perl-Module-Load-Conditional-0.74-489.fc37.noarch                             
  perl-Module-Metadata-1.000037-489.fc37.noarch                                 
  perl-Module-Runtime-0.016-18.fc38.noarch                                      
  perl-Mozilla-CA-20221114-1.fc38.noarch                                        
  perl-NTLM-1.09-33.fc37.noarch                                                 
  perl-Net-HTTP-6.22-4.fc38.noarch                                              
  perl-Net-SMTP-SSL-1.04-19.fc37.noarch                                         
  perl-Net-SSLeay-1.92-4.fc37.x86_64                                            
  perl-Number-Compare-0.03-34.fc38.noarch                                       
  perl-OLE-Storage_Lite-0.20-10.fc37.noarch                                     
  perl-Opcode-1.57-494.fc38.x86_64                                              
  perl-POSIX-2.03-494.fc38.x86_64                                               
  perl-Package-Generator-1.106-26.fc37.noarch                                   
  perl-Package-Stash-0.40-3.fc37.noarch                                         
  perl-Package-Stash-XS-0.30-2.fc37.x86_64                                      
  perl-PadWalker-2.5-7.fc37.x86_64                                              
  perl-Params-Check-1:0.38-489.fc37.noarch                                      
  perl-Params-Classify-0.015-17.fc37.x86_64                                     
  perl-Params-Util-1.102-9.fc38.x86_64                                          
  perl-Params-Validate-1.31-2.fc38.x86_64                                       
  perl-Params-ValidationCompiler-0.31-1.fc38.noarch                             
  perl-Parse-RecDescent-1.967015-18.fc37.noarch                                 
  perl-PathTools-3.84-489.fc37.x86_64                                           
  perl-Pod-Escapes-1:1.07-489.fc37.noarch                                       
  perl-Pod-Html-1.33-494.fc38.noarch                                            
  perl-Pod-Perldoc-3.28.01-490.fc37.noarch                                      
  perl-Pod-Simple-1:3.43-490.fc37.noarch                                        
  perl-Pod-Usage-4:2.03-3.fc37.noarch                                           
  perl-Ref-Util-0.204-15.fc37.noarch                                            
  perl-Ref-Util-XS-0.117-16.fc37.x86_64                                         
  perl-Regexp-Common-2017060201-20.fc38.noarch                                  
  perl-Role-Tiny-2.002004-7.fc37.noarch                                         
  perl-Safe-2.43-494.fc38.noarch                                                
  perl-Scalar-List-Utils-5:1.63-489.fc38.x86_64                                 
  perl-SelectSaver-1.02-494.fc38.noarch                                         
  perl-Socket-4:2.036-1.fc38.x86_64                                             
  perl-Sort-Key-1.33-25.fc37.x86_64                                             
  perl-Specio-0.48-2.fc37.noarch                                                
  perl-Spreadsheet-ParseExcel-0.6500-33.fc37.x86_64                             
  perl-Spreadsheet-WriteExcel-2.40-26.fc37.noarch                               
  perl-Storable-1:3.26-489.fc37.x86_64                                          
  perl-Sub-Exporter-0.989-1.fc38.noarch                                         
  perl-Sub-Exporter-Progressive-0.001013-19.fc37.noarch                         
  perl-Sub-Identify-0.14-20.fc37.x86_64                                         
  perl-Sub-Install-0.929-1.fc38.noarch                                          
  perl-Symbol-1.09-494.fc38.noarch                                              
  perl-Sys-Hostname-1.24-494.fc38.x86_64                                        
  perl-Sys-Syslog-0.36-490.fc37.x86_64                                          
  perl-Term-ANSIColor-5.01-490.fc37.noarch                                      
  perl-Term-Cap-1.17-489.fc37.noarch                                            
  perl-Text-Balanced-2.06-2.fc37.noarch                                         
  perl-Text-BibTeX-0.88-12.fc37.x86_64                                          
  perl-Text-CSV-2.02-1.fc38.noarch                                              
  perl-Text-CSV_XS-1.49-1.fc38.x86_64                                           
  perl-Text-Glob-0.11-19.fc38.noarch                                            
  perl-Text-ParseWords-3.31-489.fc37.noarch                                     
  perl-Text-Roman-3.5-23.fc37.noarch                                            
  perl-Text-Soundex-3.05-24.fc38.x86_64                                         
  perl-Text-Tabs+Wrap-2021.0814-489.fc37.noarch                                 
  perl-Text-Unidecode-1.30-19.fc37.noarch                                       
  perl-Tie-4.6-494.fc38.noarch                                                  
  perl-Tie-Cycle-1.227-6.fc38.noarch                                            
  perl-Tie-RefHash-1.40-489.fc37.noarch                                         
  perl-Time-HiRes-4:1.9770-489.fc37.x86_64                                      
  perl-Time-Local-2:1.300-489.fc37.noarch                                       
  perl-TimeDate-1:2.33-9.fc37.noarch                                            
  perl-Try-Tiny-0.31-4.fc37.noarch                                              
  perl-UNIVERSAL-isa-1.20171012-15.fc37.noarch                                  
  perl-URI-5.17-1.fc38.noarch                                                   
  perl-Unicode-Collate-1.31-489.fc37.x86_64                                     
  perl-Unicode-LineBreak-2019.001-14.fc37.x86_64                                
  perl-Unicode-Map-0.112-58.fc37.x86_64                                         
  perl-Unicode-Normalize-1.31-489.fc37.x86_64                                   
  perl-Unicode-UCD-0.78-494.fc38.noarch                                         
  perl-Variable-Magic-0.63-1.fc38.x86_64                                        
  perl-WWW-RobotRules-6.02-35.fc38.noarch                                       
  perl-XML-LibXML-1:2.0208-1.fc38.x86_64                                        
  perl-XML-LibXML-Simple-1.01-10.fc37.noarch                                    
  perl-XML-LibXSLT-2.002.000-3.fc37.x86_64                                      
  perl-XML-NamespaceSupport-1.12-18.fc37.noarch                                 
  perl-XML-Parser-2.46-12.fc37.x86_64                                           
  perl-XML-SAX-1.02-11.fc37.noarch                                              
  perl-XML-SAX-Base-1.09-18.fc37.noarch                                         
  perl-XML-Writer-0.900-9.fc38.noarch                                           
  perl-XML-XPath-1.48-2.fc38.noarch                                             
  perl-XString-0.005-7.fc37.x86_64                                              
  perl-autodie-2.34-490.fc37.noarch                                             
  perl-autouse-1.11-494.fc38.noarch                                             
  perl-autovivification-0.18-17.fc37.x86_64                                     
  perl-base-2.27-494.fc38.noarch                                                
  perl-constant-1.33-490.fc37.noarch                                            
  perl-deprecate-0.04-494.fc38.noarch                                           
  perl-encoding-4:3.00-492.fc38.x86_64                                          
  perl-if-0.61.000-494.fc38.noarch                                              
  perl-interpreter-4:5.36.0-494.fc38.x86_64                                     
  perl-lib-0.65-494.fc38.x86_64                                                 
  perl-libnet-3.14-490.fc37.noarch                                              
  perl-libs-4:5.36.0-494.fc38.x86_64                                            
  perl-libwww-perl-6.67-3.fc38.noarch                                           
  perl-locale-1.10-494.fc38.noarch                                              
  perl-meta-notation-5.36.0-494.fc38.noarch                                     
  perl-mro-1.26-494.fc38.x86_64                                                 
  perl-namespace-autoclean-0.29-13.fc37.noarch                                  
  perl-namespace-clean-0.27-21.fc37.noarch                                      
  perl-open-1.13-494.fc38.noarch                                                
  perl-overload-1.35-494.fc38.noarch                                            
  perl-overloading-0.02-494.fc38.noarch                                         
  perl-parent-1:0.239-1.fc38.noarch                                             
  perl-podlators-1:5.01-1.fc38.noarch                                           
  perl-sigtrap-1.10-494.fc38.noarch                                             
  perl-subs-1.04-494.fc38.noarch                                                
  perl-vars-1.05-494.fc38.noarch                                                
  perl-version-8:0.99.29-490.fc37.x86_64                                        
  perltidy-20221112-1.fc38.noarch                                               
  pixman-0.40.0-6.fc37.x86_64                                                   
  plotutils-2.6-30.fc38.x86_64                                                  
  poppler-22.08.0-4.fc38.x86_64                                                 
  poppler-data-0.4.11-3.fc37.noarch                                             
  poppler-glib-22.08.0-4.fc38.x86_64                                            
  potrace-1.16-8.fc37.x86_64                                                    
  pstoedit-3.78-6.fc38.x86_64                                                   
  python-pip-wheel-22.3.1-2.fc38.noarch                                         
  python-setuptools-wheel-65.5.1-1.fc38.noarch                                  
  python-unversioned-command-3.11.1-3.fc38.noarch                               
  python3-3.11.1-3.fc38.x86_64                                                  
  python3-appdirs-1.4.4-8.fc38.noarch                                           
  python3-cssselect-1.1.0-4.fc38.noarch                                         
  python3-libs-3.11.1-3.fc38.x86_64                                             
  python3-lxml-4.9.2-2.fc38.x86_64                                              
  python3-numpy-1:1.23.5-2.fc38.x86_64                                          
  python3-olefile-0.46-19.fc38.noarch                                           
  python3-packaging-23.0-1.fc38.noarch                                          
  python3-pillow-9.4.0-2.fc38.x86_64                                            
  python3-pygments-2.13.0-2.fc38.noarch                                         
  python3-scour-0.38.2-2.fc38.noarch                                            
  python3-six-1.16.0-9.fc38.noarch                                              
  rav1e-libs-0.5.1-6.fc38.x86_64                                                
  readline-devel-8.2-2.fc38.x86_64                                              
  ruby-3.2.0-178.fc38.x86_64                                                    
  ruby-libs-3.2.0-178.fc38.x86_64                                               
  rubypick-1.1.1-17.fc37.noarch                                                 
  serd-0.30.12-2.fc38.x86_64                                                    
  shared-mime-info-2.2-2.fc37.x86_64                                            
  sombok-2.4.0-17.fc37.x86_64                                                   
  sord-0.16.10-1.fc37.x86_64                                                    
  soundtouch-2.3.1-3.fc37.x86_64                                                
  sratom-0.6.10-2.fc38.x86_64                                                   
  svt-av1-libs-1.4.1-2.fc38.x86_64                                              
  tcl-1:8.6.12-3.fc38.x86_64                                                    
  tcl-devel-1:8.6.12-3.fc38.x86_64                                              
  teckit-2.5.9-9.fc37.x86_64                                                    
  tesseract-5.3.0-3.fc38.x86_64                                                 
  tesseract-langpack-eng-4.1.0-4.fc37.noarch                                    
  tesseract-tessdata-doc-4.1.0-4.fc37.noarch                                    
  tex-preview-13.1-2.fc37.noarch                                                
  texlive-12many-10:svn15878.0.3-63.fc38.noarch                                 
  texlive-2up-10:svn55076-63.fc38.noarch                                        
  texlive-a0poster-10:svn54071-63.fc38.noarch                                   
  texlive-a4wide-10:svn20943.0-63.fc38.noarch                                   
  texlive-a5comb-10:svn17020.4-63.fc38.noarch                                   
  texlive-aaai-named-10:svn52470-63.fc38.noarch                                 
  texlive-aastex-10:svn58057-63.fc38.noarch                                     
  texlive-abnt-10:svn55471-63.fc38.noarch                                       
  texlive-abntex2-10:svn49248-63.fc38.noarch                                    
  texlive-abntexto-10:svn64694-63.fc38.noarch                                   
  texlive-aboensis-10:svn62977-63.fc38.noarch                                   
  texlive-abraces-10:svn64967-63.fc38.noarch                                    
  texlive-abspos-10:svn64465-63.fc38.noarch                                     
  texlive-abstract-10:svn15878.1.2a-63.fc38.noarch                              
  texlive-academicons-10:svn62622-63.fc38.noarch                                
  texlive-accanthis-10:svn64844-63.fc38.noarch                                  
  texlive-accents-10:svn51497-63.fc38.noarch                                    
  texlive-accessibility-10:svn55777-63.fc38.noarch                              
  texlive-accsupp-10:svn53052-63.fc38.noarch                                    
  texlive-achemso-10:svn65103-63.fc38.noarch                                    
  texlive-acmart-10:svn64967-63.fc38.noarch                                     
  texlive-acmconf-10:svn15878.1.3-63.fc38.noarch                                
  texlive-acro-10:svn62925-63.fc38.noarch                                       
  texlive-acronym-10:svn54758-63.fc38.noarch                                    
  texlive-acroterm-10:svn61719-63.fc38.noarch                                   
  texlive-active-conf-10:svn15878.0.3a-63.fc38.noarch                           
  texlive-actuarialangle-10:svn51376-63.fc38.noarch                             
  texlive-actuarialsymbol-10:svn54080-63.fc38.noarch                            
  texlive-addfont-10:svn58559-63.fc38.noarch                                    
  texlive-addlines-10:svn49326-63.fc38.noarch                                   
  texlive-adfathesis-10:svn26048.2.42-63.fc38.noarch                            
  texlive-adforn-10:svn54512-63.fc38.noarch                                     
  texlive-adfsymbols-10:svn54512-63.fc38.noarch                                 
  texlive-adigraph-10:svn49862-63.fc38.noarch                                   
  texlive-adjmulticol-10:svn63320-63.fc38.noarch                                
  texlive-adjustbox-10:svn64967-63.fc38.noarch                                  
  texlive-adobemapping-10:svn51787-63.fc38.noarch                               
  texlive-adrconv-10:svn46817-63.fc38.noarch                                    
  texlive-advdate-10:svn20538.0-63.fc38.noarch                                  
  texlive-ae-10:svn15878.1.4-63.fc38.noarch                                     
  texlive-aeguill-10:svn15878.0-63.fc38.noarch                                  
  texlive-aesupp-10:svn58253-63.fc38.noarch                                     
  texlive-afparticle-10:svn35900.1.3-63.fc38.noarch                             
  texlive-afthesis-10:svn15878.2.7-63.fc38.noarch                               
  texlive-aguplus-10:svn17156.1.6b-63.fc38.noarch                               
  texlive-aiaa-10:svn15878.3.6-63.fc38.noarch                                   
  texlive-aichej-10:svn15878.0-63.fc38.noarch                                   
  texlive-ajl-10:svn34016.0-63.fc38.noarch                                      
  texlive-akktex-10:svn26055.0.3.2-63.fc38.noarch                               
  texlive-akletter-10:svn15878.1.5i-63.fc38.noarch                              
  texlive-alegreya-10:svn64384-63.fc38.noarch                                   
  texlive-alertmessage-10:svn38055.1.1-63.fc38.noarch                           
  texlive-alfaslabone-10:svn57452-63.fc38.noarch                                
  texlive-alg-10:svn15878.0-63.fc38.noarch                                      
  texlive-algobox-10:svn52204-63.fc38.noarch                                    
  texlive-algolrevived-10:svn56864-63.fc38.noarch                               
  texlive-algorithm2e-10:svn44846-63.fc38.noarch                                
  texlive-algorithmicx-10:svn15878.0-63.fc38.noarch                             
  texlive-algorithms-10:svn42428-63.fc38.noarch                                 
  texlive-algpseudocodex-10:svn64654-63.fc38.noarch                             
  texlive-algxpar-10:svn56006-63.fc38.noarch                                    
  texlive-aligned-overset-10:svn47290-63.fc38.noarch                            
  texlive-allrunes-10:svn42221-63.fc38.noarch                                   
  texlive-almendra-10:svn64539-63.fc38.noarch                                   
  texlive-almfixed-10:svn35065.0.92-63.fc38.noarch                              
  texlive-alnumsec-10:svn15878.v0.03-63.fc38.noarch                             
  texlive-alphalph-10:svn53087-63.fc38.noarch                                   
  texlive-alterqcm-10:svn59265-63.fc38.noarch                                   
  texlive-altfont-10:svn15878.1.1-63.fc38.noarch                                
  texlive-altsubsup-10:svn62738-63.fc38.noarch                                  
  texlive-amsaddr-10:svn64357-63.fc38.noarch                                    
  texlive-amscdx-10:svn51532-63.fc38.noarch                                     
  texlive-amscls-10:svn55378-63.fc38.noarch                                     
  texlive-amsfonts-10:svn61937-63.fc38.noarch                                   
  texlive-amsmath-10:svn63514-63.fc38.noarch                                    
  texlive-amsrefs-10:svn61937-63.fc38.noarch                                    
  texlive-amstex-10:svn63708-58.fc38.noarch                                     
  texlive-andika-10:svn64540-63.fc38.noarch                                     
  texlive-animate-10:svn64401-63.fc38.noarch                                    
  texlive-annotate-10:svn52824-63.fc38.noarch                                   
  texlive-annotate-equations-10:svn62932-63.fc38.noarch                         
  texlive-anonchap-10:svn17049.1.1a-63.fc38.noarch                              
  texlive-anonymous-acm-10:svn55121-63.fc38.noarch                              
  texlive-anonymouspro-10:svn51631-63.fc38.noarch                               
  texlive-answers-10:svn35032.2.16-63.fc38.noarch                               
  texlive-antiqua-10:svn24266.001.003-63.fc38.noarch                            
  texlive-antt-10:svn18651.2.08-63.fc38.noarch                                  
  texlive-anufinalexam-doc-10:svn26053.0-63.fc38.noarch                         
  texlive-anyfontsize-10:svn17050.0-63.fc38.noarch                              
  texlive-anysize-10:svn15878.0-63.fc38.noarch                                  
  texlive-aobs-tikz-10:svn32662.1.0-63.fc38.noarch                              
  texlive-aomart-10:svn63442-63.fc38.noarch                                     
  texlive-apa-10:svn54080-63.fc38.noarch                                        
  texlive-apa6-10:svn53406-63.fc38.noarch                                       
  texlive-apa6e-10:svn23350.0.3-63.fc38.noarch                                  
  texlive-apa7-10:svn63974-63.fc38.noarch                                       
  texlive-apacite-10:svn54080-63.fc38.noarch                                    
  texlive-apalike-ejor-10:svn59667-63.fc38.noarch                               
  texlive-apalike2-10:svn54080-63.fc38.noarch                                   
  texlive-appendix-10:svn53718-63.fc38.noarch                                   
  texlive-appendixnumberbeamer-10:svn46317-63.fc38.noarch                       
  texlive-apptools-10:svn28400.1.0-63.fc38.noarch                               
  texlive-apxproof-10:svn64715-63.fc38.noarch                                   
  texlive-arabicfront-10:svn51474-63.fc38.noarch                                
  texlive-archaeologie-10:svn57090-63.fc38.noarch                               
  texlive-archaic-10:svn38005.0-63.fc38.noarch                                  
  texlive-arcs-10:svn15878.1-63.fc38.noarch                                     
  texlive-arev-10:svn15878.0-63.fc38.noarch                                     
  texlive-arimo-10:svn64548-63.fc38.noarch                                      
  texlive-arphic-10:svn15878.0-63.fc38.noarch                                   
  texlive-arraycols-10:svn61719-63.fc38.noarch                                  
  texlive-arrayjobx-10:svn18125.1.04-63.fc38.noarch                             
  texlive-arraysort-10:svn31576.1.0-63.fc38.noarch                              
  texlive-arsclassica-10:svn45656-63.fc38.noarch                                
  texlive-articleingud-10:svn38741-63.fc38.noarch                               
  texlive-arvo-10:svn57213-63.fc38.noarch                                       
  texlive-arydshln-10:svn50084-63.fc38.noarch                                   
  texlive-asaetr-10:svn15878.1.0a-63.fc38.noarch                                
  texlive-asana-math-10:svn59629-63.fc38.noarch                                 
  texlive-asapsym-10:svn40201-63.fc38.noarch                                    
  texlive-ascelike-10:svn29129.2.3-63.fc38.noarch                               
  texlive-ascii-font-10:svn29989.2.0-63.fc38.noarch                             
  texlive-asciilist-10:svn49060-63.fc38.noarch                                  
  texlive-ascmac-10:svn53411-63.fc38.noarch                                     
  texlive-askinclude-10:svn54725-63.fc38.noarch                                 
  texlive-askmaps-10:svn56730-63.fc38.noarch                                    
  texlive-asmeconf-10:svn64435-63.fc38.noarch                                   
  texlive-asmejour-10:svn63736-63.fc38.noarch                                   
  texlive-aspectratio-10:svn25243.2.0-63.fc38.noarch                            
  texlive-assignment-10:svn20431.0-63.fc38.noarch                               
  texlive-assoccnt-10:svn38497-63.fc38.noarch                                   
  texlive-association-matrix-10:svn64845-63.fc38.noarch                         
  texlive-astro-10:svn15878.2.20-63.fc38.noarch                                 
  texlive-asyfig-10:svn17512.0.1c-63.fc38.noarch                                
  texlive-asypictureb-10:svn33490.0.3-63.fc38.noarch                            
  texlive-atbegshi-10:svn53051-63.fc38.noarch                                   
  texlive-atenddvi-10:svn56922-63.fc38.noarch                                   
  texlive-atendofenv-10:svn62164-63.fc38.noarch                                 
  texlive-atkinson-10:svn64385-63.fc38.noarch                                   
  texlive-attachfile-10:svn42099-63.fc38.noarch                                 
  texlive-attachfile2-10:svn57959-58.fc38.noarch                                
  texlive-atveryend-10:svn53108-63.fc38.noarch                                  
  texlive-aucklandthesis-10:svn51323-63.fc38.noarch                             
  texlive-augie-10:svn61719-63.fc38.noarch                                      
  texlive-auncial-new-10:svn62977-63.fc38.noarch                                
  texlive-aurical-10:svn15878.1.5-63.fc38.noarch                                
  texlive-aurl-10:svn41853-63.fc38.noarch                                       
  texlive-authoraftertitle-10:svn55889-63.fc38.noarch                           
  texlive-authorarchive-10:svn63146-63.fc38.noarch                              
  texlive-authordate-10:svn52564-63.fc38.noarch                                 
  texlive-authorindex-10:svn51757-58.fc38.noarch                                
  texlive-auto-pst-pdf-10:svn56596-63.fc38.noarch                               
  texlive-autoarea-10:svn59552-63.fc38.noarch                                   
  texlive-autobreak-10:svn43337-63.fc38.noarch                                  
  texlive-autofancyhdr-10:svn54049-63.fc38.noarch                               
  texlive-autonum-10:svn36084.0.3.11-63.fc38.noarch                             
  texlive-autopdf-10:svn32377.1.1-63.fc38.noarch                                
  texlive-autopuncitems-10:svn63045-63.fc38.noarch                              
  texlive-auxhook-10:svn53173-63.fc38.noarch                                    
  texlive-avantgar-10:svn61983-63.fc38.noarch                                   
  texlive-avremu-10:svn35373.0.1-63.fc38.noarch                                 
  texlive-axessibility-10:svn57105-63.fc38.noarch                               
  texlive-axodraw2-10:svn58155-58.fc38.x86_64                                   
  texlive-b1encoding-10:svn21271.1.0-63.fc38.noarch                             
  texlive-babel-10:svn65366-63.fc38.noarch                                      
  texlive-babel-dutch-10:svn60362-63.fc38.noarch                                
  texlive-babel-english-10:svn44495-63.fc38.noarch                              
  texlive-babelbib-10:svn57349-63.fc38.noarch                                   
  texlive-background-10:svn42428-63.fc38.noarch                                 
  texlive-backnaur-10:svn54080-63.fc38.noarch                                   
  texlive-bangorcsthesis-10:svn61770-63.fc38.noarch                             
  texlive-bangorexam-10:svn65140-63.fc38.noarch                                 
  texlive-bankstatement-10:svn38857-63.fc38.noarch                              
  texlive-barcodes-10:svn15878.0-63.fc38.noarch                                 
  texlive-bardiag-10:svn22013.0.4a-63.fc38.noarch                               
  texlive-base-10:20220321-58.fc38.x86_64                                       
  texlive-bashful-10:svn25597.0.93-63.fc38.noarch                               
  texlive-basicarith-10:svn35460.1.1-63.fc38.noarch                             
  texlive-baskervald-10:svn19490.1.016-63.fc38.noarch                           
  texlive-baskervaldx-10:svn57080-63.fc38.noarch                                
  texlive-baskervillef-10:svn55475-63.fc38.noarch                               
  texlive-bath-bst-10:svn63398-63.fc38.noarch                                   
  texlive-bbding-10:svn17186.1.01-63.fc38.noarch                                
  texlive-bbm-10:svn15878.0-63.fc38.noarch                                      
  texlive-bbm-macros-10:svn17224.0-63.fc38.noarch                               
  texlive-bbold-10:svn17187.1.01-63.fc38.noarch                                 
  texlive-bbold-type1-10:svn33143.0-63.fc38.noarch                              
  texlive-bboldx-10:svn60919-63.fc38.noarch                                     
  texlive-bchart-10:svn43928-63.fc38.noarch                                     
  texlive-beamer-10:svn64388-63.fc38.noarch                                     
  texlive-beamer-fuberlin-10:svn63161-63.fc38.noarch                            
  texlive-beamer-rl-10:svn63668-63.fc38.noarch                                  
  texlive-beamer-verona-10:svn39180-63.fc38.noarch                              
  texlive-beamer2thesis-10:svn27539.2.2-63.fc38.noarch                          
  texlive-beamerappendixnote-10:svn55732-63.fc38.noarch                         
  texlive-beameraudience-10:svn23427.0.1-63.fc38.noarch                         
  texlive-beamerauxtheme-10:svn56087-63.fc38.noarch                             
  texlive-beamercolorthemeowl-10:svn40105-63.fc38.noarch                        
  texlive-beamerdarkthemes-10:svn55117-63.fc38.noarch                           
  texlive-beamerposter-10:svn54512-63.fc38.noarch                               
  texlive-beamersubframe-10:svn23510.0.2-63.fc38.noarch                         
  texlive-beamerswitch-10:svn64182-63.fc38.noarch                               
  texlive-beamertheme-arguelles-10:svn65234-63.fc38.noarch                      
  texlive-beamertheme-cuerna-10:svn42161-63.fc38.noarch                         
  texlive-beamertheme-detlevcm-10:svn39048-63.fc38.noarch                       
  texlive-beamertheme-epyt-10:svn41404-63.fc38.noarch                           
  texlive-beamertheme-focus-10:svn62551-63.fc38.noarch                          
  texlive-beamertheme-light-10:svn49867-63.fc38.noarch                          
  texlive-beamertheme-metropolis-10:svn43031-63.fc38.noarch                     
  texlive-beamertheme-npbt-10:svn54512-63.fc38.noarch                           
  texlive-beamertheme-phnompenh-10:svn39100-63.fc38.noarch                      
  texlive-beamertheme-pure-minimalistic-10:svn56934-63.fc38.noarch              
  texlive-beamertheme-saintpetersburg-10:svn45877-63.fc38.noarch                
  texlive-beamertheme-simpledarkblue-10:svn60061-63.fc38.noarch                 
  texlive-beamertheme-simpleplus-10:svn64770-63.fc38.noarch                     
  texlive-beamertheme-tcolorbox-10:svn64387-63.fc38.noarch                      
  texlive-beamertheme-trigon-10:svn63270-63.fc38.noarch                         
  texlive-beamertheme-upenn-bc-10:svn29937.1.0-63.fc38.noarch                   
  texlive-beamerthemeamurmaple-10:svn64346-63.fc38.noarch                       
  texlive-beamerthemejltree-10:svn21977.1.1-63.fc38.noarch                      
  texlive-beamerthemelalic-10:svn58777-63.fc38.noarch                           
  texlive-beamerthemenirma-10:svn20765.0.1-63.fc38.noarch                       
  texlive-beamerthemenord-10:svn56180-63.fc38.noarch                            
  texlive-bearwear-10:svn54826-63.fc38.noarch                                   
  texlive-beaulivre-10:svn64280-63.fc38.noarch                                  
  texlive-beebe-10:svn65356-63.fc38.noarch                                      
  texlive-begriff-10:svn15878.1.6-63.fc38.noarch                                
  texlive-beilstein-10:svn56193-63.fc38.noarch                                  
  texlive-belleek-10:svn18651.0-63.fc38.noarch                                  
  texlive-bera-10:svn20031.0-63.fc38.noarch                                     
  texlive-berenisadf-10:svn32215.1.004-63.fc38.noarch                           
  texlive-besjournals-10:svn45662-63.fc38.noarch                                
  texlive-bestpapers-10:svn38708-63.fc38.noarch                                 
  texlive-beton-10:svn15878.0-63.fc38.noarch                                    
  texlive-beuron-10:svn46374-63.fc38.noarch                                     
  texlive-bewerbung-10:svn61632-63.fc38.noarch                                  
  texlive-bez123-10:svn15878.1.1b-63.fc38.noarch                                
  texlive-bfh-ci-10:svn65019-63.fc38.noarch                                     
  texlive-bgteubner-10:svn54080-63.fc38.noarch                                  
  texlive-bguq-10:svn27401.0.4-63.fc38.noarch                                   
  texlive-bhcexam-10:svn64093-63.fc38.noarch                                    
  texlive-bib2gls-10:svn64710-58.fc38.noarch                                    
  texlive-bibarts-10:svn64579-63.fc38.noarch                                    
  texlive-bibcop-10:svn65306-63.fc38.noarch                                     
  texlive-bibexport-10:svn50677-58.fc38.noarch                                  
  texlive-bibhtml-10:svn31607.2.0.2-63.fc38.noarch                              
  texlive-biblatex-10:svn63878-63.fc38.noarch                                   
  texlive-biblatex-abnt-10:svn49179-63.fc38.noarch                              
  texlive-biblatex-ajc2020unofficial-10:svn54401-63.fc38.noarch                 
  texlive-biblatex-anonymous-10:svn48548-63.fc38.noarch                         
  texlive-biblatex-apa-10:svn63719-63.fc38.noarch                               
  texlive-biblatex-apa6-10:svn56209-63.fc38.noarch                              
  texlive-biblatex-archaeology-10:svn53281-63.fc38.noarch                       
  texlive-biblatex-arthistory-bonn-10:svn46637-63.fc38.noarch                   
  texlive-biblatex-bath-10:svn63401-63.fc38.noarch                              
  texlive-biblatex-bookinarticle-10:svn40323-63.fc38.noarch                     
  texlive-biblatex-bookinother-10:svn54015-63.fc38.noarch                       
  texlive-biblatex-bwl-10:svn26556.0.02-63.fc38.noarch                          
  texlive-biblatex-caspervector-10:svn64866-63.fc38.noarch                      
  texlive-biblatex-chem-10:svn57904-63.fc38.noarch                              
  texlive-biblatex-chicago-10:svn65037-63.fc38.noarch                           
  texlive-biblatex-claves-10:svn43723-63.fc38.noarch                            
  texlive-biblatex-cv-10:svn59433-63.fc38.noarch                                
  texlive-biblatex-dw-10:svn42649-63.fc38.noarch                                
  texlive-biblatex-enc-10:svn44627-63.fc38.noarch                               
  texlive-biblatex-ext-10:svn63638-63.fc38.noarch                               
  texlive-biblatex-fiwi-10:svn45876-63.fc38.noarch                              
  texlive-biblatex-gb7714-2015-10:svn64967-63.fc38.noarch                       
  texlive-biblatex-german-legal-10:svn56939-63.fc38.noarch                      
  texlive-biblatex-gost-10:svn59135-63.fc38.noarch                              
  texlive-biblatex-historian-10:svn19787.0.4-63.fc38.noarch                     
  texlive-biblatex-ieee-10:svn61243-63.fc38.noarch                              
  texlive-biblatex-ijsra-10:svn41634-63.fc38.noarch                             
  texlive-biblatex-iso690-10:svn62866-63.fc38.noarch                            
  texlive-biblatex-jura2-10:svn64762-63.fc38.noarch                             
  texlive-biblatex-juradiss-10:svn56502-63.fc38.noarch                          
  texlive-biblatex-license-10:svn58437-63.fc38.noarch                           
  texlive-biblatex-lncs-10:svn65280-63.fc38.noarch                              
  texlive-biblatex-lni-10:svn61719-63.fc38.noarch                               
  texlive-biblatex-luh-ipw-10:svn32180.0.3-63.fc38.noarch                       
  texlive-biblatex-manuscripts-philology-10:svn53344-63.fc38.noarch             
  texlive-biblatex-mla-10:svn62138-63.fc38.noarch                               
  texlive-biblatex-morenames-10:svn43049-63.fc38.noarch                         
  texlive-biblatex-ms-10:svn64180-63.fc38.noarch                                
  texlive-biblatex-multiple-dm-10:svn37081.1.0.1-63.fc38.noarch                 
  texlive-biblatex-musuos-10:svn24097.1.0-63.fc38.noarch                        
  texlive-biblatex-nature-10:svn57262-63.fc38.noarch                            
  texlive-biblatex-nejm-10:svn49839-63.fc38.noarch                              
  texlive-biblatex-nottsclassic-10:svn41596-63.fc38.noarch                      
  texlive-biblatex-opcit-booktitle-10:svn48983-63.fc38.noarch                   
  texlive-biblatex-oxref-10:svn57513-63.fc38.noarch                             
  texlive-biblatex-philosophy-10:svn64414-63.fc38.noarch                        
  texlive-biblatex-phys-10:svn55643-63.fc38.noarch                              
  texlive-biblatex-publist-10:svn65182-63.fc38.noarch                           
  texlive-biblatex-readbbl-10:svn61549-63.fc38.noarch                           
  texlive-biblatex-realauthor-10:svn45865-63.fc38.noarch                        
  texlive-biblatex-sbl-10:svn63639-63.fc38.noarch                               
  texlive-biblatex-science-10:svn48945-63.fc38.noarch                           
  texlive-biblatex-shortfields-10:svn45858-63.fc38.noarch                       
  texlive-biblatex-socialscienceshuberlin-10:svn47839-63.fc38.noarch            
  texlive-biblatex-software-10:svn64030-63.fc38.noarch                          
  texlive-biblatex-source-division-10:svn45379-63.fc38.noarch                   
  texlive-biblatex-spbasic-10:svn61439-63.fc38.noarch                           
  texlive-biblatex-subseries-10:svn43330-63.fc38.noarch                         
  texlive-biblatex-swiss-legal-10:svn64491-63.fc38.noarch                       
  texlive-biblatex-trad-10:svn58169-63.fc38.noarch                              
  texlive-biblatex-true-citepages-omit-10:svn44653-63.fc38.noarch               
  texlive-biblatex-unified-10:svn64975-63.fc38.noarch                           
  texlive-biblatex-vancouver-10:svn55339-63.fc38.noarch                         
  texlive-biblatex2bibitem-10:svn61648-63.fc38.noarch                           
  texlive-bibletext-10:svn45196-63.fc38.noarch                                  
  texlive-biblist-10:svn17116.0-63.fc38.noarch                                  
  texlive-bibtex-10:svn64491-58.fc38.x86_64                                     
  texlive-bibtexperllibs-10:svn57137-63.fc38.noarch                             
  texlive-bibtopic-10:svn15878.1.1a-63.fc38.noarch                              
  texlive-bibtopicprefix-10:svn15878.1.10-63.fc38.noarch                        
  texlive-bibunits-10:svn15878.2.2-63.fc38.noarch                               
  texlive-bidi-10:svn64967-63.fc38.noarch                                       
  texlive-bigfoot-10:svn38248.2.1-63.fc38.noarch                                
  texlive-bigintcalc-10:svn53172-63.fc38.noarch                                 
  texlive-bigints-10:svn29803.0-63.fc38.noarch                                  
  texlive-bilingualpages-10:svn59643-63.fc38.noarch                             
  texlive-binarytree-10:svn41777-63.fc38.noarch                                 
  texlive-binomexp-10:svn15878.1.0-63.fc38.noarch                               
  texlive-biochemistry-colors-10:svn54512-63.fc38.noarch                        
  texlive-biocon-10:svn15878.0-63.fc38.noarch                                   
  texlive-biolett-bst-10:svn61719-63.fc38.noarch                                
  texlive-bithesis-10:svn65314-63.fc38.noarch                                   
  texlive-bitpattern-10:svn39073-63.fc38.noarch                                 
  texlive-bitset-10:svn53837-63.fc38.noarch                                     
  texlive-bitter-10:svn64541-63.fc38.noarch                                     
  texlive-bizcard-10:svn15878.1.1-63.fc38.noarch                                
  texlive-bjfuthesis-10:svn59809-63.fc38.noarch                                 
  texlive-blacklettert1-10:svn15878.0-63.fc38.noarch                            
  texlive-blindtext-10:svn25039.2.0-63.fc38.noarch                              
  texlive-blkarray-10:svn36406.0.07-63.fc38.noarch                              
  texlive-blochsphere-10:svn38388-63.fc38.noarch                                
  texlive-block-10:svn17209.0-63.fc38.noarch                                    
  texlive-bloques-10:svn22490.1.0-63.fc38.noarch                                
  texlive-blowup-10:svn64466-63.fc38.noarch                                     
  texlive-blox-10:svn57949-63.fc38.noarch                                       
  texlive-bmstu-10:svn62012-63.fc38.noarch                                      
  texlive-bmstu-iu8-10:svn61937-63.fc38.noarch                                  
  texlive-bnumexpr-10:svn59244-63.fc38.noarch                                   
  texlive-bodegraph-10:svn61719-63.fc38.noarch                                  
  texlive-bodeplot-10:svn65074-63.fc38.noarch                                   
  texlive-bohr-10:svn62977-63.fc38.noarch                                       
  texlive-boisik-10:svn15878.0.5-63.fc38.noarch                                 
  texlive-boites-10:svn32235.1.1-63.fc38.noarch                                 
  texlive-bold-extra-10:svn17076.0.1-63.fc38.noarch                             
  texlive-boldtensors-10:svn15878.0-63.fc38.noarch                              
  texlive-bondgraph-10:svn21670.1.0-63.fc38.noarch                              
  texlive-bondgraphs-10:svn36605.1.0.1-63.fc38.noarch                           
  texlive-book-of-common-prayer-10:svn62240-63.fc38.noarch                      
  texlive-bookcover-10:svn64078-63.fc38.noarch                                  
  texlive-bookdb-10:svn37536.0.2-63.fc38.noarch                                 
  texlive-bookest-10:svn15878.1.1-63.fc38.noarch                                
  texlive-bookhands-10:svn46480-63.fc38.noarch                                  
  texlive-booklet-10:svn15878.0.7b-63.fc38.noarch                               
  texlive-bookman-10:svn61719-63.fc38.noarch                                    
  texlive-bookmark-10:svn56885-63.fc38.noarch                                   
  texlive-bookshelf-10:svn55475-63.fc38.noarch                                  
  texlive-booktabs-10:svn53402-63.fc38.noarch                                   
  texlive-boolexpr-10:svn17830.3.14-63.fc38.noarch                              
  texlive-boondox-10:svn54512-63.fc38.noarch                                    
  texlive-bophook-10:svn17062.0.02-63.fc38.noarch                               
  texlive-bosisio-10:svn16989.0-63.fc38.noarch                                  
  texlive-boxedminipage-10:svn54827-63.fc38.noarch                              
  texlive-boxhandler-10:svn28031.1.30-63.fc38.noarch                            
  texlive-bpchem-10:svn45120-63.fc38.noarch                                     
  texlive-br-lex-10:svn44939-63.fc38.noarch                                     
  texlive-bracketkey-10:svn17129.1.0-63.fc38.noarch                             
  texlive-braids-10:svn64817-63.fc38.noarch                                     
  texlive-braille-10:svn20655.0-63.fc38.noarch                                  
  texlive-braket-10:svn17127.0-63.fc38.noarch                                   
  texlive-brandeis-dissertation-10:svn61215-63.fc38.noarch                      
  texlive-brandeis-problemset-10:svn50991-63.fc38.noarch                        
  texlive-brandeis-thesis-10:svn59832-63.fc38.noarch                            
  texlive-breakcites-10:svn21014-63.fc38.noarch                                 
  texlive-breakurl-10:svn29901.1.40-63.fc38.noarch                              
  texlive-breqn-10:svn60881-63.fc38.noarch                                      
  texlive-bropd-10:svn35383.1.2-63.fc38.noarch                                  
  texlive-brushscr-10:svn28363.0-63.fc38.noarch                                 
  texlive-bubblesort-10:svn56070-63.fc38.noarch                                 
  texlive-buctthesis-10:svn64004-63.fc38.noarch                                 
  texlive-bullcntr-10:svn15878.0.04-63.fc38.noarch                              
  texlive-bussproofs-10:svn54080-63.fc38.noarch                                 
  texlive-bussproofs-extra-10:svn51299-63.fc38.noarch                           
  texlive-bxcalc-10:svn56431-63.fc38.noarch                                     
  texlive-bxdpx-beamer-10:svn41813-63.fc38.noarch                               
  texlive-bxdvidriver-10:svn43219-63.fc38.noarch                                
  texlive-bxeepic-10:svn30559.0.2-63.fc38.noarch                                
  texlive-bxenclose-10:svn40213-63.fc38.noarch                                  
  texlive-bxnewfont-10:svn44173-63.fc38.noarch                                  
  texlive-bxpapersize-10:svn63174-63.fc38.noarch                                
  texlive-bxpdfver-10:svn63185-63.fc38.noarch                                   
  texlive-bxtexlogo-10:svn63231-63.fc38.noarch                                  
  texlive-byo-twemojis-10:svn58917-63.fc38.noarch                               
  texlive-byrne-10:svn61943-63.fc38.noarch                                      
  texlive-bytefield-10:svn60265-63.fc38.noarch                                  
  texlive-cabin-10:svn65358-63.fc38.noarch                                      
  texlive-cachepic-10:svn26313-58.fc38.noarch                                   
  texlive-caladea-10:svn64549-63.fc38.noarch                                    
  texlive-calcage-10:svn27725.0.90-63.fc38.noarch                               
  texlive-calctab-10:svn15878.v0.6.1-63.fc38.noarch                             
  texlive-calculation-10:svn35973.1.0-63.fc38.noarch                            
  texlive-calculator-10:svn64424-63.fc38.noarch                                 
  texlive-calligra-10:svn15878.0-63.fc38.noarch                                 
  texlive-calligra-type1-10:svn24302.001.000-63.fc38.noarch                     
  texlive-callouts-10:svn44899-63.fc38.noarch                                   
  texlive-calrsfs-10:svn17125.0-63.fc38.noarch                                  
  texlive-cals-10:svn43003-63.fc38.noarch                                       
  texlive-calxxxx-yyyy-10:svn61463-63.fc38.noarch                               
  texlive-cancel-10:svn32508.2.2-63.fc38.noarch                                 
  texlive-canoniclayout-10:svn64889-63.fc38.noarch                              
  texlive-cantarell-10:svn54512-63.fc38.noarch                                  
  texlive-capt-of-10:svn29803.0-63.fc38.noarch                                  
  texlive-captcont-10:svn15878.2.0-63.fc38.noarch                               
  texlive-captdef-10:svn17353.0-63.fc38.noarch                                  
  texlive-caption-10:svn62757-63.fc38.noarch                                    
  texlive-carbohydrates-10:svn39000-63.fc38.noarch                              
  texlive-carlisle-10:svn59577-63.fc38.noarch                                   
  texlive-carlito-10:svn64624-63.fc38.noarch                                    
  texlive-carolmin-ps-10:svn15878.0-63.fc38.noarch                              
  texlive-cartonaugh-10:svn59938-63.fc38.noarch                                 
  texlive-cascade-10:svn60310-63.fc38.noarch                                    
  texlive-cascadia-code-10:svn57478-63.fc38.noarch                              
  texlive-cascadilla-10:svn25144.1.8.2-63.fc38.noarch                           
  texlive-cases-10:svn54682-63.fc38.noarch                                      
  texlive-casyl-10:svn15878.2.0-63.fc38.noarch                                  
  texlive-catchfile-10:svn53084-63.fc38.noarch                                  
  texlive-catchfilebetweentags-10:svn21476.1.1-63.fc38.noarch                   
  texlive-catcodes-10:svn38859-63.fc38.noarch                                   
  texlive-catechis-10:svn59998-63.fc38.noarch                                   
  texlive-catoptions-10:svn35069.0.2.7h-63.fc38.noarch                          
  texlive-causets-10:svn63366-63.fc38.noarch                                    
  texlive-cbcoptic-10:svn16666.0.2-63.fc38.noarch                               
  texlive-cbfonts-10:svn54080-63.fc38.noarch                                    
  texlive-cbfonts-fd-10:svn54080-63.fc38.noarch                                 
  texlive-ccaption-10:svn23443.3.2c-63.fc38.noarch                              
  texlive-ccfonts-10:svn61431-63.fc38.noarch                                    
  texlive-ccicons-10:svn54512-63.fc38.noarch                                    
  texlive-cclicenses-10:svn15878.0-63.fc38.noarch                               
  texlive-ccool-10:svn60600-63.fc38.noarch                                      
  texlive-cd-10:svn34452.1.4-63.fc38.noarch                                     
  texlive-cd-cover-10:svn17121.1.0-63.fc38.noarch                               
  texlive-cdcmd-10:svn60742-63.fc38.noarch                                      
  texlive-cdpbundl-10:svn61719-63.fc38.noarch                                   
  texlive-cell-10:svn42428-63.fc38.noarch                                       
  texlive-cellprops-10:svn57599-63.fc38.noarch                                  
  texlive-cellspace-10:svn61501-63.fc38.noarch                                  
  texlive-celtic-10:svn39797-63.fc38.noarch                                     
  texlive-censor-10:svn63126-63.fc38.noarch                                     
  texlive-centeredline-10:svn64672-63.fc38.noarch                               
  texlive-centerlastline-10:svn56644-63.fc38.noarch                             
  texlive-cesenaexam-10:svn44960-63.fc38.noarch                                 
  texlive-cfr-initials-10:svn61719-63.fc38.noarch                               
  texlive-cfr-lm-10:svn36195.1.5-63.fc38.noarch                                 
  texlive-changebar-10:svn63259-63.fc38.noarch                                  
  texlive-changelayout-10:svn16094.1.0-63.fc38.noarch                           
  texlive-changelog-10:svn56338-63.fc38.noarch                                  
  texlive-changepage-10:svn15878.1.0c-63.fc38.noarch                            
  texlive-changes-10:svn59950-63.fc38.noarch                                    
  texlive-chappg-10:svn15878.2.1b-63.fc38.noarch                                
  texlive-chapterfolder-10:svn15878.2.0.1-63.fc38.noarch                        
  texlive-charissil-10:svn64998-63.fc38.noarch                                  
  texlive-charter-10:svn15878.0-63.fc38.noarch                                  
  texlive-chbibref-10:svn17120.1.0-63.fc38.noarch                               
  texlive-cheatsheet-10:svn45069-63.fc38.noarch                                 
  texlive-checkend-10:svn51475-63.fc38.noarch                                   
  texlive-chem-journal-10:svn15878.0-63.fc38.noarch                             
  texlive-chemarrow-10:svn17146.0.9-63.fc38.noarch                              
  texlive-chembst-10:svn15878.0.2.5-63.fc38.noarch                              
  texlive-chemcompounds-10:svn15878.0-63.fc38.noarch                            
  texlive-chemcono-10:svn17119.1.3-63.fc38.noarch                               
  texlive-chemexec-10:svn21632.1.0-63.fc38.noarch                               
  texlive-chemfig-10:svn64529-63.fc38.noarch                                    
  texlive-chemformula-10:svn61719-63.fc38.noarch                                
  texlive-chemgreek-10:svn53437-63.fc38.noarch                                  
  texlive-chemmacros-10:svn62655-63.fc38.noarch                                 
  texlive-chemnum-10:svn57490-63.fc38.noarch                                    
  texlive-chemobabel-10:svn64778-63.fc38.noarch                                 
  texlive-chemplants-10:svn60606-63.fc38.noarch                                 
  texlive-chemschemex-10:svn46723-63.fc38.noarch                                
  texlive-chemsec-10:svn46972-63.fc38.noarch                                    
  texlive-chemstyle-10:svn31096.2.0m-63.fc38.noarch                             
  texlive-cherokee-10:svn21046.0-63.fc38.noarch                                 
  texlive-chessfss-10:svn19440.1.2a-63.fc38.noarch                              
  texlive-chet-10:svn45081-63.fc38.noarch                                       
  texlive-chextras-10:svn27118.1.01-63.fc38.noarch                              
  texlive-chicago-10:svn15878.0-63.fc38.noarch                                  
  texlive-chicago-annote-10:svn15878.0-63.fc38.noarch                           
  texlive-chicagoa-10:svn52567-63.fc38.noarch                                   
  texlive-chifoot-10:svn57312-63.fc38.noarch                                    
  texlive-childdoc-10:svn49543-63.fc38.noarch                                   
  texlive-chivo-10:svn65029-63.fc38.noarch                                      
  texlive-chkfloat-10:svn27473.0.1-63.fc38.noarch                               
  texlive-chletter-10:svn20060.2.0-63.fc38.noarch                               
  texlive-chngcntr-10:svn47577-63.fc38.noarch                                   
  texlive-chronology-10:svn37934.1.1.1-63.fc38.noarch                           
  texlive-chs-physics-report-10:svn54512-63.fc38.noarch                         
  texlive-chscite-10:svn28552.2.9999-63.fc38.noarch                             
  texlive-cinzel-10:svn64550-63.fc38.noarch                                     
  texlive-circ-10:svn62977-63.fc38.noarch                                       
  texlive-circledsteps-10:svn63255-63.fc38.noarch                               
  texlive-circledtext-10:svn63166-63.fc38.noarch                                
  texlive-circuit-macros-10:svn65149-63.fc38.noarch                             
  texlive-circuitikz-10:svn65240-63.fc38.noarch                                 
  texlive-citation-style-language-10:svn65357-58.fc38.noarch                    
  texlive-cite-10:svn36428.5.5-63.fc38.noarch                                   
  texlive-citeall-10:svn45975-63.fc38.noarch                                    
  texlive-citeref-10:svn47407-63.fc38.noarch                                    
  texlive-cje-10:svn46721-63.fc38.noarch                                        
  texlive-cjk-10:svn60865-63.fc38.noarch                                        
  texlive-cjkpunct-10:svn41119-63.fc38.noarch                                   
  texlive-clara-10:svn54512-63.fc38.noarch                                      
  texlive-classics-10:svn53671-63.fc38.noarch                                   
  texlive-classicthesis-10:svn48041-63.fc38.noarch                              
  texlive-classpack-10:svn55218-63.fc38.noarch                                  
  texlive-cleanthesis-10:svn51472-63.fc38.noarch                                
  texlive-clearsans-10:svn64400-63.fc38.noarch                                  
  texlive-clefval-10:svn55985-63.fc38.noarch                                    
  texlive-cleveref-10:svn61719-63.fc38.noarch                                   
  texlive-clicks-10:svn64602-63.fc38.noarch                                     
  texlive-clipboard-10:svn47747-63.fc38.noarch                                  
  texlive-clistmap-10:svn61811-63.fc38.noarch                                   
  texlive-clock-10:svn15878.0-63.fc38.noarch                                    
  texlive-clrdblpg-10:svn47511-63.fc38.noarch                                   
  texlive-clrscode-10:svn51136-63.fc38.noarch                                   
  texlive-clrscode3e-10:svn51137-63.fc38.noarch                                 
  texlive-clrstrip-10:svn60363-63.fc38.noarch                                   
  texlive-cm-10:svn57963-63.fc38.noarch                                         
  texlive-cm-lgc-10:svn28250.0.5-63.fc38.noarch                                 
  texlive-cm-mf-extra-bold-10:svn54512-63.fc38.noarch                           
  texlive-cm-super-10:svn15878.0-63.fc38.noarch                                 
  texlive-cm-unicode-10:svn58661-63.fc38.noarch                                 
  texlive-cmap-10:svn57640-63.fc38.noarch                                       
  texlive-cmathbb-10:svn56414-63.fc38.noarch                                    
  texlive-cmbright-10:svn21107.8.1-63.fc38.noarch                               
  texlive-cmdstring-10:svn15878.1.1-63.fc38.noarch                              
  texlive-cmdtrack-10:svn28910-63.fc38.noarch                                   
  texlive-cmexb-10:svn54074-63.fc38.noarch                                      
  texlive-cmextra-10:svn57866-63.fc38.noarch                                    
  texlive-cmll-10:svn17964.0-63.fc38.noarch                                     
  texlive-cmpica-10:svn15878.0-63.fc38.noarch                                   
  texlive-cmpj-10:svn58506-63.fc38.noarch                                       
  texlive-cmsd-10:svn18787.0-63.fc38.noarch                                     
  texlive-cmsrb-10:svn54706-63.fc38.noarch                                      
  texlive-cmtiup-10:svn39728-63.fc38.noarch                                     
  texlive-cmupint-10:svn54735-63.fc38.noarch                                    
  texlive-cnltx-10:svn55265-63.fc38.noarch                                      
  texlive-cns-10:svn45677-63.fc38.noarch                                        
  texlive-cntformats-10:svn34668.0.7-63.fc38.noarch                             
  texlive-cntperchap-10:svn37572.0.3-63.fc38.noarch                             
  texlive-cochineal-10:svn62063-63.fc38.noarch                                  
  texlive-codeanatomy-10:svn51627-63.fc38.noarch                                
  texlive-codebox-10:svn61771-63.fc38.noarch                                    
  texlive-codedoc-10:svn17630.0.3-63.fc38.noarch                                
  texlive-codehigh-10:svn63175-63.fc38.noarch                                   
  texlive-codepage-10:svn51502-63.fc38.noarch                                   
  texlive-codesection-10:svn34481.0.1-63.fc38.noarch                            
  texlive-coelacanth-10:svn64558-63.fc38.noarch                                 
  texlive-coffeestains-10:svn59703-63.fc38.noarch                               
  texlive-collcell-10:svn64967-63.fc38.noarch                                   
  texlive-collectbox-10:svn64967-63.fc38.noarch                                 
  texlive-collection-basic-10:svn59159-63.fc38.noarch                           
  texlive-collection-bibtexextra-10:svn65204-63.fc38.noarch                     
  texlive-collection-fontsextra-10:svn64952-63.fc38.noarch                      
  texlive-collection-fontsrecommended-10:svn54074-63.fc38.noarch                
  texlive-collection-latex-10:svn63515-63.fc38.noarch                           
  texlive-collection-latexextra-10:svn65353-63.fc38.noarch                      
  texlive-collection-latexrecommended-10:svn63547-63.fc38.noarch                
  texlive-collection-mathscience-10:svn65312-63.fc38.noarch                     
  texlive-collection-pictures-10:svn65359-63.fc38.noarch                        
  texlive-collection-publishers-10:svn65221-63.fc38.noarch                      
  texlive-collref-10:svn46358-63.fc38.noarch                                    
  texlive-colophon-10:svn47913-63.fc38.noarch                                   
  texlive-color-edits-10:svn56707-63.fc38.noarch                                
  texlive-colordoc-10:svn18270.0-63.fc38.noarch                                 
  texlive-colorframed-10:svn64551-63.fc38.noarch                                
  texlive-colorinfo-10:svn15878.0.3c-63.fc38.noarch                             
  texlive-coloring-10:svn41042-63.fc38.noarch                                   
  texlive-colorist-10:svn64280-63.fc38.noarch                                   
  texlive-colorprofiles-10:svn49086-63.fc38.noarch                              
  texlive-colorspace-10:svn50585-63.fc38.noarch                                 
  texlive-colortab-10:svn22155.1.0-63.fc38.noarch                               
  texlive-colortbl-10:svn64015-63.fc38.noarch                                   
  texlive-colorwav-10:svn15878.1.0-63.fc38.noarch                               
  texlive-colorweb-10:svn31490.1.3-63.fc38.noarch                               
  texlive-colourchange-10:svn21741.1.22-63.fc38.noarch                          
  texlive-combelow-10:svn18462.0.99f-63.fc38.noarch                             
  texlive-combine-10:svn19361.0.7a-63.fc38.noarch                               
  texlive-combinedgraphics-10:svn27198.0.2.2-63.fc38.noarch                     
  texlive-comfortaa-10:svn54512-63.fc38.noarch                                  
  texlive-comicneue-10:svn54891-63.fc38.noarch                                  
  texlive-comma-10:svn18259.1.2-63.fc38.noarch                                  
  texlive-commado-10:svn38875-63.fc38.noarch                                    
  texlive-commath-10:svn15878.0.3-63.fc38.noarch                                
  texlive-commedit-10:svn50116-63.fc38.noarch                                   
  texlive-comment-10:svn41927-63.fc38.noarch                                    
  texlive-commonunicode-10:svn62901-63.fc38.noarch                              
  texlive-commutative-diagrams-10:svn55526-63.fc38.noarch                       
  texlive-compactbib-10:svn15878.0-63.fc38.noarch                               
  texlive-competences-10:svn47573-63.fc38.noarch                                
  texlive-complexity-10:svn45322-63.fc38.noarch                                 
  texlive-computational-complexity-10:svn44847-63.fc38.noarch                   
  texlive-concepts-10:svn29020.0.0.5_r1-63.fc38.noarch                          
  texlive-concmath-10:svn17219.0-63.fc38.noarch                                 
  texlive-concmath-fonts-10:svn17218.0-63.fc38.noarch                           
  texlive-concmath-otf-10:svn64924-63.fc38.noarch                               
  texlive-concprog-10:svn18791.0-63.fc38.noarch                                 
  texlive-concrete-10:svn57963-63.fc38.noarch                                   
  texlive-conditext-10:svn55387-63.fc38.noarch                                  
  texlive-confproc-10:svn29349.0.8-63.fc38.noarch                               
  texlive-constants-10:svn15878.1.0-63.fc38.noarch                              
  texlive-conteq-10:svn37868.0.1.1-63.fc38.noarch                               
  texlive-continue-10:svn49449-63.fc38.noarch                                   
  texlive-contour-10:svn18950.2.14-63.fc38.noarch                               
  texlive-contracard-10:svn50217-63.fc38.noarch                                 
  texlive-conv-xkv-10:svn43558-63.fc38.noarch                                   
  texlive-convbkmk-10:svn49252-58.fc38.noarch                                   
  texlive-cooking-10:svn15878.0.9b-63.fc38.noarch                               
  texlive-cooking-units-10:svn65241-63.fc38.noarch                              
  texlive-cookingsymbols-10:svn35929.1.1-63.fc38.noarch                         
  texlive-cool-10:svn15878.1.35-63.fc38.noarch                                  
  texlive-coolfn-10:svn64639-63.fc38.noarch                                     
  texlive-coollist-10:svn63523-63.fc38.noarch                                   
  texlive-coolstr-10:svn15878.2.2-63.fc38.noarch                                
  texlive-coolthms-10:svn29062.1.2-63.fc38.noarch                               
  texlive-cooltooltips-10:svn60201-63.fc38.noarch                               
  texlive-coop-writing-10:svn61607-63.fc38.noarch                               
  texlive-cooperhewitt-10:svn64967-63.fc38.noarch                               
  texlive-coordsys-10:svn15878.1.4-63.fc38.noarch                               
  texlive-copyedit-10:svn37928.1.6-63.fc38.noarch                               
  texlive-copyrightbox-10:svn24829.0.1-63.fc38.noarch                           
  texlive-cormorantgaramond-10:svn64411-63.fc38.noarch                          
  texlive-correctmathalign-10:svn44131-63.fc38.noarch                           
  texlive-coseoul-10:svn23862.1.1-63.fc38.noarch                                
  texlive-countriesofeurope-10:svn54512-63.fc38.noarch                          
  texlive-counttexruns-10:svn27576.1.00a-63.fc38.noarch                         
  texlive-courier-10:svn61719-63.fc38.noarch                                    
  texlive-courier-scaled-10:svn24940.0-63.fc38.noarch                           
  texlive-courierten-10:svn55436-63.fc38.noarch                                 
  texlive-courseoutline-10:svn15878.1.0-63.fc38.noarch                          
  texlive-coursepaper-10:svn15878.2.0-63.fc38.noarch                            
  texlive-coverpage-10:svn63509-63.fc38.noarch                                  
  texlive-cprotect-10:svn21209.1.0e-63.fc38.noarch                              
  texlive-cprotectinside-10:svn63833-63.fc38.noarch                             
  texlive-cquthesis-10:svn55643-63.fc38.noarch                                  
  texlive-crbox-10:svn29803.0.1-63.fc38.noarch                                  
  texlive-create-theorem-10:svn64104-63.fc38.noarch                             
  texlive-crefthe-10:svn64498-63.fc38.noarch                                    
  texlive-crimson-10:svn64559-63.fc38.noarch                                    
  texlive-crimsonpro-10:svn64565-63.fc38.noarch                                 
  texlive-crop-10:svn55424-63.fc38.noarch                                       
  texlive-crossreference-10:svn15878.0-63.fc38.noarch                           
  texlive-crossreftools-10:svn55879-63.fc38.noarch                              
  texlive-crossrefware-10:svn64754-58.fc38.noarch                               
  texlive-crumbs-10:svn64602-63.fc38.noarch                                     
  texlive-cryptocode-10:svn60249-63.fc38.noarch                                 
  texlive-cryst-10:svn15878.0-63.fc38.noarch                                    
  texlive-csassignments-10:svn63992-63.fc38.noarch                              
  texlive-csquotes-10:svn64389-63.fc38.noarch                                   
  texlive-css-colors-10:svn54512-63.fc38.noarch                                 
  texlive-csvmerge-10:svn51857-63.fc38.noarch                                   
  texlive-csvsimple-10:svn64450-63.fc38.noarch                                  
  texlive-ctable-10:svn38672-63.fc38.noarch                                     
  texlive-ctablestack-10:svn38514-63.fc38.noarch                                
  texlive-ctex-10:svn63891-63.fc38.noarch                                       
  texlive-ctib-10:svn15878.0-63.fc38.noarch                                     
  texlive-cuisine-10:svn34453.0.7-63.fc38.noarch                                
  texlive-cuprum-10:svn49909-63.fc38.noarch                                     
  texlive-currency-10:svn48990-63.fc38.noarch                                   
  texlive-currfile-10:svn64673-63.fc38.noarch                                   
  texlive-currvita-10:svn15878.0-63.fc38.noarch                                 
  texlive-curve-10:svn20745.1.16-63.fc38.noarch                                 
  texlive-curve2e-10:svn57402-63.fc38.noarch                                    
  texlive-curves-10:svn45255-63.fc38.noarch                                     
  texlive-custom-bib-10:svn24729.4.33-63.fc38.noarch                            
  texlive-cutwin-10:svn60901-63.fc38.noarch                                     
  texlive-cv-10:svn15878.0-63.fc38.noarch                                       
  texlive-cv4tw-10:svn34577.0.2-63.fc38.noarch                                  
  texlive-cvss-10:svn65169-63.fc38.noarch                                       
  texlive-cweb-latex-10:svn28878.0-63.fc38.noarch                               
  texlive-cyber-10:svn46776-63.fc38.noarch                                      
  texlive-cybercic-10:svn37659.2.1-63.fc38.noarch                               
  texlive-cyklop-10:svn18651.0.915-63.fc38.noarch                               
  texlive-dancers-10:svn13293.0-63.fc38.noarch                                  
  texlive-dantelogo-10:svn38599-63.fc38.noarch                                  
  texlive-darkmode-10:svn64271-63.fc38.noarch                                   
  texlive-dashbox-10:svn23425.1.14-63.fc38.noarch                               
  texlive-dashrule-10:svn29579.1.3-63.fc38.noarch                               
  texlive-dashundergaps-10:svn58150-63.fc38.noarch                              
  texlive-dataref-10:svn62942-63.fc38.noarch                                    
  texlive-datatool-10:svn52663-63.fc38.noarch                                   
  texlive-datax-10:svn61772-63.fc38.noarch                                      
  texlive-dateiliste-10:svn27974.0.6-63.fc38.noarch                             
  texlive-datenumber-10:svn61761-63.fc38.noarch                                 
  texlive-datestamp-10:svn61719-63.fc38.noarch                                  
  texlive-datetime-10:svn36650.2.60-63.fc38.noarch                              
  texlive-datetime2-10:svn63102-63.fc38.noarch                                  
  texlive-datetime2-bahasai-10:svn46287-63.fc38.noarch                          
  texlive-datetime2-basque-10:svn47064-63.fc38.noarch                           
  texlive-datetime2-breton-10:svn52647-63.fc38.noarch                           
  texlive-datetime2-bulgarian-10:svn47031-63.fc38.noarch                        
  texlive-datetime2-catalan-10:svn47032-63.fc38.noarch                          
  texlive-datetime2-croatian-10:svn36682.1.0-63.fc38.noarch                     
  texlive-datetime2-czech-10:svn47033-63.fc38.noarch                            
  texlive-datetime2-danish-10:svn47034-63.fc38.noarch                           
  texlive-datetime2-dutch-10:svn47355-63.fc38.noarch                            
  texlive-datetime2-en-fulltext-10:svn36705.1.0-63.fc38.noarch                  
  texlive-datetime2-english-10:svn52479-63.fc38.noarch                          
  texlive-datetime2-esperanto-10:svn47356-63.fc38.noarch                        
  texlive-datetime2-estonian-10:svn47565-63.fc38.noarch                         
  texlive-datetime2-finnish-10:svn47047-63.fc38.noarch                          
  texlive-datetime2-french-10:svn56393-63.fc38.noarch                           
  texlive-datetime2-galician-10:svn47631-63.fc38.noarch                         
  texlive-datetime2-german-10:svn53125-63.fc38.noarch                           
  texlive-datetime2-greek-10:svn47533-63.fc38.noarch                            
  texlive-datetime2-hebrew-10:svn47534-63.fc38.noarch                           
  texlive-datetime2-icelandic-10:svn65213-63.fc38.noarch                        
  texlive-datetime2-irish-10:svn47632-63.fc38.noarch                            
  texlive-datetime2-it-fulltext-10:svn54779-63.fc38.noarch                      
  texlive-datetime2-italian-10:svn37146.1.3-63.fc38.noarch                      
  texlive-datetime2-latin-10:svn47748-63.fc38.noarch                            
  texlive-datetime2-lsorbian-10:svn47749-63.fc38.noarch                         
  texlive-datetime2-magyar-10:svn48266-63.fc38.noarch                           
  texlive-datetime2-norsk-10:svn48267-63.fc38.noarch                            
  texlive-datetime2-polish-10:svn48456-63.fc38.noarch                           
  texlive-datetime2-portuges-10:svn48457-63.fc38.noarch                         
  texlive-datetime2-romanian-10:svn56394-63.fc38.noarch                         
  texlive-datetime2-russian-10:svn49345-63.fc38.noarch                          
  texlive-datetime2-samin-10:svn49346-63.fc38.noarch                            
  texlive-datetime2-scottish-10:svn52101-63.fc38.noarch                         
  texlive-datetime2-serbian-10:svn52893-63.fc38.noarch                          
  texlive-datetime2-slovak-10:svn52281-63.fc38.noarch                           
  texlive-datetime2-slovene-10:svn52282-63.fc38.noarch                          
  texlive-datetime2-spanish-10:svn45785-63.fc38.noarch                          
  texlive-datetime2-swedish-10:svn36700.1.0-63.fc38.noarch                      
  texlive-datetime2-turkish-10:svn52331-63.fc38.noarch                          
  texlive-datetime2-ukrainian-10:svn47552-63.fc38.noarch                        
  texlive-datetime2-usorbian-10:svn52375-63.fc38.noarch                         
  texlive-datetime2-welsh-10:svn52553-63.fc38.noarch                            
  texlive-dblfloatfix-10:svn28983.1.0a-63.fc38.noarch                           
  texlive-dbshow-10:svn61634-63.fc38.noarch                                     
  texlive-dccpaper-10:svn61763-63.fc38.noarch                                   
  texlive-dcpic-10:svn30206.5.0.0-63.fc38.noarch                                
  texlive-debate-10:svn64846-63.fc38.noarch                                     
  texlive-decimal-10:svn23374.0-63.fc38.noarch                                  
  texlive-decision-table-10:svn60673-63.fc38.noarch                             
  texlive-decorule-10:svn55230-63.fc38.noarch                                   
  texlive-dehyph-10:svn48599-63.fc38.noarch                                     
  texlive-dejavu-10:svn31771.2.34-63.fc38.noarch                                
  texlive-dejavu-otf-10:svn45991-63.fc38.noarch                                 
  texlive-delim-10:svn23974.1.0-63.fc38.noarch                                  
  texlive-delimseasy-10:svn39589-63.fc38.noarch                                 
  texlive-delimset-10:svn49544-63.fc38.noarch                                   
  texlive-delimtxt-10:svn16549.0-63.fc38.noarch                                 
  texlive-democodetools-10:svn64314-63.fc38.noarch                              
  texlive-denisbdoc-10:svn63589-63.fc38.noarch                                  
  texlive-derivative-10:svn63850-63.fc38.noarch                                 
  texlive-dhua-10:svn24035.0.11-63.fc38.noarch                                  
  texlive-diabetes-logbook-10:svn54810-63.fc38.noarch                           
  texlive-diagbox-10:svn54080-63.fc38.noarch                                    
  texlive-diagmac2-10:svn15878.2.1-63.fc38.noarch                               
  texlive-diagnose-10:svn19387.0.2-63.fc38.noarch                               
  texlive-dialogl-10:svn28946.0-63.fc38.noarch                                  
  texlive-dice-10:svn28501.0-63.fc38.noarch                                     
  texlive-dichokey-10:svn17192.0-63.fc38.noarch                                 
  texlive-dictsym-10:svn20031.0-63.fc38.noarch                                  
  texlive-diffcoeff-10:svn63590-63.fc38.noarch                                  
  texlive-digiconfigs-10:svn15878.0.5-63.fc38.noarch                            
  texlive-dijkstra-10:svn64580-63.fc38.noarch                                   
  texlive-dimnum-10:svn58774-63.fc38.noarch                                     
  texlive-din1505-10:svn19441.0-63.fc38.noarch                                  
  texlive-dinbrief-10:svn15878.0-63.fc38.noarch                                 
  texlive-dingbat-10:svn27918.1.0-63.fc38.noarch                                
  texlive-directory-10:svn15878.1.20-63.fc38.noarch                             
  texlive-dirtree-10:svn42428-63.fc38.noarch                                    
  texlive-dirtytalk-10:svn20520.1.0-63.fc38.noarch                              
  texlive-ditaa-10:svn48932-63.fc38.noarch                                      
  texlive-dithesis-10:svn34295.0.2-63.fc38.noarch                               
  texlive-dk-bib-10:svn15878.0.6-63.fc38.noarch                                 
  texlive-dlfltxb-10:svn17337.0-63.fc38.noarch                                  
  texlive-dnaseq-10:svn17194.0.01-63.fc38.noarch                                
  texlive-doc-pictex-doc-10:svn24927.0-63.fc38.noarch                           
  texlive-doclicense-10:svn63340-63.fc38.noarch                                 
  texlive-docmfp-10:svn15878.1.2d-63.fc38.noarch                                
  texlive-docmute-10:svn25741.1.4-63.fc38.noarch                                
  texlive-docshots-10:svn65141-63.fc38.noarch                                   
  texlive-doctools-10:svn34474.0.1-63.fc38.noarch                               
  texlive-documentation-10:svn34521.0.1-63.fc38.noarch                          
  texlive-docutils-10:svn56594-63.fc38.noarch                                   
  texlive-doi-10:svn48634-63.fc38.noarch                                        
  texlive-doipubmed-10:svn15878.1.01-63.fc38.noarch                             
  texlive-domitian-10:svn55286-63.fc38.noarch                                   
  texlive-dot2texi-10:svn26237.3.0-63.fc38.noarch                               
  texlive-dotarrow-10:svn15878.0.01a-63.fc38.noarch                             
  texlive-dotlessi-10:svn51476-63.fc38.noarch                                   
  texlive-dotseqn-10:svn17195.1.1-63.fc38.noarch                                
  texlive-dottex-10:svn15878.0.6-63.fc38.noarch                                 
  texlive-doublestroke-10:svn15878.1.111-63.fc38.noarch                         
  texlive-doulossil-10:svn63255-63.fc38.noarch                                  
  texlive-dowith-10:svn38860-63.fc38.noarch                                     
  texlive-download-10:svn52257-63.fc38.noarch                                   
  texlive-dox-10:svn46011-63.fc38.noarch                                        
  texlive-dozenal-10:svn47680-63.fc38.noarch                                    
  texlive-dpcircling-10:svn54994-63.fc38.noarch                                 
  texlive-dpfloat-10:svn17196.0-63.fc38.noarch                                  
  texlive-dprogress-10:svn15878.0.1-63.fc38.noarch                              
  texlive-drac-10:svn15878.1-63.fc38.noarch                                     
  texlive-draftcopy-10:svn15878.2.16-63.fc38.noarch                             
  texlive-draftfigure-10:svn44854-63.fc38.noarch                                
  texlive-draftwatermark-10:svn57099-63.fc38.noarch                             
  texlive-dratex-10:svn15878.0-63.fc38.noarch                                   
  texlive-drawmatrix-10:svn44471-63.fc38.noarch                                 
  texlive-drawstack-10:svn28582.0-63.fc38.noarch                                
  texlive-drm-10:svn38157.4.4-63.fc38.noarch                                    
  texlive-droid-10:svn54512-63.fc38.noarch                                      
  texlive-drs-10:svn19232.1.1b-63.fc38.noarch                                   
  texlive-dsserif-10:svn60898-63.fc38.noarch                                    
  texlive-dtk-10:svn65315-63.fc38.noarch                                        
  texlive-dtxdescribe-10:svn65223-63.fc38.noarch                                
  texlive-dtxgallery-doc-10:svn49504-63.fc38.noarch                             
  texlive-ducksay-10:svn64655-63.fc38.noarch                                    
  texlive-duckuments-10:svn52271-63.fc38.noarch                                 
  texlive-duerer-10:svn20741.0-63.fc38.noarch                                   
  texlive-duerer-latex-10:svn15878.1.1-63.fc38.noarch                           
  texlive-duotenzor-10:svn18728.1.00-63.fc38.noarch                             
  texlive-dutchcal-10:svn54080-63.fc38.noarch                                   
  texlive-dvdcoll-10:svn15878.v1.1a-63.fc38.noarch                              
  texlive-dvipdfmx-10:svn61101-58.fc38.x86_64                                   
  texlive-dvips-10:svn62387-58.fc38.x86_64                                      
  texlive-dvisvgm-10:svn64182.3.0.1-58.fc38.x86_64                              
  texlive-dynamicnumber-10:svn38726-63.fc38.noarch                              
  texlive-dynblocks-10:svn35193.0.2b-63.fc38.noarch                             
  texlive-dynkin-diagrams-10:svn58758-63.fc38.noarch                            
  texlive-dyntree-10:svn15878.1.0-63.fc38.noarch                                
  texlive-ean-10:svn20851.0-63.fc38.noarch                                      
  texlive-ean13isbn-10:svn57514-63.fc38.noarch                                  
  texlive-easing-10:svn59975-63.fc38.noarch                                     
  texlive-easy-10:svn19440.0.99-63.fc38.noarch                                  
  texlive-easy-todo-10:svn32677.0-63.fc38.noarch                                
  texlive-easybook-10:svn64976-63.fc38.noarch                                   
  texlive-easyfig-10:svn64967-63.fc38.noarch                                    
  texlive-easyfloats-10:svn57204-63.fc38.noarch                                 
  texlive-easyformat-10:svn44543-63.fc38.noarch                                 
  texlive-easylist-10:svn32661.1.3-63.fc38.noarch                               
  texlive-easyreview-10:svn38352.1.0-63.fc38.noarch                             
  texlive-ebezier-10:svn15878.4-63.fc38.noarch                                  
  texlive-ebgaramond-10:svn64343-63.fc38.noarch                                 
  texlive-ebgaramond-maths-10:svn52168-63.fc38.noarch                           
  texlive-ebook-10:svn29466.0-63.fc38.noarch                                    
  texlive-ebproof-10:svn57544-63.fc38.noarch                                    
  texlive-ebsthesis-10:svn15878.1.0-63.fc38.noarch                              
  texlive-ec-10:svn25033.1.0-63.fc38.noarch                                     
  texlive-ecc-10:svn15878.0-63.fc38.noarch                                      
  texlive-ecclesiastic-10:svn38172.0.3-63.fc38.noarch                           
  texlive-ecgdraw-10:svn41617-63.fc38.noarch                                    
  texlive-eco-10:svn29349.1.3-63.fc38.noarch                                    
  texlive-ecobiblatex-10:svn39233-63.fc38.noarch                                
  texlive-econ-bst-10:svn61499-63.fc38.noarch                                   
  texlive-econlipsum-10:svn58390-63.fc38.noarch                                 
  texlive-econometrics-10:svn39396-63.fc38.noarch                               
  texlive-economic-10:svn32639.0-63.fc38.noarch                                 
  texlive-ecothesis-10:svn48007-63.fc38.noarch                                  
  texlive-ecv-10:svn24928.0.3-63.fc38.noarch                                    
  texlive-eczar-10:svn57716-63.fc38.noarch                                      
  texlive-ed-10:svn25231.1.8-63.fc38.noarch                                     
  texlive-edichokey-10:svn56223-63.fc38.noarch                                  
  texlive-edmargin-10:svn27599.1.2-63.fc38.noarch                               
  texlive-eemeir-10:svn15878.1.1b-63.fc38.noarch                                
  texlive-eepic-10:svn15878.1.1e-63.fc38.noarch                                 
  texlive-efbox-10:svn33236.1.0-63.fc38.noarch                                  
  texlive-egplot-10:svn20617.1.02a-63.fc38.noarch                               
  texlive-ehhline-10:svn54676-63.fc38.noarch                                    
  texlive-eiad-10:svn15878.0-63.fc38.noarch                                     
  texlive-eiad-ltx-10:svn15878.1.0-63.fc38.noarch                               
  texlive-einfart-10:svn64280-63.fc38.noarch                                    
  texlive-ejpecp-10:svn60950-63.fc38.noarch                                     
  texlive-ekaia-10:svn49594-63.fc38.noarch                                      
  texlive-ektype-tanka-10:svn63255-63.fc38.noarch                               
  texlive-elbioimp-10:svn21758.1.2-63.fc38.noarch                               
  texlive-electrum-10:svn19705.1.005_b-63.fc38.noarch                           
  texlive-elegantbook-10:svn64122-63.fc38.noarch                                
  texlive-elegantnote-10:svn62989-63.fc38.noarch                                
  texlive-elegantpaper-10:svn62989-63.fc38.noarch                               
  texlive-elements-10:svn61792-63.fc38.noarch                                   
  texlive-ellipse-10:svn39025-63.fc38.noarch                                    
  texlive-ellipsis-10:svn55418-63.fc38.noarch                                   
  texlive-elmath-10:svn15878.v1.2-63.fc38.noarch                                
  texlive-elocalloc-10:svn42712-63.fc38.noarch                                  
  texlive-elpres-10:svn60209-63.fc38.noarch                                     
  texlive-els-cas-templates-10:svn62931-63.fc38.noarch                          
  texlive-elsarticle-10:svn56999-63.fc38.noarch                                 
  texlive-elteikthesis-10:svn63186-63.fc38.noarch                               
  texlive-eltex-10:svn15878.2.0-63.fc38.noarch                                  
  texlive-elvish-10:svn15878.0-63.fc38.noarch                                   
  texlive-elzcards-10:svn51894-63.fc38.noarch                                   
  texlive-emarks-10:svn24504.1.0-63.fc38.noarch                                 
  texlive-embedall-10:svn51177-63.fc38.noarch                                   
  texlive-embedfile-10:svn54865-63.fc38.noarch                                  
  texlive-embrac-10:svn57814-63.fc38.noarch                                     
  texlive-emf-10:svn42023-63.fc38.noarch                                        
  texlive-emisa-10:svn60068-63.fc38.noarch                                      
  texlive-emptypage-10:svn18064.1.2-63.fc38.noarch                              
  texlive-emulateapj-10:svn28469.0-63.fc38.noarch                               
  texlive-enctex-10:svn34957.0-63.fc38.noarch                                   
  texlive-endfloat-10:svn57090-63.fc38.noarch                                   
  texlive-endheads-10:svn43750-63.fc38.noarch                                   
  texlive-endiagram-10:svn34486.0.1d-63.fc38.noarch                             
  texlive-endnotes-10:svn53319-63.fc38.noarch                                   
  texlive-endnotes-hy-10:svn54758-63.fc38.noarch                                
  texlive-endofproofwd-10:svn55643-63.fc38.noarch                               
  texlive-engpron-10:svn16558.2-63.fc38.noarch                                  
  texlive-engrec-10:svn15878.1.1-63.fc38.noarch                                 
  texlive-engtlc-10:svn28571.3.2-63.fc38.noarch                                 
  texlive-enotez-10:svn61490-63.fc38.noarch                                     
  texlive-enumitem-10:svn51423-63.fc38.noarch                                   
  texlive-enumitem-zref-10:svn21472.1.8-63.fc38.noarch                          
  texlive-envbig-10:svn15878.0-63.fc38.noarch                                   
  texlive-environ-10:svn56615-63.fc38.noarch                                    
  texlive-envlab-10:svn61937-63.fc38.noarch                                     
  texlive-eolang-10:svn65289-63.fc38.noarch                                     
  texlive-epigrafica-10:svn17210.1.01-63.fc38.noarch                            
  texlive-epigraph-10:svn54857-63.fc38.noarch                                   
  texlive-epigraph-keys-10:svn61719-63.fc38.noarch                              
  texlive-epiolmec-10:svn15878.0-63.fc38.noarch                                 
  texlive-epsdice-10:svn15878.2.1-63.fc38.noarch                                
  texlive-epsf-10:svn21461.2.7.4-63.fc38.noarch                                 
  texlive-epspdf-10:svn53472-58.fc38.noarch                                     
  texlive-epspdfconversion-10:svn18703.0.61-63.fc38.noarch                      
  texlive-epstopdf-10:svn64439-58.fc38.noarch                                   
  texlive-epstopdf-pkg-10:svn53546-63.fc38.noarch                               
  texlive-eq-pin2corr-10:svn59477-63.fc38.noarch                                
  texlive-eqell-10:svn22931.0-63.fc38.noarch                                    
  texlive-eqexpl-10:svn63629-63.fc38.noarch                                     
  texlive-eqlist-10:svn32257.2.1-63.fc38.noarch                                 
  texlive-eqnalign-10:svn43278-63.fc38.noarch                                   
  texlive-eqname-10:svn20678.0-63.fc38.noarch                                   
  texlive-eqnarray-10:svn20641.1.3-63.fc38.noarch                               
  texlive-eqnnumwarn-10:svn45511-63.fc38.noarch                                 
  texlive-eqparbox-10:svn45215-63.fc38.noarch                                   
  texlive-erdc-10:svn15878.1.1-63.fc38.noarch                                   
  texlive-erewhon-10:svn63312-63.fc38.noarch                                    
  texlive-erewhon-math-10:svn64925-63.fc38.noarch                               
  texlive-errata-10:svn42428-63.fc38.noarch                                     
  texlive-erw-l3-10:svn61799-63.fc38.noarch                                     
  texlive-esami-10:svn61596-63.fc38.noarch                                      
  texlive-esdiff-10:svn21385.1.2-63.fc38.noarch                                 
  texlive-esieecv-10:svn59638-63.fc38.noarch                                    
  texlive-esindex-10:svn52342-63.fc38.noarch                                    
  texlive-esint-10:svn52240-63.fc38.noarch                                      
  texlive-esint-type1-10:svn15878.0-63.fc38.noarch                              
  texlive-esk-10:svn18115.1.0-63.fc38.noarch                                    
  texlive-eso-pic-10:svn56658-63.fc38.noarch                                    
  texlive-esrelation-10:svn37236.0-63.fc38.noarch                               
  texlive-esstix-10:svn22426.1.0-63.fc38.noarch                                 
  texlive-estcpmm-10:svn17335.0.4-63.fc38.noarch                                
  texlive-esvect-10:svn32098.1.3-63.fc38.noarch                                 
  texlive-etaremune-10:svn15878.v1.2-63.fc38.noarch                             
  texlive-etbb-10:svn61872-63.fc38.noarch                                       
  texlive-etex-10:svn56291-63.fc38.noarch                                       
  texlive-etex-pkg-10:svn41784-63.fc38.noarch                                   
  texlive-etexcmds-10:svn53171-63.fc38.noarch                                   
  texlive-etextools-10:svn20694.3.1415926-63.fc38.noarch                        
  texlive-etl-10:svn60998-63.fc38.noarch                                        
  texlive-etoc-10:svn65068-63.fc38.noarch                                       
  texlive-etoolbox-10:svn56554-63.fc38.noarch                                   
  texlive-etsvthor-10:svn48186-63.fc38.noarch                                   
  texlive-euclideangeometry-10:svn60697-63.fc38.noarch                          
  texlive-euenc-10:svn19795.0.1h-63.fc38.noarch                                 
  texlive-euflag-10:svn55265-63.fc38.noarch                                     
  texlive-eukdate-10:svn15878.1.04-63.fc38.noarch                               
  texlive-euler-10:svn42428-63.fc38.noarch                                      
  texlive-euler-math-10:svn65010-63.fc38.noarch                                 
  texlive-eulerpx-10:svn63967-63.fc38.noarch                                    
  texlive-eulervm-10:svn15878.4.0-63.fc38.noarch                                
  texlive-euro-10:svn22191.1.1-63.fc38.noarch                                   
  texlive-euro-ce-10:svn25714-63.fc38.noarch                                    
  texlive-europasscv-10:svn56829-63.fc38.noarch                                 
  texlive-europecv-10:svn64037-63.fc38.noarch                                   
  texlive-eurosym-10:svn17265.1.4_subrfix-63.fc38.noarch                        
  texlive-euxm-10:svn54074-63.fc38.noarch                                       
  texlive-everyhook-10:svn35675.1.2-63.fc38.noarch                              
  texlive-everypage-10:svn56694-63.fc38.noarch                                  
  texlive-everysel-10:svn57489-63.fc38.noarch                                   
  texlive-everyshi-10:svn57001-63.fc38.noarch                                   
  texlive-exam-10:svn64134-63.fc38.noarch                                       
  texlive-exam-n-10:svn64674-63.fc38.noarch                                     
  texlive-exam-randomizechoices-10:svn61719-63.fc38.noarch                      
  texlive-examdesign-10:svn15878.1.02-63.fc38.noarch                            
  texlive-example-10:svn33398.0-63.fc38.noarch                                  
  texlive-examplep-10:svn55265-63.fc38.noarch                                   
  texlive-exceltex-10:svn26313-58.fc38.noarch                                   
  texlive-excludeonly-10:svn17262.1.0-63.fc38.noarch                            
  texlive-exercise-10:svn35417.1.6-63.fc38.noarch                               
  texlive-exercisebank-10:svn50448-63.fc38.noarch                               
  texlive-exercisepoints-10:svn49590-63.fc38.noarch                             
  texlive-exercises-10:svn55188-63.fc38.noarch                                  
  texlive-exesheet-10:svn61061-63.fc38.noarch                                   
  texlive-exframe-10:svn53911-63.fc38.noarch                                    
  texlive-exp-testopt-10:svn15878.0.3-63.fc38.noarch                            
  texlive-expdlist-10:svn15878.2.4-63.fc38.noarch                               
  texlive-expkv-10:svn60573-63.fc38.noarch                                      
  texlive-export-10:svn27206.1.8-63.fc38.noarch                                 
  texlive-exsheets-10:svn52227-63.fc38.noarch                                   
  texlive-exsol-10:svn48977-63.fc38.noarch                                      
  texlive-extarrows-10:svn54400-63.fc38.noarch                                  
  texlive-extpfeil-10:svn16243.0.4-63.fc38.noarch                               
  texlive-extract-10:svn52117-63.fc38.noarch                                    
  texlive-extsizes-10:svn17263.1.4a-63.fc38.noarch                              
  texlive-facsimile-10:svn21328.1.0-63.fc38.noarch                              
  texlive-factura-10:svn61697-63.fc38.noarch                                    
  texlive-facture-belge-simple-sans-tva-10:svn49004-63.fc38.noarch              
  texlive-faktor-10:svn15878.0.1b-63.fc38.noarch                                
  texlive-familytree-10:svn63739-63.fc38.noarch                                 
  texlive-fancybox-10:svn18304.1.4-63.fc38.noarch                               
  texlive-fancyhandout-10:svn46411-63.fc38.noarch                               
  texlive-fancyhdr-10:svn64977-63.fc38.noarch                                   
  texlive-fancylabel-10:svn46736-63.fc38.noarch                                 
  texlive-fancynum-10:svn15878.0.92-63.fc38.noarch                              
  texlive-fancypar-10:svn58895-63.fc38.noarch                                   
  texlive-fancyqr-10:svn64182-63.fc38.noarch                                    
  texlive-fancyref-10:svn15878.0.9c-63.fc38.noarch                              
  texlive-fancyslides-10:svn36263.1.0-63.fc38.noarch                            
  texlive-fancytabs-10:svn41549-63.fc38.noarch                                  
  texlive-fancytooltips-10:svn56291-63.fc38.noarch                              
  texlive-fancyvrb-10:svn63496-63.fc38.noarch                                   
  texlive-fandol-10:svn37889.0.3-63.fc38.noarch                                 
  texlive-fascicules-10:svn54080-63.fc38.noarch                                 
  texlive-fast-diagram-10:svn29264.1.1-63.fc38.noarch                           
  texlive-fbb-10:svn55728-63.fc38.noarch                                        
  texlive-fbithesis-10:svn21340.1.2m-63.fc38.noarch                             
  texlive-fbox-10:svn62126-63.fc38.noarch                                       
  texlive-fbs-10:svn15878.0-63.fc38.noarch                                      
  texlive-fcavtex-10:svn38074.1.1-63.fc38.noarch                                
  texlive-fcltxdoc-10:svn24500.1.0-63.fc38.noarch                               
  texlive-fcolumn-10:svn61855-63.fc38.noarch                                    
  texlive-fdsymbol-10:svn61719-63.fc38.noarch                                   
  texlive-fei-10:svn65352-63.fc38.noarch                                        
  texlive-fetamont-10:svn43812-63.fc38.noarch                                   
  texlive-fetchcls-10:svn45245-63.fc38.noarch                                   
  texlive-fewerfloatpages-10:svn58058-63.fc38.noarch                            
  texlive-feyn-10:svn63945-63.fc38.noarch                                       
  texlive-feynmf-10:svn17259.1.08-63.fc38.noarch                                
  texlive-ffcode-10:svn65170-63.fc38.noarch                                     
  texlive-ffslides-10:svn38895-63.fc38.noarch                                   
  texlive-fge-10:svn37628.1.25-63.fc38.noarch                                   
  texlive-fgruler-10:svn63721-63.fc38.noarch                                    
  texlive-fifo-stack-10:svn33288.1.0-63.fc38.noarch                             
  texlive-fig4latex-10:svn26313-58.fc38.noarch                                  
  texlive-figbib-10:svn19388.0-63.fc38.noarch                                   
  texlive-figchild-10:svn62945-63.fc38.noarch                                   
  texlive-figput-10:svn63957-63.fc38.noarch                                     
  texlive-figsize-10:svn18784.0.1-63.fc38.noarch                                
  texlive-filecontents-10:svn52142-63.fc38.noarch                               
  texlive-filecontentsdef-10:svn52208-63.fc38.noarch                            
  texlive-filedate-10:svn29529.0-63.fc38.noarch                                 
  texlive-filehook-10:svn64822-63.fc38.noarch                                   
  texlive-fileinfo-10:svn28421.0.81a-63.fc38.noarch                             
  texlive-filemod-10:svn64967-63.fc38.noarch                                    
  texlive-fink-10:svn24329.2.2.1-63.fc38.noarch                                 
  texlive-finstrut-10:svn21719.0.5-63.fc38.noarch                               
  texlive-fira-10:svn64422-63.fc38.noarch                                       
  texlive-firamath-10:svn56672-63.fc38.noarch                                   
  texlive-firamath-otf-10:svn50732-63.fc38.noarch                               
  texlive-firstaid-10:svn64892-63.fc38.noarch                                   
  texlive-fitbox-10:svn50088-63.fc38.noarch                                     
  texlive-fithesis-10:svn64135-63.fc38.noarch                                   
  texlive-fix2col-10:svn38770-63.fc38.noarch                                    
  texlive-fixcmex-10:svn51825-63.fc38.noarch                                    
  texlive-fixdif-10:svn64835-63.fc38.noarch                                     
  texlive-fixfoot-10:svn17131.0.3a-63.fc38.noarch                               
  texlive-fixltxhyph-10:svn25832.0.4-63.fc38.noarch                             
  texlive-fixmath-10:svn64648-63.fc38.noarch                                    
  texlive-fixme-10:svn63708-63.fc38.noarch                                      
  texlive-fixmetodonotes-10:svn30168.0.2.2-63.fc38.noarch                       
  texlive-fjodor-10:svn53207-63.fc38.noarch                                     
  texlive-flabels-10:svn17272.1.0-63.fc38.noarch                                
  texlive-flacards-10:svn19440.0.1.1b-63.fc38.noarch                            
  texlive-flagderiv-10:svn15878.0.10-63.fc38.noarch                             
  texlive-flashcards-10:svn62104-63.fc38.noarch                                 
  texlive-flashmovie-10:svn25768.0.4-63.fc38.noarch                             
  texlive-flexipage-10:svn64572-63.fc38.noarch                                  
  texlive-flipbook-10:svn25584.0.2-63.fc38.noarch                               
  texlive-flippdf-10:svn56782-63.fc38.noarch                                    
  texlive-float-10:svn15878.1.3d-63.fc38.noarch                                 
  texlive-floatflt-10:svn25540.1.31-63.fc38.noarch                              
  texlive-floatrow-10:svn15878.0.3b-63.fc38.noarch                              
  texlive-flowchart-10:svn36572.3.3-63.fc38.noarch                              
  texlive-flowfram-10:svn35291.1.17-63.fc38.noarch                              
  texlive-fltpoint-10:svn56594-63.fc38.noarch                                   
  texlive-fmp-10:svn15878.0-63.fc38.noarch                                      
  texlive-fmtcount-10:svn53912-63.fc38.noarch                                   
  texlive-fn2end-10:svn15878.1.1-63.fc38.noarch                                 
  texlive-fnbreak-10:svn25003.1.30-63.fc38.noarch                               
  texlive-fncychap-10:svn20710.v1.34-63.fc38.noarch                             
  texlive-fncylab-10:svn52090-63.fc38.noarch                                    
  texlive-fnpara-10:svn25607.0-63.fc38.noarch                                   
  texlive-fnpct-10:svn62248-63.fc38.noarch                                      
  texlive-fnspe-10:svn45360-63.fc38.noarch                                      
  texlive-fnumprint-10:svn29173.1.1a-63.fc38.noarch                             
  texlive-foekfont-10:svn15878.0-63.fc38.noarch                                 
  texlive-foilhtml-10:svn61937-63.fc38.noarch                                   
  texlive-foliono-10:svn58877-63.fc38.noarch                                    
  texlive-fonetika-10:svn21326.0-63.fc38.noarch                                 
  texlive-fontawesome-10:svn48145-63.fc38.noarch                                
  texlive-fontawesome5-10:svn63207-63.fc38.noarch                               
  texlive-fontaxes-10:svn55920-63.fc38.noarch                                   
  texlive-fontmfizz-10:svn43546-63.fc38.noarch                                  
  texlive-fonts-churchslavonic-10:svn56350-63.fc38.noarch                       
  texlive-fonts-tlwg-10:svn60817-63.fc38.noarch                                 
  texlive-fontsetup-10:svn62477-63.fc38.noarch                                  
  texlive-fontsize-10:svn60161-63.fc38.noarch                                   
  texlive-fontspec-10:svn63386-63.fc38.noarch                                   
  texlive-fonttable-10:svn44799-63.fc38.noarch                                  
  texlive-footbib-10:svn17115.2.0.7-63.fc38.noarch                              
  texlive-footmisc-10:svn62524-63.fc38.noarch                                   
  texlive-footmisx-10:svn42621-63.fc38.noarch                                   
  texlive-footnotebackref-10:svn27034.1.0-63.fc38.noarch                        
  texlive-footnotehyper-10:svn60374-63.fc38.noarch                              
  texlive-footnoterange-10:svn52910-63.fc38.noarch                              
  texlive-footnpag-10:svn15878.0-63.fc38.noarch                                 
  texlive-forarray-10:svn15878.1.01-63.fc38.noarch                              
  texlive-foreign-10:svn27819.2.7-63.fc38.noarch                                
  texlive-forest-10:svn57398-63.fc38.noarch                                     
  texlive-forloop-10:svn15878.3.0-63.fc38.noarch                                
  texlive-formal-grammar-10:svn61955-63.fc38.noarch                             
  texlive-formlett-10:svn21480.2.3-63.fc38.noarch                               
  texlive-forms16be-10:svn51305-63.fc38.noarch                                  
  texlive-formular-10:svn15878.1.0a-63.fc38.noarch                              
  texlive-forum-10:svn64566-63.fc38.noarch                                      
  texlive-fouridx-10:svn32214.2.00-63.fc38.noarch                               
  texlive-fourier-10:svn61937-63.fc38.noarch                                    
  texlive-fouriernc-10:svn29646.0-63.fc38.noarch                                
  texlive-fp-10:svn49719-63.fc38.noarch                                         
  texlive-fpl-10:svn54512-63.fc38.noarch                                        
  texlive-fragments-10:svn15878.0-63.fc38.noarch                                
  texlive-frame-10:svn18312.1.0-63.fc38.noarch                                  
  texlive-framed-10:svn26789.0.96-63.fc38.noarch                                
  texlive-francais-bst-10:svn38922-63.fc38.noarch                               
  texlive-frankenstein-10:svn15878.0-63.fc38.noarch                             
  texlive-frcursive-10:svn24559.0-63.fc38.noarch                                
  texlive-frederika2016-10:svn42157-63.fc38.noarch                              
  texlive-frege-10:svn27417.1.3-63.fc38.noarch                                  
  texlive-frimurer-10:svn56704-63.fc38.noarch                                   
  texlive-froufrou-10:svn59103-63.fc38.noarch                                   
  texlive-ftc-notebook-10:svn50043-63.fc38.noarch                               
  texlive-ftcap-10:svn17275.1.4-63.fc38.noarch                                  
  texlive-ftnxtra-10:svn29652.0.1-63.fc38.noarch                                
  texlive-fullblck-10:svn25434.1.03-63.fc38.noarch                              
  texlive-fullminipage-10:svn34545.0.1.1-63.fc38.noarch                         
  texlive-fullwidth-10:svn24684.0.1-63.fc38.noarch                              
  texlive-functan-10:svn15878.0-63.fc38.noarch                                  
  texlive-functional-10:svn63640-63.fc38.noarch                                 
  texlive-fundus-calligra-10:svn26018.1.2-63.fc38.noarch                        
  texlive-fundus-cyr-10:svn26019.0-63.fc38.noarch                               
  texlive-fundus-sueterlin-10:svn26030.1.2-63.fc38.noarch                       
  texlive-fvextra-10:svn65158-63.fc38.noarch                                    
  texlive-fwlw-10:svn29803.0-63.fc38.noarch                                     
  texlive-g-brief-10:svn50415-63.fc38.noarch                                    
  texlive-gaceta-10:svn15878.1.06-63.fc38.noarch                                
  texlive-galois-10:svn15878.1.5-63.fc38.noarch                                 
  texlive-gammas-10:svn56403-63.fc38.noarch                                     
  texlive-garamond-libre-10:svn64412-63.fc38.noarch                             
  texlive-garamond-math-10:svn61481-63.fc38.noarch                              
  texlive-garuda-c90-10:svn60832-63.fc38.noarch                                 
  texlive-gastex-10:svn58505-63.fc38.noarch                                     
  texlive-gatherenum-10:svn52209-63.fc38.noarch                                 
  texlive-gauss-10:svn32934.0-63.fc38.noarch                                    
  texlive-gb4e-10:svn19216.0-63.fc38.noarch                                     
  texlive-gbt7714-10:svn64633-63.fc38.noarch                                    
  texlive-gcard-10:svn15878.0-63.fc38.noarch                                    
  texlive-gcite-10:svn15878.1.0.1-63.fc38.noarch                                
  texlive-gender-10:svn36464.1.0-63.fc38.noarch                                 
  texlive-gene-logic-10:svn15878.1.4-63.fc38.noarch                             
  texlive-genealogy-10:svn25112.0-63.fc38.noarch                                
  texlive-genealogytree-10:svn62759-63.fc38.noarch                              
  texlive-genmpage-10:svn15878.0.3.1-63.fc38.noarch                             
  texlive-gensymb-10:svn64740-63.fc38.noarch                                    
  texlive-gentium-tug-10:svn63470-63.fc38.noarch                                
  texlive-geometry-10:svn61719-63.fc38.noarch                                   
  texlive-geradwp-10:svn63134-63.fc38.noarch                                    
  texlive-geschichtsfrkl-10:svn42121-63.fc38.noarch                             
  texlive-getfiledate-10:svn16189.1.2-63.fc38.noarch                            
  texlive-getitems-10:svn39365-63.fc38.noarch                                   
  texlive-getmap-10:svn50589-58.fc38.noarch                                     
  texlive-gettitlestring-10:svn53170-63.fc38.noarch                             
  texlive-gfsartemisia-10:svn19469.1.0-63.fc38.noarch                           
  texlive-gfsbodoni-10:svn28484.1.01-63.fc38.noarch                             
  texlive-gfscomplutum-10:svn19469.1.0-63.fc38.noarch                           
  texlive-gfsdidot-10:svn54080-63.fc38.noarch                                   
  texlive-gfsdidotclassic-10:svn52778-63.fc38.noarch                            
  texlive-gfsneohellenic-10:svn63944-63.fc38.noarch                             
  texlive-gfsneohellenicmath-10:svn63928-63.fc38.noarch                         
  texlive-gfssolomos-10:svn18651.1.0-63.fc38.noarch                             
  texlive-ghsystem-10:svn53822-63.fc38.noarch                                   
  texlive-gillcm-10:svn19878.1.1-63.fc38.noarch                                 
  texlive-gillius-10:svn64865-63.fc38.noarch                                    
  texlive-gincltex-10:svn64967-63.fc38.noarch                                   
  texlive-gindex-10:svn52311-63.fc38.noarch                                     
  texlive-ginpenc-10:svn24980.1.0-63.fc38.noarch                                
  texlive-gitfile-info-10:svn51928-63.fc38.noarch                               
  texlive-gitinfo-10:svn34049.1.0-63.fc38.noarch                                
  texlive-gitinfo2-10:svn38913-63.fc38.noarch                                   
  texlive-gitlog-10:svn38932-63.fc38.noarch                                     
  texlive-gitstatus-10:svn64662-63.fc38.noarch                                  
  texlive-gitver-10:svn63920-63.fc38.noarch                                     
  texlive-globalvals-10:svn49962-63.fc38.noarch                                 
  texlive-glosmathtools-10:svn55920-63.fc38.noarch                              
  texlive-gloss-10:svn15878.1.5.2-63.fc38.noarch                                
  texlive-glossaries-10:svn64919-58.fc38.noarch                                 
  texlive-glossaries-danish-10:svn35665.1.0-63.fc38.noarch                      
  texlive-glossaries-dutch-10:svn35685.1.1-63.fc38.noarch                       
  texlive-glossaries-english-10:svn35665.1.0-63.fc38.noarch                     
  texlive-glossaries-estonian-10:svn49928-63.fc38.noarch                        
  texlive-glossaries-extra-10:svn64973-63.fc38.noarch                           
  texlive-glossaries-finnish-10:svn54080-63.fc38.noarch                         
  texlive-glossaries-french-10:svn42873-63.fc38.noarch                          
  texlive-glossaries-german-10:svn35665.1.0-63.fc38.noarch                      
  texlive-glossaries-irish-10:svn35665.1.0-63.fc38.noarch                       
  texlive-glossaries-italian-10:svn35665.1.0-63.fc38.noarch                     
  texlive-glossaries-magyar-10:svn35665.1.0-63.fc38.noarch                      
  texlive-glossaries-nynorsk-10:svn55189-63.fc38.noarch                         
  texlive-glossaries-polish-10:svn35665.1.0-63.fc38.noarch                      
  texlive-glossaries-portuges-10:svn36064.1.1-63.fc38.noarch                    
  texlive-glossaries-serbian-10:svn35665.1.0-63.fc38.noarch                     
  texlive-glossaries-slovene-10:svn51211-63.fc38.noarch                         
  texlive-glossaries-spanish-10:svn35665.1.0-63.fc38.noarch                     
  texlive-glyphlist-10:svn54074-58.fc38.noarch                                  
  texlive-gmdoc-10:svn21292.0.993-63.fc38.noarch                                
  texlive-gmdoc-enhance-10:svn15878.v0.2-63.fc38.noarch                         
  texlive-gmiflink-10:svn15878.v0.97-63.fc38.noarch                             
  texlive-gmp-10:svn21691.1.0-63.fc38.noarch                                    
  texlive-gmutils-10:svn24287.v0.996-63.fc38.noarch                             
  texlive-gmverb-10:svn24288.v0.98-63.fc38.noarch                               
  texlive-gnu-freefont-10:svn29349.0-63.fc38.noarch                             
  texlive-gnuplottex-10:svn54758-63.fc38.noarch                                 
  texlive-gofonts-10:svn64358-63.fc38.noarch                                    
  texlive-gothic-10:svn49869-63.fc38.noarch                                     
  texlive-gotoh-10:svn44764-63.fc38.noarch                                      
  texlive-grabbox-10:svn65223-63.fc38.noarch                                    
  texlive-gradient-text-10:svn65353-63.fc38.noarch                              
  texlive-gradientframe-10:svn21387.0.2-63.fc38.noarch                          
  texlive-grading-scheme-10:svn62505-63.fc38.noarch                             
  texlive-gradstudentresume-10:svn38832-63.fc38.noarch                          
  texlive-grafcet-10:svn22509.1.3.5-63.fc38.noarch                              
  texlive-grant-10:svn56852-63.fc38.noarch                                      
  texlive-graph35-10:svn65138-63.fc38.noarch                                    
  texlive-graphbox-10:svn46360-63.fc38.noarch                                   
  texlive-graphics-10:svn64892-63.fc38.noarch                                   
  texlive-graphics-cfg-10:svn41448-63.fc38.noarch                               
  texlive-graphics-def-10:svn64487-63.fc38.noarch                               
  texlive-graphicscache-10:svn65318-63.fc38.noarch                              
  texlive-graphicx-psmin-10:svn56931-63.fc38.noarch                             
  texlive-graphicxbox-10:svn32630.1.0-63.fc38.noarch                            
  texlive-graphicxpsd-10:svn57341-63.fc38.noarch                                
  texlive-graphpaper-10:svn63116-63.fc38.noarch                                 
  texlive-graphviz-10:svn31517.0.94-63.fc38.noarch                              
  texlive-grayhints-10:svn49052-63.fc38.noarch                                  
  texlive-greek-fontenc-10:svn63604-63.fc38.noarch                              
  texlive-greek-inputenc-10:svn51612-63.fc38.noarch                             
  texlive-greenpoint-10:svn15878.0-63.fc38.noarch                               
  texlive-grfext-10:svn53024-63.fc38.noarch                                     
  texlive-grffile-10:svn52756-63.fc38.noarch                                    
  texlive-grfpaste-10:svn17354.0.2-63.fc38.noarch                               
  texlive-grid-10:svn61719-63.fc38.noarch                                       
  texlive-grid-system-10:svn32981.0.3.0-63.fc38.noarch                          
  texlive-gridpapers-10:svn58723-63.fc38.noarch                                 
  texlive-gridset-10:svn53762-63.fc38.noarch                                    
  texlive-gridslides-10:svn54512-63.fc38.noarch                                 
  texlive-grotesq-10:svn35859.0-63.fc38.noarch                                  
  texlive-grundgesetze-10:svn58997-63.fc38.noarch                               
  texlive-gs1-10:svn59620-63.fc38.noarch                                        
  texlive-gsemthesis-10:svn56291-63.fc38.noarch                                 
  texlive-gsftopk-10:svn52851-58.fc38.x86_64                                    
  texlive-gtl-10:svn49527-63.fc38.noarch                                        
  texlive-gtrlib-largetrees-10:svn49062-63.fc38.noarch                          
  texlive-gu-10:svn15878.0-63.fc38.noarch                                       
  texlive-gudea-10:svn57359-63.fc38.noarch                                      
  texlive-guitlogo-10:svn55741-63.fc38.noarch                                   
  texlive-gzt-10:svn63591-63.fc38.noarch                                        
  texlive-h2020proposal-10:svn38428-63.fc38.noarch                              
  texlive-ha-prosper-10:svn59651-63.fc38.noarch                                 
  texlive-hackthefootline-10:svn46494-63.fc38.noarch                            
  texlive-hacm-10:svn27671.0.1-63.fc38.noarch                                   
  texlive-hagenberg-thesis-10:svn56798-63.fc38.noarch                           
  texlive-halloweenmath-10:svn52602-63.fc38.noarch                              
  texlive-hamnosys-10:svn61941-63.fc38.noarch                                   
  texlive-handin-10:svn48255-63.fc38.noarch                                     
  texlive-handout-10:svn43962-63.fc38.noarch                                    
  texlive-handoutwithnotes-10:svn62140-63.fc38.noarch                           
  texlive-hands-10:svn13293.0-63.fc38.noarch                                    
  texlive-hang-10:svn43280-63.fc38.noarch                                       
  texlive-hanging-10:svn15878.1.2b-63.fc38.noarch                               
  texlive-har2nat-10:svn54080-63.fc38.noarch                                    
  texlive-hardwrap-10:svn21396.0.2-63.fc38.noarch                               
  texlive-harnon-cv-10:svn26543.1.0-63.fc38.noarch                              
  texlive-harpoon-10:svn21327.1.0-63.fc38.noarch                                
  texlive-harvard-10:svn15878.2.0.5-63.fc38.noarch                              
  texlive-harveyballs-10:svn32003.1.1-63.fc38.noarch                            
  texlive-harvmac-10:svn15878.0-63.fc38.noarch                                  
  texlive-hc-10:svn15878.0-63.fc38.noarch                                       
  texlive-he-she-10:svn41359-63.fc38.noarch                                     
  texlive-hecthese-10:svn60455-63.fc38.noarch                                   
  texlive-helmholtz-ellis-ji-notation-10:svn55213-63.fc38.noarch                
  texlive-helvetic-10:svn61719-63.fc38.noarch                                   
  texlive-hep-10:svn15878.1.0-63.fc38.noarch                                    
  texlive-hep-acronym-10:svn64890-63.fc38.noarch                                
  texlive-hep-bibliography-10:svn64888-63.fc38.noarch                           
  texlive-hep-float-10:svn64904-63.fc38.noarch                                  
  texlive-hep-math-10:svn64905-63.fc38.noarch                                   
  texlive-hep-paper-10:svn64917-63.fc38.noarch                                  
  texlive-hep-reference-10:svn64853-63.fc38.noarch                              
  texlive-hep-text-10:svn64906-63.fc38.noarch                                   
  texlive-hep-title-10:svn64907-63.fc38.noarch                                  
  texlive-hepnames-10:svn35722.2.0-63.fc38.noarch                               
  texlive-hepparticles-10:svn35723.2.0-63.fc38.noarch                           
  texlive-hepthesis-10:svn46054-63.fc38.noarch                                  
  texlive-hepunits-10:svn54758-63.fc38.noarch                                   
  texlive-here-10:svn16135.0-63.fc38.noarch                                     
  texlive-hereapplies-10:svn65251-63.fc38.noarch                                
  texlive-heuristica-10:svn51362-63.fc38.noarch                                 
  texlive-hf-tikz-10:svn34733.0.3a-63.fc38.noarch                               
  texlive-hfbright-10:svn29349.0-63.fc38.noarch                                 
  texlive-hfoldsty-10:svn29349.1.15-63.fc38.noarch                              
  texlive-hfutexam-10:svn65223-63.fc38.noarch                                   
  texlive-hfutthesis-10:svn64025-63.fc38.noarch                                 
  texlive-hhtensor-10:svn54080-63.fc38.noarch                                   
  texlive-hideanswer-10:svn63852-63.fc38.noarch                                 
  texlive-highlightlatex-10:svn58392-63.fc38.noarch                             
  texlive-hindmadurai-10:svn57360-63.fc38.noarch                                
  texlive-histogr-10:svn15878.1.01-63.fc38.noarch                               
  texlive-historische-zeitschrift-10:svn42635-63.fc38.noarch                    
  texlive-hitec-10:svn15878.0.0_beta_-63.fc38.noarch                            
  texlive-hithesis-10:svn64005-63.fc38.noarch                                   
  texlive-hitreport-10:svn58357-63.fc38.noarch                                  
  texlive-hitszbeamer-10:svn54381-63.fc38.noarch                                
  texlive-hitszthesis-10:svn61073-63.fc38.noarch                                
  texlive-hletter-10:svn30002.4.2-63.fc38.noarch                                
  texlive-hobby-10:svn44474-63.fc38.noarch                                      
  texlive-hobete-10:svn27036.0-63.fc38.noarch                                   
  texlive-hobsub-10:svn52810-63.fc38.noarch                                     
  texlive-hologo-10:svn61719-63.fc38.noarch                                     
  texlive-hopatch-10:svn56106-63.fc38.noarch                                    
  texlive-hpsdiss-10:svn15878.1.0-63.fc38.noarch                                
  texlive-href-ul-10:svn64880-63.fc38.noarch                                    
  texlive-hrefhide-10:svn22255.1.0f-63.fc38.noarch                              
  texlive-hu-berlin-bundle-10:svn57580-63.fc38.noarch                           
  texlive-huawei-10:svn65264-63.fc38.noarch                                     
  texlive-hustthesis-10:svn42547-63.fc38.noarch                                 
  texlive-hvextern-10:svn63711-63.fc38.noarch                                   
  texlive-hvfloat-10:svn65069-63.fc38.noarch                                    
  texlive-hvindex-10:svn46051-63.fc38.noarch                                    
  texlive-hvlogos-10:svn63261-63.fc38.noarch                                    
  texlive-hvpygmentex-10:svn62405-63.fc38.noarch                                
  texlive-hvqrurl-10:svn59256-63.fc38.noarch                                    
  texlive-hwemoji-10:svn65001-63.fc38.noarch                                    
  texlive-hycolor-10:svn53584-63.fc38.noarch                                    
  texlive-hypdestopt-10:svn56253-63.fc38.noarch                                 
  texlive-hypdoc-10:svn63808-63.fc38.noarch                                     
  texlive-hypdvips-10:svn53197-63.fc38.noarch                                   
  texlive-hyper-10:svn17357.4.2d-63.fc38.noarch                                 
  texlive-hyperbar-10:svn48147-63.fc38.noarch                                   
  texlive-hypernat-10:svn17358.1.0b-63.fc38.noarch                              
  texlive-hyperref-10:svn65014-63.fc38.noarch                                   
  texlive-hyperxmp-10:svn57004-58.fc38.x86_64                                   
  texlive-hyph-utf8-10:svn61719-63.fc38.noarch                                  
  texlive-hyphen-base-10:svn62751-63.fc38.noarch                                
  texlive-hyphen-polish-10:svn58609-63.fc38.noarch                              
  texlive-hyphenat-10:svn15878.2.3c-63.fc38.noarch                              
  texlive-hyphenex-10:svn57387-63.fc38.noarch                                   
  texlive-ibarra-10:svn64567-63.fc38.noarch                                     
  texlive-ibrackets-10:svn65312-63.fc38.noarch                                  
  texlive-icite-10:svn54512-63.fc38.noarch                                      
  texlive-icsv-10:svn15878.0.2-63.fc38.noarch                                   
  texlive-identkey-10:svn61719-63.fc38.noarch                                   
  texlive-idxcmds-10:svn54554-63.fc38.noarch                                    
  texlive-idxlayout-10:svn25821.0.4d-63.fc38.noarch                             
  texlive-ieeeconf-10:svn59665-63.fc38.noarch                                   
  texlive-ieeepes-10:svn17359.4.0-63.fc38.noarch                                
  texlive-ieeetran-10:svn59672-63.fc38.noarch                                   
  texlive-ietfbibs-doc-10:svn41332-63.fc38.noarch                               
  texlive-iexec-10:svn64908-63.fc38.noarch                                      
  texlive-ifallfalse-10:svn60027-63.fc38.noarch                                 
  texlive-iffont-10:svn38823-63.fc38.noarch                                     
  texlive-ifmslide-10:svn20727.0.47-63.fc38.noarch                              
  texlive-ifmtarg-10:svn47544-63.fc38.noarch                                    
  texlive-ifnextok-10:svn23379.0.3-63.fc38.noarch                               
  texlive-ifoddpage-10:svn64967-63.fc38.noarch                                  
  texlive-ifplatform-10:svn45533-63.fc38.noarch                                 
  texlive-ifsym-10:svn24868.0-63.fc38.noarch                                    
  texlive-iftex-10:svn61910-63.fc38.noarch                                      
  texlive-ifthenx-10:svn25819.0.1a-63.fc38.noarch                               
  texlive-iitem-10:svn29613.1.0-63.fc38.noarch                                  
  texlive-ijmart-10:svn30958.1.7-63.fc38.noarch                                 
  texlive-ijqc-10:svn15878.1.2-63.fc38.noarch                                   
  texlive-ijsra-10:svn44886-63.fc38.noarch                                      
  texlive-imac-10:svn17347.0-63.fc38.noarch                                     
  texlive-image-gallery-10:svn15878.v1.0j-63.fc38.noarch                        
  texlive-imakeidx-10:svn42287-63.fc38.noarch                                   
  texlive-imfellenglish-10:svn64568-63.fc38.noarch                              
  texlive-import-10:svn54683-63.fc38.noarch                                     
  texlive-imtekda-10:svn17667.1.7-63.fc38.noarch                                
  texlive-incgraph-10:svn60810-63.fc38.noarch                                   
  texlive-includernw-10:svn47557-63.fc38.noarch                                 
  texlive-inconsolata-10:svn54512-63.fc38.noarch                                
  texlive-index-10:svn24099.4.1beta-63.fc38.noarch                              
  texlive-indextools-10:svn38931-63.fc38.noarch                                 
  texlive-infwarerr-10:svn53023-63.fc38.noarch                                  
  texlive-initials-10:svn54080-63.fc38.noarch                                   
  texlive-inkpaper-10:svn54080-63.fc38.noarch                                   
  texlive-inline-images-10:svn61719-63.fc38.noarch                              
  texlive-inlinebib-10:svn22018.0-63.fc38.noarch                                
  texlive-inlinedef-10:svn15878.1.0-63.fc38.noarch                              
  texlive-inlinelabel-10:svn63853-63.fc38.noarch                                
  texlive-inputenx-10:svn52986-63.fc38.noarch                                   
  texlive-inputtrc-10:svn28019.0.3-63.fc38.noarch                               
  texlive-inriafonts-10:svn54512-63.fc38.noarch                                 
  texlive-intcalc-10:svn53168-63.fc38.noarch                                    
  texlive-inter-10:svn58892-63.fc38.noarch                                      
  texlive-interactiveworkbook-10:svn15878.0-63.fc38.noarch                      
  texlive-interfaces-10:svn21474.3.1-63.fc38.noarch                             
  texlive-interval-10:svn50265-63.fc38.noarch                                   
  texlive-intopdf-10:svn63987-63.fc38.noarch                                    
  texlive-inversepath-10:svn15878.0.2-63.fc38.noarch                            
  texlive-invoice-10:svn48359-63.fc38.noarch                                    
  texlive-invoice-class-10:svn49749-63.fc38.noarch                              
  texlive-invoice2-10:svn46364-63.fc38.noarch                                   
  texlive-iodhbwm-10:svn57773-63.fc38.noarch                                    
  texlive-ionumbers-10:svn33457.0.3.3-63.fc38.noarch                            
  texlive-iopart-num-10:svn15878.2.1-63.fc38.noarch                             
  texlive-ipaex-10:svn61719-63.fc38.noarch                                      
  texlive-ipaex-type1-10:svn47700-63.fc38.noarch                                
  texlive-is-bst-10:svn52623-63.fc38.noarch                                     
  texlive-iscram-10:svn45801-63.fc38.noarch                                     
  texlive-iso-10:svn15878.2.4-63.fc38.noarch                                    
  texlive-iso10303-10:svn15878.1.5-63.fc38.noarch                               
  texlive-isodate-10:svn16613.2.28-63.fc38.noarch                               
  texlive-isodoc-10:svn59709-63.fc38.noarch                                     
  texlive-isomath-10:svn27654.0.6.1-63.fc38.noarch                              
  texlive-isonums-10:svn17362.1.0-63.fc38.noarch                                
  texlive-isopt-10:svn45509-63.fc38.noarch                                      
  texlive-isorot-10:svn15878.0-63.fc38.noarch                                   
  texlive-isotope-10:svn23711.v0.3-63.fc38.noarch                               
  texlive-issuulinks-10:svn25742.1.1-63.fc38.noarch                             
  texlive-istgame-10:svn62946-63.fc38.noarch                                    
  texlive-itnumpar-10:svn15878.1.0-63.fc38.noarch                               
  texlive-iwhdp-10:svn37552.0.50-63.fc38.noarch                                 
  texlive-iwona-10:svn19611.0.995b-63.fc38.noarch                               
  texlive-jablantile-10:svn16364.0-63.fc38.noarch                               
  texlive-jacow-10:svn63060-63.fc38.noarch                                      
  texlive-jamtimes-10:svn20408.1.12-63.fc38.noarch                              
  texlive-japanese-otf-10:svn64072-63.fc38.noarch                               
  texlive-jbact-10:svn52717-63.fc38.noarch                                      
  texlive-jkmath-10:svn47109-63.fc38.noarch                                     
  texlive-jknapltx-10:svn19440.0-63.fc38.noarch                                 
  texlive-jlabels-10:svn24858.0-63.fc38.noarch                                  
  texlive-jmb-10:svn52718-63.fc38.noarch                                        
  texlive-jmlr-10:svn61957-63.fc38.noarch                                       
  texlive-jmsdelim-10:svn62630-63.fc38.noarch                                   
  texlive-jneurosci-10:svn17346.1.00-63.fc38.noarch                             
  texlive-jnuexam-10:svn56867-63.fc38.noarch                                    
  texlive-jobname-suffix-10:svn64797-63.fc38.noarch                             
  texlive-josefin-10:svn64569-63.fc38.noarch                                    
  texlive-jourcl-10:svn65290-63.fc38.noarch                                     
  texlive-jpsj-10:svn15878.1.2.2-63.fc38.noarch                                 
  texlive-jslectureplanner-10:svn57095-63.fc38.noarch                           
  texlive-jumplines-10:svn37553.0.2-63.fc38.noarch                              
  texlive-junicode-10:svn61719-63.fc38.noarch                                   
  texlive-jupynotex-10:svn56715-63.fc38.noarch                                  
  texlive-jurabib-10:svn15878.0.6-63.fc38.noarch                                
  texlive-jvlisting-10:svn24638.0.7-63.fc38.noarch                              
  texlive-kalendarium-10:svn48744-63.fc38.noarch                                
  texlive-kantlipsum-10:svn51727-63.fc38.noarch                                 
  texlive-karnaugh-10:svn21338.0-63.fc38.noarch                                 
  texlive-karnaugh-map-10:svn61614-63.fc38.noarch                               
  texlive-karnaughmap-10:svn36989.2.0-63.fc38.noarch                            
  texlive-kastrup-10:svn15878.0-63.fc38.noarch                                  
  texlive-kblocks-10:svn57617-63.fc38.noarch                                    
  texlive-kdgdocs-10:svn24498.1.0-63.fc38.noarch                                
  texlive-kdpcover-10:svn65150-63.fc38.noarch                                   
  texlive-kerntest-10:svn15878.1.32-63.fc38.noarch                              
  texlive-keycommand-10:svn18042.3.1415-63.fc38.noarch                          
  texlive-keyfloat-10:svn61561-63.fc38.noarch                                   
  texlive-keyindex-10:svn50828-63.fc38.noarch                                   
  texlive-keyparse-10:svn60277-63.fc38.noarch                                   
  texlive-keyreader-10:svn28195.0.5b-63.fc38.noarch                             
  texlive-keystroke-10:svn17992.v1.6-63.fc38.noarch                             
  texlive-keyval2e-10:svn23698.0.0.2-63.fc38.noarch                             
  texlive-keyvaltable-10:svn54677-63.fc38.noarch                                
  texlive-kfupm-math-exam-10:svn63977-63.fc38.noarch                            
  texlive-kinematikz-10:svn61392-63.fc38.noarch                                 
  texlive-kix-10:svn21606.0-63.fc38.noarch                                      
  texlive-kixfont-10:svn18488.0-63.fc38.noarch                                  
  texlive-kluwer-10:svn54074-63.fc38.noarch                                     
  texlive-knitting-10:svn50782-63.fc38.noarch                                   
  texlive-knittingpattern-10:svn17205.0-63.fc38.noarch                          
  texlive-knowledge-10:svn61991-63.fc38.noarch                                  
  texlive-knuth-lib-10:svn57963-63.fc38.noarch                                  
  texlive-knuth-local-10:svn57963-63.fc38.noarch                                
  texlive-koma-moderncvclassic-10:svn25025.v0.5-63.fc38.noarch                  
  texlive-koma-script-10:svn64685-63.fc38.noarch                                
  texlive-koma-script-sfs-10:svn26137.1.0-63.fc38.noarch                        
  texlive-komacv-10:svn57721-63.fc38.noarch                                     
  texlive-komacv-rg-10:svn49064-63.fc38.noarch                                  
  texlive-kpathsea-10:svn64475-58.fc38.x86_64                                   
  texlive-kpfonts-10:svn64440-63.fc38.noarch                                    
  texlive-kpfonts-otf-10:svn65082-63.fc38.noarch                                
  texlive-ksfh_nat-10:svn24825.1.1-63.fc38.noarch                               
  texlive-ksp-thesis-10:svn39080-63.fc38.noarch                                 
  texlive-ktv-texdata-10:svn27369.05.34-63.fc38.noarch                          
  texlive-ku-template-10:svn45935-63.fc38.noarch                                
  texlive-kurier-10:svn19612.0.995b-63.fc38.noarch                              
  texlive-kvdefinekeys-10:svn53193-63.fc38.noarch                               
  texlive-kvmap-10:svn56361-63.fc38.noarch                                      
  texlive-kvoptions-10:svn63622-63.fc38.noarch                                  
  texlive-kvsetkeys-10:svn64632-63.fc38.noarch                                  
  texlive-l3backend-10:svn64836-63.fc38.noarch                                  
  texlive-l3build-10:svn64402-58.fc38.noarch                                    
  texlive-l3experimental-10:svn63089-63.fc38.noarch                             
  texlive-l3kernel-10:svn65299-63.fc38.noarch                                   
  texlive-l3packages-10:svn65300-63.fc38.noarch                                 
  texlive-labbook-10:svn15878.0-63.fc38.noarch                                  
  texlive-labels-10:svn15878.13-63.fc38.noarch                                  
  texlive-labels4easylist-10:svn51124-63.fc38.noarch                            
  texlive-labelschanged-10:svn46040-63.fc38.noarch                              
  texlive-ladder-10:svn44394-63.fc38.noarch                                     
  texlive-lambda-lists-10:svn31402.0-63.fc38.noarch                             
  texlive-lambdax-10:svn60278-63.fc38.noarch                                    
  texlive-langcode-10:svn27764.0.2-63.fc38.noarch                               
  texlive-langsci-10:svn62061-63.fc38.noarch                                    
  texlive-langsci-avm-10:svn55846-63.fc38.noarch                                
  texlive-lapdf-10:svn23806.1.1-63.fc38.noarch                                  
  texlive-lastpackage-10:svn34481.0.1-63.fc38.noarch                            
  texlive-lastpage-10:svn60414-63.fc38.noarch                                   
  texlive-latex-10:svn63825-58.fc38.noarch                                      
  texlive-latex-base-dev-10:svn65180-63.fc38.noarch                             
  texlive-latex-firstaid-dev-10:svn65181-63.fc38.noarch                         
  texlive-latex-fonts-10:svn28888.0-63.fc38.noarch                              
  texlive-latex-lab-10:svn64892-63.fc38.noarch                                  
  texlive-latex-make-10:svn60874-63.fc38.noarch                                 
  texlive-latex-uni8-10:svn49729-63.fc38.noarch                                 
  texlive-latexbug-10:svn63596-63.fc38.noarch                                   
  texlive-latexcolors-10:svn49888-63.fc38.noarch                                
  texlive-latexconfig-10:svn53525-63.fc38.noarch                                
  texlive-latexdemo-10:svn55265-63.fc38.noarch                                  
  texlive-latexgit-10:svn54811-63.fc38.noarch                                   
  texlive-lato-10:svn54512-63.fc38.noarch                                       
  texlive-layouts-10:svn42428-63.fc38.noarch                                    
  texlive-lazylist-10:svn17691.1.0a-63.fc38.noarch                              
  texlive-lccaps-10:svn46432-63.fc38.noarch                                     
  texlive-lcd-10:svn16549.0.3-63.fc38.noarch                                    
  texlive-lcg-10:svn31474.1.3-63.fc38.noarch                                    
  texlive-leading-10:svn15878.0.3-63.fc38.noarch                                
  texlive-leaflet-10:svn56878-63.fc38.noarch                                    
  texlive-lebhart-10:svn64280-63.fc38.noarch                                    
  texlive-lectures-10:svn53642-63.fc38.noarch                                   
  texlive-lectureslides-10:svn62292-63.fc38.noarch                              
  texlive-leftidx-10:svn15878.0-63.fc38.noarch                                  
  texlive-leftindex-10:svn56182-63.fc38.noarch                                  
  texlive-leipzig-10:svn52450-63.fc38.noarch                                    
  texlive-lengthconvert-10:svn55064-63.fc38.noarch                              
  texlive-letltxmacro-10:svn53022-63.fc38.noarch                                
  texlive-letterswitharrows-10:svn59993-63.fc38.noarch                          
  texlive-lettre-10:svn54722-63.fc38.noarch                                     
  texlive-lettrine-10:svn64511-63.fc38.noarch                                   
  texlive-lewis-10:svn15878.0.1-63.fc38.noarch                                  
  texlive-lexend-10:svn57564-63.fc38.noarch                                     
  texlive-lfb-10:svn15878.1.0-63.fc38.noarch                                    
  texlive-lhelp-10:svn23638.2.0-63.fc38.noarch                                  
  texlive-lib-10:20220321-58.fc38.x86_64                                        
  texlive-libertine-10:svn64359-63.fc38.noarch                                  
  texlive-libertinegc-10:svn44616-63.fc38.noarch                                
  texlive-libertinus-10:svn61719-63.fc38.noarch                                 
  texlive-libertinus-fonts-10:svn57948-63.fc38.noarch                           
  texlive-libertinus-otf-10:svn60023-63.fc38.noarch                             
  texlive-libertinus-type1-10:svn64958-63.fc38.noarch                           
  texlive-libertinust1math-10:svn61751-63.fc38.noarch                           
  texlive-libgreek-10:svn65004-63.fc38.noarch                                   
  texlive-librebaskerville-10:svn64421-63.fc38.noarch                           
  texlive-librebodoni-10:svn64431-63.fc38.noarch                                
  texlive-librecaslon-10:svn64432-63.fc38.noarch                                
  texlive-librefranklin-10:svn64441-63.fc38.noarch                              
  texlive-libris-10:svn19409.1.007-63.fc38.noarch                               
  texlive-lie-hasse-10:svn61719-63.fc38.noarch                                  
  texlive-liftarm-10:svn62981-63.fc38.noarch                                    
  texlive-limap-10:svn44863-63.fc38.noarch                                      
  texlive-limecv-10:svn61199-63.fc38.noarch                                     
  texlive-lineara-10:svn63169-63.fc38.noarch                                    
  texlive-linegoal-10:svn21523.2.9-63.fc38.noarch                               
  texlive-lineno-10:svn65204-63.fc38.noarch                                     
  texlive-linguex-10:svn30815.4.3-63.fc38.noarch                                
  texlive-linguisticspro-10:svn64858-63.fc38.noarch                             
  texlive-linop-10:svn41304-63.fc38.noarch                                      
  texlive-lion-msc-10:svn55415-63.fc38.noarch                                   
  texlive-lipsum-10:svn60561-63.fc38.noarch                                     
  texlive-lisp-on-tex-10:svn38722-63.fc38.noarch                                
  texlive-listbib-10:svn29349-58.fc38.noarch                                    
  texlive-listing-10:svn17373.1.2-63.fc38.noarch                                
  texlive-listings-10:svn55265-63.fc38.noarch                                   
  texlive-listingsutf8-10:svn53097-63.fc38.noarch                               
  texlive-listlbls-10:svn34893.1.03-63.fc38.noarch                              
  texlive-listliketab-10:svn15878.0-63.fc38.noarch                              
  texlive-listofitems-10:svn51923-63.fc38.noarch                                
  texlive-listofsymbols-10:svn16134.0.2-63.fc38.noarch                          
  texlive-lkproof-10:svn20021.3.1-63.fc38.noarch                                
  texlive-llncs-10:svn64299-63.fc38.noarch                                      
  texlive-llncsconf-10:svn63136-63.fc38.noarch                                  
  texlive-lm-10:svn61719-63.fc38.noarch                                         
  texlive-lm-math-10:svn36915.1.959-63.fc38.noarch                              
  texlive-lmake-10:svn25552.1.0-63.fc38.noarch                                  
  texlive-lni-10:svn58061-63.fc38.noarch                                        
  texlive-lobster2-10:svn64442-63.fc38.noarch                                   
  texlive-locality-10:svn20422.0.2-63.fc38.noarch                               
  texlive-logbox-10:svn24499.1.0-63.fc38.noarch                                 
  texlive-logical-markup-utils-10:svn15878.0-63.fc38.noarch                     
  texlive-logicproof-10:svn33254.0-63.fc38.noarch                               
  texlive-logix-10:svn63688-63.fc38.noarch                                      
  texlive-logpap-10:svn15878.0.6-63.fc38.noarch                                 
  texlive-logreq-10:svn53003-63.fc38.noarch                                     
  texlive-longdivision-10:svn59979-63.fc38.noarch                               
  texlive-longfbox-10:svn39028-63.fc38.noarch                                   
  texlive-longfigure-10:svn34302.1.0-63.fc38.noarch                             
  texlive-longnamefilelist-10:svn27889.0.2-63.fc38.noarch                       
  texlive-loops-10:svn30704.1.3-63.fc38.noarch                                  
  texlive-lpform-10:svn36918.0-63.fc38.noarch                                   
  texlive-lpic-10:svn20843.0.8-63.fc38.noarch                                   
  texlive-lplfitch-10:svn31077.0.9-63.fc38.noarch                               
  texlive-lps-10:svn21322.0.7-63.fc38.noarch                                    
  texlive-lroundrect-10:svn39804-63.fc38.noarch                                 
  texlive-lsc-10:svn15878.0-63.fc38.noarch                                      
  texlive-lstaddons-10:svn64967-63.fc38.noarch                                  
  texlive-lstbayes-10:svn48160-63.fc38.noarch                                   
  texlive-lstfiracode-10:svn49503-63.fc38.noarch                                
  texlive-lt3graph-10:svn45913-63.fc38.noarch                                   
  texlive-lt3rawobjects-10:svn65230-63.fc38.noarch                              
  texlive-ltablex-10:svn34923.1.1-63.fc38.noarch                                
  texlive-ltabptch-10:svn17533.1.74d-63.fc38.noarch                             
  texlive-ltb2bib-10:svn43746-63.fc38.noarch                                    
  texlive-ltxcmds-10:svn56421-63.fc38.noarch                                    
  texlive-ltxdockit-10:svn21869.1.2d-63.fc38.noarch                             
  texlive-ltxguidex-10:svn50992-63.fc38.noarch                                  
  texlive-ltxkeys-10:svn28332.0.0.3c-63.fc38.noarch                             
  texlive-ltxmisc-10:svn21927.0-63.fc38.noarch                                  
  texlive-ltxnew-10:svn21586.1.3-63.fc38.noarch                                 
  texlive-ltxtools-10:svn24897.0.0.1a-63.fc38.noarch                            
  texlive-lua-alt-getopt-10:svn56414-63.fc38.noarch                             
  texlive-lua-check-hyphen-10:svn47527-63.fc38.noarch                           
  texlive-lua-physical-10:svn59138-63.fc38.noarch                               
  texlive-lua-uca-10:svn61023-63.fc38.noarch                                    
  texlive-lua-uni-algos-10:svn62204-63.fc38.noarch                              
  texlive-lua-widow-control-10:svn65084-63.fc38.noarch                          
  texlive-luabibentry-10:svn55777-63.fc38.noarch                                
  texlive-luabidi-10:svn54512-63.fc38.noarch                                    
  texlive-luacode-10:svn25193.1.2a-63.fc38.noarch                               
  texlive-luacolor-10:svn57829-63.fc38.noarch                                   
  texlive-luahbtex-10:svn62387-58.fc38.x86_64                                   
  texlive-luainputenc-10:svn20491.0.973-63.fc38.noarch                          
  texlive-lualatex-math-10:svn61464-63.fc38.noarch                              
  texlive-lualibs-10:svn64615-63.fc38.noarch                                    
  texlive-luamesh-10:svn63875-63.fc38.noarch                                    
  texlive-luamplib-10:svn61587-63.fc38.noarch                                   
  texlive-luaotfload-10:svn64616-58.fc38.noarch                                 
  texlive-luasseq-10:svn37877.0-63.fc38.noarch                                  
  texlive-luatex-10:svn64839-58.fc38.x86_64                                     
  texlive-luatexbase-10:svn52663-63.fc38.noarch                                 
  texlive-luatexja-10:svn65267-63.fc38.noarch                                   
  texlive-luatextra-10:svn20747.1.0.1-63.fc38.noarch                            
  texlive-luatodonotes-10:svn53825-63.fc38.noarch                               
  texlive-luaxml-10:svn60709-63.fc38.noarch                                     
  texlive-lwarp-10:svn63905-58.fc38.noarch                                      
  texlive-lxfonts-10:svn32354.2.0b-63.fc38.noarch                               
  texlive-ly1-10:svn63565-63.fc38.noarch                                        
  texlive-macrolist-10:svn60139-63.fc38.noarch                                  
  texlive-macroswap-10:svn31498.1.1-63.fc38.noarch                              
  texlive-magaz-10:svn24694.0.4-63.fc38.noarch                                  
  texlive-magicnum-10:svn52983-63.fc38.noarch                                   
  texlive-magicwatermark-10:svn63656-63.fc38.noarch                             
  texlive-magra-10:svn57373-63.fc38.noarch                                      
  texlive-mailing-10:svn15878.0-63.fc38.noarch                                  
  texlive-mailmerge-10:svn15878.1.0-63.fc38.noarch                              
  texlive-make4ht-10:svn62953-58.fc38.noarch                                    
  texlive-makebarcode-10:svn15878.1.0-63.fc38.noarch                            
  texlive-makebase-10:svn41012-63.fc38.noarch                                   
  texlive-makebox-10:svn15878.0.1-63.fc38.noarch                                
  texlive-makecell-10:svn15878.0.1e-63.fc38.noarch                              
  texlive-makecirc-10:svn15878.0-63.fc38.noarch                                 
  texlive-makecmds-10:svn15878.0-63.fc38.noarch                                 
  texlive-makecookbook-10:svn49311-63.fc38.noarch                               
  texlive-makedtx-10:svn46702-58.fc38.noarch                                    
  texlive-makeglos-10:svn15878.0-63.fc38.noarch                                 
  texlive-makeindex-10:svn62517-58.fc38.x86_64                                  
  texlive-makelabels-10:svn60255-63.fc38.noarch                                 
  texlive-maker-10:svn44823-63.fc38.noarch                                      
  texlive-makerobust-10:svn52811-63.fc38.noarch                                 
  texlive-makeshape-10:svn28973.2.1-63.fc38.noarch                              
  texlive-mandi-10:svn61764-63.fc38.noarch                                      
  texlive-manfnt-10:svn54684-63.fc38.noarch                                     
  texlive-manfnt-font-10:svn45777-63.fc38.noarch                                
  texlive-manuscript-10:svn36110.1.7-63.fc38.noarch                             
  texlive-manyind-10:svn49874-63.fc38.noarch                                    
  texlive-marcellus-10:svn64451-63.fc38.noarch                                  
  texlive-margbib-10:svn15878.1.0c-63.fc38.noarch                               
  texlive-marginfit-10:svn48281-63.fc38.noarch                                  
  texlive-marginfix-10:svn55064-63.fc38.noarch                                  
  texlive-marginnote-10:svn48383-63.fc38.noarch                                 
  texlive-markdown-10:svn65346-63.fc38.noarch                                   
  texlive-marvosym-10:svn29349.2.2a-63.fc38.noarch                              
  texlive-matc3-10:svn29845.1.0.1-63.fc38.noarch                                
  texlive-matc3mem-10:svn35773.1.1-63.fc38.noarch                               
  texlive-mathabx-10:svn15878.0-63.fc38.noarch                                  
  texlive-mathabx-type1-10:svn21129.0-63.fc38.noarch                            
  texlive-mathalpha-10:svn61089-63.fc38.noarch                                  
  texlive-mathastext-10:svn64930-63.fc38.noarch                                 
  texlive-mathcommand-10:svn59512-63.fc38.noarch                                
  texlive-mathcomp-10:svn15878.0.1f-63.fc38.noarch                              
  texlive-mathdesign-10:svn31639.2.31-63.fc38.noarch                            
  texlive-mathexam-10:svn15878.1.00-63.fc38.noarch                              
  texlive-mathfam256-10:svn53519-63.fc38.noarch                                 
  texlive-mathfixs-10:svn49547-63.fc38.noarch                                   
  texlive-mathfont-10:svn65205-63.fc38.noarch                                   
  texlive-mathlig-10:svn54244-63.fc38.noarch                                    
  texlive-mathpartir-10:svn39864-63.fc38.noarch                                 
  texlive-mathpazo-10:svn52663-63.fc38.noarch                                   
  texlive-mathpunctspace-10:svn46754-63.fc38.noarch                             
  texlive-mathsemantics-10:svn63241-63.fc38.noarch                              
  texlive-mathspec-10:svn42773-63.fc38.noarch                                   
  texlive-mathspic-10:svn31957-58.fc38.noarch                                   
  texlive-mathtools-10:svn63767-63.fc38.noarch                                  
  texlive-matlab-prettifier-10:svn34323.0.3-63.fc38.noarch                      
  texlive-matrix-skeleton-10:svn65013-63.fc38.noarch                            
  texlive-mattens-10:svn62326-63.fc38.noarch                                    
  texlive-maybemath-10:svn15878.0-63.fc38.noarch                                
  texlive-mcaption-10:svn15878.3.0-63.fc38.noarch                               
  texlive-mceinleger-10:svn15878.0-63.fc38.noarch                               
  texlive-mcexam-10:svn60481-63.fc38.noarch                                     
  texlive-mcite-10:svn18173.1.6-63.fc38.noarch                                  
  texlive-mciteplus-10:svn31648.1.2-63.fc38.noarch                              
  texlive-mcmthesis-10:svn57333-63.fc38.noarch                                  
  texlive-mdframed-10:svn31075.1.9b-63.fc38.noarch                              
  texlive-mdputu-10:svn20298.1.2-63.fc38.noarch                                 
  texlive-mdsymbol-10:svn28399.0.5-63.fc38.noarch                               
  texlive-mdwtools-10:svn15878.1.05.4-63.fc38.noarch                            
  texlive-mecaso-10:svn60346-63.fc38.noarch                                     
  texlive-media4svg-10:svn64686-63.fc38.noarch                                  
  texlive-media9-10:svn64047-63.fc38.noarch                                     
  texlive-medstarbeamer-10:svn38828-63.fc38.noarch                              
  texlive-meetingmins-10:svn31878.1.6-63.fc38.noarch                            
  texlive-membranecomputing-10:svn64627-63.fc38.noarch                          
  texlive-memexsupp-10:svn15878.0.1-63.fc38.noarch                              
  texlive-memoir-10:svn65040-63.fc38.noarch                                     
  texlive-memory-10:svn30452.1.2-63.fc38.noarch                                 
  texlive-memorygraphs-10:svn49631-63.fc38.noarch                               
  texlive-mensa-tex-10:svn45997-63.fc38.noarch                                  
  texlive-mentis-10:svn15878.1.5-63.fc38.noarch                                 
  texlive-menu-10:svn15878.0.994-63.fc38.noarch                                 
  texlive-menucard-10:svn55643-63.fc38.noarch                                   
  texlive-menukeys-10:svn64314-63.fc38.noarch                                   
  texlive-mercatormap-10:svn56060-63.fc38.noarch                                
  texlive-merriweather-10:svn64452-63.fc38.noarch                               
  texlive-messagepassing-10:svn63116-63.fc38.noarch                             
  texlive-metafont-10:svn62387-58.fc38.x86_64                                   
  texlive-metalogo-10:svn18611.0.12-63.fc38.noarch                              
  texlive-metalogox-10:svn49774-63.fc38.noarch                                  
  texlive-metanorma-10:svn55010-63.fc38.noarch                                  
  texlive-metastr-10:svn56246-63.fc38.noarch                                    
  texlive-method-10:svn17485.2.0b-63.fc38.noarch                                
  texlive-metre-10:svn18489.1.0-63.fc38.noarch                                  
  texlive-mfirstuc-10:svn64743-63.fc38.noarch                                   
  texlive-mflogo-10:svn42428-63.fc38.noarch                                     
  texlive-mflogo-font-10:svn54512-63.fc38.noarch                                
  texlive-mfnfss-10:svn46036-63.fc38.noarch                                     
  texlive-mftinc-10:svn15878.1.0a-63.fc38.noarch                                
  texlive-mfware-10:svn62387-58.fc38.x86_64                                     
  texlive-mgltex-10:svn63255-63.fc38.noarch                                     
  texlive-mhchem-10:svn61456-63.fc38.noarch                                     
  texlive-mhequ-10:svn64978-63.fc38.noarch                                      
  texlive-mi-solns-10:svn49651-63.fc38.noarch                                   
  texlive-miama-10:svn54512-63.fc38.noarch                                      
  texlive-microtype-10:svn63708-63.fc38.noarch                                  
  texlive-midpage-10:svn17484.1.1a-63.fc38.noarch                               
  texlive-miller-10:svn18789.1.2-63.fc38.noarch                                 
  texlive-milsymb-10:svn54361-63.fc38.noarch                                    
  texlive-mindflow-10:svn65236-63.fc38.noarch                                   
  texlive-minibox-10:svn30914.0.2a-63.fc38.noarch                               
  texlive-minidocument-10:svn43752-63.fc38.noarch                               
  texlive-minifp-10:svn32559.0.96-63.fc38.noarch                                
  texlive-minimalist-10:svn64280-63.fc38.noarch                                 
  texlive-minipage-marginpar-10:svn15878.v0.2-63.fc38.noarch                    
  texlive-miniplot-10:svn17483.0-63.fc38.noarch                                 
  texlive-minitoc-10:svn61719-63.fc38.noarch                                    
  texlive-minorrevision-10:svn32165.1.1-63.fc38.noarch                          
  texlive-minted-10:svn65252-63.fc38.noarch                                     
  texlive-mintspirit-10:svn64461-63.fc38.noarch                                 
  texlive-minutes-10:svn42186-63.fc38.noarch                                    
  texlive-mismath-10:svn65365-63.fc38.noarch                                    
  texlive-missaali-10:svn61719-63.fc38.noarch                                   
  texlive-mkpic-10:svn33700-58.fc38.noarch                                      
  texlive-mla-paper-10:svn54080-63.fc38.noarch                                  
  texlive-mlacls-10:svn60508-63.fc38.noarch                                     
  texlive-mleftright-10:svn53021-63.fc38.noarch                                 
  texlive-mlist-10:svn15878.0.6a-63.fc38.noarch                                 
  texlive-mlmodern-10:svn57458-63.fc38.noarch                                   
  texlive-mluexercise-10:svn56927-63.fc38.noarch                                
  texlive-mmap-10:svn15878.1.03-63.fc38.noarch                                  
  texlive-mnotes-10:svn63406-63.fc38.noarch                                     
  texlive-mnras-10:svn55729-63.fc38.noarch                                      
  texlive-mnsymbol-10:svn18651.1.4-63.fc38.noarch                               
  texlive-modeles-factures-belges-assocs-10:svn50010-63.fc38.noarch             
  texlive-moderncv-10:svn62128-63.fc38.noarch                                   
  texlive-modernposter-10:svn47269-63.fc38.noarch                               
  texlive-moderntimeline-10:svn55518-63.fc38.noarch                             
  texlive-modes-10:svn61719-63.fc38.noarch                                      
  texlive-modiagram-10:svn56886-63.fc38.noarch                                  
  texlive-modref-10:svn15878.1.0-63.fc38.noarch                                 
  texlive-modroman-10:svn29803.1-63.fc38.noarch                                 
  texlive-modular-10:svn44142-63.fc38.noarch                                    
  texlive-monofill-10:svn28140.0.2-63.fc38.noarch                               
  texlive-montserrat-10:svn54512-63.fc38.noarch                                 
  texlive-moodle-10:svn57683-63.fc38.noarch                                     
  texlive-moreenum-10:svn24479.1.03-63.fc38.noarch                              
  texlive-morefloats-10:svn37927.1.0h-63.fc38.noarch                            
  texlive-morehype-10:svn38815-63.fc38.noarch                                   
  texlive-moresize-10:svn17513.1.9-63.fc38.noarch                               
  texlive-moreverb-10:svn22126.2.3a-63.fc38.noarch                              
  texlive-morewrites-10:svn49531-63.fc38.noarch                                 
  texlive-movie15-10:svn26473-63.fc38.noarch                                    
  texlive-mparhack-10:svn59066-63.fc38.noarch                                   
  texlive-mpfonts-10:svn54512-63.fc38.noarch                                    
  texlive-mpostinl-10:svn49559-63.fc38.noarch                                   
  texlive-mptopdf-10:svn61520-58.fc38.noarch                                    
  texlive-ms-10:svn57473-63.fc38.noarch                                         
  texlive-msc-10:svn63291-63.fc38.noarch                                        
  texlive-msg-10:svn49578-63.fc38.noarch                                        
  texlive-mslapa-10:svn54080-63.fc38.noarch                                     
  texlive-msu-thesis-10:svn65282-63.fc38.noarch                                 
  texlive-mtgreek-10:svn17967.1.1+-63.fc38.noarch                               
  texlive-mucproc-10:svn43445-63.fc38.noarch                                    
  texlive-mugsthesis-10:svn64259-63.fc38.noarch                                 
  texlive-muling-10:svn61719-63.fc38.noarch                                     
  texlive-multenum-10:svn21775.0-63.fc38.noarch                                 
  texlive-multiaudience-10:svn60688-63.fc38.noarch                              
  texlive-multibbl-10:svn15878.v1.1-63.fc38.noarch                              
  texlive-multibib-10:svn15878.1.4-63.fc38.noarch                               
  texlive-multibibliography-10:svn30939-58.fc38.noarch                          
  texlive-multicap-10:svn15878.0-63.fc38.noarch                                 
  texlive-multicolrule-10:svn56366-63.fc38.noarch                               
  texlive-multidef-10:svn40637-63.fc38.noarch                                   
  texlive-multido-10:svn18302.1.42-63.fc38.noarch                               
  texlive-multienv-10:svn64967-63.fc38.noarch                                   
  texlive-multiexpand-10:svn45943-63.fc38.noarch                                
  texlive-multifootnote-10:svn63456-63.fc38.noarch                              
  texlive-multilang-10:svn49065-63.fc38.noarch                                  
  texlive-multiobjective-10:svn15878.1.0-63.fc38.noarch                         
  texlive-multiple-choice-10:svn63722-63.fc38.noarch                            
  texlive-multirow-10:svn58396-63.fc38.noarch                                   
  texlive-munich-10:svn15878.0-63.fc38.noarch                                   
  texlive-musuos-10:svn24857.1.1d-63.fc38.noarch                                
  texlive-muthesis-10:svn23861.0-63.fc38.noarch                                 
  texlive-mversion-10:svn29370.1.0.1-63.fc38.noarch                             
  texlive-mwe-10:svn64967-63.fc38.noarch                                        
  texlive-mweights-10:svn53520-63.fc38.noarch                                   
  texlive-mycv-10:svn26807.1.5.6-63.fc38.noarch                                 
  texlive-mylatex-10:svn56751-63.fc38.noarch                                    
  texlive-mylatexformat-10:svn21392.3.4-63.fc38.noarch                          
  texlive-mynsfc-10:svn60280-63.fc38.noarch                                     
  texlive-nag-10:svn24741.0.7-63.fc38.noarch                                    
  texlive-nameauth-10:svn58026-63.fc38.noarch                                   
  texlive-namedtensor-10:svn65346-63.fc38.noarch                                
  texlive-namespc-10:svn15878.0-63.fc38.noarch                                  
  texlive-nar-10:svn38100.3.19-63.fc38.noarch                                   
  texlive-natbib-10:svn20668.8.31b-63.fc38.noarch                               
  texlive-natded-10:svn32693.0.1-63.fc38.noarch                                 
  texlive-nath-10:svn15878.0-63.fc38.noarch                                     
  texlive-nature-10:svn21819.1.0-63.fc38.noarch                                 
  texlive-navydocs-10:svn41643-63.fc38.noarch                                   
  texlive-ncclatex-10:svn15878.1.5-63.fc38.noarch                               
  texlive-ncctools-10:svn51810-63.fc38.noarch                                   
  texlive-nchairx-10:svn60196-63.fc38.noarch                                    
  texlive-ncntrsbk-10:svn61719-63.fc38.noarch                                   
  texlive-nddiss-10:svn45107-63.fc38.noarch                                     
  texlive-ndsu-thesis-10:svn46639-63.fc38.noarch                                
  texlive-ndsu-thesis-2022-10:svn63881-63.fc38.noarch                           
  texlive-needspace-10:svn29601.1.3d-63.fc38.noarch                             
  texlive-nestquot-10:svn27323.0-63.fc38.noarch                                 
  texlive-neuralnetwork-10:svn31500.1.0-63.fc38.noarch                          
  texlive-newcastle-bst-10:svn62856-63.fc38.noarch                              
  texlive-newcommand-doc-10:svn18704.2.0-63.fc38.noarch                         
  texlive-newcomputermodern-10:svn61310-63.fc38.noarch                          
  texlive-newenviron-10:svn29331.1.0-63.fc38.noarch                             
  texlive-newfile-10:svn15878.1.0c-63.fc38.noarch                               
  texlive-newfloat-10:svn52906-63.fc38.noarch                                   
  texlive-newlfm-10:svn15878.9.4-63.fc38.noarch                                 
  texlive-newpx-10:svn61806-63.fc38.noarch                                      
  texlive-newspaper-10:svn15878.1.0-63.fc38.noarch                              
  texlive-newtx-10:svn62369-63.fc38.noarch                                      
  texlive-newtxsf-10:svn59227-63.fc38.noarch                                    
  texlive-newtxtt-10:svn54512-63.fc38.noarch                                    
  texlive-newunicodechar-10:svn47382-63.fc38.noarch                             
  texlive-newvbtm-10:svn23996.1.1-63.fc38.noarch                                
  texlive-newverbs-10:svn64833-63.fc38.noarch                                   
  texlive-nextpage-10:svn15878.1.1a-63.fc38.noarch                              
  texlive-nfssext-cfr-10:svn43640-63.fc38.noarch                                
  texlive-nicefilelist-10:svn65235-63.fc38.noarch                               
  texlive-niceframe-10:svn36086.1.1c-63.fc38.noarch                             
  texlive-niceframe-type1-10:svn44671-63.fc38.noarch                            
  texlive-nicematrix-10:svn65253-63.fc38.noarch                                 
  texlive-nicetext-10:svn38914-63.fc38.noarch                                   
  texlive-nidanfloat-10:svn48295-63.fc38.noarch                                 
  texlive-nih-10:svn15878.0-63.fc38.noarch                                      
  texlive-nihbiosketch-10:svn54191-63.fc38.noarch                               
  texlive-nimbus15-10:svn58839-63.fc38.noarch                                   
  texlive-ninecolors-10:svn62006-63.fc38.noarch                                 
  texlive-njustthesis-10:svn62451-63.fc38.noarch                                
  texlive-njuthesis-10:svn65196-63.fc38.noarch                                  
  texlive-njuvisual-10:svn65261-63.fc38.noarch                                  
  texlive-nkarta-10:svn16437.0.2-63.fc38.noarch                                 
  texlive-nl-interval-10:svn58328-63.fc38.noarch                                
  texlive-nlctdoc-10:svn64708-63.fc38.noarch                                    
  texlive-nmbib-10:svn37984.1.04-63.fc38.noarch                                 
  texlive-nndraw-10:svn59674-63.fc38.noarch                                     
  texlive-noconflict-10:svn30140.1.0-63.fc38.noarch                             
  texlive-noindentafter-10:svn59195-63.fc38.noarch                              
  texlive-noitcrul-10:svn15878.0.2-63.fc38.noarch                               
  texlive-nolbreaks-10:svn26786.1.2-63.fc38.noarch                              
  texlive-nomencl-10:svn61029-63.fc38.noarch                                    
  texlive-nomentbl-10:svn16549.0.4-63.fc38.noarch                               
  texlive-nonfloat-10:svn17598.1.0-63.fc38.noarch                               
  texlive-nonumonpart-10:svn22114.1-63.fc38.noarch                              
  texlive-nopageno-10:svn18128.0-63.fc38.noarch                                 
  texlive-norasi-c90-10:svn60831-63.fc38.noarch                                 
  texlive-normalcolor-10:svn40125-63.fc38.noarch                                
  texlive-nostarch-10:svn15878.1.3-63.fc38.noarch                               
  texlive-notes-10:svn42428-63.fc38.noarch                                      
  texlive-notes2bib-10:svn52231-63.fc38.noarch                                  
  texlive-notespages-10:svn41906-63.fc38.noarch                                 
  texlive-notestex-10:svn45396-63.fc38.noarch                                   
  texlive-notex-bst-10:svn42361-63.fc38.noarch                                  
  texlive-noto-10:svn64351-63.fc38.noarch                                       
  texlive-noto-emoji-10:svn62950-63.fc38.noarch                                 
  texlive-notoccite-10:svn18129.0-63.fc38.noarch                                
  texlive-notomath-10:svn58726-63.fc38.noarch                                   
  texlive-novel-10:svn54512-63.fc38.noarch                                      
  texlive-nowidow-10:svn24066.1.0-63.fc38.noarch                                
  texlive-nox-10:svn30991.1.0-63.fc38.noarch                                    
  texlive-nrc-10:svn29027.2.01a-63.fc38.noarch                                  
  texlive-ntgclass-10:svn56959-63.fc38.noarch                                   
  texlive-nth-10:svn54252-63.fc38.noarch                                        
  texlive-ntheorem-10:svn27609.1.33-63.fc38.noarch                              
  texlive-nuc-10:svn22256.0.1-63.fc38.noarch                                    
  texlive-nucleardata-10:svn47307-63.fc38.noarch                                
  texlive-numberedblock-10:svn33109.1.10-63.fc38.noarch                         
  texlive-numerica-10:svn61283-63.fc38.noarch                                   
  texlive-numerica-plus-10:svn61289-63.fc38.noarch                              
  texlive-numerica-tables-10:svn61288-63.fc38.noarch                            
  texlive-numericplots-10:svn31729.2.0.2-63.fc38.noarch                         
  texlive-numname-10:svn18130.0-63.fc38.noarch                                  
  texlive-numprint-10:svn27498.1.39-63.fc38.noarch                              
  texlive-numspell-10:svn61132-63.fc38.noarch                                   
  texlive-nunito-10:svn57429-63.fc38.noarch                                     
  texlive-nwafuthesis-10:svn63438-63.fc38.noarch                                
  texlive-nwejm-10:svn64462-63.fc38.noarch                                      
  texlive-oberdiek-10:svn64463-58.fc38.noarch                                   
  texlive-objectz-10:svn61719-63.fc38.noarch                                    
  texlive-obnov-10:svn33355.0.11-63.fc38.noarch                                 
  texlive-ocg-p-10:svn28803.0.4-63.fc38.noarch                                  
  texlive-ocgx-10:svn54512-63.fc38.noarch                                       
  texlive-ocgx2-10:svn65292-63.fc38.noarch                                      
  texlive-ocherokee-10:svn25689.0-63.fc38.noarch                                
  texlive-ocr-b-10:svn20852.0-63.fc38.noarch                                    
  texlive-ocr-b-outline-10:svn20969.0-63.fc38.noarch                            
  texlive-ocr-latex-10:svn15878.0-63.fc38.noarch                                
  texlive-octavo-10:svn15878.1.2-63.fc38.noarch                                 
  texlive-ogham-10:svn24876.0-63.fc38.noarch                                    
  texlive-oinuit-10:svn28668.0-63.fc38.noarch                                   
  texlive-old-arrows-10:svn42872-63.fc38.noarch                                 
  texlive-oldlatin-10:svn17932.1.00-63.fc38.noarch                              
  texlive-oldstandard-10:svn64464-63.fc38.noarch                                
  texlive-oldstyle-10:svn15878.0.2-63.fc38.noarch                               
  texlive-onlyamsmath-10:svn42927-63.fc38.noarch                                
  texlive-onrannual-10:svn17474.1.1-63.fc38.noarch                              
  texlive-opcit-10:svn15878.1.1-63.fc38.noarch                                  
  texlive-opencolor-10:svn64403-63.fc38.noarch                                  
  texlive-opensans-10:svn54512-63.fc38.noarch                                   
  texlive-oplotsymbl-10:svn44951-63.fc38.noarch                                 
  texlive-opteng-10:svn27331.1.0-63.fc38.noarch                                 
  texlive-optidef-10:svn50941-63.fc38.noarch                                    
  texlive-optional-10:svn18131.2.2b-63.fc38.noarch                              
  texlive-options-10:svn39030-63.fc38.noarch                                    
  texlive-orcidlink-10:svn59560-63.fc38.noarch                                  
  texlive-orientation-10:svn57390-63.fc38.noarch                                
  texlive-orkhun-10:svn15878.0-63.fc38.noarch                                   
  texlive-oscola-10:svn54328-63.fc38.noarch                                     
  texlive-oswald-10:svn60784-63.fc38.noarch                                     
  texlive-ot-tableau-10:svn59318-63.fc38.noarch                                 
  texlive-oubraces-10:svn21833.0-63.fc38.noarch                                 
  texlive-oup-authoring-template-10:svn64491-63.fc38.noarch                     
  texlive-outline-10:svn18360.0-63.fc38.noarch                                  
  texlive-outliner-10:svn21095.0.94-63.fc38.noarch                              
  texlive-outlines-10:svn25192.1.1-63.fc38.noarch                               
  texlive-outlining-10:svn45601-63.fc38.noarch                                  
  texlive-overlays-10:svn57866-63.fc38.noarch                                   
  texlive-overlock-10:svn64495-63.fc38.noarch                                   
  texlive-overpic-10:svn53889-63.fc38.noarch                                    
  texlive-pacioli-10:svn24947.0-63.fc38.noarch                                  
  texlive-padcount-10:svn47621-63.fc38.noarch                                   
  texlive-pagecolor-10:svn65120-63.fc38.noarch                                  
  texlive-pagecont-10:svn15878.1.0-63.fc38.noarch                               
  texlive-pagegrid-10:svn64470-63.fc38.noarch                                   
  texlive-pagella-otf-10:svn64705-63.fc38.noarch                                
  texlive-pagenote-10:svn63708-63.fc38.noarch                                   
  texlive-pagerange-10:svn16915.0.5-63.fc38.noarch                              
  texlive-pagesel-10:svn56105-63.fc38.noarch                                    
  texlive-pageslts-10:svn39164-63.fc38.noarch                                   
  texlive-palatino-10:svn61719-63.fc38.noarch                                   
  texlive-palette-10:svn60119-63.fc38.noarch                                    
  texlive-pangram-10:svn64783-63.fc38.noarch                                    
  texlive-paper-10:svn34521.1.0l-63.fc38.noarch                                 
  texlive-papercdcase-10:svn15878.0-63.fc38.noarch                              
  texlive-papermas-10:svn23667.1.0h-63.fc38.noarch                              
  texlive-papertex-10:svn19230.1.2b-63.fc38.noarch                              
  texlive-paracol-10:svn49560-63.fc38.noarch                                    
  texlive-parades-10:svn40042-63.fc38.noarch                                    
  texlive-paralist-10:svn43021-63.fc38.noarch                                   
  texlive-parallel-10:svn15878.0-63.fc38.noarch                                 
  texlive-paratype-10:svn32859.0-63.fc38.noarch                                 
  texlive-paresse-10:svn59228-63.fc38.noarch                                    
  texlive-parnotes-10:svn51720-63.fc38.noarch                                   
  texlive-parsa-10:svn54840-63.fc38.noarch                                      
  texlive-parselines-10:svn21475.1.4-63.fc38.noarch                             
  texlive-parskip-10:svn58358-63.fc38.noarch                                    
  texlive-pas-cours-10:svn55859-63.fc38.noarch                                  
  texlive-pas-cv-10:svn32263.2.01-63.fc38.noarch                                
  texlive-pas-tableur-10:svn39542-63.fc38.noarch                                
  texlive-pascaltriangle-10:svn61774-63.fc38.noarch                             
  texlive-patchcmd-10:svn41379-63.fc38.noarch                                   
  texlive-path-10:svn22045.3.05-63.fc38.noarch                                  
  texlive-pauldoc-10:svn16005.0.5-63.fc38.noarch                                
  texlive-pawpict-10:svn21629.1.0-63.fc38.noarch                                
  texlive-pax-10:svn63509-58.fc38.noarch                                        
  texlive-pb-diagram-10:svn15878.5.0-63.fc38.noarch                             
  texlive-pbalance-10:svn64002-63.fc38.noarch                                   
  texlive-pbox-10:svn24807.1.2-63.fc38.noarch                                   
  texlive-pbsheet-10:svn24830.0.1-63.fc38.noarch                                
  texlive-pdf14-10:svn17583.0.1-63.fc38.noarch                                  
  texlive-pdfcol-10:svn64469-63.fc38.noarch                                     
  texlive-pdfcolmk-10:svn52912-63.fc38.noarch                                   
  texlive-pdfcomment-10:svn49047-63.fc38.noarch                                 
  texlive-pdfcprot-10:svn18735.1.7a-63.fc38.noarch                              
  texlive-pdfcrop-10:svn55435-58.fc38.noarch                                    
  texlive-pdfescape-10:svn53082-63.fc38.noarch                                  
  texlive-pdflscape-10:svn64851-63.fc38.noarch                                  
  texlive-pdfmanagement-testphase-10:svn64931-63.fc38.noarch                    
  texlive-pdfmarginpar-10:svn23492.0.92-63.fc38.noarch                          
  texlive-pdfoverlay-10:svn64210-63.fc38.noarch                                 
  texlive-pdfpagediff-10:svn37946.1.4-63.fc38.noarch                            
  texlive-pdfpages-10:svn65319-63.fc38.noarch                                   
  texlive-pdfpc-10:svn63866-63.fc38.noarch                                      
  texlive-pdfpc-movie-10:svn48245-63.fc38.noarch                                
  texlive-pdfprivacy-10:svn45985-63.fc38.noarch                                 
  texlive-pdfreview-10:svn50100-63.fc38.noarch                                  
  texlive-pdfscreen-10:svn42428-63.fc38.noarch                                  
  texlive-pdfslide-10:svn15878.0-63.fc38.noarch                                 
  texlive-pdfsync-10:svn20373.0-63.fc38.noarch                                  
  texlive-pdftex-10:svn64690-58.fc38.x86_64                                     
  texlive-pdftexcmds-10:svn55777-63.fc38.noarch                                 
  texlive-pdfwin-10:svn54074-63.fc38.noarch                                     
  texlive-pdfx-10:svn50338-63.fc38.noarch                                       
  texlive-pecha-10:svn15878.0.1-63.fc38.noarch                                  
  texlive-penrose-10:svn57508-63.fc38.noarch                                    
  texlive-perception-10:svn48861-63.fc38.noarch                                 
  texlive-perfectcut-10:svn54080-63.fc38.noarch                                 
  texlive-perltex-10:svn52162-58.fc38.noarch                                    
  texlive-permute-10:svn15878.0-63.fc38.noarch                                  
  texlive-petiteannonce-10:svn25915.1.0001-63.fc38.noarch                       
  texlive-petri-nets-10:svn39165-58.fc38.noarch                                 
  texlive-pfdicons-10:svn60089-63.fc38.noarch                                   
  texlive-pgf-10:svn59210-63.fc38.noarch                                        
  texlive-pgf-blur-10:svn54512-63.fc38.noarch                                   
  texlive-pgf-cmykshadings-10:svn52635-63.fc38.noarch                           
  texlive-pgf-interference-10:svn61562-63.fc38.noarch                           
  texlive-pgf-periodictable-10:svn64974-63.fc38.noarch                          
  texlive-pgf-pie-10:svn63603-63.fc38.noarch                                    
  texlive-pgf-soroban-10:svn32269.1.1-63.fc38.noarch                            
  texlive-pgf-spectra-10:svn59827-63.fc38.noarch                                
  texlive-pgf-umlcd-10:svn63386-63.fc38.noarch                                  
  texlive-pgf-umlsd-10:svn55342-63.fc38.noarch                                  
  texlive-pgfgantt-10:svn52662-63.fc38.noarch                                   
  texlive-pgfkeyx-10:svn26093.0.0.1-63.fc38.noarch                              
  texlive-pgfmath-xfp-10:svn59268-63.fc38.noarch                                
  texlive-pgfmolbio-10:svn35152.0.21-63.fc38.noarch                             
  texlive-pgfmorepages-10:svn54770-63.fc38.noarch                               
  texlive-pgfopts-10:svn56615-63.fc38.noarch                                    
  texlive-pgfornament-10:svn55326-63.fc38.noarch                                
  texlive-pgfplots-10:svn61719-63.fc38.noarch                                   
  texlive-phaistos-10:svn18651.1.0-63.fc38.noarch                               
  texlive-phfcc-10:svn60731-63.fc38.noarch                                      
  texlive-phfextendedabstract-10:svn60732-63.fc38.noarch                        
  texlive-phffullpagefigure-10:svn41857-63.fc38.noarch                          
  texlive-phfnote-10:svn60733-63.fc38.noarch                                    
  texlive-phfparen-10:svn41859-63.fc38.noarch                                   
  texlive-phfqit-10:svn60734-63.fc38.noarch                                     
  texlive-phfquotetext-10:svn41869-63.fc38.noarch                               
  texlive-phfsvnwatermark-10:svn41870-63.fc38.noarch                            
  texlive-phfthm-10:svn60735-63.fc38.noarch                                     
  texlive-philex-10:svn36396.1.3-63.fc38.noarch                                 
  texlive-philosophersimprint-10:svn56954-63.fc38.noarch                        
  texlive-phonenumbers-10:svn63774-63.fc38.noarch                               
  texlive-phonetic-10:svn56468-63.fc38.noarch                                   
  texlive-photo-10:svn18739.0-63.fc38.noarch                                    
  texlive-photobook-10:svn65022-63.fc38.noarch                                  
  texlive-physconst-10:svn58727-63.fc38.noarch                                  
  texlive-physics-10:svn28590.1.3-63.fc38.noarch                                
  texlive-physunits-10:svn58728-63.fc38.noarch                                  
  texlive-picinpar-10:svn65097-63.fc38.noarch                                   
  texlive-pict2e-10:svn56504-63.fc38.noarch                                     
  texlive-pictex-10:svn59551-63.fc38.noarch                                     
  texlive-pictex2-10:svn15878.0-63.fc38.noarch                                  
  texlive-picture-10:svn54867-63.fc38.noarch                                    
  texlive-piff-10:svn21894.0-63.fc38.noarch                                     
  texlive-pigpen-10:svn15878.0.2-63.fc38.noarch                                 
  texlive-pinlabel-10:svn24769.1.2-63.fc38.noarch                               
  texlive-pinoutikz-10:svn55966-63.fc38.noarch                                  
  texlive-pittetd-10:svn15878.1.618-63.fc38.noarch                              
  texlive-pixelart-10:svn65075-63.fc38.noarch                                   
  texlive-pkgloader-10:svn47486-63.fc38.noarch                                  
  texlive-pkuthss-10:svn64869-63.fc38.noarch                                    
  texlive-pl-10:svn58661-63.fc38.noarch                                         
  texlive-placeins-10:svn19848.2.2-63.fc38.noarch                               
  texlive-plain-10:svn57963-63.fc38.noarch                                      
  texlive-plainpkg-10:svn27765.0.4a-63.fc38.noarch                              
  texlive-plainyr-10:svn52783-63.fc38.noarch                                    
  texlive-plantslabels-10:svn29803.1.0-63.fc38.noarch                           
  texlive-plates-10:svn15878.0.1-63.fc38.noarch                                 
  texlive-platex-10:svn65305-63.fc38.noarch                                     
  texlive-platex-tools-10:svn64072-63.fc38.noarch                               
  texlive-playfair-10:svn64857-63.fc38.noarch                                   
  texlive-plex-10:svn64496-63.fc38.noarch                                       
  texlive-plex-otf-10:svn47562-63.fc38.noarch                                   
  texlive-plimsoll-10:svn56605-63.fc38.noarch                                   
  texlive-plweb-10:svn15878.3.0-63.fc38.noarch                                  
  texlive-pm-isomath-10:svn60368-63.fc38.noarch                                 
  texlive-pmboxdraw-10:svn53046-63.fc38.noarch                                  
  texlive-pmgraph-10:svn15878.1.0-63.fc38.noarch                                
  texlive-pnas2009-10:svn16287.1.0-63.fc38.noarch                               
  texlive-poiretone-10:svn64856-63.fc38.noarch                                  
  texlive-polexpr-10:svn63337-63.fc38.noarch                                    
  texlive-polski-10:svn60322-63.fc38.noarch                                     
  texlive-poltawski-10:svn20075.1.101-63.fc38.noarch                            
  texlive-polyglossia-10:svn65144-63.fc38.noarch                                
  texlive-polynom-10:svn44832-63.fc38.noarch                                    
  texlive-polynomial-10:svn15878.1.0-63.fc38.noarch                             
  texlive-polytable-10:svn55837-63.fc38.noarch                                  
  texlive-postage-10:svn55920-63.fc38.noarch                                    
  texlive-postcards-10:svn21641.0-63.fc38.noarch                                
  texlive-poster-mac-10:svn18305.1.1-63.fc38.noarch                             
  texlive-postnotes-10:svn65007-63.fc38.noarch                                  
  texlive-powerdot-10:svn59272-63.fc38.noarch                                   
  texlive-powerdot-fuberlin-10:svn52922-63.fc38.noarch                          
  texlive-powerdot-tuliplab-10:svn47963-63.fc38.noarch                          
  texlive-ppr-prv-10:svn15878.0.13c-63.fc38.noarch                              
  texlive-ppt-slides-10:svn65194-63.fc38.noarch                                 
  texlive-pracjourn-10:svn61719-63.fc38.noarch                                  
  texlive-practicalreports-10:svn52312-63.fc38.noarch                           
  texlive-precattl-10:svn63967-63.fc38.noarch                                   
  texlive-prelim2e-10:svn57000-63.fc38.noarch                                   
  texlive-preprint-10:svn30447.2011-63.fc38.noarch                              
  texlive-prerex-10:svn54512-63.fc38.noarch                                     
  texlive-pressrelease-10:svn35147.1.0-63.fc38.noarch                           
  texlive-prettyref-10:svn15878.3.0-63.fc38.noarch                              
  texlive-prettytok-10:svn63842-63.fc38.noarch                                  
  texlive-prftree-10:svn54080-63.fc38.noarch                                    
  texlive-principia-10:svn58927-63.fc38.noarch                                  
  texlive-printlen-10:svn19847.1.1a-63.fc38.noarch                              
  texlive-proba-10:svn15878.0-63.fc38.noarch                                    
  texlive-probsoln-10:svn44783-63.fc38.noarch                                   
  texlive-prociagssymp-10:svn63242-63.fc38.noarch                               
  texlive-prodint-10:svn21893.0-63.fc38.noarch                                  
  texlive-productbox-10:svn20886.1.1-63.fc38.noarch                             
  texlive-program-10:svn44214-63.fc38.noarch                                    
  texlive-progress-10:svn19519.1.10-63.fc38.noarch                              
  texlive-progressbar-10:svn33822.v1.0b_4-63.fc38.noarch                        
  texlive-projlib-10:svn65023-63.fc38.noarch                                    
  texlive-proof-at-the-end-10:svn64188-63.fc38.noarch                           
  texlive-proofread-10:svn61719-63.fc38.noarch                                  
  texlive-prooftrees-10:svn52221-63.fc38.noarch                                 
  texlive-properties-10:svn15878.0.2-63.fc38.noarch                             
  texlive-proposal-10:svn40538-63.fc38.noarch                                   
  texlive-prosper-10:svn33033.1.0h-63.fc38.noarch                               
  texlive-protex-10:svn41633-63.fc38.noarch                                     
  texlive-protocol-10:svn25562.1.13-63.fc38.noarch                              
  texlive-prtec-10:svn51919-63.fc38.noarch                                      
  texlive-pseudo-10:svn64182-63.fc38.noarch                                     
  texlive-pseudocode-10:svn54080-63.fc38.noarch                                 
  texlive-psfrag-10:svn15878.3.04-63.fc38.noarch                                
  texlive-psfragx-10:svn26243.1.1-63.fc38.noarch                                
  texlive-pslatex-10:svn57434-63.fc38.noarch                                    
  texlive-psnfss-10:svn54694-63.fc38.noarch                                     
  texlive-pspicture-10:svn15878.0-63.fc38.noarch                                
  texlive-pst-3d-10:svn17257.1.10-63.fc38.noarch                                
  texlive-pst-blur-10:svn15878.2.0-63.fc38.noarch                               
  texlive-pst-coil-10:svn62977-63.fc38.noarch                                   
  texlive-pst-eps-10:svn15878.1.0-63.fc38.noarch                                
  texlive-pst-fill-10:svn60671-63.fc38.noarch                                   
  texlive-pst-grad-10:svn15878.1.06-63.fc38.noarch                              
  texlive-pst-math-10:svn64732-63.fc38.noarch                                   
  texlive-pst-node-10:svn61838-63.fc38.noarch                                   
  texlive-pst-ovl-10:svn54963-63.fc38.noarch                                    
  texlive-pst-plot-10:svn65346-63.fc38.noarch                                   
  texlive-pst-slpe-10:svn24391.1.31-63.fc38.noarch                              
  texlive-pst-text-10:svn49542-63.fc38.noarch                                   
  texlive-pst-tools-10:svn60621-63.fc38.noarch                                  
  texlive-pst-tree-10:svn60421-63.fc38.noarch                                   
  texlive-pstool-10:svn46393-63.fc38.noarch                                     
  texlive-pstricks-10:svn65346-63.fc38.noarch                                   
  texlive-pstricks-add-10:svn65067-63.fc38.noarch                               
  texlive-pstring-10:svn42857-63.fc38.noarch                                    
  texlive-ptex-10:svn62464-58.fc38.x86_64                                       
  texlive-ptex-base-10:svn64072-63.fc38.noarch                                  
  texlive-ptex-fonts-10:svn64330-63.fc38.noarch                                 
  texlive-ptolemaicastronomy-10:svn50810-63.fc38.noarch                         
  texlive-ptptex-10:svn19440.0.91-63.fc38.noarch                                
  texlive-punk-10:svn27388.0-63.fc38.noarch                                     
  texlive-punk-latex-10:svn27389.1.1-63.fc38.noarch                             
  texlive-punknova-10:svn24649.1.003-63.fc38.noarch                             
  texlive-puyotikz-10:svn57254-63.fc38.noarch                                   
  texlive-pxfonts-10:svn15878.0-63.fc38.noarch                                  
  texlive-pxgreeks-10:svn21838.1.0-63.fc38.noarch                               
  texlive-pxpgfmark-10:svn30212.0.2-63.fc38.noarch                              
  texlive-pxpic-10:svn61294-63.fc38.noarch                                      
  texlive-pxtxalfa-10:svn60847-63.fc38.noarch                                   
  texlive-pygmentex-10:svn64131-58.fc38.noarch                                  
  texlive-python-10:svn60162-63.fc38.noarch                                     
  texlive-pythonhighlight-10:svn43191-63.fc38.noarch                            
  texlive-pythonimmediate-10:svn65349-63.fc38.noarch                            
  texlive-pythontex-10:svn59514-58.fc38.noarch                                  
  texlive-qcircuit-10:svn48400-63.fc38.noarch                                   
  texlive-qcm-10:svn63833-63.fc38.noarch                                        
  texlive-qrbill-10:svn64773-63.fc38.noarch                                     
  texlive-qrcode-10:svn36065.1.51-63.fc38.noarch                                
  texlive-qsharp-10:svn49722-63.fc38.noarch                                     
  texlive-qstest-10:svn15878.0-63.fc38.noarch                                   
  texlive-qsymbols-10:svn15878.0-63.fc38.noarch                                 
  texlive-qtree-10:svn15878.3.1b-63.fc38.noarch                                 
  texlive-qualitype-10:svn54512-63.fc38.noarch                                  
  texlive-quantikz-10:svn54911-63.fc38.noarch                                   
  texlive-quantumarticle-10:svn65242-63.fc38.noarch                             
  texlive-quattrocento-10:svn64372-63.fc38.noarch                               
  texlive-quicktype-10:svn42183-63.fc38.noarch                                  
  texlive-quiz2socrative-10:svn52276-63.fc38.noarch                             
  texlive-quotchap-10:svn56926-63.fc38.noarch                                   
  texlive-quoting-10:svn32818.v0.1c-63.fc38.noarch                              
  texlive-quotmark-10:svn15878.1.0-63.fc38.noarch                               
  texlive-ragged2e-10:svn65008-63.fc38.noarch                                   
  texlive-raleway-10:svn42629-63.fc38.noarch                                    
  texlive-ran_toks-10:svn59515-63.fc38.noarch                                   
  texlive-randbild-10:svn15878.0.2-63.fc38.noarch                               
  texlive-random-10:svn54723-63.fc38.noarch                                     
  texlive-randomwalk-10:svn49513-63.fc38.noarch                                 
  texlive-randtext-10:svn15878.0-63.fc38.noarch                                 
  texlive-rank-2-roots-10:svn61719-63.fc38.noarch                               
  texlive-rbt-mathnotes-10:svn61193-63.fc38.noarch                              
  texlive-rccol-10:svn15878.1.2c-63.fc38.noarch                                 
  texlive-rcs-10:svn15878.0-63.fc38.noarch                                      
  texlive-rcs-multi-10:svn64967-63.fc38.noarch                                  
  texlive-rcsinfo-10:svn15878.1.11-63.fc38.noarch                               
  texlive-readablecv-10:svn61719-63.fc38.noarch                                 
  texlive-readarray-10:svn60540-63.fc38.noarch                                  
  texlive-realboxes-10:svn64967-63.fc38.noarch                                  
  texlive-realhats-10:svn63595-63.fc38.noarch                                   
  texlive-realscripts-10:svn56594-63.fc38.noarch                                
  texlive-rec-thy-10:svn63982-63.fc38.noarch                                    
  texlive-recipe-10:svn54080-63.fc38.noarch                                     
  texlive-recipebook-10:svn37026.0-63.fc38.noarch                               
  texlive-recipecard-10:svn15878.2.0-63.fc38.noarch                             
  texlive-rectopma-10:svn19980.0-63.fc38.noarch                                 
  texlive-recycle-10:svn15878.0-63.fc38.noarch                                  
  texlive-refcheck-10:svn29128.1.9.1-63.fc38.noarch                             
  texlive-refcount-10:svn53164-63.fc38.noarch                                   
  texlive-refenums-10:svn44131-63.fc38.noarch                                   
  texlive-reflectgraphics-10:svn40612-63.fc38.noarch                            
  texlive-refman-10:svn15878.2.0e-63.fc38.noarch                                
  texlive-refstyle-10:svn20318.0.5-63.fc38.noarch                               
  texlive-regcount-10:svn19979.1.0-63.fc38.noarch                               
  texlive-regexpatch-10:svn58668-63.fc38.noarch                                 
  texlive-register-10:svn54485-63.fc38.noarch                                   
  texlive-regstats-10:svn25050.1.0h-63.fc38.noarch                              
  texlive-relenc-10:svn22050.0-63.fc38.noarch                                   
  texlive-relsize-10:svn30707.4.1-63.fc38.noarch                                
  texlive-reotex-10:svn34924.1.1-63.fc38.noarch                                 
  texlive-repeatindex-10:svn24305.0.01-63.fc38.noarch                           
  texlive-repltext-10:svn56433-63.fc38.noarch                                   
  texlive-rerunfilecheck-10:svn63869-63.fc38.noarch                             
  texlive-rescansync-10:svn63856-63.fc38.noarch                                 
  texlive-resphilosophica-10:svn50935-63.fc38.noarch                            
  texlive-rest-api-10:svn57068-63.fc38.noarch                                   
  texlive-resumecls-10:svn54815-63.fc38.noarch                                  
  texlive-returntogrid-10:svn48485-63.fc38.noarch                               
  texlive-revquantum-10:svn43505-63.fc38.noarch                                 
  texlive-revtex-10:svn56591-63.fc38.noarch                                     
  texlive-revtex4-10:svn56589-63.fc38.noarch                                    
  texlive-revtex4-1-10:svn56590-63.fc38.noarch                                  
  texlive-rgltxdoc-10:svn53858-63.fc38.noarch                                   
  texlive-ribbonproofs-10:svn31137.1.0-63.fc38.noarch                           
  texlive-rjlparshap-10:svn15878.1.0-63.fc38.noarch                             
  texlive-rlepsf-10:svn19082.0-63.fc38.noarch                                   
  texlive-rmathbr-10:svn57173-63.fc38.noarch                                    
  texlive-rmpage-10:svn54080-63.fc38.noarch                                     
  texlive-robotarm-10:svn63116-63.fc38.noarch                                   
  texlive-roboto-10:svn64350-63.fc38.noarch                                     
  texlive-robustcommand-10:svn15878.0.1-63.fc38.noarch                          
  texlive-robustindex-10:svn49877-63.fc38.noarch                                
  texlive-romanbar-10:svn25005.1.0f-63.fc38.noarch                              
  texlive-romanbarpagenumber-10:svn36236.1.0-63.fc38.noarch                     
  texlive-romande-10:svn19537.1.008_v7_sc-63.fc38.noarch                        
  texlive-romanneg-10:svn20087.0-63.fc38.noarch                                 
  texlive-romannum-10:svn15878.1.0b-63.fc38.noarch                              
  texlive-rosario-10:svn51688-63.fc38.noarch                                    
  texlive-rotfloat-10:svn18292.1.2-63.fc38.noarch                               
  texlive-rotpages-10:svn18740.3.0-63.fc38.noarch                               
  texlive-roundbox-10:svn29675.0.2-63.fc38.noarch                               
  texlive-rsc-10:svn41923-63.fc38.noarch                                        
  texlive-rsfs-10:svn15878.0-63.fc38.noarch                                     
  texlive-rsfso-10:svn60849-63.fc38.noarch                                      
  texlive-rterface-10:svn30084.0-63.fc38.noarch                                 
  texlive-rtkinenc-10:svn20003.1.0-63.fc38.noarch                               
  texlive-rulerbox-10:svn50984-63.fc38.noarch                                   
  texlive-rulercompass-10:svn32392.1-63.fc38.noarch                             
  texlive-runcode-10:svn64161-63.fc38.noarch                                    
  texlive-rutitlepage-10:svn62143-63.fc38.noarch                                
  texlive-rviewport-10:svn23739.v1.0-63.fc38.noarch                             
  texlive-rvwrite-10:svn19614.1.2-63.fc38.noarch                                
  texlive-ryersonsgsthesis-10:svn50119-63.fc38.noarch                           
  texlive-ryethesis-10:svn33945.1.36-63.fc38.noarch                             
  texlive-sa-tikz-10:svn32815.0.7a-63.fc38.noarch                               
  texlive-sageep-10:svn15878.1.0-63.fc38.noarch                                 
  texlive-sanitize-umlaut-10:svn63770-63.fc38.noarch                            
  texlive-sankey-10:svn61874-63.fc38.noarch                                     
  texlive-sansmath-10:svn17997.1.1-63.fc38.noarch                               
  texlive-sansmathaccent-10:svn53628-63.fc38.noarch                             
  texlive-sansmathfonts-10:svn64661-63.fc38.noarch                              
  texlive-sapthesis-10:svn63810-63.fc38.noarch                                  
  texlive-sasnrdisplay-10:svn63255-63.fc38.noarch                               
  texlive-sauerj-10:svn15878.0-63.fc38.noarch                                   
  texlive-sauter-10:svn13293.2.4-63.fc38.noarch                                 
  texlive-sauterfonts-10:svn15878.0-63.fc38.noarch                              
  texlive-saveenv-10:svn65346-63.fc38.noarch                                    
  texlive-savefnmark-10:svn15878.1.0-63.fc38.noarch                             
  texlive-savesym-10:svn31565.1.2-63.fc38.noarch                                
  texlive-savetrees-10:svn40525-63.fc38.noarch                                  
  texlive-scale-10:svn15878.1.1.2-63.fc38.noarch                                
  texlive-scalebar-10:svn15878.1.0-63.fc38.noarch                               
  texlive-scalerel-10:svn42809-63.fc38.noarch                                   
  texlive-scanpages-10:svn42633-63.fc38.noarch                                  
  texlive-schedule-10:svn51805-63.fc38.noarch                                   
  texlive-schemabloc-10:svn58212-63.fc38.noarch                                 
  texlive-schola-otf-10:svn64734-63.fc38.noarch                                 
  texlive-scholax-10:svn61836-63.fc38.noarch                                    
  texlive-schooldocs-10:svn61719-63.fc38.noarch                                 
  texlive-schule-10:svn60210-63.fc38.noarch                                     
  texlive-schulschriften-10:svn59388-63.fc38.noarch                             
  texlive-scientific-thesis-cover-10:svn47923-63.fc38.noarch                    
  texlive-sciposter-10:svn15878.1.18-63.fc38.noarch                             
  texlive-sclang-prettifier-10:svn35087.0.1-63.fc38.noarch                      
  texlive-scontents-10:svn62902-63.fc38.noarch                                  
  texlive-scrambledenvs-10:svn60615-63.fc38.noarch                              
  texlive-scratch-10:svn50073-63.fc38.noarch                                    
  texlive-scratch3-10:svn61921-63.fc38.noarch                                   
  texlive-scratchx-10:svn44906-63.fc38.noarch                                   
  texlive-scripture-10:svn64910-63.fc38.noarch                                  
  texlive-scrjrnl-10:svn27810.0.1-63.fc38.noarch                                
  texlive-scrlayer-fancyhdr-10:svn63844-63.fc38.noarch                          
  texlive-scrlttr2copy-10:svn56733-63.fc38.noarch                               
  texlive-scsnowman-10:svn54080-63.fc38.noarch                                  
  texlive-sdaps-10:svn65345-63.fc38.noarch                                      
  texlive-sdrt-10:svn15878.1.0-63.fc38.noarch                                   
  texlive-sduthesis-10:svn41401-63.fc38.noarch                                  
  texlive-se2thesis-10:svn65093-63.fc38.noarch                                  
  texlive-secdot-10:svn20208.1.0-63.fc38.noarch                                 
  texlive-secnum-10:svn61813-63.fc38.noarch                                     
  texlive-section-10:svn20180.0-63.fc38.noarch                                  
  texlive-sectionbox-10:svn37749.1.01-63.fc38.noarch                            
  texlive-sectionbreak-10:svn50339-63.fc38.noarch                               
  texlive-sectsty-10:svn15878.2.0.2-63.fc38.noarch                              
  texlive-seealso-10:svn43595-63.fc38.noarch                                    
  texlive-selectp-10:svn20185.1.0-63.fc38.noarch                                
  texlive-selinput-10:svn53098-63.fc38.noarch                                   
  texlive-semantex-10:svn65183-63.fc38.noarch                                   
  texlive-semantic-10:svn15878.2.0-63.fc38.noarch                               
  texlive-semantic-markup-10:svn53607-63.fc38.noarch                            
  texlive-semaphor-10:svn18651.0-63.fc38.noarch                                 
  texlive-semesterplanner-10:svn56841-63.fc38.noarch                            
  texlive-seminar-10:svn59801-63.fc38.noarch                                    
  texlive-semioneside-10:svn15878.v0.41-63.fc38.noarch                          
  texlive-semproc-10:svn37568.0.1-63.fc38.noarch                                
  texlive-semtex-10:svn56530-63.fc38.noarch                                     
  texlive-sepfootnotes-10:svn41732-63.fc38.noarch                               
  texlive-sepnum-10:svn20186.2.0-63.fc38.noarch                                 
  texlive-seqsplit-10:svn15878.0.1-63.fc38.noarch                               
  texlive-sesamanuel-10:svn36613.0.6-63.fc38.noarch                             
  texlive-sesstime-10:svn49750-63.fc38.noarch                                   
  texlive-setdeck-10:svn40613-63.fc38.noarch                                    
  texlive-setspace-10:svn65206-63.fc38.noarch                                   
  texlive-seu-ml-assign-10:svn62933-63.fc38.noarch                              
  texlive-seuthesis-10:svn33042.2.1.2-63.fc38.noarch                            
  texlive-seuthesix-10:svn40088-63.fc38.noarch                                  
  texlive-sf298-10:svn41653-63.fc38.noarch                                      
  texlive-sffms-10:svn15878.2.0-63.fc38.noarch                                  
  texlive-sfg-10:svn20209.0.91-63.fc38.noarch                                   
  texlive-sfmath-10:svn15878.0.8-63.fc38.noarch                                 
  texlive-shadethm-10:svn53350-63.fc38.noarch                                   
  texlive-shadow-10:svn20312.0-63.fc38.noarch                                   
  texlive-shadowtext-10:svn26522.0.3-63.fc38.noarch                             
  texlive-shapepar-10:svn30708.2.2-63.fc38.noarch                               
  texlive-shdoc-10:svn41991-63.fc38.noarch                                      
  texlive-shipunov-10:svn52334-63.fc38.noarch                                   
  texlive-shobhika-10:svn50555-63.fc38.noarch                                   
  texlive-shortmathj-10:svn54407-63.fc38.noarch                                 
  texlive-shorttoc-10:svn15878.1.3-63.fc38.noarch                               
  texlive-show2e-10:svn15878.1.0-63.fc38.noarch                                 
  texlive-showcharinbox-10:svn29803.0.1-63.fc38.noarch                          
  texlive-showdim-10:svn28918.1.2-63.fc38.noarch                                
  texlive-showexpl-10:svn57414-63.fc38.noarch                                   
  texlive-showlabels-10:svn63940-63.fc38.noarch                                 
  texlive-showtags-10:svn20336.1.05-63.fc38.noarch                              
  texlive-shtthesis-10:svn62441-63.fc38.noarch                                  
  texlive-shuffle-10:svn15878.1.0-63.fc38.noarch                                
  texlive-sidecap-10:svn15878.1.6f-63.fc38.noarch                               
  texlive-sidenotes-10:svn54524-63.fc38.noarch                                  
  texlive-sidenotesplus-10:svn63867-63.fc38.noarch                              
  texlive-signchart-10:svn39707-63.fc38.noarch                                  
  texlive-silence-10:svn27028.1.5b-63.fc38.noarch                               
  texlive-sillypage-10:svn64034-63.fc38.noarch                                  
  texlive-simplebnf-10:svn64091-63.fc38.noarch                                  
  texlive-simplecd-10:svn29260.1.4-63.fc38.noarch                               
  texlive-simplecv-10:svn35537.1.6a-63.fc38.noarch                              
  texlive-simpleicons-10:svn65288-63.fc38.noarch                                
  texlive-simpleinvoice-10:svn45673-63.fc38.noarch                              
  texlive-simplekv-10:svn64578-63.fc38.noarch                                   
  texlive-simplenodes-10:svn62888-63.fc38.noarch                                
  texlive-simpleoptics-10:svn62977-63.fc38.noarch                               
  texlive-simpler-wick-10:svn39074-63.fc38.noarch                               
  texlive-simples-matrices-10:svn63802-63.fc38.noarch                           
  texlive-simplewick-10:svn15878.1.2a-63.fc38.noarch                            
  texlive-simplivre-10:svn64280-63.fc38.noarch                                  
  texlive-sistyle-10:svn59682-63.fc38.noarch                                    
  texlive-sitem-10:svn22136.1.0-63.fc38.noarch                                  
  texlive-siunits-10:svn59702-63.fc38.noarch                                    
  texlive-siunitx-10:svn65207-63.fc38.noarch                                    
  texlive-skak-10:svn61719-63.fc38.noarch                                       
  texlive-skb-10:svn22781.0.52-63.fc38.noarch                                   
  texlive-skdoc-10:svn56950-63.fc38.noarch                                      
  texlive-skeldoc-10:svn57922-63.fc38.noarch                                    
  texlive-skeycommand-10:svn24652.0.4-63.fc38.noarch                            
  texlive-skeyval-10:svn30560.1.3-63.fc38.noarch                                
  texlive-skills-10:svn56734-63.fc38.noarch                                     
  texlive-skmath-10:svn52411-63.fc38.noarch                                     
  texlive-skrapport-10:svn52412-63.fc38.noarch                                  
  texlive-skull-10:svn51907-63.fc38.noarch                                      
  texlive-slantsc-10:svn25007.2.11-63.fc38.noarch                               
  texlive-smalltableof-10:svn20333.0-63.fc38.noarch                             
  texlive-smart-eqn-10:svn61719-63.fc38.noarch                                  
  texlive-smartdiagram-10:svn42781-63.fc38.noarch                               
  texlive-smartref-10:svn20311.1.9-63.fc38.noarch                               
  texlive-smartunits-10:svn39592-63.fc38.noarch                                 
  texlive-smflatex-10:svn58910-63.fc38.noarch                                   
  texlive-snapshot-10:svn56735-63.fc38.noarch                                   
  texlive-snaptodo-10:svn61155-63.fc38.noarch                                   
  texlive-snotez-10:svn61992-63.fc38.noarch                                     
  texlive-songbook-10:svn18136.4.5-63.fc38.noarch                               
  texlive-sort-by-letters-10:svn27128.0-63.fc38.noarch                          
  texlive-soton-10:svn16215.0.1-63.fc38.noarch                                  
  texlive-soul-10:svn56495-63.fc38.noarch                                       
  texlive-soulpos-10:svn60772-63.fc38.noarch                                    
  texlive-soulutf8-10:svn53163-63.fc38.noarch                                   
  texlive-sourcecodepro-10:svn54512-63.fc38.noarch                              
  texlive-sourcesanspro-10:svn54892-63.fc38.noarch                              
  texlive-sourceserifpro-10:svn54512-63.fc38.noarch                             
  texlive-spacingtricks-10:svn60559-63.fc38.noarch                              
  texlive-spalign-10:svn42225-63.fc38.noarch                                    
  texlive-spark-otf-10:svn62481-63.fc38.noarch                                  
  texlive-sparklines-10:svn42821-63.fc38.noarch                                 
  texlive-spath3-10:svn64818-63.fc38.noarch                                     
  texlive-spbmark-10:svn64706-63.fc38.noarch                                    
  texlive-spectral-10:svn64528-63.fc38.noarch                                   
  texlive-spectralsequences-10:svn62261-63.fc38.noarch                          
  texlive-sphack-10:svn20842.0-63.fc38.noarch                                   
  texlive-sphdthesis-10:svn34374.1.0-63.fc38.noarch                             
  texlive-spie-10:svn15878.3.25-63.fc38.noarch                                  
  texlive-splitbib-10:svn15878.1.17-63.fc38.noarch                              
  texlive-splitindex-10:svn39766-58.fc38.noarch                                 
  texlive-spot-10:svn22408.1.1-63.fc38.noarch                                   
  texlive-spotcolor-10:svn15878.1.2-63.fc38.noarch                              
  texlive-spreadtab-10:svn50147-63.fc38.noarch                                  
  texlive-spverbatim-10:svn15878.v1.0-63.fc38.noarch                            
  texlive-sr-vorl-10:svn59333-63.fc38.noarch                                    
  texlive-srbook-mem-10:svn45818-63.fc38.noarch                                 
  texlive-srbtiks-10:svn63308-63.fc38.noarch                                    
  texlive-srcltx-10:svn15878.1.6-63.fc38.noarch                                 
  texlive-srdp-mathematik-10:svn65293-63.fc38.noarch                            
  texlive-sseq-10:svn31585.2.01-63.fc38.noarch                                  
  texlive-sslides-10:svn32293.0-63.fc38.noarch                                  
  texlive-stack-10:svn15878.1.00-63.fc38.noarch                                 
  texlive-stackengine-10:svn60019-63.fc38.noarch                                
  texlive-standalone-10:svn64677-63.fc38.noarch                                 
  texlive-stanli-10:svn54512-63.fc38.noarch                                     
  texlive-starfont-10:svn19982.1.2-63.fc38.noarch                               
  texlive-statex-10:svn20306.1.6-63.fc38.noarch                                 
  texlive-statex2-10:svn23961.2.1-63.fc38.noarch                                
  texlive-statistics-10:svn52212-63.fc38.noarch                                 
  texlive-statistik-10:svn20334.0.03-63.fc38.noarch                             
  texlive-statmath-10:svn46925-63.fc38.noarch                                   
  texlive-staves-10:svn15878.0-63.fc38.noarch                                   
  texlive-stdclsdv-10:svn15878.1.1a-63.fc38.noarch                              
  texlive-stdpage-10:svn15878.0.6-63.fc38.noarch                                
  texlive-stealcaps-10:svn64967-63.fc38.noarch                                  
  texlive-steinmetz-10:svn15878.1.0-63.fc38.noarch                              
  texlive-stellenbosch-10:svn36696.11a-63.fc38.noarch                           
  texlive-step-10:svn57307-63.fc38.noarch                                       
  texlive-stepgreek-10:svn57074-63.fc38.noarch                                  
  texlive-stex-10:svn64383-63.fc38.noarch                                       
  texlive-stickstoo-10:svn60793-63.fc38.noarch                                  
  texlive-stix-10:svn54512-63.fc38.noarch                                       
  texlive-stix2-otf-10:svn58735-63.fc38.noarch                                  
  texlive-stix2-type1-10:svn57448-63.fc38.noarch                                
  texlive-stmaryrd-10:svn22027.0-63.fc38.noarch                                 
  texlive-storebox-10:svn64967-63.fc38.noarch                                   
  texlive-storecmd-10:svn24431.0.0.2-63.fc38.noarch                             
  texlive-strands-10:svn59906-63.fc38.noarch                                    
  texlive-stringenc-10:svn52982-63.fc38.noarch                                  
  texlive-stringstrings-10:svn57097-63.fc38.noarch                              
  texlive-structmech-10:svn58985-63.fc38.noarch                                 
  texlive-struktex-10:svn47931-63.fc38.noarch                                   
  texlive-sttools-10:svn60736-63.fc38.noarch                                    
  texlive-stubs-10:svn19440.0.1.1-63.fc38.noarch                                
  texlive-studenthandouts-10:svn43516-63.fc38.noarch                            
  texlive-styledcmd-10:svn65262-63.fc38.noarch                                  
  texlive-subdepth-10:svn15878.0.1-63.fc38.noarch                               
  texlive-subdocs-10:svn51480-63.fc38.noarch                                    
  texlive-subeqn-10:svn15878.2.0b-63.fc38.noarch                                
  texlive-subeqnarray-10:svn15878.2.1c-63.fc38.noarch                           
  texlive-subfig-10:svn15878.1.3-63.fc38.noarch                                 
  texlive-subfigmat-10:svn20308.1.0-63.fc38.noarch                              
  texlive-subfigure-10:svn15878.2.1.5-63.fc38.noarch                            
  texlive-subfiles-10:svn56977-63.fc38.noarch                                   
  texlive-subfloat-10:svn29349.2.14-63.fc38.noarch                              
  texlive-substances-10:svn40989-63.fc38.noarch                                 
  texlive-substitutefont-10:svn32066.0.1.4-63.fc38.noarch                       
  texlive-substr-10:svn16117.1.2-63.fc38.noarch                                 
  texlive-subsupscripts-10:svn16080.1.0-63.fc38.noarch                          
  texlive-subtext-10:svn51273-63.fc38.noarch                                    
  texlive-suftesi-10:svn60991-63.fc38.noarch                                    
  texlive-sugconf-10:svn58752-63.fc38.noarch                                    
  texlive-superiors-10:svn51909-63.fc38.noarch                                  
  texlive-supertabular-10:svn53658-63.fc38.noarch                               
  texlive-suppose-10:svn59281-63.fc38.noarch                                    
  texlive-susy-10:svn19440.0-63.fc38.noarch                                     
  texlive-svg-10:svn57010-63.fc38.noarch                                        
  texlive-svgcolor-10:svn15878.1.0-63.fc38.noarch                               
  texlive-svn-10:svn15878.43-63.fc38.noarch                                     
  texlive-svn-multi-10:svn56291-58.fc38.noarch                                  
  texlive-svn-prov-10:svn64967-63.fc38.noarch                                   
  texlive-svninfo-10:svn62157-63.fc38.noarch                                    
  texlive-svrsymbols-10:svn50019-63.fc38.noarch                                 
  texlive-swfigure-10:svn63255-63.fc38.noarch                                   
  texlive-swimgraf-10:svn25446.0-63.fc38.noarch                                 
  texlive-swungdash-10:svn64204-63.fc38.noarch                                  
  texlive-syllogism-10:svn15878.1.2-63.fc38.noarch                              
  texlive-symbats3-10:svn63833-63.fc38.noarch                                   
  texlive-symbol-10:svn61719-63.fc38.noarch                                     
  texlive-sympytexpackage-10:svn57090-63.fc38.noarch                            
  texlive-synproof-10:svn15878.1.0-63.fc38.noarch                               
  texlive-syntax-10:svn15878.0-63.fc38.noarch                                   
  texlive-syntaxdi-10:svn56685-63.fc38.noarch                                   
  texlive-syntrace-10:svn15878.1.1-63.fc38.noarch                               
  texlive-synttree-10:svn16252.1.4.2-63.fc38.noarch                             
  texlive-t-angles-10:svn15878.0-63.fc38.noarch                                 
  texlive-tabbing-10:svn59715-63.fc38.noarch                                    
  texlive-tabfigures-10:svn25202.1.1-63.fc38.noarch                             
  texlive-table-fct-10:svn41849-63.fc38.noarch                                  
  texlive-tableaux-10:svn42413-63.fc38.noarch                                   
  texlive-tablefootnote-10:svn32804.1.1c-63.fc38.noarch                         
  texlive-tableof-10:svn59837-63.fc38.noarch                                    
  texlive-tablestyles-10:svn34495.0-63.fc38.noarch                              
  texlive-tablists-10:svn15878.0.0e-63.fc38.noarch                              
  texlive-tablor-10:svn31855.4.07_g-63.fc38.noarch                              
  texlive-tabls-10:svn17255.3.5-63.fc38.noarch                                  
  texlive-tablvar-10:svn51543-63.fc38.noarch                                    
  texlive-tabriz-thesis-10:svn51729-63.fc38.noarch                              
  texlive-tabstackengine-10:svn46848-63.fc38.noarch                             
  texlive-tabto-ltx-10:svn54080-63.fc38.noarch                                  
  texlive-tabu-10:svn61719-63.fc38.noarch                                       
  texlive-tabularborder-10:svn17885.1.0a-63.fc38.noarch                         
  texlive-tabularcalc-10:svn15878.0.2-63.fc38.noarch                            
  texlive-tabularew-10:svn15878.0.1-63.fc38.noarch                              
  texlive-tabularray-10:svn64891-63.fc38.noarch                                 
  texlive-tabulary-10:svn34368.0.10-63.fc38.noarch                              
  texlive-tagging-10:svn52064-63.fc38.noarch                                    
  texlive-tagpair-10:svn42138-63.fc38.noarch                                    
  texlive-tagpdf-10:svn65346-63.fc38.noarch                                     
  texlive-talk-10:svn42428-63.fc38.noarch                                       
  texlive-tamefloats-10:svn27345.v0.42-63.fc38.noarch                           
  texlive-tapir-10:svn20484.0.2-63.fc38.noarch                                  
  texlive-tasks-10:svn61541-63.fc38.noarch                                      
  texlive-tcldoc-10:svn22018.2.40-63.fc38.noarch                                
  texlive-tcolorbox-10:svn63713-63.fc38.noarch                                  
  texlive-tdclock-10:svn33043.v2.5-63.fc38.noarch                               
  texlive-technics-10:svn29349.1.0-63.fc38.noarch                               
  texlive-technion-thesis-template-10:svn49889-63.fc38.noarch                   
  texlive-ted-10:svn15878.1.06-63.fc38.noarch                                   
  texlive-templatetools-10:svn34495.0-63.fc38.noarch                            
  texlive-tempora-10:svn39596-63.fc38.noarch                                    
  texlive-tengwarscript-10:svn34594.1.3.1-63.fc38.noarch                        
  texlive-tensind-10:svn51481-63.fc38.noarch                                    
  texlive-tensor-10:svn15878.2.1-63.fc38.noarch                                 
  texlive-termcal-10:svn22514.1.8-63.fc38.noarch                                
  texlive-termes-otf-10:svn64733-63.fc38.noarch                                 
  texlive-termlist-10:svn18923.1.1-63.fc38.noarch                               
  texlive-termsim-10:svn61414-63.fc38.noarch                                    
  texlive-testhyphens-10:svn38928-63.fc38.noarch                                
  texlive-testidx-10:svn60966-63.fc38.noarch                                    
  texlive-tex-10:svn62387-58.fc38.x86_64                                        
  texlive-tex-ewd-10:svn15878.0-63.fc38.noarch                                  
  texlive-tex-gyre-10:svn48058-63.fc38.noarch                                   
  texlive-tex-gyre-math-10:svn41264-63.fc38.noarch                              
  texlive-tex-ini-files-10:svn40533-63.fc38.noarch                              
  texlive-tex-label-10:svn16372.0-63.fc38.noarch                                
  texlive-tex-locale-10:svn48500-63.fc38.noarch                                 
  texlive-tex4ht-10:svn64837-58.fc38.x86_64                                     
  texlive-texdraw-10:svn64477-63.fc38.noarch                                    
  texlive-texilikechaps-10:svn28553.1.0a-63.fc38.noarch                         
  texlive-texilikecover-10:svn15878.0.1-63.fc38.noarch                          
  texlive-texlive-common-doc-10:svn54176-63.fc38.noarch                         
  texlive-texlive-en-10:svn64221-58.fc38.noarch                                 
  texlive-texlive-msg-translations-10:svn63700-63.fc38.noarch                   
  texlive-texlive-scripts-10:svn64830-58.fc38.noarch                            
  texlive-texlive.infra-10:svn63645-58.fc38.noarch                              
  texlive-texlogos-10:svn19083.1.3.1-63.fc38.noarch                             
  texlive-texmate-10:svn15878.2-63.fc38.noarch                                  
  texlive-texments-10:svn15878.0.2.0-63.fc38.noarch                             
  texlive-texpower-10:svn29349.0.2-63.fc38.noarch                               
  texlive-texshade-10:svn64242-63.fc38.noarch                                   
  texlive-texsurgery-10:svn59885-63.fc38.noarch                                 
  texlive-textcase-10:svn63868-63.fc38.noarch                                   
  texlive-textcsc-10:svn64935-63.fc38.noarch                                    
  texlive-textfit-10:svn20591.5-63.fc38.noarch                                  
  texlive-textgreek-10:svn44192-63.fc38.noarch                                  
  texlive-textmerg-10:svn20677.2.01-63.fc38.noarch                              
  texlive-textopo-10:svn23796.1.5-63.fc38.noarch                                
  texlive-textpos-10:svn63967-63.fc38.noarch                                    
  texlive-textualicomma-10:svn48474-63.fc38.noarch                              
  texlive-texvc-10:svn46844-63.fc38.noarch                                      
  texlive-tfrupee-10:svn20770.1.02-63.fc38.noarch                               
  texlive-theanodidot-10:svn64518-63.fc38.noarch                                
  texlive-theanomodern-10:svn64520-63.fc38.noarch                               
  texlive-theanooldstyle-10:svn64519-63.fc38.noarch                             
  texlive-theoremref-10:svn54512-63.fc38.noarch                                 
  texlive-thermodynamics-10:svn63188-63.fc38.noarch                             
  texlive-thesis-ekf-10:svn60228-63.fc38.noarch                                 
  texlive-thesis-gwu-10:svn54287-63.fc38.noarch                                 
  texlive-thesis-qom-10:svn63524-63.fc38.noarch                                 
  texlive-thesis-titlepage-fhac-10:svn15878.0.1-63.fc38.noarch                  
  texlive-thinsp-10:svn39669-63.fc38.noarch                                     
  texlive-thmbox-10:svn15878.0-63.fc38.noarch                                   
  texlive-thmtools-10:svn63477-63.fc38.noarch                                   
  texlive-threadcol-10:svn28754.1.0-63.fc38.noarch                              
  texlive-threeparttable-10:svn17383.0-63.fc38.noarch                           
  texlive-threeparttablex-10:svn34206.0.3-63.fc38.noarch                        
  texlive-thuaslogos-10:svn51347-63.fc38.noarch                                 
  texlive-thubeamer-10:svn61071-63.fc38.noarch                                  
  texlive-thucoursework-10:svn56435-63.fc38.noarch                              
  texlive-thumb-10:svn16549.1.0-63.fc38.noarch                                  
  texlive-thumbpdf-10:svn62518-58.fc38.noarch                                   
  texlive-thumbs-10:svn33134.1.0q-63.fc38.noarch                                
  texlive-thumby-10:svn16736.0.1-63.fc38.noarch                                 
  texlive-thuthesis-10:svn64628-63.fc38.noarch                                  
  texlive-ticket-10:svn42280-63.fc38.noarch                                     
  texlive-ticollege-10:svn36306.1.0-63.fc38.noarch                              
  texlive-tikz-3dplot-10:svn25087.0-63.fc38.noarch                              
  texlive-tikz-among-us-10:svn60880-63.fc38.noarch                              
  texlive-tikz-bagua-10:svn64103-63.fc38.noarch                                 
  texlive-tikz-bayesnet-10:svn38295.0.1-63.fc38.noarch                          
  texlive-tikz-bbox-10:svn57444-63.fc38.noarch                                  
  texlive-tikz-cd-10:svn59133-63.fc38.noarch                                    
  texlive-tikz-dependency-10:svn54512-63.fc38.noarch                            
  texlive-tikz-dimline-10:svn35805.1.0-63.fc38.noarch                           
  texlive-tikz-ext-10:svn64920-63.fc38.noarch                                   
  texlive-tikz-feynhand-10:svn51915-63.fc38.noarch                              
  texlive-tikz-feynman-10:svn56615-63.fc38.noarch                               
  texlive-tikz-imagelabels-10:svn51490-63.fc38.noarch                           
  texlive-tikz-inet-10:svn15878.0.1-63.fc38.noarch                              
  texlive-tikz-kalender-10:svn52890-63.fc38.noarch                              
  texlive-tikz-karnaugh-10:svn62040-63.fc38.noarch                              
  texlive-tikz-ladder-10:svn62992-63.fc38.noarch                                
  texlive-tikz-lake-fig-10:svn55288-63.fc38.noarch                              
  texlive-tikz-layers-10:svn46660-63.fc38.noarch                                
  texlive-tikz-mirror-lens-10:svn65372-63.fc38.noarch                           
  texlive-tikz-nef-10:svn55920-63.fc38.noarch                                   
  texlive-tikz-network-10:svn51884-63.fc38.noarch                               
  texlive-tikz-opm-10:svn32769.0.1.1-63.fc38.noarch                             
  texlive-tikz-optics-10:svn62977-63.fc38.noarch                                
  texlive-tikz-page-10:svn42039-63.fc38.noarch                                  
  texlive-tikz-palattice-10:svn43442-63.fc38.noarch                             
  texlive-tikz-planets-10:svn55002-63.fc38.noarch                               
  texlive-tikz-qtree-10:svn26108.1.2-63.fc38.noarch                             
  texlive-tikz-relay-10:svn64072-63.fc38.noarch                                 
  texlive-tikz-sfc-10:svn49424-63.fc38.noarch                                   
  texlive-tikz-swigs-10:svn59889-63.fc38.noarch                                 
  texlive-tikz-timing-10:svn64967-63.fc38.noarch                                
  texlive-tikz-trackschematic-10:svn63480-63.fc38.noarch                        
  texlive-tikz-truchet-10:svn50020-63.fc38.noarch                               
  texlive-tikzbricks-10:svn63952-63.fc38.noarch                                 
  texlive-tikzcodeblocks-10:svn54758-63.fc38.noarch                             
  texlive-tikzducks-10:svn55713-63.fc38.noarch                                  
  texlive-tikzfill-10:svn63947-63.fc38.noarch                                   
  texlive-tikzinclude-10:svn28715.1.0-63.fc38.noarch                            
  texlive-tikzlings-10:svn63628-63.fc38.noarch                                  
  texlive-tikzmark-10:svn64819-63.fc38.noarch                                   
  texlive-tikzmarmots-10:svn54080-63.fc38.noarch                                
  texlive-tikzorbital-10:svn36439.0-63.fc38.noarch                              
  texlive-tikzpackets-10:svn55827-63.fc38.noarch                                
  texlive-tikzpagenodes-10:svn64967-63.fc38.noarch                              
  texlive-tikzpeople-10:svn43978-63.fc38.noarch                                 
  texlive-tikzpfeile-10:svn25777.1.0-63.fc38.noarch                             
  texlive-tikzpingus-10:svn64199-63.fc38.noarch                                 
  texlive-tikzposter-10:svn32732.2.0-63.fc38.noarch                             
  texlive-tikzscale-10:svn30637.0.2.6-63.fc38.noarch                            
  texlive-tikzsymbols-10:svn61300-63.fc38.noarch                                
  texlive-tikztosvg-10:svn60289-58.fc38.x86_64                                  
  texlive-tile-graphic-10:svn55325-63.fc38.noarch                               
  texlive-timbreicmc-10:svn49740-63.fc38.noarch                                 
  texlive-times-10:svn61719-63.fc38.noarch                                      
  texlive-timing-diagrams-10:svn31491.0-63.fc38.noarch                          
  texlive-tinos-10:svn64504-63.fc38.noarch                                      
  texlive-tipa-10:svn29349.1.3-63.fc38.noarch                                   
  texlive-tipauni-10:svn64774-63.fc38.noarch                                    
  texlive-tipfr-doc-10:svn38646-63.fc38.noarch                                  
  texlive-tiscreen-10:svn62602-63.fc38.noarch                                   
  texlive-titlecaps-10:svn63020-63.fc38.noarch                                  
  texlive-titlefoot-10:svn15878.0-63.fc38.noarch                                
  texlive-titlepic-10:svn43497-63.fc38.noarch                                   
  texlive-titleref-10:svn18729.3.1-63.fc38.noarch                               
  texlive-titlesec-10:svn59845-63.fc38.noarch                                   
  texlive-titling-10:svn15878.2.1d-63.fc38.noarch                               
  texlive-tkz-base-10:svn63906-63.fc38.noarch                                   
  texlive-tkz-berge-10:svn57485-63.fc38.noarch                                  
  texlive-tkz-doc-10:svn63902-63.fc38.noarch                                    
  texlive-tkz-euclide-10:svn64491-63.fc38.noarch                                
  texlive-tkz-fct-10:svn61949-63.fc38.noarch                                    
  texlive-tkz-graph-10:svn57484-63.fc38.noarch                                  
  texlive-tkz-orm-10:svn61719-63.fc38.noarch                                    
  texlive-tkz-tab-10:svn54940-63.fc38.noarch                                    
  texlive-tkzexample-10:svn63908-63.fc38.noarch                                 
  texlive-tlc-article-10:svn51431-63.fc38.noarch                                
  texlive-to-be-determined-10:svn64882-63.fc38.noarch                           
  texlive-tocbibind-10:svn20085.1.5k-63.fc38.noarch                             
  texlive-tocdata-10:svn55852-63.fc38.noarch                                    
  texlive-tocloft-10:svn53364-63.fc38.noarch                                    
  texlive-tocvsec2-10:svn33146.1.3a-63.fc38.noarch                              
  texlive-todo-10:svn17746.2.142-63.fc38.noarch                                 
  texlive-todonotes-10:svn59465-63.fc38.noarch                                  
  texlive-tokcycle-10:svn60320-63.fc38.noarch                                   
  texlive-tokenizer-10:svn15878.1.1.0-63.fc38.noarch                            
  texlive-tonevalue-10:svn60058-63.fc38.noarch                                  
  texlive-toolbox-10:svn32260.5.1-63.fc38.noarch                                
  texlive-tools-10:svn64892-63.fc38.noarch                                      
  texlive-topfloat-10:svn19084.0-63.fc38.noarch                                 
  texlive-topiclongtable-10:svn54758-63.fc38.noarch                             
  texlive-topletter-10:svn48182-63.fc38.noarch                                  
  texlive-toptesi-10:svn56276-63.fc38.noarch                                    
  texlive-totalcount-10:svn56214-63.fc38.noarch                                 
  texlive-totcount-10:svn21178.1.2-63.fc38.noarch                               
  texlive-totpages-10:svn15878.2.00-63.fc38.noarch                              
  texlive-tpslifonts-10:svn42428-63.fc38.noarch                                 
  texlive-tqft-10:svn44455-63.fc38.noarch                                       
  texlive-tracklang-10:svn65263-63.fc38.noarch                                  
  texlive-trajan-10:svn15878.1.1-63.fc38.noarch                                 
  texlive-translations-10:svn61896-63.fc38.noarch                               
  texlive-translator-10:svn59412-63.fc38.noarch                                 
  texlive-transparent-10:svn64852-63.fc38.noarch                                
  texlive-tree-dvips-10:svn21751.91-63.fc38.noarch                              
  texlive-trfsigns-10:svn15878.1.01-63.fc38.noarch                              
  texlive-trimspaces-10:svn15878.1.1-63.fc38.noarch                             
  texlive-trivfloat-10:svn15878.1.3b-63.fc38.noarch                             
  texlive-trsym-10:svn18732.1.0-63.fc38.noarch                                  
  texlive-truncate-10:svn18921.3.6-63.fc38.noarch                               
  texlive-tsemlines-10:svn23440.1.0-63.fc38.noarch                              
  texlive-ttfutils-10:svn62517-58.fc38.x86_64                                   
  texlive-tucv-10:svn20680.1.0-63.fc38.noarch                                   
  texlive-tuda-ci-10:svn65254-63.fc38.noarch                                    
  texlive-tudscr-10:svn64085-63.fc38.noarch                                     
  texlive-tufte-latex-10:svn37649.3.5.2-63.fc38.noarch                          
  texlive-tugboat-10:svn63386-63.fc38.noarch                                    
  texlive-tugboat-plain-10:svn63386-63.fc38.noarch                              
  texlive-tui-10:svn27253.1.9-63.fc38.noarch                                    
  texlive-turabian-10:svn36298.0.1.0-63.fc38.noarch                             
  texlive-turabian-formatting-10:svn58561-63.fc38.noarch                        
  texlive-turnstile-10:svn64967-63.fc38.noarch                                  
  texlive-turnthepage-10:svn29803.1.3a-63.fc38.noarch                           
  texlive-twemoji-colr-10:svn64854-63.fc38.noarch                               
  texlive-twemojis-10:svn62930-63.fc38.noarch                                   
  texlive-twoinone-10:svn17024.0-63.fc38.noarch                                 
  texlive-twoup-10:svn15878.1.3-63.fc38.noarch                                  
  texlive-txfonts-10:svn15878.0-63.fc38.noarch                                  
  texlive-txfontsb-10:svn54512-63.fc38.noarch                                   
  texlive-txgreeks-10:svn21839.1.0-63.fc38.noarch                               
  texlive-txuprcal-10:svn43327-63.fc38.noarch                                   
  texlive-type1cm-10:svn21820.0-63.fc38.noarch                                  
  texlive-typed-checklist-10:svn63445-63.fc38.noarch                            
  texlive-typeface-10:svn27046.0.1-63.fc38.noarch                               
  texlive-typehtml-10:svn17134.0-63.fc38.noarch                                 
  texlive-typicons-10:svn37623.2.0.7-63.fc38.noarch                             
  texlive-typoaid-10:svn44238-63.fc38.noarch                                    
  texlive-typogrid-10:svn24994.0.21-63.fc38.noarch                              
  texlive-tzplot-10:svn64537-63.fc38.noarch                                     
  texlive-uaclasses-10:svn15878.0-63.fc38.noarch                                
  texlive-uafthesis-10:svn57349-63.fc38.noarch                                  
  texlive-uantwerpendocs-10:svn64165-63.fc38.noarch                             
  texlive-uassign-10:svn38459-63.fc38.noarch                                    
  texlive-ucalgmthesis-10:svn52527-63.fc38.noarch                               
  texlive-ucbthesis-10:svn51690-63.fc38.noarch                                  
  texlive-ucdavisthesis-10:svn40772-63.fc38.noarch                              
  texlive-ucharcat-10:svn38907-63.fc38.noarch                                   
  texlive-ucs-10:svn64114-63.fc38.noarch                                        
  texlive-ucsmonograph-10:svn52698-63.fc38.noarch                               
  texlive-ucthesis-10:svn15878.3.2-63.fc38.noarch                               
  texlive-udes-genie-these-10:svn65039-63.fc38.noarch                           
  texlive-uebungsblatt-10:svn15878.1.5.0-63.fc38.noarch                         
  texlive-uestcthesis-10:svn36371.1.1.0-63.fc38.noarch                          
  texlive-ufrgscca-10:svn65284-63.fc38.noarch                                   
  texlive-uhc-10:svn16791.0-63.fc38.noarch                                      
  texlive-uhhassignment-10:svn44026-63.fc38.noarch                              
  texlive-uiucredborder-10:svn29974.1.00-63.fc38.noarch                         
  texlive-uiucthesis-10:svn15878.2.25-63.fc38.noarch                            
  texlive-ukbill-10:svn65266-63.fc38.noarch                                     
  texlive-ulem-10:svn53365-63.fc38.noarch                                       
  texlive-ulqda-10:svn26313-58.fc38.noarch                                      
  texlive-ulthese-10:svn60217-63.fc38.noarch                                    
  texlive-umbclegislation-10:svn41348-63.fc38.noarch                            
  texlive-umich-thesis-10:svn15878.1.20-63.fc38.noarch                          
  texlive-umoline-10:svn19085.0-63.fc38.noarch                                  
  texlive-umthesis-10:svn15878.0.2-63.fc38.noarch                               
  texlive-umtypewriter-10:svn64443-63.fc38.noarch                               
  texlive-unam-thesis-10:svn51207-63.fc38.noarch                                
  texlive-unamth-template-doc-10:svn33625.2.0-63.fc38.noarch                    
  texlive-unamthesis-10:svn43639-63.fc38.noarch                                 
  texlive-unbtex-10:svn64634-63.fc38.noarch                                     
  texlive-underlin-10:svn15878.1.01-63.fc38.noarch                              
  texlive-underoverlap-10:svn29019.0.0.1_r1-63.fc38.noarch                      
  texlive-underscore-10:svn18261.0-63.fc38.noarch                               
  texlive-undolabl-10:svn36681.1.0l-63.fc38.noarch                              
  texlive-uni-titlepage-10:svn64306-63.fc38.noarch                              
  texlive-uni-wtal-ger-10:svn31541.0.2-63.fc38.noarch                           
  texlive-uni-wtal-lin-10:svn31409.0.2-63.fc38.noarch                           
  texlive-unicode-data-10:svn64423-63.fc38.noarch                               
  texlive-unicode-math-10:svn61719-63.fc38.noarch                               
  texlive-unicodefonttable-10:svn65009-63.fc38.noarch                           
  texlive-unifith-10:svn60698-63.fc38.noarch                                    
  texlive-unigrazpub-10:svn64797-63.fc38.noarch                                 
  texlive-uniquecounter-10:svn53162-63.fc38.noarch                              
  texlive-unisc-10:svn63178-63.fc38.noarch                                      
  texlive-unitconv-10:svn55060-63.fc38.noarch                                   
  texlive-unitn-bimrep-10:svn45581-63.fc38.noarch                               
  texlive-units-10:svn42428-63.fc38.noarch                                      
  texlive-unitsdef-10:svn15878.0.2-63.fc38.noarch                               
  texlive-universa-10:svn51984-63.fc38.noarch                                   
  texlive-universalis-10:svn64505-63.fc38.noarch                                
  texlive-univie-ling-10:svn65214-63.fc38.noarch                                
  texlive-unizgklasa-10:svn51647-63.fc38.noarch                                 
  texlive-unravel-10:svn59175-63.fc38.noarch                                    
  texlive-unswcover-10:svn29476.1.0-63.fc38.noarch                              
  texlive-uothesis-10:svn25355.2.5.6-63.fc38.noarch                             
  texlive-uowthesis-10:svn19700.1.0a-63.fc38.noarch                             
  texlive-uowthesistitlepage-10:svn54512-63.fc38.noarch                         
  texlive-uplatex-10:svn65305-63.fc38.noarch                                    
  texlive-upmethodology-10:svn64613-63.fc38.noarch                              
  texlive-uppunctlm-10:svn42334-63.fc38.noarch                                  
  texlive-upquote-10:svn26059.v1.3-63.fc38.noarch                               
  texlive-uptex-10:svn62464-58.fc38.x86_64                                      
  texlive-uptex-base-10:svn64072-63.fc38.noarch                                 
  texlive-uptex-fonts-10:svn62592-63.fc38.noarch                                
  texlive-urcls-10:svn49903-63.fc38.noarch                                      
  texlive-uri-10:svn48602-63.fc38.noarch                                        
  texlive-url-10:svn32528.3.4-63.fc38.noarch                                    
  texlive-urlbst-10:svn55777-58.fc38.noarch                                     
  texlive-urwchancal-10:svn21701.1-63.fc38.noarch                               
  texlive-usebib-10:svn25969.1.0a-63.fc38.noarch                                
  texlive-ushort-10:svn32261.2.2-63.fc38.noarch                                 
  texlive-uspace-10:svn63123-63.fc38.noarch                                     
  texlive-uspatent-10:svn27744.1.0-63.fc38.noarch                               
  texlive-ut-thesis-10:svn64491-63.fc38.noarch                                  
  texlive-utexasthesis-10:svn48648-63.fc38.noarch                               
  texlive-utf8add-10:svn61074-63.fc38.noarch                                    
  texlive-utfsym-10:svn63076-63.fc38.noarch                                     
  texlive-utopia-10:svn15878.0-63.fc38.noarch                                   
  texlive-uwa-colours-10:svn60443-63.fc38.noarch                                
  texlive-uwa-letterhead-10:svn64491-63.fc38.noarch                             
  texlive-uwa-pcf-10:svn64491-63.fc38.noarch                                    
  texlive-uwa-pif-10:svn64491-63.fc38.noarch                                    
  texlive-uwthesis-10:svn15878.6.13-63.fc38.noarch                              
  texlive-vak-10:svn23431.0-63.fc38.noarch                                      
  texlive-vancouver-10:svn59192-63.fc38.noarch                                  
  texlive-variablelm-10:svn60014-63.fc38.noarch                                 
  texlive-varindex-10:svn32262.2.3-63.fc38.noarch                               
  texlive-varsfromjobname-10:svn44154-63.fc38.noarch                            
  texlive-varwidth-10:svn24104.0.92-63.fc38.noarch                              
  texlive-vcell-10:svn59039-63.fc38.noarch                                      
  texlive-vdmlisting-10:svn56905-63.fc38.noarch                                 
  texlive-venn-10:svn15878.0-63.fc38.noarch                                     
  texlive-venndiagram-10:svn47952-63.fc38.noarch                                
  texlive-venturisadf-10:svn19444.1.005-63.fc38.noarch                          
  texlive-verbasef-10:svn21922.1.1-63.fc38.noarch                               
  texlive-verbatimbox-10:svn33197.3.13-63.fc38.noarch                           
  texlive-verbatimcopy-10:svn15878.0.06-63.fc38.noarch                          
  texlive-verbdef-10:svn17177.0.2-63.fc38.noarch                                
  texlive-verbments-10:svn23670.1.2-63.fc38.noarch                              
  texlive-verifiche-10:svn64425-63.fc38.noarch                                  
  texlive-verse-10:svn34017.2.4b-63.fc38.noarch                                 
  texlive-version-10:svn21920.2.0-63.fc38.noarch                                
  texlive-versions-10:svn21921.0.55-63.fc38.noarch                              
  texlive-versonotes-10:svn55777-63.fc38.noarch                                 
  texlive-vertbars-10:svn49429-63.fc38.noarch                                   
  texlive-vgrid-10:svn32457.0.1-63.fc38.noarch                                  
  texlive-vhistory-10:svn61719-63.fc38.noarch                                   
  texlive-visualpstricks-doc-10:svn39799-63.fc38.noarch                         
  texlive-vmargin-10:svn15878.2.5-63.fc38.noarch                                
  texlive-volumes-10:svn15878.1.0-63.fc38.noarch                                
  texlive-vpe-10:svn26039-58.fc38.noarch                                        
  texlive-vruler-10:svn21598.2.3-63.fc38.noarch                                 
  texlive-vtable-10:svn51126-63.fc38.noarch                                     
  texlive-vwcol-10:svn36254.0.2-63.fc38.noarch                                  
  texlive-wadalab-10:svn42428-63.fc38.noarch                                    
  texlive-wallcalendar-10:svn45568-63.fc38.noarch                               
  texlive-wallpaper-10:svn15878.1.10-63.fc38.noarch                             
  texlive-warning-10:svn22028.0.01-63.fc38.noarch                               
  texlive-warpcol-10:svn15878.1.0c-63.fc38.noarch                               
  texlive-was-10:svn64691-63.fc38.noarch                                        
  texlive-wasy-10:svn53533-63.fc38.noarch                                       
  texlive-wasy-type1-10:svn53534-63.fc38.noarch                                 
  texlive-wasysym-10:svn54080-63.fc38.noarch                                    
  texlive-webquiz-10:svn58808-58.fc38.noarch                                    
  texlive-wheelchart-10:svn64373-63.fc38.noarch                                 
  texlive-widetable-10:svn53409-63.fc38.noarch                                  
  texlive-widows-and-orphans-10:svn64212-63.fc38.noarch                         
  texlive-williams-10:svn15878.0-63.fc38.noarch                                 
  texlive-willowtreebook-10:svn60638-63.fc38.noarch                             
  texlive-windycity-10:svn61223-63.fc38.noarch                                  
  texlive-withargs-10:svn52641-63.fc38.noarch                                   
  texlive-witharrows-10:svn63087-63.fc38.noarch                                 
  texlive-wordcount-10:svn46165-58.fc38.noarch                                  
  texlive-wordlike-10:svn15878.1.2b-63.fc38.noarch                              
  texlive-worksheet-10:svn48423-63.fc38.noarch                                  
  texlive-worldflags-10:svn59171-63.fc38.noarch                                 
  texlive-wrapfig-10:svn61719-63.fc38.noarch                                    
  texlive-wrapfig2-10:svn62039-63.fc38.noarch                                   
  texlive-wrapstuff-10:svn64058-63.fc38.noarch                                  
  texlive-wsemclassic-10:svn31532.1.0.1-63.fc38.noarch                          
  texlive-wsuipa-10:svn25469.0-63.fc38.noarch                                   
  texlive-wtref-10:svn55558-63.fc38.noarch                                      
  texlive-xargs-10:svn15878.1.1-63.fc38.noarch                                  
  texlive-xassoccnt-10:svn61112-63.fc38.noarch                                  
  texlive-xbmks-10:svn53448-63.fc38.noarch                                      
  texlive-xcharter-10:svn63057-63.fc38.noarch                                   
  texlive-xcharter-math-10:svn64927-63.fc38.noarch                              
  texlive-xcite-10:svn53486-63.fc38.noarch                                      
  texlive-xcjk2uni-10:svn54958-63.fc38.noarch                                   
  texlive-xcntperchap-10:svn54080-63.fc38.noarch                                
  texlive-xcolor-10:svn63563-63.fc38.noarch                                     
  texlive-xcolor-material-10:svn42289-63.fc38.noarch                            
  texlive-xcolor-solarized-10:svn61719-63.fc38.noarch                           
  texlive-xcomment-10:svn20031.1.3-63.fc38.noarch                               
  texlive-xcookybooky-10:svn36435.1.5-63.fc38.noarch                            
  texlive-xcpdftips-10:svn50449-63.fc38.noarch                                  
  texlive-xdoc-10:svn15878.prot2.5-63.fc38.noarch                               
  texlive-xduthesis-10:svn63116-63.fc38.noarch                                  
  texlive-xduts-10:svn65243-63.fc38.noarch                                      
  texlive-xdvi-10:svn62387-58.fc38.x86_64                                       
  texlive-xecjk-10:svn64059-63.fc38.noarch                                      
  texlive-xellipsis-10:svn47546-63.fc38.noarch                                  
  texlive-xetex-10:svn62387-58.fc38.x86_64                                      
  texlive-xetexconfig-10:svn45845-63.fc38.noarch                                
  texlive-xfakebold-10:svn55654-63.fc38.noarch                                  
  texlive-xfor-10:svn15878.1.05-63.fc38.noarch                                  
  texlive-xhfill-10:svn22575.1.01-63.fc38.noarch                                
  texlive-xifthen-10:svn38929-63.fc38.noarch                                    
  texlive-xint-10:svn63562-63.fc38.noarch                                       
  texlive-xistercian-10:svn61366-63.fc38.noarch                                 
  texlive-xits-10:svn55730-63.fc38.noarch                                       
  texlive-xkcdcolors-10:svn54512-63.fc38.noarch                                 
  texlive-xkeyval-10:svn63616-63.fc38.noarch                                    
  texlive-xltabular-10:svn56855-63.fc38.noarch                                  
  texlive-xltxtra-10:svn56594-63.fc38.noarch                                    
  texlive-xmpincl-10:svn60593-63.fc38.noarch                                    
  texlive-xmuthesis-10:svn56614-63.fc38.noarch                                  
  texlive-xnewcommand-10:svn15878.1.2-63.fc38.noarch                            
  texlive-xoptarg-10:svn15878.1.0-63.fc38.noarch                                
  texlive-xpatch-10:svn54563-63.fc38.noarch                                     
  texlive-xpeek-10:svn61719-63.fc38.noarch                                      
  texlive-xpicture-10:svn28770.1.2a-63.fc38.noarch                              
  texlive-xpinyin-10:svn63897-63.fc38.noarch                                    
  texlive-xprintlen-10:svn35928.1.0-63.fc38.noarch                              
  texlive-xpunctuate-10:svn26641.1.0-63.fc38.noarch                             
  texlive-xput-10:svn65325-63.fc38.noarch                                       
  texlive-xsavebox-10:svn64049-63.fc38.noarch                                   
  texlive-xsim-10:svn61988-63.fc38.noarch                                       
  texlive-xstring-10:svn60007-63.fc38.noarch                                    
  texlive-xtab-10:svn23347.2.3f-63.fc38.noarch                                  
  texlive-xunicode-10:svn30466.0.981-63.fc38.noarch                             
  texlive-xurl-10:svn61553-63.fc38.noarch                                       
  texlive-xwatermark-10:svn61719-63.fc38.noarch                                 
  texlive-xyling-10:svn15878.1.1-63.fc38.noarch                                 
  texlive-xymtex-10:svn32182.5.06-63.fc38.noarch                                
  texlive-xypic-10:svn61719-63.fc38.noarch                                      
  texlive-xytree-10:svn15878.1.5-63.fc38.noarch                                 
  texlive-yafoot-10:svn48568-63.fc38.noarch                                     
  texlive-yagusylo-10:svn29803.1.2-63.fc38.noarch                               
  texlive-yaletter-10:svn42830-63.fc38.noarch                                   
  texlive-yathesis-10:svn63576-63.fc38.noarch                                   
  texlive-yazd-thesis-10:svn61719-63.fc38.noarch                                
  texlive-yb-book-10:svn64586-63.fc38.noarch                                    
  texlive-ycbook-10:svn46201-63.fc38.noarch                                     
  texlive-ydoc-10:svn64887-63.fc38.noarch                                       
  texlive-yfonts-10:svn50755-63.fc38.noarch                                     
  texlive-yfonts-otf-10:svn65030-63.fc38.noarch                                 
  texlive-yfonts-t1-10:svn36013-63.fc38.noarch                                  
  texlive-yhmath-10:svn54377-63.fc38.noarch                                     
  texlive-yinit-otf-10:svn40207-63.fc38.noarch                                  
  texlive-york-thesis-10:svn23348.3.6-63.fc38.noarch                            
  texlive-youngtab-10:svn56500-63.fc38.noarch                                   
  texlive-yplan-10:svn34398-58.fc38.noarch                                      
  texlive-yquant-10:svn65351-63.fc38.noarch                                     
  texlive-ytableau-10:svn59580-63.fc38.noarch                                   
  texlive-zapfchan-10:svn61719-63.fc38.noarch                                   
  texlive-zapfding-10:svn61719-63.fc38.noarch                                   
  texlive-zebra-goodies-10:svn51554-63.fc38.noarch                              
  texlive-zed-csp-10:svn17258.0-63.fc38.noarch                                  
  texlive-zhmetrics-10:svn22207.r206-63.fc38.noarch                             
  texlive-zhmetrics-uptex-10:svn40728-63.fc38.noarch                            
  texlive-zhnumber-10:svn63898-63.fc38.noarch                                   
  texlive-ziffer-10:svn32279.2.1-63.fc38.noarch                                 
  texlive-zlmtt-10:svn64076-63.fc38.noarch                                      
  texlive-zootaxa-bst-10:svn50619-63.fc38.noarch                                
  texlive-zref-10:svn62977-63.fc38.noarch                                       
  texlive-zref-check-10:svn63845-63.fc38.noarch                                 
  texlive-zref-clever-10:svn63428-63.fc38.noarch                                
  texlive-zref-vario-10:svn63874-63.fc38.noarch                                 
  texlive-zwgetfdate-10:svn15878.0-63.fc38.noarch                               
  texlive-zwpagelayout-10:svn63074-63.fc38.noarch                               
  texlive-zx-calculus-10:svn60838-63.fc38.noarch                                
  tk-1:8.6.12-3.fc37.x86_64                                                     
  tre-0.8.0-38.20140228gitc2f5d13.fc38.x86_64                                   
  tre-common-0.8.0-38.20140228gitc2f5d13.fc38.noarch                            
  txt2man-1.7.1-3.fc37.noarch                                                   
  urw-base35-bookman-fonts-20200910-15.fc37.noarch                              
  urw-base35-c059-fonts-20200910-15.fc37.noarch                                 
  urw-base35-d050000l-fonts-20200910-15.fc37.noarch                             
  urw-base35-fonts-20200910-15.fc37.noarch                                      
  urw-base35-fonts-common-20200910-15.fc37.noarch                               
  urw-base35-fonts-legacy-20200910-15.fc37.noarch                               
  urw-base35-gothic-fonts-20200910-15.fc37.noarch                               
  urw-base35-nimbus-mono-ps-fonts-20200910-15.fc37.noarch                       
  urw-base35-nimbus-roman-fonts-20200910-15.fc37.noarch                         
  urw-base35-nimbus-sans-fonts-20200910-15.fc37.noarch                          
  urw-base35-p052-fonts-20200910-15.fc37.noarch                                 
  urw-base35-standard-symbols-ps-fonts-20200910-15.fc37.noarch                  
  urw-base35-z003-fonts-20200910-15.fc37.noarch                                 
  vim-data-2:9.0.1221-1.fc38.noarch                                             
  vim-minimal-2:9.0.1221-1.fc38.x86_64                                          
  vulkan-loader-1.3.231.1-2.fc38.x86_64                                         
  webkit2gtk4.0-2.39.5-1.fc38.x86_64                                            
  webrtc-audio-processing-0.3.1-9.fc37.x86_64                                   
  woff2-1.0.2-15.fc37.x86_64                                                    
  wpebackend-fdo-1.14.0-1.fc38.x86_64                                           
  xdg-dbus-proxy-0.1.3-2.fc37.x86_64                                            
  xdg-utils-1.1.3-12.fc37.noarch                                                
  xkeyboard-config-2.36-3.fc38.noarch                                           
  xml-common-0.6.3-59.fc37.noarch                                               
  xorg-x11-fonts-ISO8859-1-100dpi-7.5-34.fc37.noarch                            
  xprop-1.2.5-2.fc37.x86_64                                                     
  zlib-devel-1.2.13-2.fc38.x86_64                                               
  zziplib-0.13.72-2.fc37.x86_64                                                 

Complete!
Finish: build setup for yosys-0.25-2.20230104git7bac192.fc38.src.rpm
Start: rpmbuild yosys-0.25-2.20230104git7bac192.fc38.src.rpm
Building target platforms: x86_64
Building for target x86_64
setting SOURCE_DATE_EPOCH=1674259200
Executing(%prep): /bin/sh -e /var/tmp/rpm-tmp.aJESlY
+ umask 022
+ cd /builddir/build/BUILD
+ cd /builddir/build/BUILD
+ rm -rf yosys-7bac1920b28672ba125aba991f30debae1cc0d8f
+ /usr/lib/rpm/rpmuncompress -x /builddir/build/SOURCES/yosys-7bac192.tar.gz
+ STATUS=0
+ '[' 0 -ne 0 ']'
+ cd yosys-7bac1920b28672ba125aba991f30debae1cc0d8f
+ /usr/bin/chmod -Rf a+rX,u+w,g-w,o-w .
Patch #1 (yosys-cfginc.patch):
+ echo 'Patch #1 (yosys-cfginc.patch):'
+ /usr/bin/patch --no-backup-if-mismatch -f -p1 -b --suffix .cfginc --fuzz=0
patching file Makefile
patching file tests/various/plugin.sh
Patch #2 (yosys-mancfginc.patch):
+ echo 'Patch #2 (yosys-mancfginc.patch):'
+ /usr/bin/patch --no-backup-if-mismatch -f -p1 -b --suffix .mancfginc --fuzz=0
patching file manual/PRESENTATION_Prog.tex
patching file manual/PRESENTATION_Prog/Makefile
+ cp /builddir/build/SOURCES/viz.js .
+ cd /builddir/build/BUILD
+ cd yosys-7bac1920b28672ba125aba991f30debae1cc0d8f
+ /usr/lib/rpm/rpmuncompress -x /builddir/build/SOURCES/yosys_0.9-1.debian.tar.xz
+ STATUS=0
+ '[' 0 -ne 0 ']'
+ /usr/bin/chmod -Rf a+rX,u+w,g-w,o-w .
++ find . -name '*.py'
+ for f in `find . -name \*.py`
+ sed 's|/usr/bin/env python3|/usr/bin/python3|' ./tests/tools/txt2tikztiming.py
+ touch -r ./tests/tools/txt2tikztiming.py ./tests/tools/txt2tikztiming.py.new
+ mv ./tests/tools/txt2tikztiming.py.new ./tests/tools/txt2tikztiming.py
+ for f in `find . -name \*.py`
+ sed 's|/usr/bin/env python3|/usr/bin/python3|' ./tests/share/generate.py
+ touch -r ./tests/share/generate.py ./tests/share/generate.py.new
+ mv ./tests/share/generate.py.new ./tests/share/generate.py
+ for f in `find . -name \*.py`
+ sed 's|/usr/bin/env python3|/usr/bin/python3|' ./tests/rpc/frontend.py
+ touch -r ./tests/rpc/frontend.py ./tests/rpc/frontend.py.new
+ mv ./tests/rpc/frontend.py.new ./tests/rpc/frontend.py
+ for f in `find . -name \*.py`
+ sed 's|/usr/bin/env python3|/usr/bin/python3|' ./tests/realmath/generate.py
+ touch -r ./tests/realmath/generate.py ./tests/realmath/generate.py.new
+ mv ./tests/realmath/generate.py.new ./tests/realmath/generate.py
+ for f in `find . -name \*.py`
+ sed 's|/usr/bin/env python3|/usr/bin/python3|' ./tests/opt_share/generate.py
+ touch -r ./tests/opt_share/generate.py ./tests/opt_share/generate.py.new
+ mv ./tests/opt_share/generate.py.new ./tests/opt_share/generate.py
+ for f in `find . -name \*.py`
+ sed 's|/usr/bin/env python3|/usr/bin/python3|' ./tests/memlib/generate.py
+ touch -r ./tests/memlib/generate.py ./tests/memlib/generate.py.new
+ mv ./tests/memlib/generate.py.new ./tests/memlib/generate.py
+ for f in `find . -name \*.py`
+ sed 's|/usr/bin/env python3|/usr/bin/python3|' ./tests/fsm/generate.py
+ touch -r ./tests/fsm/generate.py ./tests/fsm/generate.py.new
+ mv ./tests/fsm/generate.py.new ./tests/fsm/generate.py
+ for f in `find . -name \*.py`
+ sed 's|/usr/bin/env python3|/usr/bin/python3|' ./tests/bram/generate.py
+ touch -r ./tests/bram/generate.py ./tests/bram/generate.py.new
+ mv ./tests/bram/generate.py.new ./tests/bram/generate.py
+ for f in `find . -name \*.py`
+ sed 's|/usr/bin/env python3|/usr/bin/python3|' ./tests/arch/gatemate/gen_luttrees.py
+ touch -r ./tests/arch/gatemate/gen_luttrees.py ./tests/arch/gatemate/gen_luttrees.py.new
+ mv ./tests/arch/gatemate/gen_luttrees.py.new ./tests/arch/gatemate/gen_luttrees.py
+ for f in `find . -name \*.py`
+ sed 's|/usr/bin/env python3|/usr/bin/python3|' ./techlibs/xilinx/cells_xtra.py
+ touch -r ./techlibs/xilinx/cells_xtra.py ./techlibs/xilinx/cells_xtra.py.new
+ mv ./techlibs/xilinx/cells_xtra.py.new ./techlibs/xilinx/cells_xtra.py
+ for f in `find . -name \*.py`
+ sed 's|/usr/bin/env python3|/usr/bin/python3|' ./techlibs/nexus/cells_xtra.py
+ touch -r ./techlibs/nexus/cells_xtra.py ./techlibs/nexus/cells_xtra.py.new
+ mv ./techlibs/nexus/cells_xtra.py.new ./techlibs/nexus/cells_xtra.py
+ for f in `find . -name \*.py`
+ sed 's|/usr/bin/env python3|/usr/bin/python3|' ./techlibs/gatemate/make_lut_tree_lib.py
+ touch -r ./techlibs/gatemate/make_lut_tree_lib.py ./techlibs/gatemate/make_lut_tree_lib.py.new
+ mv ./techlibs/gatemate/make_lut_tree_lib.py.new ./techlibs/gatemate/make_lut_tree_lib.py
+ for f in `find . -name \*.py`
+ sed 's|/usr/bin/env python3|/usr/bin/python3|' ./techlibs/ecp5/tests/test_diamond_ffs.py
+ touch -r ./techlibs/ecp5/tests/test_diamond_ffs.py ./techlibs/ecp5/tests/test_diamond_ffs.py.new
+ mv ./techlibs/ecp5/tests/test_diamond_ffs.py.new ./techlibs/ecp5/tests/test_diamond_ffs.py
+ for f in `find . -name \*.py`
+ sed 's|/usr/bin/env python3|/usr/bin/python3|' ./techlibs/common/gen_fine_ffs.py
+ touch -r ./techlibs/common/gen_fine_ffs.py ./techlibs/common/gen_fine_ffs.py.new
+ mv ./techlibs/common/gen_fine_ffs.py.new ./techlibs/common/gen_fine_ffs.py
+ for f in `find . -name \*.py`
+ sed 's|/usr/bin/env python3|/usr/bin/python3|' ./techlibs/common/cellhelp.py
+ touch -r ./techlibs/common/cellhelp.py ./techlibs/common/cellhelp.py.new
+ mv ./techlibs/common/cellhelp.py.new ./techlibs/common/cellhelp.py
+ for f in `find . -name \*.py`
+ sed 's|/usr/bin/env python3|/usr/bin/python3|' ./passes/pmgen/pmgen.py
+ touch -r ./passes/pmgen/pmgen.py ./passes/pmgen/pmgen.py.new
+ mv ./passes/pmgen/pmgen.py.new ./passes/pmgen/pmgen.py
+ for f in `find . -name \*.py`
+ sed 's|/usr/bin/env python3|/usr/bin/python3|' ./misc/py_wrap_generator.py
+ touch -r ./misc/py_wrap_generator.py ./misc/py_wrap_generator.py.new
+ mv ./misc/py_wrap_generator.py.new ./misc/py_wrap_generator.py
+ for f in `find . -name \*.py`
+ sed 's|/usr/bin/env python3|/usr/bin/python3|' ./misc/__init__.py
+ touch -r ./misc/__init__.py ./misc/__init__.py.new
+ mv ./misc/__init__.py.new ./misc/__init__.py
+ for f in `find . -name \*.py`
+ sed 's|/usr/bin/env python3|/usr/bin/python3|' ./examples/python-api/script.py
+ touch -r ./examples/python-api/script.py ./examples/python-api/script.py.new
+ mv ./examples/python-api/script.py.new ./examples/python-api/script.py
+ for f in `find . -name \*.py`
+ sed 's|/usr/bin/env python3|/usr/bin/python3|' ./examples/python-api/pass.py
+ touch -r ./examples/python-api/pass.py ./examples/python-api/pass.py.new
+ mv ./examples/python-api/pass.py.new ./examples/python-api/pass.py
+ for f in `find . -name \*.py`
+ sed 's|/usr/bin/env python3|/usr/bin/python3|' ./docs/util/YoscryptLexer.py
+ touch -r ./docs/util/YoscryptLexer.py ./docs/util/YoscryptLexer.py.new
+ mv ./docs/util/YoscryptLexer.py.new ./docs/util/YoscryptLexer.py
+ for f in `find . -name \*.py`
+ sed 's|/usr/bin/env python3|/usr/bin/python3|' ./docs/util/RtlilLexer.py
+ touch -r ./docs/util/RtlilLexer.py ./docs/util/RtlilLexer.py.new
+ mv ./docs/util/RtlilLexer.py.new ./docs/util/RtlilLexer.py
+ for f in `find . -name \*.py`
+ sed 's|/usr/bin/env python3|/usr/bin/python3|' ./docs/source/conf.py
+ touch -r ./docs/source/conf.py ./docs/source/conf.py.new
+ mv ./docs/source/conf.py.new ./docs/source/conf.py
+ for f in `find . -name \*.py`
+ sed 's|/usr/bin/env python3|/usr/bin/python3|' ./backends/smt2/ywio.py
+ touch -r ./backends/smt2/ywio.py ./backends/smt2/ywio.py.new
+ mv ./backends/smt2/ywio.py.new ./backends/smt2/ywio.py
+ for f in `find . -name \*.py`
+ sed 's|/usr/bin/env python3|/usr/bin/python3|' ./backends/smt2/witness.py
+ touch -r ./backends/smt2/witness.py ./backends/smt2/witness.py.new
+ mv ./backends/smt2/witness.py.new ./backends/smt2/witness.py
+ for f in `find . -name \*.py`
+ sed 's|/usr/bin/env python3|/usr/bin/python3|' ./backends/smt2/smtio.py
+ touch -r ./backends/smt2/smtio.py ./backends/smt2/smtio.py.new
+ mv ./backends/smt2/smtio.py.new ./backends/smt2/smtio.py
+ for f in `find . -name \*.py`
+ sed 's|/usr/bin/env python3|/usr/bin/python3|' ./backends/smt2/smtbmc.py
+ touch -r ./backends/smt2/smtbmc.py ./backends/smt2/smtbmc.py.new
+ mv ./backends/smt2/smtbmc.py.new ./backends/smt2/smtbmc.py
+ for f in `find . -name \*.py`
+ sed 's|/usr/bin/env python3|/usr/bin/python3|' ./backends/edif/runtest.py
+ touch -r ./backends/edif/runtest.py ./backends/edif/runtest.py.new
+ mv ./backends/edif/runtest.py.new ./backends/edif/runtest.py
+ make config-gcc
/bin/sh: line 1: clang: command not found
rm -rf share
rm -rf kernel/*.pyh
rm -f kernel/version_7bac1920b28.o kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o kernel/binding.o kernel/cellaigs.o kernel/celledges.o kernel/satgen.o kernel/qcsat.o kernel/mem.o kernel/ffmerge.o kernel/ff.o kernel/fstdata.o libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o libs/sha1/sha1.o libs/json11/json11.o libs/subcircuit/subcircuit.o libs/ezsat/ezsat.o libs/ezsat/ezminisat.o libs/minisat/Options.o libs/minisat/SimpSolver.o libs/minisat/Solver.o libs/minisat/System.o libs/fst/fstapi.o libs/fst/fastlz.o libs/fst/lz4.o frontends/aiger/aigerparse.o frontends/ast/ast.o frontends/ast/simplify.o frontends/ast/genrtlil.o frontends/ast/dpicall.o frontends/ast/ast_binding.o frontends/blif/blifparse.o frontends/json/jsonparse.o frontends/liberty/liberty.o frontends/rpc/rpc_frontend.o frontends/rtlil/rtlil_parser.tab.o frontends/rtlil/rtlil_lexer.o frontends/rtlil/rtlil_frontend.o frontends/verific/verific.o frontends/verilog/verilog_parser.tab.o frontends/verilog/verilog_lexer.o frontends/verilog/preproc.o frontends/verilog/verilog_frontend.o frontends/verilog/const2ast.o passes/cmds/exec.o passes/cmds/add.o passes/cmds/delete.o passes/cmds/design.o passes/cmds/select.o passes/cmds/show.o passes/cmds/rename.o passes/cmds/autoname.o passes/cmds/connect.o passes/cmds/scatter.o passes/cmds/setundef.o passes/cmds/splitnets.o passes/cmds/stat.o passes/cmds/setattr.o passes/cmds/copy.o passes/cmds/splice.o passes/cmds/scc.o passes/cmds/glift.o passes/cmds/torder.o passes/cmds/logcmd.o passes/cmds/tee.o passes/cmds/write_file.o passes/cmds/connwrappers.o passes/cmds/cover.o passes/cmds/trace.o passes/cmds/plugin.o passes/cmds/check.o passes/cmds/qwp.o passes/cmds/edgetypes.o passes/cmds/portlist.o passes/cmds/chformal.o passes/cmds/chtype.o passes/cmds/blackbox.o passes/cmds/ltp.o passes/cmds/bugpoint.o passes/cmds/scratchpad.o passes/cmds/logger.o passes/cmds/printattrs.o passes/cmds/sta.o passes/cmds/clean_zerowidth.o passes/equiv/equiv_make.o passes/equiv/equiv_miter.o passes/equiv/equiv_simple.o passes/equiv/equiv_status.o passes/equiv/equiv_add.o passes/equiv/equiv_remove.o passes/equiv/equiv_induct.o passes/equiv/equiv_struct.o passes/equiv/equiv_purge.o passes/equiv/equiv_mark.o passes/equiv/equiv_opt.o passes/fsm/fsm.o passes/fsm/fsm_detect.o passes/fsm/fsm_extract.o passes/fsm/fsm_opt.o passes/fsm/fsm_expand.o passes/fsm/fsm_recode.o passes/fsm/fsm_info.o passes/fsm/fsm_export.o passes/fsm/fsm_map.o passes/hierarchy/hierarchy.o passes/hierarchy/uniquify.o passes/hierarchy/submod.o passes/memory/memory.o passes/memory/memory_dff.o passes/memory/memory_share.o passes/memory/memory_collect.o passes/memory/memory_unpack.o passes/memory/memory_bram.o passes/memory/memory_map.o passes/memory/memory_memx.o passes/memory/memory_nordff.o passes/memory/memory_narrow.o passes/memory/memory_libmap.o passes/memory/memory_bmux2rom.o passes/memory/memlib.o passes/opt/opt.o passes/opt/opt_merge.o passes/opt/opt_mem.o passes/opt/opt_mem_feedback.o passes/opt/opt_mem_priority.o passes/opt/opt_mem_widen.o passes/opt/opt_muxtree.o passes/opt/opt_reduce.o passes/opt/opt_dff.o passes/opt/opt_share.o passes/opt/opt_clean.o passes/opt/opt_expr.o passes/opt/share.o passes/opt/wreduce.o passes/opt/opt_demorgan.o passes/opt/rmports.o passes/opt/opt_lut.o passes/opt/opt_lut_ins.o passes/opt/opt_ffinv.o passes/opt/pmux2shiftx.o passes/opt/muxpack.o passes/pmgen/test_pmgen.o passes/pmgen/ice40_dsp.o passes/pmgen/ice40_wrapcarry.o passes/pmgen/xilinx_dsp.o passes/pmgen/peepopt.o passes/pmgen/xilinx_srl.o passes/proc/proc.o passes/proc/proc_prune.o passes/proc/proc_clean.o passes/proc/proc_rmdead.o passes/proc/proc_init.o passes/proc/proc_arst.o passes/proc/proc_rom.o passes/proc/proc_mux.o passes/proc/proc_dlatch.o passes/proc/proc_dff.o passes/proc/proc_memwr.o passes/sat/sat.o passes/sat/freduce.o passes/sat/eval.o passes/sat/sim.o passes/sat/miter.o passes/sat/expose.o passes/sat/assertpmux.o passes/sat/clk2fflogic.o passes/sat/async2sync.o passes/sat/formalff.o passes/sat/supercover.o passes/sat/fmcombine.o passes/sat/mutate.o passes/sat/cutpoint.o passes/sat/fminit.o passes/sat/qbfsat.o passes/techmap/flatten.o passes/techmap/techmap.o passes/techmap/simplemap.o passes/techmap/dfflibmap.o passes/techmap/maccmap.o passes/techmap/libparse.o passes/techmap/abc.o passes/techmap/abc9.o passes/techmap/abc9_exe.o passes/techmap/abc9_ops.o passes/techmap/iopadmap.o passes/techmap/clkbufmap.o passes/techmap/hilomap.o passes/techmap/extract.o passes/techmap/extract_fa.o passes/techmap/extract_counter.o passes/techmap/extract_reduce.o passes/techmap/alumacc.o passes/techmap/dffinit.o passes/techmap/pmuxtree.o passes/techmap/bmuxmap.o passes/techmap/demuxmap.o passes/techmap/muxcover.o passes/techmap/aigmap.o passes/techmap/tribuf.o passes/techmap/lut2mux.o passes/techmap/nlutmap.o passes/techmap/shregmap.o passes/techmap/deminout.o passes/techmap/insbuf.o passes/techmap/attrmvcp.o passes/techmap/attrmap.o passes/techmap/zinit.o passes/techmap/dfflegalize.o passes/techmap/dffunmap.o passes/techmap/flowmap.o passes/techmap/extractinv.o passes/tests/test_autotb.o passes/tests/test_cell.o passes/tests/test_abcloop.o backends/aiger/aiger.o backends/aiger/xaiger.o backends/blif/blif.o backends/btor/btor.o backends/cxxrtl/cxxrtl_backend.o backends/edif/edif.o backends/firrtl/firrtl.o backends/intersynth/intersynth.o backends/jny/jny.o backends/json/json.o backends/rtlil/rtlil_backend.o backends/simplec/simplec.o backends/smt2/smt2.o backends/smv/smv.o backends/spice/spice.o backends/table/table.o backends/verilog/verilog_backend.o techlibs/achronix/synth_achronix.o techlibs/anlogic/synth_anlogic.o techlibs/anlogic/anlogic_eqn.o techlibs/anlogic/anlogic_fixcarry.o techlibs/common/synth.o techlibs/common/prep.o techlibs/coolrunner2/synth_coolrunner2.o techlibs/coolrunner2/coolrunner2_sop.o techlibs/coolrunner2/coolrunner2_fixup.o techlibs/easic/synth_easic.o techlibs/ecp5/synth_ecp5.o techlibs/ecp5/ecp5_gsr.o techlibs/efinix/synth_efinix.o techlibs/efinix/efinix_fixcarry.o techlibs/fabulous/synth_fabulous.o techlibs/gatemate/synth_gatemate.o techlibs/gatemate/gatemate_foldinv.o techlibs/gowin/synth_gowin.o techlibs/greenpak4/synth_greenpak4.o techlibs/greenpak4/greenpak4_dffinv.o techlibs/ice40/synth_ice40.o techlibs/ice40/ice40_braminit.o techlibs/ice40/ice40_opt.o techlibs/intel/synth_intel.o techlibs/intel_alm/synth_intel_alm.o techlibs/machxo2/synth_machxo2.o techlibs/nexus/synth_nexus.o techlibs/quicklogic/synth_quicklogic.o techlibs/sf2/synth_sf2.o techlibs/xilinx/synth_xilinx.o techlibs/xilinx/xilinx_dffopt.o frontends/rtlil/rtlil_parser.tab.cc frontends/rtlil/rtlil_parser.tab.hh frontends/rtlil/rtlil_parser.output frontends/rtlil/rtlil_lexer.cc frontends/verilog/verilog_parser.tab.cc frontends/verilog/verilog_parser.tab.hh frontends/verilog/verilog_parser.output frontends/verilog/verilog_lexer.cc passes/pmgen/test_pmgen_pm.h passes/pmgen/ice40_dsp_pm.h passes/pmgen/ice40_wrapcarry_pm.h passes/pmgen/xilinx_dsp_pm.h passes/pmgen/xilinx_dsp48a_pm.h passes/pmgen/xilinx_dsp_CREG_pm.h passes/pmgen/xilinx_dsp_cascade_pm.h passes/pmgen/peepopt_pm.h passes/pmgen/xilinx_srl_pm.h techlibs/common/simlib_help.inc techlibs/common/simcells_help.inc yosys yosys-config yosys-abc yosys-filterlib yosys-smtbmc yosys-witness share/include/kernel/yosys.h share/include/kernel/hashlib.h share/include/kernel/log.h share/include/kernel/rtlil.h share/include/kernel/binding.h share/include/kernel/register.h share/include/kernel/celltypes.h share/include/kernel/celledges.h share/include/kernel/consteval.h share/include/kernel/constids.inc share/include/kernel/sigtools.h share/include/kernel/modtools.h share/include/kernel/macc.h share/include/kernel/utils.h share/include/kernel/satgen.h share/include/kernel/qcsat.h share/include/kernel/ff.h share/include/kernel/ffinit.h share/include/kernel/fstdata.h share/include/kernel/mem.h share/include/libs/ezsat/ezsat.h share/include/libs/ezsat/ezminisat.h share/include/libs/fst/fstapi.h share/include/libs/sha1/sha1.h share/include/libs/json11/json11.hpp share/include/passes/fsm/fsmdata.h share/include/frontends/ast/ast.h share/include/frontends/ast/ast_binding.h share/include/frontends/blif/blifparse.h share/include/backends/rtlil/rtlil_backend.h share/include/backends/cxxrtl/cxxrtl.h share/include/backends/cxxrtl/cxxrtl_vcd.h share/include/backends/cxxrtl/cxxrtl_capi.cc share/include/backends/cxxrtl/cxxrtl_capi.h share/include/backends/cxxrtl/cxxrtl_vcd_capi.cc share/include/backends/cxxrtl/cxxrtl_vcd_capi.h share/python3/smtio.py share/python3/ywio.py share/achronix/speedster22i/cells_sim.v share/achronix/speedster22i/cells_map.v share/anlogic/cells_map.v share/anlogic/arith_map.v share/anlogic/cells_sim.v share/anlogic/eagle_bb.v share/anlogic/lutrams.txt share/anlogic/lutrams_map.v share/anlogic/brams.txt share/anlogic/brams_map.v share/simlib.v share/simcells.v share/techmap.v share/smtmap.v share/pmux2mux.v share/adff2dff.v share/dff2ff.v share/gate2lut.v share/cmp2lut.v share/cells.lib share/mul2dsp.v share/abc9_model.v share/abc9_map.v share/abc9_unmap.v share/cmp2lcu.v share/coolrunner2/cells_latch.v share/coolrunner2/cells_sim.v share/coolrunner2/cells_counter_map.v share/coolrunner2/tff_extract.v share/coolrunner2/xc2_dff.lib share/ecp5/cells_ff.vh share/ecp5/cells_io.vh share/ecp5/cells_map.v share/ecp5/cells_sim.v share/ecp5/cells_bb.v share/ecp5/lutrams_map.v share/ecp5/lutrams.txt share/ecp5/brams_map.v share/ecp5/brams.txt share/ecp5/arith_map.v share/ecp5/latches_map.v share/ecp5/dsp_map.v share/efinix/cells_map.v share/efinix/arith_map.v share/efinix/cells_sim.v share/efinix/brams_map.v share/efinix/gbuf_map.v share/efinix/brams.txt share/fabulous/cells_map.v share/fabulous/prims.v share/fabulous/latches_map.v share/fabulous/ff_map.v share/fabulous/ram_regfile.txt share/fabulous/regfile_map.v share/fabulous/io_map.v share/gatemate/reg_map.v share/gatemate/mux_map.v share/gatemate/lut_map.v share/gatemate/mul_map.v share/gatemate/arith_map.v share/gatemate/cells_sim.v share/gatemate/cells_bb.v share/gatemate/brams_map.v share/gatemate/brams.txt share/gatemate/brams_init_20.vh share/gatemate/brams_init_40.vh share/gatemate/inv_map.v share/gatemate/lut_tree_cells.genlib share/gatemate/lut_tree_map.v share/gowin/cells_map.v share/gowin/cells_sim.v share/gowin/arith_map.v share/gowin/brams_map.v share/gowin/brams.txt share/gowin/lutrams_map.v share/gowin/lutrams.txt share/greenpak4/cells_blackbox.v share/greenpak4/cells_latch.v share/greenpak4/cells_map.v share/greenpak4/cells_sim.v share/greenpak4/cells_sim_ams.v share/greenpak4/cells_sim_digital.v share/greenpak4/cells_sim_wip.v share/greenpak4/gp_dff.lib share/ice40/arith_map.v share/ice40/cells_map.v share/ice40/ff_map.v share/ice40/cells_sim.v share/ice40/latches_map.v share/ice40/brams.txt share/ice40/brams_map.v share/ice40/spram.txt share/ice40/spram_map.v share/ice40/dsp_map.v share/ice40/abc9_model.v share/intel/common/m9k_bb.v share/intel/common/altpll_bb.v share/intel/common/brams_m9k.txt share/intel/common/brams_map_m9k.v share/intel/common/ff_map.v share/intel/max10/cells_sim.v share/intel/cyclone10lp/cells_sim.v share/intel/cycloneiv/cells_sim.v share/intel/cycloneive/cells_sim.v share/intel/max10/cells_map.v share/intel/cyclone10lp/cells_map.v share/intel/cycloneiv/cells_map.v share/intel/cycloneive/cells_map.v share/intel_alm/common/abc9_map.v share/intel_alm/common/abc9_unmap.v share/intel_alm/common/abc9_model.v share/intel_alm/common/alm_map.v share/intel_alm/common/alm_sim.v share/intel_alm/common/arith_alm_map.v share/intel_alm/common/dff_map.v share/intel_alm/common/dff_sim.v share/intel_alm/common/dsp_sim.v share/intel_alm/common/dsp_map.v share/intel_alm/common/mem_sim.v share/intel_alm/common/misc_sim.v share/intel_alm/cyclonev/cells_sim.v share/intel_alm/common/bram_m10k.txt share/intel_alm/common/bram_m10k_map.v share/intel_alm/common/bram_m20k.txt share/intel_alm/common/bram_m20k_map.v share/intel_alm/common/lutram_mlab.txt share/intel_alm/common/megafunction_bb.v share/intel_alm/common/quartus_rename.v share/machxo2/cells_map.v share/machxo2/cells_sim.v share/machxo2/lutrams.txt share/machxo2/lutrams_map.v share/machxo2/brams.txt share/machxo2/brams_map.v share/nexus/cells_map.v share/nexus/cells_sim.v share/nexus/parse_init.vh share/nexus/cells_xtra.v share/nexus/lutrams_map.v share/nexus/lutrams.txt share/nexus/brams_map.v share/nexus/brams.txt share/nexus/lrams_map.v share/nexus/lrams.txt share/nexus/arith_map.v share/nexus/latches_map.v share/nexus/dsp_map.v share/quicklogic/pp3_ffs_map.v share/quicklogic/pp3_lut_map.v share/quicklogic/pp3_latches_map.v share/quicklogic/pp3_cells_map.v share/quicklogic/cells_sim.v share/quicklogic/lut_sim.v share/quicklogic/pp3_cells_sim.v share/quicklogic/abc9_model.v share/quicklogic/abc9_map.v share/quicklogic/abc9_unmap.v share/sf2/arith_map.v share/sf2/cells_map.v share/sf2/cells_sim.v share/xilinx/cells_map.v share/xilinx/cells_sim.v share/xilinx/cells_xtra.v share/xilinx/lutrams_xcv.txt share/xilinx/lutrams_xcv_map.v share/xilinx/lutrams_xc5v.txt share/xilinx/lutrams_xcu.txt share/xilinx/lutrams_xc5v_map.v share/xilinx/brams_xcv.txt share/xilinx/brams_xcv_map.v share/xilinx/brams_defs.vh share/xilinx/brams_xc2v.txt share/xilinx/brams_xc2v_map.v share/xilinx/brams_xc3sda.txt share/xilinx/brams_xc3sda_map.v share/xilinx/brams_xc4v.txt share/xilinx/brams_xc4v_map.v share/xilinx/brams_xc5v_map.v share/xilinx/brams_xc6v_map.v share/xilinx/brams_xcu_map.v share/xilinx/urams.txt share/xilinx/urams_map.v share/xilinx/arith_map.v share/xilinx/ff_map.v share/xilinx/lut_map.v share/xilinx/mux_map.v share/xilinx/xc3s_mult_map.v share/xilinx/xc3sda_dsp_map.v share/xilinx/xc6s_dsp_map.v share/xilinx/xc4v_dsp_map.v share/xilinx/xc5v_dsp_map.v share/xilinx/xc7_dsp_map.v share/xilinx/xcu_dsp_map.v share/xilinx/abc9_model.v passes/pmgen/test_pmgen_pm.h passes/pmgen/ice40_dsp_pm.h passes/pmgen/ice40_wrapcarry_pm.h passes/pmgen/xilinx_dsp_pm.h passes/pmgen/xilinx_dsp48a_pm.h passes/pmgen/xilinx_dsp_CREG_pm.h passes/pmgen/xilinx_dsp_cascade_pm.h passes/pmgen/peepopt_pm.h passes/pmgen/xilinx_srl_pm.h passes/techmap/filterlib.o techlibs/gatemate/lut_tree_lib.mk  .cc
rm -f kernel/version_*.o kernel/version_*.cc
rm -f libs/*/*.d frontends/*/*.d passes/*/*.d backends/*/*.d kernel/*.d techlibs/*/*.d
rm -rf tests/asicworld/*.out tests/asicworld/*.log
rm -rf tests/hana/*.out tests/hana/*.log
rm -rf tests/simple/*.out tests/simple/*.log
rm -rf tests/memories/*.out tests/memories/*.log tests/memories/*.dmp
rm -rf tests/sat/*.log tests/techmap/*.log tests/various/*.log
rm -rf tests/bram/temp tests/fsm/temp tests/realmath/temp tests/share/temp tests/smv/temp tests/various/temp
rm -rf vloghtb/Makefile vloghtb/refdat vloghtb/rtl vloghtb/scripts vloghtb/spec vloghtb/check_yosys vloghtb/vloghammer_tb.tar.bz2 vloghtb/temp vloghtb/log_test_*
rm -f tests/svinterfaces/*.log_stdout tests/svinterfaces/*.log_stderr tests/svinterfaces/dut_result.txt tests/svinterfaces/reference_result.txt tests/svinterfaces/a.out tests/svinterfaces/*_syn.v tests/svinterfaces/*.diff
rm -f  tests/tools/cmp_tbdata
make -C docs clean
make[1]: Entering directory '/builddir/build/BUILD/yosys-7bac1920b28672ba125aba991f30debae1cc0d8f/docs'
rm -rf build/*
make[1]: Leaving directory '/builddir/build/BUILD/yosys-7bac1920b28672ba125aba991f30debae1cc0d8f/docs'
make -C docs/images clean
make[1]: Entering directory '/builddir/build/BUILD/yosys-7bac1920b28672ba125aba991f30debae1cc0d8f/docs/images'
rm -f *.log
rm -f *.aux
rm -f  011/*.log 011/*.aux
rm -f *.pdf
rm -f *.svg
rm -f 011/*.pdf 011/*.svg
make[1]: Leaving directory '/builddir/build/BUILD/yosys-7bac1920b28672ba125aba991f30debae1cc0d8f/docs/images'
rm -rf docs/source/cmd docs/util/__pycache__
echo 'CONFIG := gcc' > Makefile.conf
++ find manual -name '*.tex' -exec grep -l '{luximono}' '{}' ';'
+ for f in `find manual -name \*.tex -exec grep -l {luximono} {} \;`
+ sed -i 's|{luximono}|{libertine}|' manual/presentation.tex
+ RPM_EC=0
++ jobs -p
+ exit 0
Executing(%build): /bin/sh -e /var/tmp/rpm-tmp.HUGtjo
+ umask 022
+ cd /builddir/build/BUILD
+ CFLAGS='-O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer'
+ export CFLAGS
+ CXXFLAGS='-O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer'
+ export CXXFLAGS
+ FFLAGS='-O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -I/usr/lib64/gfortran/modules'
+ export FFLAGS
+ FCFLAGS='-O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -I/usr/lib64/gfortran/modules'
+ export FCFLAGS
+ VALAFLAGS=-g
+ export VALAFLAGS
+ LDFLAGS='-Wl,-z,relro -Wl,--as-needed  -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes'
+ export LDFLAGS
+ LT_SYS_LIBRARY_PATH=/usr/lib64:
+ export LT_SYS_LIBRARY_PATH
+ CC=gcc
+ export CC
+ CXX=g++
+ export CXX
+ cd yosys-7bac1920b28672ba125aba991f30debae1cc0d8f
+ CFLAGS='-O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer'
+ export CFLAGS
+ CXXFLAGS='-O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer'
+ export CXXFLAGS
+ FFLAGS='-O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -I/usr/lib64/gfortran/modules'
+ export FFLAGS
+ FCFLAGS='-O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -I/usr/lib64/gfortran/modules'
+ export FCFLAGS
+ VALAFLAGS=-g
+ export VALAFLAGS
+ LDFLAGS='-Wl,-z,relro -Wl,--as-needed  -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes'
+ export LDFLAGS
+ LT_SYS_LIBRARY_PATH=/usr/lib64:
+ export LT_SYS_LIBRARY_PATH
+ CC=gcc
+ export CC
+ CXX=g++
+ export CXX
+ make -j2 PREFIX=/usr ABCEXTERNAL=/usr/bin/abc PRETTY=0 all
[Makefile.conf] CONFIG := gcc
rm -f kernel/version_*.o kernel/version_*.d kernel/version_*.cc
mkdir -p kernel/
gcc -o kernel/driver.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/driver.cc
mkdir -p kernel && echo "namespace Yosys { extern const char *yosys_version_str; const char *yosys_version_str=\"Yosys 0.25+1 (git sha1 7bac1920b28, gcc 13.0.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -Os)\"; }" > kernel/version_7bac1920b28.cc
mkdir -p techlibs/common
python3 techlibs/common/cellhelp.py techlibs/common/simlib.v > techlibs/common/simlib_help.inc.new
mv techlibs/common/simlib_help.inc.new techlibs/common/simlib_help.inc
mkdir -p techlibs/common
python3 techlibs/common/cellhelp.py techlibs/common/simcells.v > techlibs/common/simcells_help.inc.new
mv techlibs/common/simcells_help.inc.new techlibs/common/simcells_help.inc
mkdir -p kernel/
gcc -o kernel/rtlil.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/rtlil.cc
mkdir -p kernel/
gcc -o kernel/log.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYOSYS_SRC='"./"' kernel/log.cc
mkdir -p kernel/
gcc -o kernel/calc.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/calc.cc
mkdir -p kernel/
gcc -o kernel/yosys.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DABCEXTERNAL='"/usr/bin/abc"' -DYOSYS_DATDIR='"/usr/share/yosys"' -DYOSYS_PROGRAM_PREFIX='""' kernel/yosys.cc
mkdir -p kernel/
gcc -o kernel/binding.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/binding.cc
mkdir -p kernel/
gcc -o kernel/cellaigs.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/cellaigs.cc
mkdir -p kernel/
gcc -o kernel/celledges.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/celledges.cc
mkdir -p kernel/
gcc -o kernel/satgen.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/satgen.cc
mkdir -p kernel/
gcc -o kernel/qcsat.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/qcsat.cc
mkdir -p kernel/
gcc -o kernel/mem.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/mem.cc
mkdir -p kernel/
gcc -o kernel/ffmerge.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/ffmerge.cc
kernel/satgen.cc: In member function 'bool Yosys::SatGen::importCell(Yosys::RTLIL::Cell*, int)':
kernel/satgen.cc:1213:67: warning: 'undef_srst' may be used uninitialized [-Wmaybe-uninitialized]
 1213 |                                         std::tie(d, undef_d) = mux(srst, undef_srst, rval, undef_rval, d, undef_d);
      |                                                                ~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
kernel/satgen.cc:1204:37: note: 'undef_srst' was declared here
 1204 |                                 int undef_srst;
      |                                     ^~~~~~~~~~
kernel/satgen.cc:1227:67: warning: 'undef_ce' may be used uninitialized [-Wmaybe-uninitialized]
 1227 |                                         std::tie(d, undef_d) = mux(ce, undef_ce, d, undef_d, old_q, undef_old_q);
      |                                                                ~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
kernel/satgen.cc:1218:37: note: 'undef_ce' was declared here
 1218 |                                 int undef_ce;
      |                                     ^~~~~~~~
kernel/satgen.cc:1241:67: warning: 'undef_srst' may be used uninitialized [-Wmaybe-uninitialized]
 1241 |                                         std::tie(d, undef_d) = mux(srst, undef_srst, rval, undef_rval, d, undef_d);
      |                                                                ~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
kernel/satgen.cc:1232:37: note: 'undef_srst' was declared here
 1232 |                                 int undef_srst;
      |                                     ^~~~~~~~~~
mkdir -p kernel/
gcc -o kernel/ff.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/ff.cc
mkdir -p kernel/
gcc -o kernel/fstdata.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/fstdata.cc
mkdir -p libs/bigint/
gcc -o libs/bigint/BigIntegerAlgorithms.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigIntegerAlgorithms.cc
mkdir -p libs/bigint/
gcc -o libs/bigint/BigInteger.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigInteger.cc
mkdir -p libs/bigint/
gcc -o libs/bigint/BigIntegerUtils.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigIntegerUtils.cc
mkdir -p libs/bigint/
gcc -o libs/bigint/BigUnsigned.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigUnsigned.cc
mkdir -p libs/bigint/
gcc -o libs/bigint/BigUnsignedInABase.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigUnsignedInABase.cc
mkdir -p libs/sha1/
gcc -o libs/sha1/sha1.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/sha1/sha1.cpp
mkdir -p libs/json11/
gcc -o libs/json11/json11.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/json11/json11.cpp
mkdir -p libs/subcircuit/
gcc -o libs/subcircuit/subcircuit.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/subcircuit/subcircuit.cc
mkdir -p libs/ezsat/
gcc -o libs/ezsat/ezsat.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/ezsat/ezsat.cc
mkdir -p libs/ezsat/
gcc -o libs/ezsat/ezminisat.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/ezsat/ezminisat.cc
mkdir -p libs/minisat/
gcc -o libs/minisat/Options.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/Options.cc
mkdir -p libs/minisat/
gcc -o libs/minisat/SimpSolver.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/SimpSolver.cc
In file included from libs/minisat/Sort.h:24,
                 from libs/minisat/SimpSolver.cc:27:
libs/minisat/Vec.h: In instantiation of 'void Minisat::vec<T, _Size>::capacity(Size) [with T = Minisat::vec<unsigned int>; _Size = int; Size = int]':
libs/minisat/Vec.h:119:13:   required from 'void Minisat::vec<T, _Size>::growTo(Size) [with T = Minisat::vec<unsigned int>; _Size = int; Size = int]'
libs/minisat/IntMap.h:48:58:   required from 'void Minisat::IntMap<K, V, MkIndex>::reserve(K) [with K = int; V = Minisat::vec<unsigned int>; MkIndex = Minisat::MkIndexDefault<int>]'
libs/minisat/SolverTypes.h:338:49:   required from 'void Minisat::OccLists<K, Vec, Deleted, MkIndex>::init(const K&) [with K = int; Vec = Minisat::vec<unsigned int>; Deleted = Minisat::SimpSolver::ClauseDeleted; MkIndex = Minisat::MkIndexDefault<int>]'
libs/minisat/SimpSolver.cc:92:26:   required from here
libs/minisat/Vec.h:103:33: warning: 'void* realloc(void*, size_t)' moving an object of non-trivially copyable type 'class Minisat::vec<unsigned int>'; use 'new' and 'delete' instead [-Wclass-memaccess]
  103 |     ||   (((data = (T*)::realloc(data, (cap += add) * sizeof(T))) == NULL) && errno == ENOMEM) )
      |                        ~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
libs/minisat/Vec.h:39:7: note: 'class Minisat::vec<unsigned int>' declared here
   39 | class vec {
      |       ^~~
mkdir -p libs/minisat/
gcc -o libs/minisat/Solver.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/Solver.cc
In file included from libs/minisat/Alg.h:24,
                 from libs/minisat/Solver.cc:29:
libs/minisat/Vec.h: In instantiation of 'void Minisat::vec<T, _Size>::capacity(Size) [with T = Minisat::vec<Minisat::Solver::Watcher>; _Size = int; Size = int]':
libs/minisat/Vec.h:119:13:   required from 'void Minisat::vec<T, _Size>::growTo(Size) [with T = Minisat::vec<Minisat::Solver::Watcher>; _Size = int; Size = int]'
libs/minisat/IntMap.h:48:58:   required from 'void Minisat::IntMap<K, V, MkIndex>::reserve(K) [with K = Minisat::Lit; V = Minisat::vec<Minisat::Solver::Watcher>; MkIndex = Minisat::MkIndexLit]'
libs/minisat/SolverTypes.h:338:49:   required from 'void Minisat::OccLists<K, Vec, Deleted, MkIndex>::init(const K&) [with K = Minisat::Lit; Vec = Minisat::vec<Minisat::Solver::Watcher>; Deleted = Minisat::Solver::WatcherDeleted; MkIndex = Minisat::MkIndexLit]'
libs/minisat/Solver.cc:134:19:   required from here
libs/minisat/Vec.h:103:33: warning: 'void* realloc(void*, size_t)' moving an object of non-trivially copyable type 'class Minisat::vec<Minisat::Solver::Watcher>'; use 'new' and 'delete' instead [-Wclass-memaccess]
  103 |     ||   (((data = (T*)::realloc(data, (cap += add) * sizeof(T))) == NULL) && errno == ENOMEM) )
      |                        ~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
libs/minisat/Vec.h:39:7: note: 'class Minisat::vec<Minisat::Solver::Watcher>' declared here
   39 | class vec {
      |       ^~~
mkdir -p libs/minisat/
gcc -o libs/minisat/System.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/System.cc
mkdir -p libs/fst/
gcc -o libs/fst/fstapi.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/fst/fstapi.cc
mkdir -p libs/fst/
gcc -o libs/fst/fastlz.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/fst/fastlz.cc
mkdir -p libs/fst/
gcc -o libs/fst/lz4.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/fst/lz4.cc
mkdir -p frontends/aiger/
gcc -o frontends/aiger/aigerparse.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/aiger/aigerparse.cc
mkdir -p frontends/ast/
gcc -o frontends/ast/ast.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/ast.cc
mkdir -p frontends/ast/
gcc -o frontends/ast/simplify.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/simplify.cc
mkdir -p frontends/ast/
gcc -o frontends/ast/genrtlil.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/genrtlil.cc
mkdir -p frontends/ast/
gcc -o frontends/ast/dpicall.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/dpicall.cc
mkdir -p frontends/ast/
gcc -o frontends/ast/ast_binding.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/ast_binding.cc
mkdir -p frontends/blif/
gcc -o frontends/blif/blifparse.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/blif/blifparse.cc
mkdir -p frontends/json/
gcc -o frontends/json/jsonparse.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/json/jsonparse.cc
mkdir -p frontends/liberty/
gcc -o frontends/liberty/liberty.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/liberty/liberty.cc
mkdir -p frontends/rpc/
gcc -o frontends/rpc/rpc_frontend.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rpc/rpc_frontend.cc
mkdir -p frontends/rtlil/
bison -o frontends/rtlil/rtlil_parser.tab.cc -d -r all -b frontends/rtlil/rtlil_parser frontends/rtlil/rtlil_parser.y
mkdir -p frontends/rtlil/
flex -o frontends/rtlil/rtlil_lexer.cc frontends/rtlil/rtlil_lexer.l
mkdir -p frontends/rtlil/
gcc -o frontends/rtlil/rtlil_frontend.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rtlil/rtlil_frontend.cc
mkdir -p frontends/verific/
gcc -o frontends/verific/verific.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verific/verific.cc
mkdir -p frontends/verilog/
bison -Wall -Werror -o frontends/verilog/verilog_parser.tab.cc -d -r all -b frontends/verilog/verilog_parser frontends/verilog/verilog_parser.y
mkdir -p frontends/verilog/
gcc -o frontends/verilog/preproc.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/preproc.cc
mkdir -p frontends/verilog/
gcc -o frontends/verilog/verilog_frontend.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/verilog_frontend.cc
mkdir -p frontends/verilog/
gcc -o frontends/verilog/const2ast.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/const2ast.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/exec.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/exec.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/add.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/add.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/delete.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/delete.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/design.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/design.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/select.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/select.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/show.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/show.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/rename.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/rename.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/autoname.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/autoname.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/connect.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/connect.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/scatter.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/scatter.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/setundef.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/setundef.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/splitnets.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/splitnets.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/stat.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/stat.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/setattr.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/setattr.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/copy.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/copy.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/splice.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/splice.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/scc.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/scc.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/glift.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/glift.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/torder.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/torder.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/logcmd.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/logcmd.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/tee.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/tee.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/write_file.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/write_file.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/connwrappers.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/connwrappers.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/cover.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/cover.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/trace.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/trace.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/plugin.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/plugin.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/check.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/check.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/qwp.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/qwp.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/edgetypes.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/edgetypes.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/portlist.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/portlist.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/chformal.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/chformal.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/chtype.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/chtype.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/blackbox.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/blackbox.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/ltp.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/ltp.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/bugpoint.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/bugpoint.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/scratchpad.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/scratchpad.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/logger.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/logger.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/printattrs.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/printattrs.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/sta.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/sta.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/clean_zerowidth.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/clean_zerowidth.cc
mkdir -p passes/equiv/
gcc -o passes/equiv/equiv_make.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_make.cc
mkdir -p passes/equiv/
gcc -o passes/equiv/equiv_miter.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_miter.cc
mkdir -p passes/equiv/
gcc -o passes/equiv/equiv_simple.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_simple.cc
mkdir -p passes/equiv/
gcc -o passes/equiv/equiv_status.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_status.cc
mkdir -p passes/equiv/
gcc -o passes/equiv/equiv_add.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_add.cc
mkdir -p passes/equiv/
gcc -o passes/equiv/equiv_remove.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_remove.cc
mkdir -p passes/equiv/
gcc -o passes/equiv/equiv_induct.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_induct.cc
mkdir -p passes/equiv/
gcc -o passes/equiv/equiv_struct.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_struct.cc
mkdir -p passes/equiv/
gcc -o passes/equiv/equiv_purge.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_purge.cc
mkdir -p passes/equiv/
gcc -o passes/equiv/equiv_mark.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_mark.cc
mkdir -p passes/equiv/
gcc -o passes/equiv/equiv_opt.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_opt.cc
mkdir -p passes/fsm/
gcc -o passes/fsm/fsm.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm.cc
mkdir -p passes/fsm/
gcc -o passes/fsm/fsm_detect.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_detect.cc
mkdir -p passes/fsm/
gcc -o passes/fsm/fsm_extract.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_extract.cc
mkdir -p passes/fsm/
gcc -o passes/fsm/fsm_opt.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_opt.cc
mkdir -p passes/fsm/
gcc -o passes/fsm/fsm_expand.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_expand.cc
mkdir -p passes/fsm/
gcc -o passes/fsm/fsm_recode.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_recode.cc
mkdir -p passes/fsm/
gcc -o passes/fsm/fsm_info.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_info.cc
mkdir -p passes/fsm/
gcc -o passes/fsm/fsm_export.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_export.cc
mkdir -p passes/fsm/
gcc -o passes/fsm/fsm_map.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_map.cc
mkdir -p passes/hierarchy/
gcc -o passes/hierarchy/hierarchy.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/hierarchy/hierarchy.cc
mkdir -p passes/hierarchy/
gcc -o passes/hierarchy/uniquify.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/hierarchy/uniquify.cc
mkdir -p passes/hierarchy/
gcc -o passes/hierarchy/submod.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/hierarchy/submod.cc
mkdir -p passes/memory/
gcc -o passes/memory/memory.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory.cc
mkdir -p passes/memory/
gcc -o passes/memory/memory_dff.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_dff.cc
mkdir -p passes/memory/
gcc -o passes/memory/memory_share.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_share.cc
mkdir -p passes/memory/
gcc -o passes/memory/memory_collect.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_collect.cc
mkdir -p passes/memory/
gcc -o passes/memory/memory_unpack.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_unpack.cc
mkdir -p passes/memory/
gcc -o passes/memory/memory_bram.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_bram.cc
mkdir -p passes/memory/
gcc -o passes/memory/memory_map.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_map.cc
mkdir -p passes/memory/
gcc -o passes/memory/memory_memx.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_memx.cc
mkdir -p passes/memory/
gcc -o passes/memory/memory_nordff.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_nordff.cc
mkdir -p passes/memory/
gcc -o passes/memory/memory_narrow.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_narrow.cc
mkdir -p passes/memory/
gcc -o passes/memory/memory_libmap.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_libmap.cc
mkdir -p passes/memory/
gcc -o passes/memory/memory_bmux2rom.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_bmux2rom.cc
mkdir -p passes/memory/
gcc -o passes/memory/memlib.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memlib.cc
mkdir -p passes/opt/
gcc -o passes/opt/opt.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt.cc
mkdir -p passes/opt/
gcc -o passes/opt/opt_merge.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_merge.cc
mkdir -p passes/opt/
gcc -o passes/opt/opt_mem.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_mem.cc
mkdir -p passes/opt/
gcc -o passes/opt/opt_mem_feedback.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_mem_feedback.cc
mkdir -p passes/opt/
gcc -o passes/opt/opt_mem_priority.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_mem_priority.cc
mkdir -p passes/opt/
gcc -o passes/opt/opt_mem_widen.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_mem_widen.cc
mkdir -p passes/opt/
gcc -o passes/opt/opt_muxtree.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_muxtree.cc
mkdir -p passes/opt/
gcc -o passes/opt/opt_reduce.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_reduce.cc
mkdir -p passes/opt/
gcc -o passes/opt/opt_dff.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_dff.cc
mkdir -p passes/opt/
gcc -o passes/opt/opt_share.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_share.cc
mkdir -p passes/opt/
gcc -o passes/opt/opt_clean.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_clean.cc
mkdir -p passes/opt/
gcc -o passes/opt/opt_expr.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_expr.cc
mkdir -p passes/opt/
gcc -o passes/opt/share.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/share.cc
mkdir -p passes/opt/
gcc -o passes/opt/wreduce.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/wreduce.cc
mkdir -p passes/opt/
gcc -o passes/opt/opt_demorgan.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_demorgan.cc
mkdir -p passes/opt/
gcc -o passes/opt/rmports.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/rmports.cc
mkdir -p passes/opt/
gcc -o passes/opt/opt_lut.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_lut.cc
mkdir -p passes/opt/
gcc -o passes/opt/opt_lut_ins.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_lut_ins.cc
mkdir -p passes/opt/
gcc -o passes/opt/opt_ffinv.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_ffinv.cc
mkdir -p passes/opt/
gcc -o passes/opt/pmux2shiftx.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/pmux2shiftx.cc
mkdir -p passes/opt/
gcc -o passes/opt/muxpack.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/muxpack.cc
mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/test_pmgen_pm.h -p test_pmgen passes/pmgen/test_pmgen.pmg
mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/ice40_dsp_pm.h -p ice40_dsp passes/pmgen/ice40_dsp.pmg
mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/peepopt_pm.h -p peepopt passes/pmgen/peepopt_shiftmul.pmg passes/pmgen/peepopt_muldiv.pmg
mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/xilinx_srl_pm.h -p xilinx_srl passes/pmgen/xilinx_srl.pmg
mkdir -p passes/pmgen/
gcc -o passes/pmgen/ice40_dsp.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/ice40_dsp.cc
mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/ice40_wrapcarry_pm.h -p ice40_wrapcarry passes/pmgen/ice40_wrapcarry.pmg
mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/xilinx_dsp_pm.h -p xilinx_dsp passes/pmgen/xilinx_dsp.pmg
mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/xilinx_dsp48a_pm.h -p xilinx_dsp48a passes/pmgen/xilinx_dsp48a.pmg
mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/xilinx_dsp_CREG_pm.h -p xilinx_dsp_CREG passes/pmgen/xilinx_dsp_CREG.pmg
mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/xilinx_dsp_cascade_pm.h -p xilinx_dsp_cascade passes/pmgen/xilinx_dsp_cascade.pmg
mkdir -p passes/pmgen/
gcc -o passes/pmgen/peepopt.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/peepopt.cc
mkdir -p passes/pmgen/
gcc -o passes/pmgen/xilinx_srl.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/xilinx_srl.cc
mkdir -p passes/proc/
gcc -o passes/proc/proc.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc.cc
mkdir -p passes/proc/
gcc -o passes/proc/proc_prune.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_prune.cc
mkdir -p passes/proc/
gcc -o passes/proc/proc_clean.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_clean.cc
mkdir -p passes/proc/
gcc -o passes/proc/proc_rmdead.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_rmdead.cc
mkdir -p passes/proc/
gcc -o passes/proc/proc_init.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_init.cc
mkdir -p passes/proc/
gcc -o passes/proc/proc_arst.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_arst.cc
mkdir -p passes/proc/
gcc -o passes/proc/proc_rom.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_rom.cc
mkdir -p passes/proc/
gcc -o passes/proc/proc_mux.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_mux.cc
mkdir -p passes/proc/
gcc -o passes/proc/proc_dlatch.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_dlatch.cc
mkdir -p passes/proc/
gcc -o passes/proc/proc_dff.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_dff.cc
mkdir -p passes/proc/
gcc -o passes/proc/proc_memwr.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_memwr.cc
mkdir -p passes/sat/
gcc -o passes/sat/sat.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/sat.cc
mkdir -p passes/sat/
gcc -o passes/sat/freduce.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/freduce.cc
mkdir -p passes/sat/
gcc -o passes/sat/eval.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/eval.cc
mkdir -p passes/sat/
gcc -o passes/sat/sim.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/sim.cc
mkdir -p passes/sat/
gcc -o passes/sat/miter.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/miter.cc
mkdir -p passes/sat/
gcc -o passes/sat/expose.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/expose.cc
mkdir -p passes/sat/
gcc -o passes/sat/assertpmux.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/assertpmux.cc
mkdir -p passes/sat/
gcc -o passes/sat/clk2fflogic.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/clk2fflogic.cc
mkdir -p passes/sat/
gcc -o passes/sat/async2sync.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/async2sync.cc
mkdir -p passes/sat/
gcc -o passes/sat/formalff.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/formalff.cc
mkdir -p passes/sat/
gcc -o passes/sat/supercover.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/supercover.cc
mkdir -p passes/sat/
gcc -o passes/sat/fmcombine.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/fmcombine.cc
mkdir -p passes/sat/
gcc -o passes/sat/mutate.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/mutate.cc
mkdir -p passes/sat/
gcc -o passes/sat/cutpoint.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/cutpoint.cc
mkdir -p passes/sat/
gcc -o passes/sat/fminit.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/fminit.cc
mkdir -p passes/sat/
gcc -o passes/sat/qbfsat.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/qbfsat.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/flatten.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/flatten.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/techmap.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/techmap.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/simplemap.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/simplemap.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/dfflibmap.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dfflibmap.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/maccmap.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/maccmap.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/libparse.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/libparse.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/abc.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DABCEXTERNAL='"/usr/bin/abc"' passes/techmap/abc.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/abc9.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DABCEXTERNAL='"/usr/bin/abc"' passes/techmap/abc9.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/abc9_exe.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DABCEXTERNAL='"/usr/bin/abc"' passes/techmap/abc9_exe.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/abc9_ops.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/abc9_ops.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/iopadmap.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/iopadmap.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/clkbufmap.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/clkbufmap.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/hilomap.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/hilomap.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/extract.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/extract_fa.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract_fa.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/extract_counter.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract_counter.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/extract_reduce.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract_reduce.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/alumacc.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/alumacc.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/dffinit.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dffinit.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/pmuxtree.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/pmuxtree.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/bmuxmap.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/bmuxmap.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/demuxmap.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/demuxmap.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/muxcover.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/muxcover.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/aigmap.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/aigmap.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/tribuf.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/tribuf.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/lut2mux.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/lut2mux.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/nlutmap.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/nlutmap.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/shregmap.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/shregmap.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/deminout.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/deminout.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/insbuf.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/insbuf.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/attrmvcp.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/attrmvcp.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/attrmap.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/attrmap.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/zinit.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/zinit.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/dfflegalize.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dfflegalize.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/dffunmap.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dffunmap.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/flowmap.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/flowmap.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/extractinv.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extractinv.cc
mkdir -p passes/tests/
gcc -o passes/tests/test_autotb.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/tests/test_autotb.cc
mkdir -p passes/tests/
gcc -o passes/tests/test_cell.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/tests/test_cell.cc
mkdir -p passes/tests/
gcc -o passes/tests/test_abcloop.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/tests/test_abcloop.cc
mkdir -p backends/aiger/
gcc -o backends/aiger/aiger.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/aiger/aiger.cc
mkdir -p backends/aiger/
gcc -o backends/aiger/xaiger.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/aiger/xaiger.cc
mkdir -p backends/blif/
gcc -o backends/blif/blif.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/blif/blif.cc
mkdir -p backends/btor/
gcc -o backends/btor/btor.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/btor/btor.cc
mkdir -p backends/cxxrtl/
gcc -o backends/cxxrtl/cxxrtl_backend.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/cxxrtl/cxxrtl_backend.cc
mkdir -p backends/edif/
gcc -o backends/edif/edif.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/edif/edif.cc
mkdir -p backends/firrtl/
gcc -o backends/firrtl/firrtl.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/firrtl/firrtl.cc
mkdir -p backends/intersynth/
gcc -o backends/intersynth/intersynth.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/intersynth/intersynth.cc
mkdir -p backends/jny/
gcc -o backends/jny/jny.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/jny/jny.cc
mkdir -p backends/json/
gcc -o backends/json/json.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/json/json.cc
mkdir -p backends/rtlil/
gcc -o backends/rtlil/rtlil_backend.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/rtlil/rtlil_backend.cc
mkdir -p backends/simplec/
gcc -o backends/simplec/simplec.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/simplec/simplec.cc
mkdir -p backends/smt2/
gcc -o backends/smt2/smt2.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/smt2/smt2.cc
mkdir -p backends/smv/
gcc -o backends/smv/smv.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/smv/smv.cc
mkdir -p backends/spice/
gcc -o backends/spice/spice.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/spice/spice.cc
mkdir -p backends/table/
gcc -o backends/table/table.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/table/table.cc
mkdir -p backends/verilog/
gcc -o backends/verilog/verilog_backend.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/verilog/verilog_backend.cc
mkdir -p techlibs/achronix/
gcc -o techlibs/achronix/synth_achronix.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/achronix/synth_achronix.cc
mkdir -p techlibs/anlogic/
gcc -o techlibs/anlogic/synth_anlogic.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/anlogic/synth_anlogic.cc
mkdir -p techlibs/anlogic/
gcc -o techlibs/anlogic/anlogic_eqn.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/anlogic/anlogic_eqn.cc
mkdir -p techlibs/anlogic/
gcc -o techlibs/anlogic/anlogic_fixcarry.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/anlogic/anlogic_fixcarry.cc
mkdir -p techlibs/common/
gcc -o techlibs/common/synth.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/common/synth.cc
mkdir -p techlibs/common/
gcc -o techlibs/common/prep.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/common/prep.cc
mkdir -p techlibs/coolrunner2/
gcc -o techlibs/coolrunner2/synth_coolrunner2.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/coolrunner2/synth_coolrunner2.cc
mkdir -p techlibs/coolrunner2/
gcc -o techlibs/coolrunner2/coolrunner2_sop.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/coolrunner2/coolrunner2_sop.cc
mkdir -p techlibs/coolrunner2/
gcc -o techlibs/coolrunner2/coolrunner2_fixup.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/coolrunner2/coolrunner2_fixup.cc
mkdir -p techlibs/easic/
gcc -o techlibs/easic/synth_easic.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/easic/synth_easic.cc
mkdir -p techlibs/ecp5/
gcc -o techlibs/ecp5/synth_ecp5.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ecp5/synth_ecp5.cc
mkdir -p techlibs/ecp5/
gcc -o techlibs/ecp5/ecp5_gsr.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ecp5/ecp5_gsr.cc
mkdir -p techlibs/efinix/
gcc -o techlibs/efinix/synth_efinix.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/efinix/synth_efinix.cc
mkdir -p techlibs/efinix/
gcc -o techlibs/efinix/efinix_fixcarry.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/efinix/efinix_fixcarry.cc
mkdir -p techlibs/fabulous/
gcc -o techlibs/fabulous/synth_fabulous.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/fabulous/synth_fabulous.cc
mkdir -p techlibs/gatemate/
gcc -o techlibs/gatemate/synth_gatemate.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/gatemate/synth_gatemate.cc
mkdir -p techlibs/gatemate/
gcc -o techlibs/gatemate/gatemate_foldinv.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/gatemate/gatemate_foldinv.cc
mkdir -p techlibs/gowin/
gcc -o techlibs/gowin/synth_gowin.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/gowin/synth_gowin.cc
mkdir -p techlibs/greenpak4/
gcc -o techlibs/greenpak4/synth_greenpak4.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/greenpak4/synth_greenpak4.cc
mkdir -p techlibs/greenpak4/
gcc -o techlibs/greenpak4/greenpak4_dffinv.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/greenpak4/greenpak4_dffinv.cc
mkdir -p techlibs/ice40/
gcc -o techlibs/ice40/synth_ice40.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/synth_ice40.cc
mkdir -p techlibs/ice40/
gcc -o techlibs/ice40/ice40_braminit.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/ice40_braminit.cc
mkdir -p techlibs/ice40/
gcc -o techlibs/ice40/ice40_opt.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/ice40_opt.cc
mkdir -p techlibs/intel/
gcc -o techlibs/intel/synth_intel.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/intel/synth_intel.cc
mkdir -p techlibs/intel_alm/
gcc -o techlibs/intel_alm/synth_intel_alm.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/intel_alm/synth_intel_alm.cc
mkdir -p techlibs/machxo2/
gcc -o techlibs/machxo2/synth_machxo2.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/machxo2/synth_machxo2.cc
mkdir -p techlibs/nexus/
gcc -o techlibs/nexus/synth_nexus.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/nexus/synth_nexus.cc
mkdir -p techlibs/quicklogic/
gcc -o techlibs/quicklogic/synth_quicklogic.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/quicklogic/synth_quicklogic.cc
mkdir -p techlibs/sf2/
gcc -o techlibs/sf2/synth_sf2.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/sf2/synth_sf2.cc
mkdir -p techlibs/xilinx/
gcc -o techlibs/xilinx/synth_xilinx.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/xilinx/synth_xilinx.cc
mkdir -p techlibs/xilinx/
gcc -o techlibs/xilinx/xilinx_dffopt.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/xilinx/xilinx_dffopt.cc
sed -e 's#@CXXFLAGS@#-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I"/usr/include/yosys" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER#;' \
		-e 's#@CXX@#gcc#;' -e 's#@LDFLAGS@#-Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -rdynamic#;' -e 's#@LDLIBS@#-lstdc++ -lm -lrt -lreadline -L/usr/lib64/../lib64 -lffi -ldl -lz -ltcl8.6 -ltclstub8.6#;' \
		-e 's#@BINDIR@#/usr/bin#;' -e 's#@DATDIR@#/usr/share/yosys#;' < misc/yosys-config.in > yosys-config
chmod +x yosys-config
mkdir -p passes/techmap/
gcc -o passes/techmap/filterlib.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/filterlib.cc
sed 's|##yosys-sys-path##|sys.path += [os.path.dirname(os.path.realpath(__file__)) + p for p in ["/share/python3", "/../share/yosys/python3"]]|;' < backends/smt2/smtbmc.py > yosys-smtbmc.new
chmod +x yosys-smtbmc.new
mv yosys-smtbmc.new yosys-smtbmc
sed 's|##yosys-sys-path##|sys.path += [os.path.dirname(os.path.realpath(__file__)) + p for p in ["/share/python3", "/../share/yosys/python3"]]|;' < backends/smt2/witness.py > yosys-witness.new
chmod +x yosys-witness.new
mv yosys-witness.new yosys-witness
mkdir -p share/include/kernel/
cp "./"/kernel/yosys.h share/include/kernel/yosys.h
mkdir -p share/include/kernel/
cp "./"/kernel/hashlib.h share/include/kernel/hashlib.h
mkdir -p share/include/kernel/
cp "./"/kernel/log.h share/include/kernel/log.h
mkdir -p share/include/kernel/
cp "./"/kernel/rtlil.h share/include/kernel/rtlil.h
mkdir -p share/include/kernel/
cp "./"/kernel/binding.h share/include/kernel/binding.h
mkdir -p share/include/kernel/
cp "./"/kernel/register.h share/include/kernel/register.h
mkdir -p share/include/kernel/
cp "./"/kernel/celltypes.h share/include/kernel/celltypes.h
mkdir -p share/include/kernel/
cp "./"/kernel/celledges.h share/include/kernel/celledges.h
mkdir -p share/include/kernel/
cp "./"/kernel/consteval.h share/include/kernel/consteval.h
mkdir -p share/include/kernel/
cp "./"/kernel/constids.inc share/include/kernel/constids.inc
mkdir -p share/include/kernel/
cp "./"/kernel/sigtools.h share/include/kernel/sigtools.h
mkdir -p share/include/kernel/
cp "./"/kernel/modtools.h share/include/kernel/modtools.h
mkdir -p share/include/kernel/
cp "./"/kernel/macc.h share/include/kernel/macc.h
mkdir -p share/include/kernel/
cp "./"/kernel/utils.h share/include/kernel/utils.h
mkdir -p share/include/kernel/
cp "./"/kernel/satgen.h share/include/kernel/satgen.h
mkdir -p share/include/kernel/
cp "./"/kernel/qcsat.h share/include/kernel/qcsat.h
mkdir -p share/include/kernel/
cp "./"/kernel/ff.h share/include/kernel/ff.h
mkdir -p share/include/kernel/
cp "./"/kernel/ffinit.h share/include/kernel/ffinit.h
mkdir -p share/include/kernel/
cp "./"/kernel/fstdata.h share/include/kernel/fstdata.h
mkdir -p share/include/kernel/
cp "./"/kernel/mem.h share/include/kernel/mem.h
mkdir -p share/include/libs/ezsat/
cp "./"/libs/ezsat/ezsat.h share/include/libs/ezsat/ezsat.h
mkdir -p share/include/libs/ezsat/
cp "./"/libs/ezsat/ezminisat.h share/include/libs/ezsat/ezminisat.h
mkdir -p share/include/libs/fst/
cp "./"/libs/fst/fstapi.h share/include/libs/fst/fstapi.h
mkdir -p share/include/libs/sha1/
cp "./"/libs/sha1/sha1.h share/include/libs/sha1/sha1.h
mkdir -p share/include/libs/json11/
cp "./"/libs/json11/json11.hpp share/include/libs/json11/json11.hpp
mkdir -p share/include/passes/fsm/
cp "./"/passes/fsm/fsmdata.h share/include/passes/fsm/fsmdata.h
mkdir -p share/include/frontends/ast/
cp "./"/frontends/ast/ast.h share/include/frontends/ast/ast.h
mkdir -p share/include/frontends/ast/
cp "./"/frontends/ast/ast_binding.h share/include/frontends/ast/ast_binding.h
mkdir -p share/include/frontends/blif/
cp "./"/frontends/blif/blifparse.h share/include/frontends/blif/blifparse.h
mkdir -p share/include/backends/rtlil/
cp "./"/backends/rtlil/rtlil_backend.h share/include/backends/rtlil/rtlil_backend.h
mkdir -p share/include/backends/cxxrtl/
cp "./"/backends/cxxrtl/cxxrtl.h share/include/backends/cxxrtl/cxxrtl.h
mkdir -p share/include/backends/cxxrtl/
cp "./"/backends/cxxrtl/cxxrtl_vcd.h share/include/backends/cxxrtl/cxxrtl_vcd.h
mkdir -p share/include/backends/cxxrtl/
cp "./"/backends/cxxrtl/cxxrtl_capi.cc share/include/backends/cxxrtl/cxxrtl_capi.cc
mkdir -p share/include/backends/cxxrtl/
cp "./"/backends/cxxrtl/cxxrtl_capi.h share/include/backends/cxxrtl/cxxrtl_capi.h
mkdir -p share/include/backends/cxxrtl/
cp "./"/backends/cxxrtl/cxxrtl_vcd_capi.cc share/include/backends/cxxrtl/cxxrtl_vcd_capi.cc
mkdir -p share/include/backends/cxxrtl/
cp "./"/backends/cxxrtl/cxxrtl_vcd_capi.h share/include/backends/cxxrtl/cxxrtl_vcd_capi.h
mkdir -p share/python3
cp "./"/backends/smt2/smtio.py share/python3/smtio.py
mkdir -p share/python3
cp "./"/backends/smt2/ywio.py share/python3/ywio.py
mkdir -p share/achronix/speedster22i/
cp "./"/techlibs/achronix/speedster22i/cells_sim.v share/achronix/speedster22i/cells_sim.v
mkdir -p share/achronix/speedster22i/
cp "./"/techlibs/achronix/speedster22i/cells_map.v share/achronix/speedster22i/cells_map.v
mkdir -p share/anlogic
cp "./"/techlibs/anlogic/cells_map.v share/anlogic/cells_map.v
mkdir -p share/anlogic
cp "./"/techlibs/anlogic/arith_map.v share/anlogic/arith_map.v
mkdir -p share/anlogic
cp "./"/techlibs/anlogic/cells_sim.v share/anlogic/cells_sim.v
mkdir -p share/anlogic
cp "./"/techlibs/anlogic/eagle_bb.v share/anlogic/eagle_bb.v
mkdir -p share/anlogic
cp "./"/techlibs/anlogic/lutrams.txt share/anlogic/lutrams.txt
mkdir -p share/anlogic
cp "./"/techlibs/anlogic/lutrams_map.v share/anlogic/lutrams_map.v
mkdir -p share/anlogic
cp "./"/techlibs/anlogic/brams.txt share/anlogic/brams.txt
mkdir -p share/anlogic
cp "./"/techlibs/anlogic/brams_map.v share/anlogic/brams_map.v
mkdir -p share
cp "./"/techlibs/common/simlib.v share/simlib.v
mkdir -p share
cp "./"/techlibs/common/simcells.v share/simcells.v
mkdir -p share
cp "./"/techlibs/common/techmap.v share/techmap.v
mkdir -p share
cp "./"/techlibs/common/smtmap.v share/smtmap.v
mkdir -p share
cp "./"/techlibs/common/pmux2mux.v share/pmux2mux.v
mkdir -p share
cp "./"/techlibs/common/adff2dff.v share/adff2dff.v
mkdir -p share
cp "./"/techlibs/common/dff2ff.v share/dff2ff.v
mkdir -p share
cp "./"/techlibs/common/gate2lut.v share/gate2lut.v
mkdir -p share
cp "./"/techlibs/common/cmp2lut.v share/cmp2lut.v
mkdir -p share
cp "./"/techlibs/common/cells.lib share/cells.lib
mkdir -p share
cp "./"/techlibs/common/mul2dsp.v share/mul2dsp.v
mkdir -p share
cp "./"/techlibs/common/abc9_model.v share/abc9_model.v
mkdir -p share
cp "./"/techlibs/common/abc9_map.v share/abc9_map.v
mkdir -p share
cp "./"/techlibs/common/abc9_unmap.v share/abc9_unmap.v
mkdir -p share
cp "./"/techlibs/common/cmp2lcu.v share/cmp2lcu.v
mkdir -p share/coolrunner2
cp "./"/techlibs/coolrunner2/cells_latch.v share/coolrunner2/cells_latch.v
mkdir -p share/coolrunner2
cp "./"/techlibs/coolrunner2/cells_sim.v share/coolrunner2/cells_sim.v
mkdir -p share/coolrunner2
cp "./"/techlibs/coolrunner2/cells_counter_map.v share/coolrunner2/cells_counter_map.v
mkdir -p share/coolrunner2
cp "./"/techlibs/coolrunner2/tff_extract.v share/coolrunner2/tff_extract.v
mkdir -p share/coolrunner2
cp "./"/techlibs/coolrunner2/xc2_dff.lib share/coolrunner2/xc2_dff.lib
mkdir -p share/ecp5
cp "./"/techlibs/ecp5/cells_ff.vh share/ecp5/cells_ff.vh
mkdir -p share/ecp5
cp "./"/techlibs/ecp5/cells_io.vh share/ecp5/cells_io.vh
mkdir -p share/ecp5
cp "./"/techlibs/ecp5/cells_map.v share/ecp5/cells_map.v
mkdir -p share/ecp5
cp "./"/techlibs/ecp5/cells_sim.v share/ecp5/cells_sim.v
mkdir -p share/ecp5
cp "./"/techlibs/ecp5/cells_bb.v share/ecp5/cells_bb.v
mkdir -p share/ecp5
cp "./"/techlibs/ecp5/lutrams_map.v share/ecp5/lutrams_map.v
mkdir -p share/ecp5
cp "./"/techlibs/ecp5/lutrams.txt share/ecp5/lutrams.txt
mkdir -p share/ecp5
cp "./"/techlibs/ecp5/brams_map.v share/ecp5/brams_map.v
mkdir -p share/ecp5
cp "./"/techlibs/ecp5/brams.txt share/ecp5/brams.txt
mkdir -p share/ecp5
cp "./"/techlibs/ecp5/arith_map.v share/ecp5/arith_map.v
mkdir -p share/ecp5
cp "./"/techlibs/ecp5/latches_map.v share/ecp5/latches_map.v
mkdir -p share/ecp5
cp "./"/techlibs/ecp5/dsp_map.v share/ecp5/dsp_map.v
mkdir -p share/efinix
cp "./"/techlibs/efinix/cells_map.v share/efinix/cells_map.v
mkdir -p share/efinix
cp "./"/techlibs/efinix/arith_map.v share/efinix/arith_map.v
mkdir -p share/efinix
cp "./"/techlibs/efinix/cells_sim.v share/efinix/cells_sim.v
mkdir -p share/efinix
cp "./"/techlibs/efinix/brams_map.v share/efinix/brams_map.v
mkdir -p share/efinix
cp "./"/techlibs/efinix/gbuf_map.v share/efinix/gbuf_map.v
mkdir -p share/efinix
cp "./"/techlibs/efinix/brams.txt share/efinix/brams.txt
mkdir -p share/fabulous
cp "./"/techlibs/fabulous/cells_map.v share/fabulous/cells_map.v
mkdir -p share/fabulous
cp "./"/techlibs/fabulous/prims.v share/fabulous/prims.v
mkdir -p share/fabulous
cp "./"/techlibs/fabulous/latches_map.v share/fabulous/latches_map.v
mkdir -p share/fabulous
cp "./"/techlibs/fabulous/ff_map.v share/fabulous/ff_map.v
mkdir -p share/fabulous
cp "./"/techlibs/fabulous/ram_regfile.txt share/fabulous/ram_regfile.txt
mkdir -p share/fabulous
cp "./"/techlibs/fabulous/regfile_map.v share/fabulous/regfile_map.v
mkdir -p share/fabulous
cp "./"/techlibs/fabulous/io_map.v share/fabulous/io_map.v
mkdir -p share/gatemate
cp "./"/techlibs/gatemate/reg_map.v share/gatemate/reg_map.v
mkdir -p share/gatemate
cp "./"/techlibs/gatemate/mux_map.v share/gatemate/mux_map.v
mkdir -p share/gatemate
cp "./"/techlibs/gatemate/lut_map.v share/gatemate/lut_map.v
mkdir -p share/gatemate
cp "./"/techlibs/gatemate/mul_map.v share/gatemate/mul_map.v
mkdir -p share/gatemate
cp "./"/techlibs/gatemate/arith_map.v share/gatemate/arith_map.v
mkdir -p share/gatemate
cp "./"/techlibs/gatemate/cells_sim.v share/gatemate/cells_sim.v
mkdir -p share/gatemate
cp "./"/techlibs/gatemate/cells_bb.v share/gatemate/cells_bb.v
mkdir -p share/gatemate
cp "./"/techlibs/gatemate/brams_map.v share/gatemate/brams_map.v
mkdir -p share/gatemate
cp "./"/techlibs/gatemate/brams.txt share/gatemate/brams.txt
mkdir -p share/gatemate
cp "./"/techlibs/gatemate/brams_init_20.vh share/gatemate/brams_init_20.vh
mkdir -p share/gatemate
cp "./"/techlibs/gatemate/brams_init_40.vh share/gatemate/brams_init_40.vh
mkdir -p share/gatemate
cp "./"/techlibs/gatemate/inv_map.v share/gatemate/inv_map.v
mkdir -p techlibs/gatemate
python3 techlibs/gatemate/make_lut_tree_lib.py
touch techlibs/gatemate/lut_tree_lib.mk
mkdir -p share/gowin
cp "./"/techlibs/gowin/cells_map.v share/gowin/cells_map.v
mkdir -p share/gowin
cp "./"/techlibs/gowin/cells_sim.v share/gowin/cells_sim.v
mkdir -p share/gowin
cp "./"/techlibs/gowin/arith_map.v share/gowin/arith_map.v
mkdir -p share/gowin
cp "./"/techlibs/gowin/brams_map.v share/gowin/brams_map.v
mkdir -p share/gowin
cp "./"/techlibs/gowin/brams.txt share/gowin/brams.txt
mkdir -p share/gowin
cp "./"/techlibs/gowin/lutrams_map.v share/gowin/lutrams_map.v
mkdir -p share/gowin
cp "./"/techlibs/gowin/lutrams.txt share/gowin/lutrams.txt
mkdir -p share/greenpak4
cp "./"/techlibs/greenpak4/cells_blackbox.v share/greenpak4/cells_blackbox.v
mkdir -p share/greenpak4
cp "./"/techlibs/greenpak4/cells_latch.v share/greenpak4/cells_latch.v
mkdir -p share/greenpak4
cp "./"/techlibs/greenpak4/cells_map.v share/greenpak4/cells_map.v
mkdir -p share/greenpak4
cp "./"/techlibs/greenpak4/cells_sim.v share/greenpak4/cells_sim.v
mkdir -p share/greenpak4
cp "./"/techlibs/greenpak4/cells_sim_ams.v share/greenpak4/cells_sim_ams.v
mkdir -p share/greenpak4
cp "./"/techlibs/greenpak4/cells_sim_digital.v share/greenpak4/cells_sim_digital.v
mkdir -p share/greenpak4
cp "./"/techlibs/greenpak4/cells_sim_wip.v share/greenpak4/cells_sim_wip.v
mkdir -p share/greenpak4
cp "./"/techlibs/greenpak4/gp_dff.lib share/greenpak4/gp_dff.lib
mkdir -p share/ice40
cp "./"/techlibs/ice40/arith_map.v share/ice40/arith_map.v
mkdir -p share/ice40
cp "./"/techlibs/ice40/cells_map.v share/ice40/cells_map.v
mkdir -p share/ice40
cp "./"/techlibs/ice40/ff_map.v share/ice40/ff_map.v
mkdir -p share/ice40
cp "./"/techlibs/ice40/cells_sim.v share/ice40/cells_sim.v
mkdir -p share/ice40
cp "./"/techlibs/ice40/latches_map.v share/ice40/latches_map.v
mkdir -p share/ice40
cp "./"/techlibs/ice40/brams.txt share/ice40/brams.txt
mkdir -p share/ice40
cp "./"/techlibs/ice40/brams_map.v share/ice40/brams_map.v
mkdir -p share/ice40
cp "./"/techlibs/ice40/spram.txt share/ice40/spram.txt
mkdir -p share/ice40
cp "./"/techlibs/ice40/spram_map.v share/ice40/spram_map.v
mkdir -p share/ice40
cp "./"/techlibs/ice40/dsp_map.v share/ice40/dsp_map.v
mkdir -p share/ice40
cp "./"/techlibs/ice40/abc9_model.v share/ice40/abc9_model.v
mkdir -p share/intel/common
cp "./"/techlibs/intel/common/m9k_bb.v share/intel/common/m9k_bb.v
mkdir -p share/intel/common
cp "./"/techlibs/intel/common/altpll_bb.v share/intel/common/altpll_bb.v
mkdir -p share/intel/common
cp "./"/techlibs/intel/common/brams_m9k.txt share/intel/common/brams_m9k.txt
mkdir -p share/intel/common
cp "./"/techlibs/intel/common/brams_map_m9k.v share/intel/common/brams_map_m9k.v
mkdir -p share/intel/common
cp "./"/techlibs/intel/common/ff_map.v share/intel/common/ff_map.v
mkdir -p share/intel/max10
cp "./"/techlibs/intel/max10/cells_sim.v share/intel/max10/cells_sim.v
mkdir -p share/intel/cyclone10lp
cp "./"/techlibs/intel/cyclone10lp/cells_sim.v share/intel/cyclone10lp/cells_sim.v
mkdir -p share/intel/cycloneiv
cp "./"/techlibs/intel/cycloneiv/cells_sim.v share/intel/cycloneiv/cells_sim.v
mkdir -p share/intel/cycloneive
cp "./"/techlibs/intel/cycloneive/cells_sim.v share/intel/cycloneive/cells_sim.v
mkdir -p share/intel/max10
cp "./"/techlibs/intel/max10/cells_map.v share/intel/max10/cells_map.v
mkdir -p share/intel/cyclone10lp
cp "./"/techlibs/intel/cyclone10lp/cells_map.v share/intel/cyclone10lp/cells_map.v
mkdir -p share/intel/cycloneiv
cp "./"/techlibs/intel/cycloneiv/cells_map.v share/intel/cycloneiv/cells_map.v
mkdir -p share/intel/cycloneive
cp "./"/techlibs/intel/cycloneive/cells_map.v share/intel/cycloneive/cells_map.v
mkdir -p share/intel_alm/common
cp "./"/techlibs/intel_alm/common/abc9_map.v share/intel_alm/common/abc9_map.v
mkdir -p share/intel_alm/common
cp "./"/techlibs/intel_alm/common/abc9_unmap.v share/intel_alm/common/abc9_unmap.v
mkdir -p share/intel_alm/common
cp "./"/techlibs/intel_alm/common/abc9_model.v share/intel_alm/common/abc9_model.v
mkdir -p share/intel_alm/common
cp "./"/techlibs/intel_alm/common/alm_map.v share/intel_alm/common/alm_map.v
mkdir -p share/intel_alm/common
cp "./"/techlibs/intel_alm/common/alm_sim.v share/intel_alm/common/alm_sim.v
mkdir -p share/intel_alm/common
cp "./"/techlibs/intel_alm/common/arith_alm_map.v share/intel_alm/common/arith_alm_map.v
mkdir -p share/intel_alm/common
cp "./"/techlibs/intel_alm/common/dff_map.v share/intel_alm/common/dff_map.v
mkdir -p share/intel_alm/common
cp "./"/techlibs/intel_alm/common/dff_sim.v share/intel_alm/common/dff_sim.v
mkdir -p share/intel_alm/common
cp "./"/techlibs/intel_alm/common/dsp_sim.v share/intel_alm/common/dsp_sim.v
mkdir -p share/intel_alm/common
cp "./"/techlibs/intel_alm/common/dsp_map.v share/intel_alm/common/dsp_map.v
mkdir -p share/intel_alm/common
cp "./"/techlibs/intel_alm/common/mem_sim.v share/intel_alm/common/mem_sim.v
mkdir -p share/intel_alm/common
cp "./"/techlibs/intel_alm/common/misc_sim.v share/intel_alm/common/misc_sim.v
mkdir -p share/intel_alm/cyclonev
cp "./"/techlibs/intel_alm/cyclonev/cells_sim.v share/intel_alm/cyclonev/cells_sim.v
mkdir -p share/intel_alm/common
cp "./"/techlibs/intel_alm/common/bram_m10k.txt share/intel_alm/common/bram_m10k.txt
mkdir -p share/intel_alm/common
cp "./"/techlibs/intel_alm/common/bram_m10k_map.v share/intel_alm/common/bram_m10k_map.v
mkdir -p share/intel_alm/common
cp "./"/techlibs/intel_alm/common/bram_m20k.txt share/intel_alm/common/bram_m20k.txt
mkdir -p share/intel_alm/common
cp "./"/techlibs/intel_alm/common/bram_m20k_map.v share/intel_alm/common/bram_m20k_map.v
mkdir -p share/intel_alm/common
cp "./"/techlibs/intel_alm/common/lutram_mlab.txt share/intel_alm/common/lutram_mlab.txt
mkdir -p share/intel_alm/common
cp "./"/techlibs/intel_alm/common/megafunction_bb.v share/intel_alm/common/megafunction_bb.v
mkdir -p share/intel_alm/common
cp "./"/techlibs/intel_alm/common/quartus_rename.v share/intel_alm/common/quartus_rename.v
mkdir -p share/machxo2
cp "./"/techlibs/machxo2/cells_map.v share/machxo2/cells_map.v
mkdir -p share/machxo2
cp "./"/techlibs/machxo2/cells_sim.v share/machxo2/cells_sim.v
mkdir -p share/machxo2
cp "./"/techlibs/machxo2/lutrams.txt share/machxo2/lutrams.txt
mkdir -p share/machxo2
cp "./"/techlibs/machxo2/lutrams_map.v share/machxo2/lutrams_map.v
mkdir -p share/machxo2
cp "./"/techlibs/machxo2/brams.txt share/machxo2/brams.txt
mkdir -p share/machxo2
cp "./"/techlibs/machxo2/brams_map.v share/machxo2/brams_map.v
mkdir -p share/nexus
cp "./"/techlibs/nexus/cells_map.v share/nexus/cells_map.v
mkdir -p share/nexus
cp "./"/techlibs/nexus/cells_sim.v share/nexus/cells_sim.v
mkdir -p share/nexus
cp "./"/techlibs/nexus/parse_init.vh share/nexus/parse_init.vh
mkdir -p share/nexus
cp "./"/techlibs/nexus/cells_xtra.v share/nexus/cells_xtra.v
mkdir -p share/nexus
cp "./"/techlibs/nexus/lutrams_map.v share/nexus/lutrams_map.v
mkdir -p share/nexus
cp "./"/techlibs/nexus/lutrams.txt share/nexus/lutrams.txt
mkdir -p share/nexus
cp "./"/techlibs/nexus/brams_map.v share/nexus/brams_map.v
mkdir -p share/nexus
cp "./"/techlibs/nexus/brams.txt share/nexus/brams.txt
mkdir -p share/nexus
cp "./"/techlibs/nexus/lrams_map.v share/nexus/lrams_map.v
mkdir -p share/nexus
cp "./"/techlibs/nexus/lrams.txt share/nexus/lrams.txt
mkdir -p share/nexus
cp "./"/techlibs/nexus/arith_map.v share/nexus/arith_map.v
mkdir -p share/nexus
cp "./"/techlibs/nexus/latches_map.v share/nexus/latches_map.v
mkdir -p share/nexus
cp "./"/techlibs/nexus/dsp_map.v share/nexus/dsp_map.v
mkdir -p share/quicklogic
cp "./"/techlibs/quicklogic/pp3_ffs_map.v share/quicklogic/pp3_ffs_map.v
mkdir -p share/quicklogic
cp "./"/techlibs/quicklogic/pp3_lut_map.v share/quicklogic/pp3_lut_map.v
mkdir -p share/quicklogic
cp "./"/techlibs/quicklogic/pp3_latches_map.v share/quicklogic/pp3_latches_map.v
mkdir -p share/quicklogic
cp "./"/techlibs/quicklogic/pp3_cells_map.v share/quicklogic/pp3_cells_map.v
mkdir -p share/quicklogic
cp "./"/techlibs/quicklogic/cells_sim.v share/quicklogic/cells_sim.v
mkdir -p share/quicklogic
cp "./"/techlibs/quicklogic/lut_sim.v share/quicklogic/lut_sim.v
mkdir -p share/quicklogic
cp "./"/techlibs/quicklogic/pp3_cells_sim.v share/quicklogic/pp3_cells_sim.v
mkdir -p share/quicklogic
cp "./"/techlibs/quicklogic/abc9_model.v share/quicklogic/abc9_model.v
mkdir -p share/quicklogic
cp "./"/techlibs/quicklogic/abc9_map.v share/quicklogic/abc9_map.v
mkdir -p share/quicklogic
cp "./"/techlibs/quicklogic/abc9_unmap.v share/quicklogic/abc9_unmap.v
mkdir -p share/sf2
cp "./"/techlibs/sf2/arith_map.v share/sf2/arith_map.v
mkdir -p share/sf2
cp "./"/techlibs/sf2/cells_map.v share/sf2/cells_map.v
mkdir -p share/sf2
cp "./"/techlibs/sf2/cells_sim.v share/sf2/cells_sim.v
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/cells_map.v share/xilinx/cells_map.v
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/cells_sim.v share/xilinx/cells_sim.v
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/cells_xtra.v share/xilinx/cells_xtra.v
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/lutrams_xcv.txt share/xilinx/lutrams_xcv.txt
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/lutrams_xcv_map.v share/xilinx/lutrams_xcv_map.v
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/lutrams_xc5v.txt share/xilinx/lutrams_xc5v.txt
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/lutrams_xcu.txt share/xilinx/lutrams_xcu.txt
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/lutrams_xc5v_map.v share/xilinx/lutrams_xc5v_map.v
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/brams_xcv.txt share/xilinx/brams_xcv.txt
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/brams_xcv_map.v share/xilinx/brams_xcv_map.v
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/brams_defs.vh share/xilinx/brams_defs.vh
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/brams_xc2v.txt share/xilinx/brams_xc2v.txt
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/brams_xc2v_map.v share/xilinx/brams_xc2v_map.v
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/brams_xc3sda.txt share/xilinx/brams_xc3sda.txt
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/brams_xc3sda_map.v share/xilinx/brams_xc3sda_map.v
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/brams_xc4v.txt share/xilinx/brams_xc4v.txt
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/brams_xc4v_map.v share/xilinx/brams_xc4v_map.v
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/brams_xc5v_map.v share/xilinx/brams_xc5v_map.v
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/brams_xc6v_map.v share/xilinx/brams_xc6v_map.v
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/brams_xcu_map.v share/xilinx/brams_xcu_map.v
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/urams.txt share/xilinx/urams.txt
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/urams_map.v share/xilinx/urams_map.v
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/arith_map.v share/xilinx/arith_map.v
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/ff_map.v share/xilinx/ff_map.v
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/lut_map.v share/xilinx/lut_map.v
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/mux_map.v share/xilinx/mux_map.v
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/xc3s_mult_map.v share/xilinx/xc3s_mult_map.v
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/xc3sda_dsp_map.v share/xilinx/xc3sda_dsp_map.v
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/xc6s_dsp_map.v share/xilinx/xc6s_dsp_map.v
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/xc4v_dsp_map.v share/xilinx/xc4v_dsp_map.v
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/xc5v_dsp_map.v share/xilinx/xc5v_dsp_map.v
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/xc7_dsp_map.v share/xilinx/xc7_dsp_map.v
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/xcu_dsp_map.v share/xilinx/xcu_dsp_map.v
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/abc9_model.v share/xilinx/abc9_model.v
mkdir -p kernel/
gcc -o kernel/version_7bac1920b28.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/version_7bac1920b28.cc
mkdir -p kernel/
gcc -o kernel/register.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/register.cc
mkdir -p frontends/rtlil/
gcc -o frontends/rtlil/rtlil_parser.tab.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rtlil/rtlil_parser.tab.cc
mkdir -p frontends/rtlil/
gcc -o frontends/rtlil/rtlil_lexer.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rtlil/rtlil_lexer.cc
mkdir -p frontends/verilog/
gcc -o frontends/verilog/verilog_parser.tab.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYYMAXDEPTH=10000000 frontends/verilog/verilog_parser.tab.cc
mkdir -p frontends/verilog/
flex -o frontends/verilog/verilog_lexer.cc frontends/verilog/verilog_lexer.l
mkdir -p passes/pmgen/
gcc -o passes/pmgen/test_pmgen.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/test_pmgen.cc
mkdir -p passes/pmgen/
gcc -o passes/pmgen/ice40_wrapcarry.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/ice40_wrapcarry.cc
mkdir -p passes/pmgen/
gcc -o passes/pmgen/xilinx_dsp.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/xilinx_dsp.cc
mkdir -p ./
gcc -o yosys-filterlib -Wl,-z,relro -Wl,--as-needed  -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -rdynamic passes/techmap/filterlib.o  -lstdc++ -lm -lrt -lreadline -L/usr/lib64/../lib64 -lffi  -ldl -lz -ltcl8.6 -ltclstub8.6 
mkdir -p share/gatemate
cp techlibs/gatemate/lut_tree_cells.genlib share/gatemate/lut_tree_cells.genlib
mkdir -p share/gatemate
cp techlibs/gatemate/lut_tree_map.v share/gatemate/lut_tree_map.v
mkdir -p frontends/verilog/
gcc -o frontends/verilog/verilog_lexer.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/verilog_lexer.cc
gcc -o yosys  -Wl,-z,relro -Wl,--as-needed  -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -rdynamic kernel/version_7bac1920b28.o kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o kernel/binding.o kernel/cellaigs.o kernel/celledges.o kernel/satgen.o kernel/qcsat.o kernel/mem.o kernel/ffmerge.o kernel/ff.o kernel/fstdata.o libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o libs/sha1/sha1.o libs/json11/json11.o libs/subcircuit/subcircuit.o libs/ezsat/ezsat.o libs/ezsat/ezminisat.o libs/minisat/Options.o libs/minisat/SimpSolver.o libs/minisat/Solver.o libs/minisat/System.o libs/fst/fstapi.o libs/fst/fastlz.o libs/fst/lz4.o frontends/aiger/aigerparse.o frontends/ast/ast.o frontends/ast/simplify.o frontends/ast/genrtlil.o frontends/ast/dpicall.o frontends/ast/ast_binding.o frontends/blif/blifparse.o frontends/json/jsonparse.o frontends/liberty/liberty.o frontends/rpc/rpc_frontend.o frontends/rtlil/rtlil_parser.tab.o frontends/rtlil/rtlil_lexer.o frontends/rtlil/rtlil_frontend.o frontends/verific/verific.o frontends/verilog/verilog_parser.tab.o frontends/verilog/verilog_lexer.o frontends/verilog/preproc.o frontends/verilog/verilog_frontend.o frontends/verilog/const2ast.o passes/cmds/exec.o passes/cmds/add.o passes/cmds/delete.o passes/cmds/design.o passes/cmds/select.o passes/cmds/show.o passes/cmds/rename.o passes/cmds/autoname.o passes/cmds/connect.o passes/cmds/scatter.o passes/cmds/setundef.o passes/cmds/splitnets.o passes/cmds/stat.o passes/cmds/setattr.o passes/cmds/copy.o passes/cmds/splice.o passes/cmds/scc.o passes/cmds/glift.o passes/cmds/torder.o passes/cmds/logcmd.o passes/cmds/tee.o passes/cmds/write_file.o passes/cmds/connwrappers.o passes/cmds/cover.o passes/cmds/trace.o passes/cmds/plugin.o passes/cmds/check.o passes/cmds/qwp.o passes/cmds/edgetypes.o passes/cmds/portlist.o passes/cmds/chformal.o passes/cmds/chtype.o passes/cmds/blackbox.o passes/cmds/ltp.o passes/cmds/bugpoint.o passes/cmds/scratchpad.o passes/cmds/logger.o passes/cmds/printattrs.o passes/cmds/sta.o passes/cmds/clean_zerowidth.o passes/equiv/equiv_make.o passes/equiv/equiv_miter.o passes/equiv/equiv_simple.o passes/equiv/equiv_status.o passes/equiv/equiv_add.o passes/equiv/equiv_remove.o passes/equiv/equiv_induct.o passes/equiv/equiv_struct.o passes/equiv/equiv_purge.o passes/equiv/equiv_mark.o passes/equiv/equiv_opt.o passes/fsm/fsm.o passes/fsm/fsm_detect.o passes/fsm/fsm_extract.o passes/fsm/fsm_opt.o passes/fsm/fsm_expand.o passes/fsm/fsm_recode.o passes/fsm/fsm_info.o passes/fsm/fsm_export.o passes/fsm/fsm_map.o passes/hierarchy/hierarchy.o passes/hierarchy/uniquify.o passes/hierarchy/submod.o passes/memory/memory.o passes/memory/memory_dff.o passes/memory/memory_share.o passes/memory/memory_collect.o passes/memory/memory_unpack.o passes/memory/memory_bram.o passes/memory/memory_map.o passes/memory/memory_memx.o passes/memory/memory_nordff.o passes/memory/memory_narrow.o passes/memory/memory_libmap.o passes/memory/memory_bmux2rom.o passes/memory/memlib.o passes/opt/opt.o passes/opt/opt_merge.o passes/opt/opt_mem.o passes/opt/opt_mem_feedback.o passes/opt/opt_mem_priority.o passes/opt/opt_mem_widen.o passes/opt/opt_muxtree.o passes/opt/opt_reduce.o passes/opt/opt_dff.o passes/opt/opt_share.o passes/opt/opt_clean.o passes/opt/opt_expr.o passes/opt/share.o passes/opt/wreduce.o passes/opt/opt_demorgan.o passes/opt/rmports.o passes/opt/opt_lut.o passes/opt/opt_lut_ins.o passes/opt/opt_ffinv.o passes/opt/pmux2shiftx.o passes/opt/muxpack.o passes/pmgen/test_pmgen.o passes/pmgen/ice40_dsp.o passes/pmgen/ice40_wrapcarry.o passes/pmgen/xilinx_dsp.o passes/pmgen/peepopt.o passes/pmgen/xilinx_srl.o passes/proc/proc.o passes/proc/proc_prune.o passes/proc/proc_clean.o passes/proc/proc_rmdead.o passes/proc/proc_init.o passes/proc/proc_arst.o passes/proc/proc_rom.o passes/proc/proc_mux.o passes/proc/proc_dlatch.o passes/proc/proc_dff.o passes/proc/proc_memwr.o passes/sat/sat.o passes/sat/freduce.o passes/sat/eval.o passes/sat/sim.o passes/sat/miter.o passes/sat/expose.o passes/sat/assertpmux.o passes/sat/clk2fflogic.o passes/sat/async2sync.o passes/sat/formalff.o passes/sat/supercover.o passes/sat/fmcombine.o passes/sat/mutate.o passes/sat/cutpoint.o passes/sat/fminit.o passes/sat/qbfsat.o passes/techmap/flatten.o passes/techmap/techmap.o passes/techmap/simplemap.o passes/techmap/dfflibmap.o passes/techmap/maccmap.o passes/techmap/libparse.o passes/techmap/abc.o passes/techmap/abc9.o passes/techmap/abc9_exe.o passes/techmap/abc9_ops.o passes/techmap/iopadmap.o passes/techmap/clkbufmap.o passes/techmap/hilomap.o passes/techmap/extract.o passes/techmap/extract_fa.o passes/techmap/extract_counter.o passes/techmap/extract_reduce.o passes/techmap/alumacc.o passes/techmap/dffinit.o passes/techmap/pmuxtree.o passes/techmap/bmuxmap.o passes/techmap/demuxmap.o passes/techmap/muxcover.o passes/techmap/aigmap.o passes/techmap/tribuf.o passes/techmap/lut2mux.o passes/techmap/nlutmap.o passes/techmap/shregmap.o passes/techmap/deminout.o passes/techmap/insbuf.o passes/techmap/attrmvcp.o passes/techmap/attrmap.o passes/techmap/zinit.o passes/techmap/dfflegalize.o passes/techmap/dffunmap.o passes/techmap/flowmap.o passes/techmap/extractinv.o passes/tests/test_autotb.o passes/tests/test_cell.o passes/tests/test_abcloop.o backends/aiger/aiger.o backends/aiger/xaiger.o backends/blif/blif.o backends/btor/btor.o backends/cxxrtl/cxxrtl_backend.o backends/edif/edif.o backends/firrtl/firrtl.o backends/intersynth/intersynth.o backends/jny/jny.o backends/json/json.o backends/rtlil/rtlil_backend.o backends/simplec/simplec.o backends/smt2/smt2.o backends/smv/smv.o backends/spice/spice.o backends/table/table.o backends/verilog/verilog_backend.o techlibs/achronix/synth_achronix.o techlibs/anlogic/synth_anlogic.o techlibs/anlogic/anlogic_eqn.o techlibs/anlogic/anlogic_fixcarry.o techlibs/common/synth.o techlibs/common/prep.o techlibs/coolrunner2/synth_coolrunner2.o techlibs/coolrunner2/coolrunner2_sop.o techlibs/coolrunner2/coolrunner2_fixup.o techlibs/easic/synth_easic.o techlibs/ecp5/synth_ecp5.o techlibs/ecp5/ecp5_gsr.o techlibs/efinix/synth_efinix.o techlibs/efinix/efinix_fixcarry.o techlibs/fabulous/synth_fabulous.o techlibs/gatemate/synth_gatemate.o techlibs/gatemate/gatemate_foldinv.o techlibs/gowin/synth_gowin.o techlibs/greenpak4/synth_greenpak4.o techlibs/greenpak4/greenpak4_dffinv.o techlibs/ice40/synth_ice40.o techlibs/ice40/ice40_braminit.o techlibs/ice40/ice40_opt.o techlibs/intel/synth_intel.o techlibs/intel_alm/synth_intel_alm.o techlibs/machxo2/synth_machxo2.o techlibs/nexus/synth_nexus.o techlibs/quicklogic/synth_quicklogic.o techlibs/sf2/synth_sf2.o techlibs/xilinx/synth_xilinx.o techlibs/xilinx/xilinx_dffopt.o  -lstdc++ -lm -lrt -lreadline -L/usr/lib64/../lib64 -lffi  -ldl -lz -ltcl8.6 -ltclstub8.6 

  Build successful.

+ touch manual/empty.pdf
++ stat -c %y debian/man/yosys-smtbmc.txt
++ awk '{ print $1 }'
+ txt2man -d 2019-10-18 -t YOSYS-SMTBMC debian/man/yosys-smtbmc.txt
+ RPM_EC=0
++ jobs -p
+ exit 0
Executing(%install): /bin/sh -e /var/tmp/rpm-tmp.nkHuZ7
+ umask 022
+ cd /builddir/build/BUILD
+ '[' /builddir/build/BUILDROOT/yosys-0.25-2.20230104git7bac192.fc38.x86_64 '!=' / ']'
+ rm -rf /builddir/build/BUILDROOT/yosys-0.25-2.20230104git7bac192.fc38.x86_64
++ dirname /builddir/build/BUILDROOT/yosys-0.25-2.20230104git7bac192.fc38.x86_64
+ mkdir -p /builddir/build/BUILDROOT
+ mkdir /builddir/build/BUILDROOT/yosys-0.25-2.20230104git7bac192.fc38.x86_64
+ CFLAGS='-O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer'
+ export CFLAGS
+ CXXFLAGS='-O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer'
+ export CXXFLAGS
+ FFLAGS='-O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -I/usr/lib64/gfortran/modules'
+ export FFLAGS
+ FCFLAGS='-O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -I/usr/lib64/gfortran/modules'
+ export FCFLAGS
+ VALAFLAGS=-g
+ export VALAFLAGS
+ LDFLAGS='-Wl,-z,relro -Wl,--as-needed  -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes'
+ export LDFLAGS
+ LT_SYS_LIBRARY_PATH=/usr/lib64:
+ export LT_SYS_LIBRARY_PATH
+ CC=gcc
+ export CC
+ CXX=g++
+ export CXX
+ cd yosys-7bac1920b28672ba125aba991f30debae1cc0d8f
+ /usr/bin/make install DESTDIR=/builddir/build/BUILDROOT/yosys-0.25-2.20230104git7bac192.fc38.x86_64 'INSTALL=/usr/bin/install -p' PREFIX=/usr ABCEXTERNAL=/usr/bin/abc STRIP=/bin/true
[Makefile.conf] CONFIG := gcc
mkdir -p /builddir/build/BUILDROOT/yosys-0.25-2.20230104git7bac192.fc38.x86_64/usr/bin
cp yosys yosys-config yosys-filterlib yosys-smtbmc yosys-witness /builddir/build/BUILDROOT/yosys-0.25-2.20230104git7bac192.fc38.x86_64/usr/bin
/bin/true -S /builddir/build/BUILDROOT/yosys-0.25-2.20230104git7bac192.fc38.x86_64/usr/bin/yosys
/bin/true /builddir/build/BUILDROOT/yosys-0.25-2.20230104git7bac192.fc38.x86_64/usr/bin/yosys-filterlib
mkdir -p /builddir/build/BUILDROOT/yosys-0.25-2.20230104git7bac192.fc38.x86_64/usr/share/yosys
cp -r share/. /builddir/build/BUILDROOT/yosys-0.25-2.20230104git7bac192.fc38.x86_64/usr/share/yosys/.
+ install -d -m0755 /builddir/build/BUILDROOT/yosys-0.25-2.20230104git7bac192.fc38.x86_64/usr/include
+ mv /builddir/build/BUILDROOT/yosys-0.25-2.20230104git7bac192.fc38.x86_64/usr/share/yosys/include /builddir/build/BUILDROOT/yosys-0.25-2.20230104git7bac192.fc38.x86_64/usr/include/yosys
+ install -d -m0755 /builddir/build/BUILDROOT/yosys-0.25-2.20230104git7bac192.fc38.x86_64/usr/share/man/man1
+ install -m 0644 yosys-smtbmc.1 debian/yosys.1 debian/yosys-config.1 debian/yosys-filterlib.1 /builddir/build/BUILDROOT/yosys-0.25-2.20230104git7bac192.fc38.x86_64/usr/share/man/man1
+ install -d -m0755 /builddir/build/BUILDROOT/yosys-0.25-2.20230104git7bac192.fc38.x86_64/usr/share/doc/yosys
+ install -m 0644 manual/empty.pdf /builddir/build/BUILDROOT/yosys-0.25-2.20230104git7bac192.fc38.x86_64/usr/share/doc/yosys
+ /usr/bin/find-debuginfo -j2 --strict-build-id -m -i --build-id-seed 0.25-2.20230104git7bac192.fc38 --unique-debug-suffix -0.25-2.20230104git7bac192.fc38.x86_64 --unique-debug-src-base yosys-0.25-2.20230104git7bac192.fc38.x86_64 --run-dwz --dwz-low-mem-die-limit 10000000 --dwz-max-die-limit 110000000 -S debugsourcefiles.list /builddir/build/BUILD/yosys-7bac1920b28672ba125aba991f30debae1cc0d8f
extracting debug info from /builddir/build/BUILDROOT/yosys-0.25-2.20230104git7bac192.fc38.x86_64/usr/bin/yosys-filterlib
extracting debug info from /builddir/build/BUILDROOT/yosys-0.25-2.20230104git7bac192.fc38.x86_64/usr/bin/yosys
dwz: Too few files for multifile optimization
original debug info size: 241072kB, size after compression: 202944kB
/usr/bin/sepdebugcrcfix: Updated 2 CRC32s, 0 CRC32s did match.
13156 blocks
+ /usr/lib/rpm/check-buildroot
+ /usr/lib/rpm/redhat/brp-ldconfig
+ /usr/lib/rpm/brp-compress
+ /usr/lib/rpm/redhat/brp-strip-lto /usr/bin/strip
+ /usr/lib/rpm/brp-strip-static-archive /usr/bin/strip
+ /usr/lib/rpm/check-rpaths
+ /usr/lib/rpm/redhat/brp-mangle-shebangs
mangling shebang in /usr/bin/yosys-config from /usr/bin/env bash to #!/usr/bin/bash
+ /usr/lib/rpm/brp-remove-la-files
+ env /usr/lib/rpm/redhat/brp-python-bytecompile '' 1 0 -j2
+ /usr/lib/rpm/redhat/brp-python-hardlink
Executing(%check): /bin/sh -e /var/tmp/rpm-tmp.IuEuFK
+ umask 022
+ cd /builddir/build/BUILD
+ CFLAGS='-O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer'
+ export CFLAGS
+ CXXFLAGS='-O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer'
+ export CXXFLAGS
+ FFLAGS='-O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -I/usr/lib64/gfortran/modules'
+ export FFLAGS
+ FCFLAGS='-O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64  -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -I/usr/lib64/gfortran/modules'
+ export FCFLAGS
+ VALAFLAGS=-g
+ export VALAFLAGS
+ LDFLAGS='-Wl,-z,relro -Wl,--as-needed  -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes'
+ export LDFLAGS
+ LT_SYS_LIBRARY_PATH=/usr/lib64:
+ export LT_SYS_LIBRARY_PATH
+ CC=gcc
+ export CC
+ CXX=g++
+ export CXX
+ cd yosys-7bac1920b28672ba125aba991f30debae1cc0d8f
+ make test ABCEXTERNAL=/usr/bin/abc SEED=314159265359
[Makefile.conf] CONFIG := gcc
cd tests/simple && bash run-test.sh "-S 314159265359"
make[1]: Entering directory '/builddir/build/BUILD/yosys-7bac1920b28672ba125aba991f30debae1cc0d8f/tests/simple'
+ gcc -Wall -o /builddir/build/BUILD/yosys-7bac1920b28672ba125aba991f30debae1cc0d8f/tests/tools/cmp_tbdata /builddir/build/BUILD/yosys-7bac1920b28672ba125aba991f30debae1cc0d8f/tests/tools/cmp_tbdata.c
Test: arrays02 -> ok
Test: asgn_binop -> ok
Test: case_expr_extend -> ok
Test: case_expr_query -> ok
Test: defvalue -> ok
Test: implicit_ports -> ok
Test: lesser_size_cast -> ok
Test: local_loop_var -> ok
Test: macro_arg_spaces -> ok
Test: matching_end_labels -> ok
Test: memwr_port_connection -> ok
Test: unnamed_block_decl -> ok
Test: aes_kexp128 -> ok
Test: always01 -> ok
Test: always02 -> ok
Test: always03 -> ok
Test: arraycells -> ok
Test: arrays01 -> ok
Test: attrib01_module -> ok
Test: attrib02_port_decl -> ok
Test: attrib03_parameter -> ok
Test: attrib04_net_var -> ok
Test: attrib06_operator_suffix -> ok
Test: attrib08_mod_inst -> ok
Test: attrib09_case -> ok
Test: carryadd -> ok
Test: case_expr_const -> ok
Test: case_expr_non_const -> ok
Test: case_large -> ok
Test: const_branch_finish -> ok
Test: const_fold_func -> ok
Test: const_func_shadow -> ok
Test: constmuldivmod -> ok
Test: constpower -> ok
Test: dff_different_styles -> ok
Test: dff_init -> ok
Test: dynslice -> ok
Test: fiedler-cooley -> ok
Test: forgen01 -> ok
Test: forgen02 -> ok
Test: forloops -> ok
Test: fsm -> ok
Test: func_block -> ok
Test: func_recurse -> ok
Test: func_width_scope -> ok
Test: genblk_collide -> ok
Test: genblk_dive -> ok
Test: genblk_order -> ok
Test: genblk_port_shadow -> ok
Test: generate -> ok
Test: graphtest -> ok
Test: hierarchy -> ok
Test: hierdefparam -> ok
Test: i2c_master_tests -> ok
Test: ifdef_1 -> ok
Test: ifdef_2 -> ok
Test: localparam_attr -> ok
Test: loop_prefix_case -> ok
Test: loop_var_shadow -> ok
Test: loops -> ok
Test: macro_arg_surrounding_spaces -> ok
Test: macros -> ok
Test: mem2reg -> ok
Test: mem2reg_bounds_tern -> ok
Test: mem_arst -> ok
Test: memory -> ok
Test: module_scope -> ok
Test: module_scope_case -> ok
Test: module_scope_func -> ok
Test: multiplier -> ok
Test: muxtree -> ok
Test: named_genblk -> ok
Test: nested_genblk_resolve -> ok
Test: omsp_dbg_uart -> ok
Test: operators -> ok
Test: param_attr -> ok
Test: paramods -> ok
Test: partsel -> ok
Test: process -> ok
Test: realexpr -> ok
Test: repwhile -> ok
Test: retime -> ok
Test: rotate -> ok
Test: scopes -> ok
Test: signed_full_slice -> ok
Test: signedexpr -> ok
Test: sincos -> ok
Test: specify -> ok
Test: string_format -> ok
Test: subbytes -> ok
Test: task_func -> ok
Test: undef_eqx_nex -> ok
Test: usb_phy_tests -> ok
Test: values -> ok
Test: verilog_primitives -> ok
Test: vloghammer -> ok
Test: wandwor -> ok
Test: wreduce -> ok
make[1]: Leaving directory '/builddir/build/BUILD/yosys-7bac1920b28672ba125aba991f30debae1cc0d8f/tests/simple'
cd tests/simple_abc9 && bash run-test.sh "-S 314159265359"
ls: cannot access '*.sv': No such file or directory
make[1]: Entering directory '/builddir/build/BUILD/yosys-7bac1920b28672ba125aba991f30debae1cc0d8f/tests/simple_abc9'
Test: abc9 -> ok
Test: aes_kexp128 -> ok
Test: always01 -> ok
Test: always02 -> ok
Test: always03 -> ok
Test: arraycells -> ok
Test: arrays01 -> ok
Test: attrib01_module -> ok
Test: attrib02_port_decl -> ok
Test: attrib03_parameter -> ok
Test: attrib04_net_var -> ok
Test: attrib06_operator_suffix -> ok
Test: attrib08_mod_inst -> ok
Test: attrib09_case -> ok
Test: carryadd -> ok
Test: case_expr_const -> ok
Test: case_expr_non_const -> ok
Test: case_large -> ok
Test: const_branch_finish -> ok
Test: const_fold_func -> ok
Test: const_func_shadow -> ok
Test: constmuldivmod -> ok
Test: constpower -> ok
Test: dff_different_styles -> ok
Test: dff_init -> ok
Test: dynslice -> ok
Test: fiedler-cooley -> ok
Test: forgen01 -> ok
Test: forgen02 -> ok
Test: forloops -> ok
Test: fsm -> ok
Test: func_block -> ok
Test: func_recurse -> ok
Test: func_width_scope -> ok
Test: genblk_collide -> ok
Test: genblk_dive -> ok
Test: genblk_order -> ok
Test: genblk_port_shadow -> ok
Test: generate -> ok
Test: graphtest -> ok
Test: hierarchy -> ok
Test: hierdefparam -> ok
Test: i2c_master_tests -> ok
Test: ifdef_1 -> ok
Test: ifdef_2 -> ok
Test: localparam_attr -> ok
Test: loop_prefix_case -> ok
Test: loop_var_shadow -> ok
Test: loops -> ok
Test: macro_arg_surrounding_spaces -> ok
Test: macros -> ok
Test: mem2reg -> ok
Test: mem2reg_bounds_tern -> ok
Test: mem_arst -> ok
Test: memory -> ok
Test: module_scope -> ok
Test: module_scope_case -> ok
Test: module_scope_func -> ok
Test: multiplier -> ok
Test: muxtree -> ok
Test: named_genblk -> ok
Test: nested_genblk_resolve -> ok
Test: omsp_dbg_uart -> ok
Test: operators -> ok
Test: param_attr -> ok
Test: paramods -> ok
Test: partsel -> ok
Test: process -> ok
Test: realexpr -> ok
Test: repwhile -> ok
Test: retime -> ok
Test: rotate -> ok
Test: scopes -> ok
Test: signed_full_slice -> ok
Test: signedexpr -> ok
Test: sincos -> ok
Test: string_format -> ok
Test: subbytes -> ok
Test: task_func -> ok
Test: undef_eqx_nex -> ok
Test: usb_phy_tests -> ok
Test: values -> ok
Test: verilog_primitives -> ok
Test: vloghammer -> ok
Test: wandwor -> ok
Test: wreduce -> ok
Test: arrays02 -> ok
Test: asgn_binop -> ok
Test: case_expr_extend -> ok
Test: case_expr_query -> ok
Test: defvalue -> ok
Test: implicit_ports -> ok
Test: lesser_size_cast -> ok
Test: local_loop_var -> ok
Test: macro_arg_spaces -> ok
Test: matching_end_labels -> ok
Test: memwr_port_connection -> ok
Test: unnamed_block_decl -> ok
make[1]: Leaving directory '/builddir/build/BUILD/yosys-7bac1920b28672ba125aba991f30debae1cc0d8f/tests/simple_abc9'
cd tests/hana && bash run-test.sh "-S 314159265359"
make[1]: Entering directory '/builddir/build/BUILD/yosys-7bac1920b28672ba125aba991f30debae1cc0d8f/tests/hana'
Test: test_intermout -> ok
Test: test_parse2synthtrans -> ok
Test: test_parser -> ok
Test: test_simulation_always -> ok
Test: test_simulation_and -> ok
Test: test_simulation_buffer -> ok
Test: test_simulation_decoder -> ok
Test: test_simulation_inc -> ok
Test: test_simulation_mux -> ok
Test: test_simulation_nand -> ok
Test: test_simulation_nor -> ok
Test: test_simulation_or -> ok
Test: test_simulation_seq -> ok
Test: test_simulation_shifter -> ok
Test: test_simulation_sop -> ok
Test: test_simulation_techmap -> ok
Test: test_simulation_techmap_tech -> ok
Test: test_simulation_vlib -> ok
Test: test_simulation_xnor -> ok
Test: test_simulation_xor -> ok
make[1]: Leaving directory '/builddir/build/BUILD/yosys-7bac1920b28672ba125aba991f30debae1cc0d8f/tests/hana'
cd tests/asicworld && bash run-test.sh "-S 314159265359"
make[1]: Entering directory '/builddir/build/BUILD/yosys-7bac1920b28672ba125aba991f30debae1cc0d8f/tests/asicworld'
Test: code_hdl_models_GrayCounter -> ok
Test: code_hdl_models_arbiter -> ok
Test: code_hdl_models_cam -> ok
Test: code_hdl_models_clk_div -> ok
Test: code_hdl_models_clk_div_45 -> ok
Test: code_hdl_models_d_ff_gates -> ok
Test: code_hdl_models_d_latch_gates -> ok
Test: code_hdl_models_decoder_2to4_gates -> ok
Test: code_hdl_models_decoder_using_assign -> ok
Test: code_hdl_models_decoder_using_case -> ok
Test: code_hdl_models_dff_async_reset -> ok
Test: code_hdl_models_dff_sync_reset -> ok
Test: code_hdl_models_encoder_4to2_gates -> ok
Test: code_hdl_models_encoder_using_case -> ok
Test: code_hdl_models_encoder_using_if -> ok
Test: code_hdl_models_full_adder_gates -> ok
Test: code_hdl_models_full_subtracter_gates -> ok
Test: code_hdl_models_gray_counter -> ok
Test: code_hdl_models_half_adder_gates -> ok
Test: code_hdl_models_lfsr -> ok
Test: code_hdl_models_lfsr_updown -> ok
Test: code_hdl_models_mux_2to1_gates -> ok
Test: code_hdl_models_mux_using_assign -> ok
Test: code_hdl_models_mux_using_case -> ok
Test: code_hdl_models_mux_using_if -> ok
Test: code_hdl_models_one_hot_cnt -> ok
Test: code_hdl_models_parallel_crc -> ok
Test: code_hdl_models_parity_using_assign -> ok
Test: code_hdl_models_parity_using_bitwise -> ok
Test: code_hdl_models_parity_using_function -> ok
Test: code_hdl_models_pri_encoder_using_assign -> ok
Test: code_hdl_models_rom_using_case -> ok
Test: code_hdl_models_serial_crc -> ok
Test: code_hdl_models_tff_async_reset -> ok
Test: code_hdl_models_tff_sync_reset -> ok
Test: code_hdl_models_uart -> ok
Test: code_hdl_models_up_counter -> ok
Test: code_hdl_models_up_counter_load -> ok
Test: code_hdl_models_up_down_counter -> ok
Test: code_specman_switch_fabric -> ok
Test: code_tidbits_asyn_reset -> ok
Test: code_tidbits_blocking -> ok
Test: code_tidbits_fsm_using_always -> ok
Test: code_tidbits_fsm_using_function -> ok
Test: code_tidbits_fsm_using_single_always -> ok
Test: code_tidbits_nonblocking -> ok
Test: code_tidbits_reg_combo_example -> ok
Test: code_tidbits_reg_seq_example -> ok
Test: code_tidbits_syn_reset -> ok
Test: code_tidbits_wire_example -> ok
Test: code_verilog_tutorial_addbit -> ok
Test: code_verilog_tutorial_always_example -> ok
Test: code_verilog_tutorial_bus_con -> ok
Test: code_verilog_tutorial_comment -> ok
Test: code_verilog_tutorial_counter -> ok
Test: code_verilog_tutorial_d_ff -> ok
Test: code_verilog_tutorial_decoder -> ok
Test: code_verilog_tutorial_decoder_always -> ok
Test: code_verilog_tutorial_escape_id -> ok
Test: code_verilog_tutorial_explicit -> ok
Test: code_verilog_tutorial_first_counter -> ok
Test: code_verilog_tutorial_flip_flop -> ok
Test: code_verilog_tutorial_fsm_full -> ok
Test: code_verilog_tutorial_good_code -> ok
Test: code_verilog_tutorial_if_else -> ok
Test: code_verilog_tutorial_multiply -> ok
Test: code_verilog_tutorial_mux_21 -> ok
Test: code_verilog_tutorial_n_out_primitive -> ok
Test: code_verilog_tutorial_parallel_if -> ok
Test: code_verilog_tutorial_parity -> ok
Test: code_verilog_tutorial_simple_function -> ok
Test: code_verilog_tutorial_simple_if -> ok
Test: code_verilog_tutorial_task_global -> ok
Test: code_verilog_tutorial_tri_buf -> ok
Test: code_verilog_tutorial_v2k_reg -> ok
Test: code_verilog_tutorial_which_clock -> ok
make[1]: Leaving directory '/builddir/build/BUILD/yosys-7bac1920b28672ba125aba991f30debae1cc0d8f/tests/asicworld'
# +cd tests/realmath && bash run-test.sh "-S 314159265359"
cd tests/share && bash run-test.sh "-S 314159265359"
generating tests..
PRNG seed: 314159265359
running tests..
[0][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][19][20][21][22][23][24][25][26][27][28][29][30][31][32][33][34][35][36][37][38][39][40][41][42][43][44][45][46][47][48][49][50][51][52][53][54][55][56][57][58][59][60][61][62][63][64][65][66][67][68][69][70][71][72][73][74][75][76][77][78][79][80][81][82][83][84][85][86][87][88][89][90][91][92][93][94][95][96][97][98][99]
cd tests/opt_share && bash run-test.sh "-S 314159265359"
generating tests..
PRNG seed: 314159265359
running tests..
make[1]: Entering directory '/builddir/build/BUILD/yosys-7bac1920b28672ba125aba991f30debae1cc0d8f/tests/opt_share'
[0][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][19][20][21][22][23][24][25][26][27][28][29][30][31][32][33][34][35][36][37][38][39][40][41][42][43][44][45][46][47][48][49][50][51][52][53][54][55][56][57][58][59][60][61][62][63][64][65][66][67][68][69][70][71][72][73][74][75][76][77][78][79][80][81][82][83][84][85][86][87][88][89][90][91][92][93][94][95][96][97][98][99]make[1]: Leaving directory '/builddir/build/BUILD/yosys-7bac1920b28672ba125aba991f30debae1cc0d8f/tests/opt_share'

cd tests/fsm && bash run-test.sh "-S 314159265359"
generating tests..
PRNG seed: 314159265359
running tests..
make[1]: Entering directory '/builddir/build/BUILD/yosys-7bac1920b28672ba125aba991f30debae1cc0d8f/tests/fsm'
[0]Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
K[1]Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
K[2]Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
K[3]Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
K[4]K[5]K[6]Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
T[7]Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
K[8]K[9]Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
K[10]Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
K[11]Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
K[12]Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
K[13]Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
K[14]Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
K[15]Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
K[16]K[17]Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
K[18]Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
K[19]Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
K[20]Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
K[21]Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
K[22]Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
K[23]Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
K[24]K[25]Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
K[26]K[27]K[28]Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
K[29]K[30]Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
K[31]K[32]Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
K[33]Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
K[34]K[35]Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
K[36]Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
K[37]Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
K[38]Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
K[39]K[40]Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
K[41]K[42]K[43]Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
K[44]Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
K[45]Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
K[46]Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
K[47]K[48]Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
K[49]K
make[1]: Leaving directory '/builddir/build/BUILD/yosys-7bac1920b28672ba125aba991f30debae1cc0d8f/tests/fsm'
cd tests/techmap && bash run-test.sh
make[1]: Entering directory '/builddir/build/BUILD/yosys-7bac1920b28672ba125aba991f30debae1cc0d8f/tests/techmap'
Passed abc9.ys
Warning: wire '\Q' is assigned in a block at <<EOT:9.5-9.11.
Warning: wire '\Q' is assigned in a block at <<EOT:11.5-11.11.
Passed adff2dff.ys
Passed aigmap.ys
Warning: Resizing cell port top.s0.f.j from 2 bits to 1 bits.
Passed autopurge.ys
Passed bug2183.ys
Passed bug2321.ys
Passed bug2332.ys
Passed bug2759.ys
Passed bug2972.ys
Passed cellname.ys
Passed clkbufmap.ys
Passed cmp2lcu.ys
Warning: wire '\Q' is assigned in a block at <<EOT:8.3-8.9.
Passed dff2ff.ys
Passed dffinit.ys
Passed dfflegalize_adff.ys
Warning: Emulating mismatched async reset and init with several FFs and a mux for top.adffe1_.ff1
Warning: Emulating mismatched async reset and init with several FFs and a mux for top.adffe1_.ff2
Warning: Emulating mismatched async reset and init with several FFs and a mux for top.adffe1_.ff3
Warning: Emulating mismatched async reset and init with several FFs and a mux for top.adff1_.ff1
Warning: Emulating mismatched async reset and init with several FFs and a mux for top.adff1_.ff2
Warning: Emulating mismatched async reset and init with several FFs and a mux for top.adffe1_.ff0
Warning: Emulating mismatched async reset and init with several FFs and a mux for top.adff1_.ff0
Warning: Emulating mismatched async reset and init with several FFs and a mux for top.adffe0_.ff1
Warning: Emulating mismatched async reset and init with several FFs and a mux for top.adffe0_.ff2
Warning: Emulating mismatched async reset and init with several FFs and a mux for top.adffe0_.ff3
Warning: Emulating mismatched async reset and init with several FFs and a mux for top.adff0_.ff1
Warning: Emulating mismatched async reset and init with several FFs and a mux for top.adff0_.ff2
Warning: Emulating mismatched async reset and init with several FFs and a mux for top.adffe0_.ff0
Warning: Emulating mismatched async reset and init with several FFs and a mux for top.adff0_.ff0
Warning: Emulating mismatched async reset and init with several FFs and a mux for adffe1.ff3
Warning: Emulating mismatched async reset and init with several FFs and a mux for adffe1.ff2
Warning: Emulating mismatched async reset and init with several FFs and a mux for adffe1.ff1
Warning: Emulating mismatched async reset and init with several FFs and a mux for adffe1.ff0
Warning: Emulating mismatched async reset and init with several FFs and a mux for adff1.ff2
Warning: Emulating mismatched async reset and init with several FFs and a mux for adff1.ff1
Warning: Emulating mismatched async reset and init with several FFs and a mux for adff1.ff0
Warning: Emulating mismatched async reset and init with several FFs and a mux for adffe0.ff3
Warning: Emulating mismatched async reset and init with several FFs and a mux for adffe0.ff2
Warning: Emulating mismatched async reset and init with several FFs and a mux for adffe0.ff1
Warning: Emulating mismatched async reset and init with several FFs and a mux for adffe0.ff0
Warning: Emulating mismatched async reset and init with several FFs and a mux for adff0.ff2
Warning: Emulating mismatched async reset and init with several FFs and a mux for adff0.ff1
Warning: Emulating mismatched async reset and init with several FFs and a mux for adff0.ff0
Passed dfflegalize_adff_init.ys
Passed dfflegalize_adlatch.ys
Warning: Emulating mismatched async reset and init with several latches and a mux for top.adlatch1_.ff1
Warning: Emulating mismatched async reset and init with several latches and a mux for top.adlatch1_.ff2
Warning: Emulating mismatched async reset and init with several latches and a mux for top.adlatch1_.ff0
Warning: Emulating mismatched async reset and init with several latches and a mux for top.adlatch0_.ff1
Warning: Emulating mismatched async reset and init with several latches and a mux for top.adlatch0_.ff2
Warning: Emulating mismatched async reset and init with several latches and a mux for top.adlatch0_.ff0
Warning: Emulating mismatched async reset and init with several latches and a mux for adlatch1.ff2
Warning: Emulating mismatched async reset and init with several latches and a mux for adlatch1.ff1
Warning: Emulating mismatched async reset and init with several latches and a mux for adlatch1.ff0
Warning: Emulating mismatched async reset and init with several latches and a mux for adlatch0.ff2
Warning: Emulating mismatched async reset and init with several latches and a mux for adlatch0.ff1
Warning: Emulating mismatched async reset and init with several latches and a mux for adlatch0.ff0
Passed dfflegalize_adlatch_init.ys
Passed dfflegalize_aldff.ys
Passed dfflegalize_aldff_init.ys
Passed dfflegalize_dff.ys
Passed dfflegalize_dff_init.ys
Warning: Emulating async set + reset with several FFs and a mux for top.dffsre_.ff1
Warning: Emulating async set + reset with several FFs and a mux for top.dffsre_.ff2
Warning: Emulating async set + reset with several FFs and a mux for top.dffsre_.ff3
Warning: Emulating async set + reset with several FFs and a mux for top.dffsre_.ff4
Warning: Emulating async set + reset with several FFs and a mux for top.dffsr_.ff1
Warning: Emulating async set + reset with several FFs and a mux for top.dffsr_.ff2
Warning: Emulating async set + reset with several FFs and a mux for top.dffsr_.ff3
Warning: Emulating async set + reset with several FFs and a mux for top.dffsre_.ff0
Warning: Emulating async set + reset with several FFs and a mux for top.dffsr_.ff0
Warning: Emulating async set + reset with several FFs and a mux for dffsre.ff4
Warning: Emulating async set + reset with several FFs and a mux for dffsre.ff3
Warning: Emulating async set + reset with several FFs and a mux for dffsre.ff2
Warning: Emulating async set + reset with several FFs and a mux for dffsre.ff1
Warning: Emulating async set + reset with several FFs and a mux for dffsre.ff0
Warning: Emulating async set + reset with several FFs and a mux for dffsr.ff3
Warning: Emulating async set + reset with several FFs and a mux for dffsr.ff2
Warning: Emulating async set + reset with several FFs and a mux for dffsr.ff1
Warning: Emulating async set + reset with several FFs and a mux for dffsr.ff0
Passed dfflegalize_dffsr.ys
Warning: Emulating async set + reset with several FFs and a mux for top.dffsre1_.ff1
Warning: Emulating async set + reset with several FFs and a mux for top.dffsre1_.ff2
Warning: Emulating async set + reset with several FFs and a mux for top.dffsre1_.ff3
Warning: Emulating async set + reset with several FFs and a mux for top.dffsre1_.ff4
Warning: Emulating async set + reset with several FFs and a mux for top.dffsre0_.ff1
Warning: Emulating async set + reset with several FFs and a mux for top.dffsre0_.ff2
Warning: Emulating async set + reset with several FFs and a mux for top.dffsre0_.ff3
Warning: Emulating async set + reset with several FFs and a mux for top.dffsre0_.ff4
Warning: Emulating async set + reset with several FFs and a mux for top.dffsr1_.ff1
Warning: Emulating async set + reset with several FFs and a mux for top.dffsr1_.ff2
Warning: Emulating async set + reset with several FFs and a mux for top.dffsr1_.ff3
Warning: Emulating async set + reset with several FFs and a mux for top.dffsr0_.ff1
Warning: Emulating async set + reset with several FFs and a mux for top.dffsr0_.ff2
Warning: Emulating async set + reset with several FFs and a mux for top.dffsr0_.ff3
Warning: Emulating async set + reset with several FFs and a mux for top.dffsre1_.ff0
Warning: Emulating async set + reset with several FFs and a mux for top.dffsre0_.ff0
Warning: Emulating async set + reset with several FFs and a mux for top.dffsr1_.ff0
Warning: Emulating async set + reset with several FFs and a mux for top.dffsr0_.ff0
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsre1_.ff1 [$_DFFSRE_PPPN_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsre1_.ff2 [$_DFFSRE_PPNP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsre1_.ff3 [$_DFFSRE_PNPP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsre1_.ff4 [$_DFFSRE_NPPP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsr1_.ff1 [$_DFFSR_PPN_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsr1_.ff2 [$_DFFSR_PNP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsr1_.ff3 [$_DFFSR_NPP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsre1_.ff0 [$_DFFSRE_PPPP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsr1_.ff0 [$_DFFSR_PPP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsre0_.ff1 [$_DFFSRE_PPPN_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsre0_.ff2 [$_DFFSRE_PPNP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsre0_.ff3 [$_DFFSRE_PNPP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsre0_.ff4 [$_DFFSRE_NPPP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsr0_.ff1 [$_DFFSR_PPN_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsr0_.ff2 [$_DFFSR_PNP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsr0_.ff3 [$_DFFSR_NPP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsre0_.ff0 [$_DFFSRE_PPPP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsr0_.ff0 [$_DFFSR_PPP_].
Warning: Emulating async set + reset with several FFs and a mux for dffsre1.ff4
Warning: Emulating async set + reset with several FFs and a mux for dffsre1.ff3
Warning: Emulating async set + reset with several FFs and a mux for dffsre1.ff2
Warning: Emulating async set + reset with several FFs and a mux for dffsre1.ff1
Warning: Emulating async set + reset with several FFs and a mux for dffsre1.ff0
Warning: Emulating async set + reset with several FFs and a mux for dffsre0.ff4
Warning: Emulating async set + reset with several FFs and a mux for dffsre0.ff3
Warning: Emulating async set + reset with several FFs and a mux for dffsre0.ff2
Warning: Emulating async set + reset with several FFs and a mux for dffsre0.ff1
Warning: Emulating async set + reset with several FFs and a mux for dffsre0.ff0
Warning: Emulating async set + reset with several FFs and a mux for dffsr1.ff3
Warning: Emulating async set + reset with several FFs and a mux for dffsr1.ff2
Warning: Emulating async set + reset with several FFs and a mux for dffsr1.ff1
Warning: Emulating async set + reset with several FFs and a mux for dffsr1.ff0
Warning: Emulating async set + reset with several FFs and a mux for dffsr0.ff3
Warning: Emulating async set + reset with several FFs and a mux for dffsr0.ff2
Warning: Emulating async set + reset with several FFs and a mux for dffsr0.ff1
Warning: Emulating async set + reset with several FFs and a mux for dffsr0.ff0
Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsre1.ff4 [$_DFFSRE_NPPP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsre1.ff3 [$_DFFSRE_PNPP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsre1.ff2 [$_DFFSRE_PPNP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsre1.ff1 [$_DFFSRE_PPPN_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsre1.ff0 [$_DFFSRE_PPPP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsr1.ff3 [$_DFFSR_NPP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsr1.ff2 [$_DFFSR_PNP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsr1.ff1 [$_DFFSR_PPN_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsr1.ff0 [$_DFFSR_PPP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsre0.ff4 [$_DFFSRE_NPPP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsre0.ff3 [$_DFFSRE_PNPP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsre0.ff2 [$_DFFSRE_PPNP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsre0.ff1 [$_DFFSRE_PPPN_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsre0.ff0 [$_DFFSRE_PPPP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsr0.ff3 [$_DFFSR_NPP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsr0.ff2 [$_DFFSR_PNP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsr0.ff1 [$_DFFSR_PPN_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsr0.ff0 [$_DFFSR_PPP_].
Passed dfflegalize_dffsr_init.ys
Passed dfflegalize_dlatch.ys
Passed dfflegalize_dlatch_const.ys
Passed dfflegalize_dlatch_init.ys
Warning: Emulating async set + reset with several FFs and a mux for dlatchsr.ff3
Warning: Emulating async set + reset with several FFs and a mux for dlatchsr.ff2
Warning: Emulating async set + reset with several FFs and a mux for dlatchsr.ff1
Warning: Emulating async set + reset with several FFs and a mux for dlatchsr.ff0
Passed dfflegalize_dlatchsr.ys
Warning: Emulating async set + reset with several FFs and a mux for top.dlatchsr1_.ff1
Warning: Emulating async set + reset with several FFs and a mux for top.dlatchsr1_.ff2
Warning: Emulating async set + reset with several FFs and a mux for top.dlatchsr1_.ff3
Warning: Emulating async set + reset with several FFs and a mux for top.dlatchsr0_.ff1
Warning: Emulating async set + reset with several FFs and a mux for top.dlatchsr0_.ff2
Warning: Emulating async set + reset with several FFs and a mux for top.dlatchsr0_.ff3
Warning: Emulating async set + reset with several FFs and a mux for top.dlatchsr1_.ff0
Warning: Emulating async set + reset with several FFs and a mux for top.dlatchsr0_.ff0
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dlatchsr1_.ff1 [$_DLATCHSR_PPN_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dlatchsr1_.ff2 [$_DLATCHSR_PNP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dlatchsr1_.ff3 [$_DLATCHSR_NPP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dlatchsr1_.ff0 [$_DLATCHSR_PPP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dlatchsr0_.ff1 [$_DLATCHSR_PPN_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dlatchsr0_.ff2 [$_DLATCHSR_PNP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dlatchsr0_.ff3 [$_DLATCHSR_NPP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dlatchsr0_.ff0 [$_DLATCHSR_PPP_].
Warning: Emulating async set + reset with several FFs and a mux for dlatchsr1.ff3
Warning: Emulating async set + reset with several FFs and a mux for dlatchsr1.ff2
Warning: Emulating async set + reset with several FFs and a mux for dlatchsr1.ff1
Warning: Emulating async set + reset with several FFs and a mux for dlatchsr1.ff0
Warning: Emulating async set + reset with several FFs and a mux for dlatchsr0.ff3
Warning: Emulating async set + reset with several FFs and a mux for dlatchsr0.ff2
Warning: Emulating async set + reset with several FFs and a mux for dlatchsr0.ff1
Warning: Emulating async set + reset with several FFs and a mux for dlatchsr0.ff0
Warning: Flipping D/Q/init and inserting priority fixup to legalize dlatchsr1.ff3 [$_DLATCHSR_NPP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize dlatchsr1.ff2 [$_DLATCHSR_PNP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize dlatchsr1.ff1 [$_DLATCHSR_PPN_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize dlatchsr1.ff0 [$_DLATCHSR_PPP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize dlatchsr0.ff3 [$_DLATCHSR_NPP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize dlatchsr0.ff2 [$_DLATCHSR_PNP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize dlatchsr0.ff1 [$_DLATCHSR_PPN_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize dlatchsr0.ff0 [$_DLATCHSR_PPP_].
Passed dfflegalize_dlatchsr_init.ys
Passed dfflegalize_inv.ys
Passed dfflegalize_mince.ys
Passed dfflegalize_minsrst.ys
Passed dfflegalize_sr.ys
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.sr1_.ff1 [$_SR_PN_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.sr1_.ff2 [$_SR_NP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.sr1_.ff0 [$_SR_PP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.sr0_.ff1 [$_SR_PN_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.sr0_.ff2 [$_SR_NP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.sr0_.ff0 [$_SR_PP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize sr1.ff2 [$_SR_NP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize sr1.ff1 [$_SR_PN_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize sr1.ff0 [$_SR_PP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize sr0.ff2 [$_SR_NP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize sr0.ff1 [$_SR_PN_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize sr0.ff0 [$_SR_PP_].
Passed dfflegalize_sr_init.ys
Warning: Complex async reset for dff `\Q'.
Passed dfflibmap.ys
Passed dffunmap.ys
Passed extractinv.ys
Warning: Yosys has only limited support for tri-state logic at the moment. (<<EOT:23)
Warning: Yosys has only limited support for tri-state logic at the moment. (<<EOT:29)
Warning: Yosys has only limited support for tri-state logic at the moment. (<<EOT:35)
Warning: Yosys has only limited support for tri-state logic at the moment. (<<EOT:58)
Warning: Yosys has only limited support for tri-state logic at the moment. (<<EOT:14)
Warning: Yosys has only limited support for tri-state logic at the moment. (<<EOT:18)
Passed iopadmap.ys
Passed pmux2mux.ys
Passed shiftx2mux.ys
Passed techmap_replace.ys
Passed wireinit.ys
Passed zinit.ys
Passed mem_simple_4x1_runtest.sh
Passed recursive_runtest.sh
make[1]: Leaving directory '/builddir/build/BUILD/yosys-7bac1920b28672ba125aba991f30debae1cc0d8f/tests/techmap'
cd tests/memories && bash run-test.sh "-A /usr/bin/abc" "-S 314159265359"
make[1]: Entering directory '/builddir/build/BUILD/yosys-7bac1920b28672ba125aba991f30debae1cc0d8f/tests/memories'
Test: amber23_sram_byte_en -> ok
Test: firrtl_938 -> ok
Test: implicit_en -> ok
Test: issue00335 -> ok
Test: issue00710 -> ok
Test: no_implicit_en -> ok
Test: read_arst -> ok
Test: read_two_mux -> ok
Test: shared_ports -> ok
Test: simple_sram_byte_en -> ok
Test: trans_addr_enable -> ok
Test: trans_sdp -> ok
Test: trans_sp -> ok
Test: wide_all -> ok
Test: wide_read_async -> ok
Test: wide_read_mixed -> ok
Test: wide_read_sync -> ok
Test: wide_read_trans -> ok
Test: wide_thru_priority -> ok
Test: wide_write -> ok
make[1]: Leaving directory '/builddir/build/BUILD/yosys-7bac1920b28672ba125aba991f30debae1cc0d8f/tests/memories'
egrep: warning: egrep is obsolescent; using grep -E
Testing expectations for amber23_sram_byte_en.v .. ok.
Testing expectations for implicit_en.v .. ok.
Testing expectations for issue00335.v .. ok.
Testing expectations for issue00710.v .. ok.
Testing expectations for no_implicit_en.v .. ok.
Testing expectations for read_arst.v .. ok.
Testing expectations for read_two_mux.v .. ok.
Testing expectations for shared_ports.v .. ok.
Testing expectations for simple_sram_byte_en.v .. ok.
Testing expectations for trans_addr_enable.v .. ok.
Testing expectations for trans_sdp.v .. ok.
Testing expectations for trans_sp.v .. ok.
Testing expectations for wide_all.v .. ok.
Testing expectations for wide_read_async.v .. ok.
Testing expectations for wide_read_mixed.v .. ok.
Testing expectations for wide_read_sync.v .. ok.
Testing expectations for wide_read_trans.v .. ok.
Testing expectations for wide_thru_priority.v .. ok.
Testing expectations for wide_write.v .. ok.
cd tests/memlib && bash run-test.sh "-S 314159265359"
make[1]: Entering directory '/builddir/build/BUILD/yosys-7bac1920b28672ba125aba991f30debae1cc0d8f/tests/memlib'
Test: t_async_big -> ok
Test: t_async_big_block -> ok
Test: t_async_small -> ok
Test: t_async_small_block -> ok
Test: t_sync_big -> ok
Test: t_sync_big_sdp -> ok
Test: t_sync_big_lut -> ok
Test: t_sync_small -> ok
Test: t_sync_small_block -> ok
Test: t_sync_small_block_attr -> ok
Test: t_tdp -> ok
Test: t_sync_2clk -> ok
Test: t_sync_shared -> ok
Test: t_sync_2clk_shared -> ok
Test: t_sync_trans_old_old -> ok
Test: t_sync_trans_old_new -> ok
Test: t_sync_trans_old_none -> ok
Test: t_sync_trans_new_old -> ok
Test: t_sync_trans_new_new -> ok
Test: t_sync_trans_new_none -> ok
Test: t_sp_nc_none -> ok
Test: t_sp_new_none -> ok
Test: t_sp_old_none -> ok
Test: t_sp_nc_nc -> ok
Test: t_sp_new_nc -> ok
Test: t_sp_old_nc -> ok
Test: t_sp_nc_new -> ok
Test: t_sp_new_new -> ok
Test: t_sp_old_new -> ok
Test: t_sp_nc_old -> ok
Test: t_sp_new_old -> ok
Test: t_sp_old_old -> ok
Test: t_sp_nc_new_only -> ok
Test: t_sp_new_new_only -> ok
Test: t_sp_old_new_only -> ok
Test: t_sp_nc_new_only_be -> ok
Test: t_sp_new_new_only_be -> ok
Test: t_sp_old_new_only_be -> ok
Test: t_sp_nc_new_be -> ok
Test: t_sp_new_new_be -> ok
Test: t_sp_old_new_be -> ok
Test: t_sp_nc_old_be -> ok
Test: t_sp_new_old_be -> ok
Test: t_sp_old_old_be -> ok
Test: t_sp_nc_nc_be -> ok
Test: t_sp_new_nc_be -> ok
Test: t_sp_old_nc_be -> ok
Test: t_sp_nc_auto -> ok
Test: t_sp_new_auto -> ok
Test: t_sp_old_auto -> ok
Test: t_sp_nc_auto_be -> ok
Test: t_sp_new_auto_be -> ok
Test: t_sp_old_auto_be -> ok
Test: t_sp_init_x_x -> ok
Test: t_sp_init_x_x_re -> ok
Test: t_sp_init_x_x_ce -> ok
Test: t_sp_init_0_x -> ok
Test: t_sp_init_0_x_re -> ok
Test: t_sp_init_0_0 -> ok
Test: t_sp_init_0_0_re -> ok
Test: t_sp_init_0_any -> ok
Test: t_sp_init_0_any_re -> ok
Test: t_sp_init_v_x -> ok
Test: t_sp_init_v_x_re -> ok
Test: t_sp_init_v_0 -> ok
Test: t_sp_init_v_0_re -> ok
Test: t_sp_init_v_any -> ok
Test: t_sp_init_v_any_re -> ok
Test: t_sp_arst_x_x -> ok
Test: t_sp_arst_x_x_re -> ok
Test: t_sp_arst_0_x -> ok
Test: t_sp_arst_0_x_re -> ok
Test: t_sp_arst_0_0 -> ok
Test: t_sp_arst_0_0_re -> ok
Test: t_sp_arst_0_any -> ok
Test: t_sp_arst_0_any_re -> ok
Test: t_sp_arst_0_init -> ok
Test: t_sp_arst_0_init_re -> ok
Test: t_sp_arst_v_x -> ok
Test: t_sp_arst_v_x_re -> ok
Test: t_sp_arst_v_0 -> ok
Test: t_sp_arst_v_0_re -> ok
Test: t_sp_arst_v_any -> ok
Test: t_sp_arst_v_any_re -> ok
Test: t_sp_arst_v_init -> ok
Test: t_sp_arst_v_init_re -> ok
Test: t_sp_arst_e_x -> ok
Test: t_sp_arst_e_x_re -> ok
Test: t_sp_arst_e_0 -> ok
Test: t_sp_arst_e_0_re -> ok
Test: t_sp_arst_e_any -> ok
Test: t_sp_arst_e_any_re -> ok
Test: t_sp_arst_e_init -> ok
Test: t_sp_arst_e_init_re -> ok
Test: t_sp_arst_n_x -> ok
Test: t_sp_arst_n_x_re -> ok
Test: t_sp_arst_n_0 -> ok
Test: t_sp_arst_n_0_re -> ok
Test: t_sp_arst_n_any -> ok
Test: t_sp_arst_n_any_re -> ok
Test: t_sp_arst_n_init -> ok
Test: t_sp_arst_n_init_re -> ok
Test: t_sp_srst_x_x -> ok
Test: t_sp_srst_x_x_re -> ok
Test: t_sp_srst_0_x -> ok
Test: t_sp_srst_0_x_re -> ok
Test: t_sp_srst_0_0 -> ok
Test: t_sp_srst_0_0_re -> ok
Test: t_sp_srst_0_any -> ok
Test: t_sp_srst_0_any_re -> ok
Test: t_sp_srst_0_init -> ok
Test: t_sp_srst_0_init_re -> ok
Test: t_sp_srst_v_x -> ok
Test: t_sp_srst_v_x_re -> ok
Test: t_sp_srst_v_0 -> ok
Test: t_sp_srst_v_0_re -> ok
Test: t_sp_srst_v_any -> ok
Test: t_sp_srst_v_any_re -> ok
Test: t_sp_srst_v_any_re_gated -> ok
Test: t_sp_srst_v_any_ce -> ok
Test: t_sp_srst_v_any_ce_gated -> ok
Test: t_sp_srst_v_init -> ok
Test: t_sp_srst_v_init_re -> ok
Test: t_sp_srst_e_x -> ok
Test: t_sp_srst_e_x_re -> ok
Test: t_sp_srst_e_0 -> ok
Test: t_sp_srst_e_0_re -> ok
Test: t_sp_srst_e_any -> ok
Test: t_sp_srst_e_any_re -> ok
Test: t_sp_srst_e_init -> ok
Test: t_sp_srst_e_init_re -> ok
Test: t_sp_srst_n_x -> ok
Test: t_sp_srst_n_x_re -> ok
Test: t_sp_srst_n_0 -> ok
Test: t_sp_srst_n_0_re -> ok
Test: t_sp_srst_n_any -> ok
Test: t_sp_srst_n_any_re -> ok
Test: t_sp_srst_n_init -> ok
Test: t_sp_srst_n_init_re -> ok
Test: t_sp_srst_gv_x -> ok
Test: t_sp_srst_gv_x_re -> ok
Test: t_sp_srst_gv_0 -> ok
Test: t_sp_srst_gv_0_re -> ok
Test: t_sp_srst_gv_any -> ok
Test: t_sp_srst_gv_any_re -> ok
Test: t_sp_srst_gv_any_re_gated -> ok
Test: t_sp_srst_gv_any_ce -> ok
Test: t_sp_srst_gv_any_ce_gated -> ok
Test: t_sp_srst_gv_init -> ok
Test: t_sp_srst_gv_init_re -> ok
Test: t_wide_sdp_a6r1w1b1x1 -> ok
Test: t_wide_sdp_a7r1w1b1x1 -> ok
Test: t_wide_sdp_a8r1w1b1x1 -> ok
Test: t_wide_sdp_a6r0w0b0x0 -> ok
Test: t_wide_sdp_a6r1w0b0x0 -> ok
Test: t_wide_sdp_a6r2w0b0x0 -> ok
Test: t_wide_sdp_a6r3w0b0x0 -> ok
Test: t_wide_sdp_a6r4w0b0x0 -> ok
Test: t_wide_sdp_a6r5w0b0x0 -> ok
Test: t_wide_sdp_a6r0w1b0x0 -> ok
Test: t_wide_sdp_a6r0w1b1x0 -> ok
Test: t_wide_sdp_a6r0w2b0x0 -> ok
Test: t_wide_sdp_a6r0w2b2x0 -> ok
Test: t_wide_sdp_a6r0w3b2x0 -> ok
Test: t_wide_sdp_a6r0w4b2x0 -> ok
Test: t_wide_sdp_a6r0w5b2x0 -> ok
Test: t_wide_sdp_a7r0w0b0x0 -> ok
Test: t_wide_sdp_a7r1w0b0x0 -> ok
Test: t_wide_sdp_a7r2w0b0x0 -> ok
Test: t_wide_sdp_a7r3w0b0x0 -> ok
Test: t_wide_sdp_a7r4w0b0x0 -> ok
Test: t_wide_sdp_a7r5w0b0x0 -> ok
Test: t_wide_sdp_a7r0w1b0x0 -> ok
Test: t_wide_sdp_a7r0w1b1x0 -> ok
Test: t_wide_sdp_a7r0w2b0x0 -> ok
Test: t_wide_sdp_a7r0w2b2x0 -> ok
Test: t_wide_sdp_a7r0w3b2x0 -> ok
Test: t_wide_sdp_a7r0w4b2x0 -> ok
Test: t_wide_sdp_a7r0w5b2x0 -> ok
Test: t_wide_sp_mix_a6r1w1b1 -> ok
Test: t_wide_sp_mix_a7r1w1b1 -> ok
Test: t_wide_sp_mix_a8r1w1b1 -> ok
Test: t_wide_sp_mix_a6r0w0b0 -> ok
Test: t_wide_sp_mix_a6r1w0b0 -> ok
Test: t_wide_sp_mix_a6r2w0b0 -> ok
Test: t_wide_sp_mix_a6r3w0b0 -> ok
Test: t_wide_sp_mix_a6r4w0b0 -> ok
Test: t_wide_sp_mix_a6r5w0b0 -> ok
Test: t_wide_sp_mix_a6r0w1b0 -> ok
Test: t_wide_sp_mix_a6r0w1b1 -> ok
Test: t_wide_sp_mix_a6r0w2b0 -> ok
Test: t_wide_sp_mix_a6r0w2b2 -> ok
Test: t_wide_sp_mix_a6r0w3b2 -> ok
Test: t_wide_sp_mix_a6r0w4b2 -> ok
Test: t_wide_sp_mix_a6r0w5b2 -> ok
Test: t_wide_sp_mix_a7r0w0b0 -> ok
Test: t_wide_sp_mix_a7r1w0b0 -> ok
Test: t_wide_sp_mix_a7r2w0b0 -> ok
Test: t_wide_sp_mix_a7r3w0b0 -> ok
Test: t_wide_sp_mix_a7r4w0b0 -> ok
Test: t_wide_sp_mix_a7r5w0b0 -> ok
Test: t_wide_sp_mix_a7r0w1b0 -> ok
Test: t_wide_sp_mix_a7r0w1b1 -> ok
Test: t_wide_sp_mix_a7r0w2b0 -> ok
Test: t_wide_sp_mix_a7r0w2b2 -> ok
Test: t_wide_sp_mix_a7r0w3b2 -> ok
Test: t_wide_sp_mix_a7r0w4b2 -> ok
Test: t_wide_sp_mix_a7r0w5b2 -> ok
Test: t_wide_sp_tied_a6r1w1b1 -> ok
Test: t_wide_sp_tied_a7r1w1b1 -> ok
Test: t_wide_sp_tied_a8r1w1b1 -> ok
Test: t_wide_sp_tied_a6r0w0b0 -> ok
Test: t_wide_sp_tied_a6r1w0b0 -> ok
Test: t_wide_sp_tied_a6r2w0b0 -> ok
Test: t_wide_sp_tied_a6r3w0b0 -> ok
Test: t_wide_sp_tied_a6r4w0b0 -> ok
Test: t_wide_sp_tied_a6r5w0b0 -> ok
Test: t_wide_sp_tied_a6r0w1b0 -> ok
Test: t_wide_sp_tied_a6r0w1b1 -> ok
Test: t_wide_sp_tied_a6r0w2b0 -> ok
Test: t_wide_sp_tied_a6r0w2b2 -> ok
Test: t_wide_sp_tied_a6r0w3b2 -> ok
Test: t_wide_sp_tied_a6r0w4b2 -> ok
Test: t_wide_sp_tied_a6r0w5b2 -> ok
Test: t_wide_sp_tied_a7r0w0b0 -> ok
Test: t_wide_sp_tied_a7r1w0b0 -> ok
Test: t_wide_sp_tied_a7r2w0b0 -> ok
Test: t_wide_sp_tied_a7r3w0b0 -> ok
Test: t_wide_sp_tied_a7r4w0b0 -> ok
Test: t_wide_sp_tied_a7r5w0b0 -> ok
Test: t_wide_sp_tied_a7r0w1b0 -> ok
Test: t_wide_sp_tied_a7r0w1b1 -> ok
Test: t_wide_sp_tied_a7r0w2b0 -> ok
Test: t_wide_sp_tied_a7r0w2b2 -> ok
Test: t_wide_sp_tied_a7r0w3b2 -> ok
Test: t_wide_sp_tied_a7r0w4b2 -> ok
Test: t_wide_sp_tied_a7r0w5b2 -> ok
Test: t_wide_read_a6r1w1b1 -> ok
Test: t_wide_write_a6r1w1b1 -> ok
Test: t_wide_read_a7r1w1b1 -> ok
Test: t_wide_write_a7r1w1b1 -> ok
Test: t_wide_read_a8r1w1b1 -> ok
Test: t_wide_write_a8r1w1b1 -> ok
Test: t_wide_read_a6r0w0b0 -> ok
Test: t_wide_write_a6r0w0b0 -> ok
Test: t_wide_read_a6r1w0b0 -> ok
Test: t_wide_write_a6r1w0b0 -> ok
Test: t_wide_read_a6r2w0b0 -> ok
Test: t_wide_write_a6r2w0b0 -> ok
Test: t_wide_read_a6r3w0b0 -> ok
Test: t_wide_write_a6r3w0b0 -> ok
Test: t_wide_read_a6r4w0b0 -> ok
Test: t_wide_write_a6r4w0b0 -> ok
Test: t_wide_read_a6r5w0b0 -> ok
Test: t_wide_write_a6r5w0b0 -> ok
Test: t_wide_read_a6r0w1b0 -> ok
Test: t_wide_write_a6r0w1b0 -> ok
Test: t_wide_read_a6r0w1b1 -> ok
Test: t_wide_write_a6r0w1b1 -> ok
Test: t_wide_read_a6r0w2b0 -> ok
Test: t_wide_write_a6r0w2b0 -> ok
Test: t_wide_read_a6r0w2b2 -> ok
Test: t_wide_write_a6r0w2b2 -> ok
Test: t_wide_read_a6r0w3b2 -> ok
Test: t_wide_write_a6r0w3b2 -> ok
Test: t_wide_read_a6r0w4b2 -> ok
Test: t_wide_write_a6r0w4b2 -> ok
Test: t_wide_read_a6r0w5b2 -> ok
Test: t_wide_write_a6r0w5b2 -> ok
Test: t_wide_read_a7r0w0b0 -> ok
Test: t_wide_write_a7r0w0b0 -> ok
Test: t_wide_read_a7r1w0b0 -> ok
Test: t_wide_write_a7r1w0b0 -> ok
Test: t_wide_read_a7r2w0b0 -> ok
Test: t_wide_write_a7r2w0b0 -> ok
Test: t_wide_read_a7r3w0b0 -> ok
Test: t_wide_write_a7r3w0b0 -> ok
Test: t_wide_read_a7r4w0b0 -> ok
Test: t_wide_write_a7r4w0b0 -> ok
Test: t_wide_read_a7r5w0b0 -> ok
Test: t_wide_write_a7r5w0b0 -> ok
Test: t_wide_read_a7r0w1b0 -> ok
Test: t_wide_write_a7r0w1b0 -> ok
Test: t_wide_read_a7r0w1b1 -> ok
Test: t_wide_write_a7r0w1b1 -> ok
Test: t_wide_read_a7r0w2b0 -> ok
Test: t_wide_write_a7r0w2b0 -> ok
Test: t_wide_read_a7r0w2b2 -> ok
Test: t_wide_write_a7r0w2b2 -> ok
Test: t_wide_read_a7r0w3b2 -> ok
Test: t_wide_write_a7r0w3b2 -> ok
Test: t_wide_read_a7r0w4b2 -> ok
Test: t_wide_write_a7r0w4b2 -> ok
Test: t_wide_read_a7r0w5b2 -> ok
Test: t_wide_write_a7r0w5b2 -> ok
make[1]: Leaving directory '/builddir/build/BUILD/yosys-7bac1920b28672ba125aba991f30debae1cc0d8f/tests/memlib'
cd tests/bram && bash run-test.sh "-S 314159265359"
generating tests..
PRNG seed: 314159265359
running tests..
make[1]: Entering directory '/builddir/build/BUILD/yosys-7bac1920b28672ba125aba991f30debae1cc0d8f/tests/bram'
../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32.
../../techlibs/common/simlib.v:1373:        : Pruning (signed) 31 high bits of the expression.
Passed memory_bram test 00_01.
../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32.
../../techlibs/common/simlib.v:1373:        : Pruning (signed) 31 high bits of the expression.
Passed memory_bram test 00_02.
../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32.
../../techlibs/common/simlib.v:1373:        : Pruning (signed) 31 high bits of the expression.
Passed memory_bram test 00_03.
../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32.
../../techlibs/common/simlib.v:1373:        : Pruning (signed) 31 high bits of the expression.
Passed memory_bram test 00_04.
../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32.
../../techlibs/common/simlib.v:1373:        : Pruning (signed) 31 high bits of the expression.
Passed memory_bram test 01_00.
../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32.
../../techlibs/common/simlib.v:1373:        : Pruning (signed) 31 high bits of the expression.
Passed memory_bram test 01_02.
../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32.
../../techlibs/common/simlib.v:1373:        : Pruning (signed) 31 high bits of the expression.
Passed memory_bram test 01_03.
../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32.
../../techlibs/common/simlib.v:1373:        : Pruning (signed) 31 high bits of the expression.
Passed memory_bram test 01_04.
../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32.
../../techlibs/common/simlib.v:1373:        : Pruning (signed) 31 high bits of the expression.
Passed memory_bram test 02_00.
../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32.
../../techlibs/common/simlib.v:1373:        : Pruning (signed) 31 high bits of the expression.
Passed memory_bram test 02_01.
../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32.
../../techlibs/common/simlib.v:1373:        : Pruning (signed) 31 high bits of the expression.
Passed memory_bram test 02_03.
../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32.
../../techlibs/common/simlib.v:1373:        : Pruning (signed) 31 high bits of the expression.
Passed memory_bram test 02_04.
../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32.
../../techlibs/common/simlib.v:1373:        : Pruning (signed) 31 high bits of the expression.
Passed memory_bram test 03_00.
../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32.
../../techlibs/common/simlib.v:1373:        : Pruning (signed) 31 high bits of the expression.
Passed memory_bram test 03_01.
../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32.
../../techlibs/common/simlib.v:1373:        : Pruning (signed) 31 high bits of the expression.
Passed memory_bram test 03_02.
../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32.
../../techlibs/common/simlib.v:1373:        : Pruning (signed) 31 high bits of the expression.
Passed memory_bram test 03_04.
../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32.
../../techlibs/common/simlib.v:1373:        : Pruning (signed) 31 high bits of the expression.
Passed memory_bram test 04_00.
../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32.
../../techlibs/common/simlib.v:1373:        : Pruning (signed) 31 high bits of the expression.
Passed memory_bram test 04_01.
../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32.
../../techlibs/common/simlib.v:1373:        : Pruning (signed) 31 high bits of the expression.
Passed memory_bram test 04_02.
../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32.
../../techlibs/common/simlib.v:1373:        : Pruning (signed) 31 high bits of the expression.
Passed memory_bram test 04_03.
make[1]: Leaving directory '/builddir/build/BUILD/yosys-7bac1920b28672ba125aba991f30debae1cc0d8f/tests/bram'
cd tests/various && bash run-test.sh
make[1]: Entering directory '/builddir/build/BUILD/yosys-7bac1920b28672ba125aba991f30debae1cc0d8f/tests/various'
Warning: Wire abc9_test027.$abc$91$o is used but has no driver.
Passed abc9.ys
Passed aiger_dff.ys
Passed attrib05_port_conn.ys
Passed attrib07_func_call.ys
Passed autoname.ys
Passed blackbox_wb.ys
Passed bug1496.ys
Passed bug1531.ys
Passed bug1614.ys
Passed bug1710.ys
Warning: Yosys has only limited support for tri-state logic at the moment. (<<EOT:3)
<<EOT:3: ERROR: syntax error, unexpected TOK_CONSTVAL
Expected error pattern 'syntax error, unexpected TOK_CONSTVAL' found !!!
Passed bug1745.ys
Passed bug1781.ys
Passed bug1876.ys
Passed bug2014.ys
Passed bug3462.ys
Passed cellarray_array_connections.ys
Passed const_arg_loop.ys
Passed const_func.ys
Passed const_func_block_var.ys
<<EOT:2: ERROR: syntax error, unexpected TOK_BASE
Expected error pattern 'syntax error, unexpected TOK_BASE' found !!!
Passed constcomment.ys
Passed constmsk_test.ys
Warning: Yosys has only limited support for tri-state logic at the moment. (countbits.sv:3)
Warning: Yosys has only limited support for tri-state logic at the moment. (countbits.sv:4)
Warning: Yosys has only limited support for tri-state logic at the moment. (countbits.sv:5)
Warning: Yosys has only limited support for tri-state logic at the moment. (countbits.sv:6)
Warning: Yosys has only limited support for tri-state logic at the moment. (countbits.sv:7)
Warning: Yosys has only limited support for tri-state logic at the moment. (countbits.sv:8)
Warning: Yosys has only limited support for tri-state logic at the moment. (countbits.sv:9)
Warning: Yosys has only limited support for tri-state logic at the moment. (countbits.sv:10)
Warning: Yosys has only limited support for tri-state logic at the moment. (countbits.sv:11)
Warning: Yosys has only limited support for tri-state logic at the moment. (countbits.sv:12)
Warning: Yosys has only limited support for tri-state logic at the moment. (countbits.sv:13)
Warning: Yosys has only limited support for tri-state logic at the moment. (countbits.sv:14)
Warning: Yosys has only limited support for tri-state logic at the moment. (countbits.sv:15)
Warning: Yosys has only limited support for tri-state logic at the moment. (countbits.sv:52)
Warning: Yosys has only limited support for tri-state logic at the moment. (countbits.sv:53)
Passed countbits.ys
Passed deminout_unused.ys
Passed design.ys
ERROR: No saved design 'foo' found!
Expected error pattern 'No saved design 'foo' found!' found !!!
Passed design1.ys
ERROR: No saved design 'foo' found!
Expected error pattern 'No saved design 'foo' found!' found !!!
Passed design2.ys
Passed dynamic_part_select.ys
elab_sys_tasks.sv:8: Warning: X is 1.
elab_sys_tasks.sv:22: Warning: 
Passed elab_sys_tasks.ys
Warning: Yosys has only limited support for tri-state logic at the moment. (/builddir/build/BUILD/yosys-7bac1920b28672ba125aba991f30debae1cc0d8f/share/simcells.v:456)
Passed equiv_opt_multiclock.ys
Passed equiv_opt_undef.ys
ERROR: Command stdout did have a line matching given regex "giraffe".
Expected error pattern 'stdout did have a line' found !!!
Passed exec.ys
Passed fib.ys
Passed fib_tern.ys
Passed func_port_implied_dir.ys
Passed gen_if_null.ys
Passed global_scope.ys
Passed gzip_verilog.ys
Passed help.ys
Passed hierarchy_defer.ys
Passed hierarchy_param.ys
Passed ice40_mince_abc9.ys
<<EOT:2: ERROR: syntax error, unexpected '[', expecting TOK_ID or TOK_SIGNED or TOK_UNSIGNED
Expected error pattern 'syntax error, unexpected' found !!!
Passed integer_range_bad_syntax.ys
<<EOT:2: ERROR: syntax error, unexpected TOK_REAL, expecting TOK_ID or TOK_SIGNED or TOK_UNSIGNED
Expected error pattern 'syntax error, unexpected TOK_REAL' found !!!
Passed integer_real_bad_syntax.ys
attribute \src "\" / \\ \010 \014 \n \015 \t \025 \033"
Passed json_escape_chars.ys
ERROR: Identifier `\b' is implicitly declared.
Expected error pattern 'is implicitly declared.' found !!!
Passed logger_error.ys
Passed logger_nowarning.ys
Warning: Found log message matching -W regex:
Added regex 'Successfully finished Verilog frontend.' for warnings to expected warning list.
<<EOF:2: Warning: Identifier `\b' is implicitly declared.
<<EOF:2: Warning: Identifier `\w' is implicitly declared.
Warning: Found log message matching -W regex:
Successfully finished Verilog frontend.
Passed logger_warn.ys
<<EOF:2: Warning: Identifier `\b' is implicitly declared.
<<EOF:2: Warning: Identifier `\w' is implicitly declared.
Passed logger_warning.ys
Passed logic_param_simple.ys
Passed mem2reg.ys
Passed memory_word_as_index.ys
Warning: Yosys has only limited support for tri-state logic at the moment. (/builddir/build/BUILD/yosys-7bac1920b28672ba125aba991f30debae1cc0d8f/share/simcells.v:456)
Passed muxcover.ys
Passed muxpack.ys
Passed param_struct.ys
Passed peepopt.ys
Passed pmgen_reduce.ys
Passed pmux2shiftx.ys
Warning: Resizing cell port act.ou2.out from 3 bits to 2 bits.
Warning: Resizing cell port act.os2.out from 3 bits to 2 bits.
Warning: Resizing cell port act.ou1.out from 3 bits to 1 bits.
Warning: Resizing cell port act.os1.out from 3 bits to 1 bits.
Warning: Resizing cell port act.pt9.a from 3 bits to 4 bits.
Warning: Resizing cell port act.pt7.a from 3 bits to 4 bits.
Warning: Resizing cell port act.pt6.a from 3 bits to 4 bits.
Warning: Resizing cell port act.pt5.a from 2 bits to 4 bits.
Warning: Resizing cell port act.pt4.a from 1 bits to 4 bits.
Warning: Resizing cell port act.pt3.a from 1 bits to 4 bits.
Warning: Resizing cell port act.pt2.a from 1 bits to 4 bits.
Passed port_sign_extend.ys
Passed primitives.ys
Passed printattr.ys
Passed rand_const.ys
Warning: wire '\o_reg' is assigned in a block at reg_wire_error.sv:26.9-26.21.
Warning: wire '\o_reg' is assigned in a block at reg_wire_error.sv:29.3-29.18.
Warning: reg '\l_reg' is assigned in a continuous assignment at reg_wire_error.sv:35.8-35.22.
Warning: wire '\mw2' is assigned in a block at reg_wire_error.sv:62.3-62.16.
Warning: wire '\mw3' is assigned in a block at reg_wire_error.sv:69.3-69.17.
Warning: Replacing memory \ml3 with list of registers. See reg_wire_error.sv:70
Warning: Replacing memory \mr3 with list of registers. See reg_wire_error.sv:68
Warning: Replacing memory \ml2 with list of registers. See reg_wire_error.sv:63
Warning: Replacing memory \mr2 with list of registers. See reg_wire_error.sv:61
Warning: Replacing memory \ml1 with list of registers. See reg_wire_error.sv:58
Passed reg_wire_error.ys
Passed rename_scramble_name.ys
Passed scratchpad.ys
Passed script.ys
Passed sformatf.ys
Passed shregmap.ys
<<EOT:2: ERROR: syntax error, unexpected TOK_INTEGER, expecting TOK_ID or '['
Expected error pattern 'syntax error, unexpected TOK_INTEGER' found !!!
Passed signed.ys
Warning: Yosys has only limited support for tri-state logic at the moment. (<<EOT:4)
Warning: Yosys has only limited support for tri-state logic at the moment. (<<EOT:5)
Passed signext.ys
Passed sim_const.ys
specify.v:28: Warning: Replacing floating point parameter $specify$5.T_RISE_MIN = 1.500000 with string.
specify.v:28: Warning: Replacing floating point parameter $specify$5.T_RISE_TYP = 1.500000 with string.
specify.v:28: Warning: Replacing floating point parameter $specify$5.T_RISE_MAX = 1.500000 with string.
specify.v:28: Warning: Replacing floating point parameter $specify$5.T_FALL_MIN = 1.500000 with string.
specify.v:28: Warning: Replacing floating point parameter $specify$5.T_FALL_TYP = 1.500000 with string.
specify.v:28: Warning: Replacing floating point parameter $specify$5.T_FALL_MAX = 1.500000 with string.
specify.out:49: Warning: Replacing floating point parameter $specify$24.T_RISE_MIN = 1.500000 with string.
specify.out:49: Warning: Replacing floating point parameter $specify$24.T_RISE_TYP = 1.500000 with string.
specify.out:49: Warning: Replacing floating point parameter $specify$24.T_RISE_MAX = 1.500000 with string.
specify.out:49: Warning: Replacing floating point parameter $specify$24.T_FALL_MIN = 1.500000 with string.
specify.out:49: Warning: Replacing floating point parameter $specify$24.T_FALL_TYP = 1.500000 with string.
specify.out:49: Warning: Replacing floating point parameter $specify$24.T_FALL_MAX = 1.500000 with string.
Warning: No SAT model available for cell B_0 ($specrule).
Warning: No SAT model available for cell C_0 ($specrule).
Warning: No SAT model available for cell A_0 ($specify3).
Warning: No SAT model available for cell A_0 ($specify2).
Warning: No SAT model available for cell B_0 ($specify2).
Passed specify.ys
Warning: wire '\o' is assigned in a block at <<EOT:2.11-2.17.
Warning: wire '\p' is assigned in a block at <<EOT:3.11-3.16.
Passed src.ys
Warning: Critical-path does not terminate in a recognised endpoint.
Warning: Cell type 'const0' not recognised! Ignoring.
Passed sta.ys
Passed struct_access.ys
Warning: Port directions for cell \s1 (\DFF) are unknown. Assuming inout for all ports.
Warning: Port directions for cell \s2 (\DFF) are unknown. Assuming inout for all ports.
Warning: Port directions for cell \s3 (\DFF) are unknown. Assuming inout for all ports.
Passed submod.ys
Passed submod_extract.ys
Passed sv_defines.ys
ERROR: Duplicate macro arguments with name `x'.
Expected error pattern 'Duplicate macro arguments with name `x'' found !!!
Passed sv_defines_dup.ys
ERROR: Mismatched brackets in macro argument: [ and }.
Expected error pattern 'Mismatched brackets in macro argument: \[ and }.' found !!!
Passed sv_defines_mismatch.ys
ERROR: Cannot expand macro `foo by giving only 1 argument (argument 2 has no default).
Expected error pattern 'Cannot expand macro `foo by giving only 1 argument \(argument 2 has no default\).' found !!!
Passed sv_defines_too_few.ys
Passed wreduce.ys
Passed write_gzip.ys
Passed xaiger.ys
Passed async.sh
Passed chparam.sh
Passed hierarchy.sh
Passed logger_fail.sh
Passed plugin.sh
Passed smtlib2_module.sh
Passed sv_implicit_ports.sh
Passed svalways.sh
make[1]: Leaving directory '/builddir/build/BUILD/yosys-7bac1920b28672ba125aba991f30debae1cc0d8f/tests/various'
cd tests/select && bash run-test.sh
Running blackboxes.ys..
Running no_warn_assert.ys..
Running no_warn_prefixed_arg_memb.ys..
Running no_warn_prefixed_empty_select_arg.ys..
Running unset.ys..
ERROR: Selection '\foo' does not exist!
Expected error pattern 'Selection '\\foo' does not exist!' found !!!
Running unset2.ys..
ERROR: Selection @foo is not defined!
Expected error pattern 'Selection @foo is not defined!' found !!!
Running warn_empty_select_arg.ys..
Warning: Selection "foo" did not match any module.
Warning: Selection "bar" did not match any object.
cd tests/sat && bash run-test.sh
make[1]: Entering directory '/builddir/build/BUILD/yosys-7bac1920b28672ba125aba991f30debae1cc0d8f/tests/sat'
Passed asserts.ys
Passed asserts_seq.ys
Passed bug2595.ys
Warning: Complex async reset for dff `\q [12]'.
Warning: Complex async reset for dff `\q [8]'.
Passed clk2fflogic.ys
Passed counters-repeat.ys
Passed counters.ys
Passed dff.ys
Passed expose_dff.ys
Passed grom.ys
Passed initval.ys
Passed share.ys
Warning: Wire top.\cnt [7] is used but has no driver.
Warning: Wire top.\cnt [6] is used but has no driver.
Warning: Wire top.\cnt [5] is used but has no driver.
Warning: Wire top.\cnt [4] is used but has no driver.
Warning: Wire top.\cnt [3] is used but has no driver.
Warning: Wire top.\cnt [2] is used but has no driver.
Warning: Wire top.\cnt [1] is used but has no driver.
Warning: Wire top.\cnt [0] is used but has no driver.
Warning: Signal 'top.cnt' in file 8'x in simulation '8'00000000'
ERROR: Signal difference
Expected error pattern 'Signal difference' found !!!
Passed sim_counter.ys
Passed sizebits.ys
Passed splice.ys
make[1]: Leaving directory '/builddir/build/BUILD/yosys-7bac1920b28672ba125aba991f30debae1cc0d8f/tests/sat'
cd tests/sim && bash run-test.sh
Generate FST for sim models
Test tb_adff
FST info: dumpfile tb_adff.fst opened for output.
Test tb_adffe
FST info: dumpfile tb_adffe.fst opened for output.
Test tb_adlatch
FST info: dumpfile tb_adlatch.fst opened for output.
Test tb_aldff
FST info: dumpfile tb_aldff.fst opened for output.
Test tb_aldffe
FST info: dumpfile tb_aldffe.fst opened for output.
Test tb_dff
FST info: dumpfile tb_dff.fst opened for output.
Test tb_dffe
FST info: dumpfile tb_dffe.fst opened for output.
Test tb_dffsr
FST info: dumpfile tb_dffsr.fst opened for output.
Test tb_dlatch
FST info: dumpfile tb_dlatch.fst opened for output.
Test tb_dlatchsr
FST info: dumpfile tb_dlatchsr.fst opened for output.
Test tb_sdff
FST info: dumpfile tb_sdff.fst opened for output.
Test tb_sdffce
FST info: dumpfile tb_sdffce.fst opened for output.
Test tb_sdffe
FST info: dumpfile tb_sdffe.fst opened for output.
make[1]: Entering directory '/builddir/build/BUILD/yosys-7bac1920b28672ba125aba991f30debae1cc0d8f/tests/sim'
Passed sim_adff.ys
Passed sim_adffe.ys
Passed sim_adlatch.ys
Warning: Async reset value `\ad' is not constant!
Passed sim_aldff.ys
Warning: Async reset value `\ad' is not constant!
Passed sim_aldffe.ys
Passed sim_dff.ys
Passed sim_dffe.ys
Warning: Complex async reset for dff `\q'.
Passed sim_dffsr.ys
Passed sim_dlatch.ys
Passed sim_dlatchsr.ys
Passed sim_sdff.ys
Passed sim_sdffce.ys
Passed sim_sdffe.ys
make[1]: Leaving directory '/builddir/build/BUILD/yosys-7bac1920b28672ba125aba991f30debae1cc0d8f/tests/sim'
cd tests/svinterfaces && bash run-test.sh "-S 314159265359"
Test: svinterface1 -> ok
Test: svinterface_at_top -> ok
Test: load_and_derive ->ok
cd tests/svtypes && bash run-test.sh "-S 314159265359"
make[1]: Entering directory '/builddir/build/BUILD/yosys-7bac1920b28672ba125aba991f30debae1cc0d8f/tests/svtypes'
Passed enum_simple.ys
Passed logic_rom.ys
<<EOT:7: ERROR: Insufficient number of array indices for a.
Expected error pattern 'Insufficient number of array indices for a.' found !!!
Passed multirange_subarray_access.ys
<<EOT:1: ERROR: Static cast with zero or negative size!
Expected error pattern 'Static cast with zero or negative size' found !!!
Passed static_cast_negative.ys
<<EOT:1: ERROR: Static cast with non constant expression!
Expected error pattern 'Static cast with non constant expression' found !!!
Passed static_cast_nonconst.ys
<<EOT:1: ERROR: Static cast is only supported in SystemVerilog mode.
Expected error pattern 'Static cast is only supported in SystemVerilog mode' found !!!
Passed static_cast_verilog.ys
<<EOT:1: ERROR: Static cast with zero or negative size!
Expected error pattern 'Static cast with zero or negative size' found !!!
Passed static_cast_zero.ys
Warning: reg '\var_12' is assigned in a continuous assignment at typedef_initial_and_assign.sv:67.9-67.19.
Warning: reg '\var_13' is assigned in a continuous assignment at typedef_initial_and_assign.sv:71.9-71.19.
Warning: reg '\var_14' is assigned in a continuous assignment at typedef_initial_and_assign.sv:74.9-74.19.
Warning: reg '\var_15' is assigned in a continuous assignment at typedef_initial_and_assign.sv:78.9-78.19.
Warning: reg '\var_16' is assigned in a continuous assignment at typedef_initial_and_assign.sv:81.9-81.19.
Warning: reg '\var_17' is assigned in a continuous assignment at typedef_initial_and_assign.sv:85.9-85.19.
Warning: reg '\var_18' is assigned in a continuous assignment at typedef_initial_and_assign.sv:88.9-88.19.
Warning: reg '\var_19' is assigned in a continuous assignment at typedef_initial_and_assign.sv:92.9-92.19.
Passed typedef_initial_and_assign.ys
Passed typedef_memory.ys
Passed typedef_memory_2.ys
Passed typedef_struct_port.ys
Passed multirange_array.sv
Passed static_cast_simple.sv
Passed struct_array.sv
Passed struct_simple.sv
Passed typedef_package.sv
Passed typedef_param.sv
Passed typedef_scopes.sv
Passed typedef_simple.sv
Passed typedef_struct.sv
Passed union_simple.sv
make[1]: Leaving directory '/builddir/build/BUILD/yosys-7bac1920b28672ba125aba991f30debae1cc0d8f/tests/svtypes'
cd tests/proc && bash run-test.sh
Running bug2619.ys..
Running bug2656.ys..
Warning: wire '\q1' is assigned in a block at <<EOT:8.3-8.11.
Warning: wire '\q2' is assigned in a block at <<EOT:12.3-12.10.
Warning: wire '\q2' is assigned in a block at <<EOT:14.3-14.11.
Running bug2962.ys..
Running bug_1268.ys..
Running proc_rom.ys..
Warning: wire '\d' is assigned in a block at <<EOT:7.10-7.20.
Warning: wire '\d' is assigned in a block at <<EOT:8.10-8.20.
Warning: wire '\d' is assigned in a block at <<EOT:9.10-9.20.
Warning: wire '\d' is assigned in a block at <<EOT:10.10-10.20.
Warning: wire '\d' is assigned in a block at <<EOT:11.10-11.20.
Warning: wire '\d' is assigned in a block at <<EOT:12.10-12.20.
Warning: wire '\d' is assigned in a block at <<EOT:13.10-13.20.
Warning: wire '\d' is assigned in a block at <<EOT:14.10-14.20.
Warning: wire '\d' is assigned in a block at <<EOT:15.10-15.20.
Warning: wire '\d' is assigned in a block at <<EOT:16.10-16.20.
Warning: wire '\d' is assigned in a block at <<EOT:17.10-17.20.
Warning: wire '\d' is assigned in a block at <<EOT:18.10-18.20.
Warning: wire '\d' is assigned in a block at <<EOT:19.10-19.20.
Warning: wire '\d' is assigned in a block at <<EOT:20.10-20.20.
Warning: wire '\d' is assigned in a block at <<EOT:21.10-21.20.
Warning: wire '\d' is assigned in a block at <<EOT:22.10-22.20.
Warning: wire '\d' is assigned in a block at <<EOT:25.3-25.9.
Warning: wire '\d' is assigned in a block at <<EOT:20.13-20.23.
Warning: wire '\d' is assigned in a block at <<EOT:23.3-23.9.
Warning: wire '\d' is assigned in a block at <<EOT:7.7-7.17.
Warning: wire '\d' is assigned in a block at <<EOT:8.7-8.17.
Warning: wire '\d' is assigned in a block at <<EOT:9.7-9.17.
Warning: wire '\d' is assigned in a block at <<EOT:10.7-10.17.
Warning: wire '\d' is assigned in a block at <<EOT:11.7-11.17.
Warning: wire '\d' is assigned in a block at <<EOT:12.7-12.17.
Warning: wire '\d' is assigned in a block at <<EOT:13.7-13.17.
Warning: wire '\d' is assigned in a block at <<EOT:14.7-14.17.
Warning: wire '\d' is assigned in a block at <<EOT:15.7-15.17.
Warning: wire '\d' is assigned in a block at <<EOT:16.7-16.17.
Warning: wire '\d' is assigned in a block at <<EOT:17.8-17.18.
Warning: wire '\d' is assigned in a block at <<EOT:18.8-18.18.
Warning: wire '\d' is assigned in a block at <<EOT:19.8-19.18.
Warning: wire '\d' is assigned in a block at <<EOT:7.9-7.19.
Warning: wire '\d' is assigned in a block at <<EOT:8.9-8.19.
Warning: wire '\d' is assigned in a block at <<EOT:9.9-9.19.
Warning: wire '\d' is assigned in a block at <<EOT:10.9-10.19.
Warning: wire '\d' is assigned in a block at <<EOT:11.9-11.19.
Warning: wire '\d' is assigned in a block at <<EOT:12.9-12.19.
Warning: wire '\d' is assigned in a block at <<EOT:13.9-13.19.
Warning: wire '\d' is assigned in a block at <<EOT:14.9-14.19.
Warning: wire '\d' is assigned in a block at <<EOT:15.9-15.19.
Warning: wire '\d' is assigned in a block at <<EOT:16.9-16.19.
Warning: wire '\d' is assigned in a block at <<EOT:17.9-17.19.
Warning: wire '\d' is assigned in a block at <<EOT:18.9-18.19.
Warning: wire '\d' is assigned in a block at <<EOT:19.9-19.19.
Warning: wire '\d' is assigned in a block at <<EOT:20.9-20.19.
Warning: wire '\d' is assigned in a block at <<EOT:21.9-21.19.
Warning: wire '\d' is assigned in a block at <<EOT:22.9-22.19.
Running rmdead.ys..
cd tests/blif && bash run-test.sh
Running bug2729.ys..
Running bug3374.ys..
ERROR: Syntax error in line 1!
Expected error pattern 'Syntax error in line 1!' found !!!
Running bug3385.ys..
ERROR: Syntax error in line 4: names' input plane must have fewer than 13 signals.
Expected error pattern 'Syntax error in line 4: names' input plane must have fewer than 13 signals.' found !!!
cd tests/opt && bash run-test.sh
make[1]: Entering directory '/builddir/build/BUILD/yosys-7bac1920b28672ba125aba991f30debae1cc0d8f/tests/opt'
Passed bug1525.ys
Passed bug1758.ys
Passed bug1854.ys
Passed bug2010.ys
Passed bug2221.ys
Passed bug2311.ys
Passed bug2318.ys
Passed bug2623.ys
Passed bug2765.ys
Passed bug2766.ys
Passed bug2824.ys
Passed bug2920.ys
Passed bug3047.ys
Passed bug3117.ys
Passed memory_bmux2rom.ys
Passed memory_dff_trans.ys
Passed memory_map_offset.ys
Passed opt_clean_init.ys
Passed opt_clean_mem.ys
Passed opt_dff_arst.ys
Passed opt_dff_clk.ys
Passed opt_dff_const.ys
Warning: Yosys has only limited support for tri-state logic at the moment. (<<EOT:2)
Passed opt_dff_dffmux.ys
<<EOT:19: Warning: Range select [13:12] out of bounds on signal `\Q': Setting all 2 result bits to undef.
<<EOT:20: Warning: Range select [15:14] out of bounds on signal `\Q': Setting all 2 result bits to undef.
Passed opt_dff_en.ys
Passed opt_dff_mux.ys
<<EOT:24: Warning: Range select [21:20] out of bounds on signal `\Q': Setting all 2 result bits to undef.
<<EOT:25: Warning: Range select [23:22] out of bounds on signal `\Q': Setting all 2 result bits to undef.
Passed opt_dff_qd.ys
Passed opt_dff_sr.ys
Passed opt_dff_srst.ys
Passed opt_expr.ys
Passed opt_expr_alu.ys
Passed opt_expr_and.ys
Passed opt_expr_cmp.ys
Warning: wire '\a' is assigned in a block at <<EOT:4.2-4.8.
Warning: wire '\a' is assigned in a block at <<EOT:5.2-5.8.
Warning: wire '\a' is assigned in a block at <<EOT:4.9-4.15.
Warning: wire '\a' is assigned in a block at <<EOT:5.9-5.15.
Passed opt_expr_combined_assign.ys
Passed opt_expr_constconn.ys
Passed opt_expr_consumex.ys
Passed opt_expr_or.ys
Passed opt_expr_xnor.ys
Passed opt_expr_xor.ys
Warning: Yosys has only limited support for tri-state logic at the moment. (/builddir/build/BUILD/yosys-7bac1920b28672ba125aba991f30debae1cc0d8f/share/ice40/cells_sim.v:41)
Warning: Yosys has only limited support for tri-state logic at the moment. (/builddir/build/BUILD/yosys-7bac1920b28672ba125aba991f30debae1cc0d8f/share/ice40/cells_sim.v:86)
Warning: Yosys has only limited support for tri-state logic at the moment. (/builddir/build/BUILD/yosys-7bac1920b28672ba125aba991f30debae1cc0d8f/share/ice40/cells_sim.v:87)
Warning: Yosys has only limited support for tri-state logic at the moment. (/builddir/build/BUILD/yosys-7bac1920b28672ba125aba991f30debae1cc0d8f/share/ice40/cells_sim.v:2153)
Warning: Yosys has only limited support for tri-state logic at the moment. (/builddir/build/BUILD/yosys-7bac1920b28672ba125aba991f30debae1cc0d8f/share/ice40/cells_sim.v:2154)
Warning: Yosys has only limited support for tri-state logic at the moment. (/builddir/build/BUILD/yosys-7bac1920b28672ba125aba991f30debae1cc0d8f/share/ice40/cells_sim.v:2155)
Warning: Yosys has only limited support for tri-state logic at the moment. (/builddir/build/BUILD/yosys-7bac1920b28672ba125aba991f30debae1cc0d8f/share/ice40/cells_sim.v:2156)
Warning: Yosys has only limited support for tri-state logic at the moment. (/builddir/build/BUILD/yosys-7bac1920b28672ba125aba991f30debae1cc0d8f/share/ice40/cells_sim.v:2157)
Warning: Yosys has only limited support for tri-state logic at the moment. (/builddir/build/BUILD/yosys-7bac1920b28672ba125aba991f30debae1cc0d8f/share/ice40/cells_sim.v:2158)
Warning: Yosys has only limited support for tri-state logic at the moment. (/builddir/build/BUILD/yosys-7bac1920b28672ba125aba991f30debae1cc0d8f/share/ice40/cells_sim.v:2925)
Warning: Yosys has only limited support for tri-state logic at the moment. (/builddir/build/BUILD/yosys-7bac1920b28672ba125aba991f30debae1cc0d8f/share/ice40/cells_sim.v:2926)
Warning: Yosys has only limited support for tri-state logic at the moment. (/builddir/build/BUILD/yosys-7bac1920b28672ba125aba991f30debae1cc0d8f/share/ice40/cells_sim.v:2988)
Warning: Yosys has only limited support for tri-state logic at the moment. (/builddir/build/BUILD/yosys-7bac1920b28672ba125aba991f30debae1cc0d8f/share/ice40/cells_sim.v:2989)
Warning: Yosys has only limited support for tri-state logic at the moment. (/builddir/build/BUILD/yosys-7bac1920b28672ba125aba991f30debae1cc0d8f/share/ice40/cells_sim.v:2990)
Warning: Yosys has only limited support for tri-state logic at the moment. (/builddir/build/BUILD/yosys-7bac1920b28672ba125aba991f30debae1cc0d8f/share/ice40/cells_sim.v:3203)
Warning: Yosys has only limited support for tri-state logic at the moment. (/builddir/build/BUILD/yosys-7bac1920b28672ba125aba991f30debae1cc0d8f/share/ice40/cells_sim.v:3210)
Passed opt_lut.ys
Passed opt_lut_elim.ys
Passed opt_lut_ins.ys
Passed opt_lut_port.ys
Passed opt_mem_feedback.ys
Passed opt_mem_priority.ys
Passed opt_merge_init.ys
Passed opt_merge_keep.ys
Passed opt_reduce_bmux.ys
Passed opt_reduce_demux.ys
Warning: Wire opt_rmdff_test.\Q [22] is used but has no driver.
Passed opt_rmdff.ys
Passed opt_rmdff_sat.ys
Passed opt_share_add_sub.ys
Passed opt_share_bug2334.ys
Passed opt_share_bug2335.ys
Passed opt_share_bug2336.ys
Passed opt_share_bug2538.ys
Passed opt_share_cat.ys
Passed opt_share_cat_multiuser.ys
Passed opt_share_diff_port_widths.ys
Passed opt_share_extend.ys
Passed opt_share_large_pmux_cat.ys
Passed opt_share_large_pmux_cat_multipart.ys
Passed opt_share_large_pmux_multipart.ys
Passed opt_share_large_pmux_part.ys
Passed opt_share_mux_tree.ys
make[1]: Leaving directory '/builddir/build/BUILD/yosys-7bac1920b28672ba125aba991f30debae1cc0d8f/tests/opt'
cd tests/aiger && bash run-test.sh "-A /usr/bin/abc"
Checking and_.aag.
Checking buffer.aag.
Checking cnt1.aag.
Warning: The new network has no primary inputs. It is recommended
to add a dummy PI to make sure all commands work correctly.
Checking cnt1e.aag.
Checking empty.aag.
Warning: The new network has no primary inputs. It is recommended
to add a dummy PI to make sure all commands work correctly.
Warning: The current network has no primary outputs. Some commands may not work correctly.
Checking false.aag.
Warning: The new network has no primary inputs. It is recommended
to add a dummy PI to make sure all commands work correctly.
Checking halfadder.aag.
Checking inverter.aag.
Checking notcnt1.aag.
Warning: The new network has no primary inputs. It is recommended
to add a dummy PI to make sure all commands work correctly.
Checking notcnt1e.aag.
Checking or_.aag.
Checking symbols.aag.
Checking toggle-re.aag.
Checking toggle.aag.
Warning: The new network has no primary inputs. It is recommended
to add a dummy PI to make sure all commands work correctly.
Checking true.aag.
Warning: The new network has no primary inputs. It is recommended
to add a dummy PI to make sure all commands work correctly.
Checking and_.aig.
Checking buffer.aig.
Checking cnt1.aig.
Warning: The new network has no primary inputs. It is recommended
to add a dummy PI to make sure all commands work correctly.
Checking cnt1e.aig.
Checking empty.aig.
Warning: The new network has no primary inputs. It is recommended
to add a dummy PI to make sure all commands work correctly.
Warning: The current network has no primary outputs. Some commands may not work correctly.
Checking false.aig.
Warning: The new network has no primary inputs. It is recommended
to add a dummy PI to make sure all commands work correctly.
Checking halfadder.aig.
Checking inverter.aig.
Checking notcnt1.aig.
Warning: The new network has no primary inputs. It is recommended
to add a dummy PI to make sure all commands work correctly.
Checking notcnt1e.aig.
Checking or_.aig.
Checking symbols.aig.
Checking toggle-re.aig.
Checking toggle.aig.
Warning: The new network has no primary inputs. It is recommended
to add a dummy PI to make sure all commands work correctly.
Checking true.aig.
Warning: The new network has no primary inputs. It is recommended
to add a dummy PI to make sure all commands work correctly.
Running neg.ys.
cd tests/arch && bash run-test.sh
Running syntax check on arch sim models
Test ../../techlibs/achronix/speedster22i/cells_sim.v -> ok
Test ../../techlibs/anlogic/cells_sim.v -> ok
Test ../../techlibs/coolrunner2/cells_sim.v -> ok
Test ../../techlibs/ecp5/cells_sim.v -> ok
Test ../../techlibs/efinix/cells_sim.v -> ok
Test ../../techlibs/gatemate/cells_sim.v -> ok
Test ../../techlibs/gowin/cells_sim.v -> ok
Test ../../techlibs/greenpak4/cells_sim.v -> ok
Test ../../techlibs/ice40/cells_sim.v -DICE40_HX ->../../techlibs/ice40/cells_sim.v:2231: warning: choosing typ expression.
../../techlibs/ice40/cells_sim.v:2231: warning: choosing typ expression.
../../techlibs/ice40/cells_sim.v:2233: warning: choosing typ expression.
../../techlibs/ice40/cells_sim.v:2233: warning: choosing typ expression.
../../techlibs/ice40/cells_sim.v:2235: warning: choosing typ expression.
../../techlibs/ice40/cells_sim.v:2235: warning: choosing typ expression.
../../techlibs/ice40/cells_sim.v:2237: warning: choosing typ expression.
../../techlibs/ice40/cells_sim.v:2237: warning: choosing typ expression.
../../techlibs/ice40/cells_sim.v:2239: warning: choosing typ expression.
../../techlibs/ice40/cells_sim.v:2239: warning: choosing typ expression.
 ok
Test ../../techlibs/ice40/cells_sim.v -DICE40_LP ->../../techlibs/ice40/cells_sim.v:2295: warning: choosing typ expression.
../../techlibs/ice40/cells_sim.v:2295: warning: choosing typ expression.
../../techlibs/ice40/cells_sim.v:2297: warning: choosing typ expression.
../../techlibs/ice40/cells_sim.v:2297: warning: choosing typ expression.
../../techlibs/ice40/cells_sim.v:2299: warning: choosing typ expression.
../../techlibs/ice40/cells_sim.v:2299: warning: choosing typ expression.
../../techlibs/ice40/cells_sim.v:2301: warning: choosing typ expression.
../../techlibs/ice40/cells_sim.v:2301: warning: choosing typ expression.
../../techlibs/ice40/cells_sim.v:2303: warning: choosing typ expression.
../../techlibs/ice40/cells_sim.v:2303: warning: choosing typ expression.
 ok
Test ../../techlibs/ice40/cells_sim.v -DICE40_U ->../../techlibs/ice40/cells_sim.v:2359: warning: choosing typ expression.
../../techlibs/ice40/cells_sim.v:2359: warning: choosing typ expression.
../../techlibs/ice40/cells_sim.v:2361: warning: choosing typ expression.
../../techlibs/ice40/cells_sim.v:2361: warning: choosing typ expression.
../../techlibs/ice40/cells_sim.v:2363: warning: choosing typ expression.
../../techlibs/ice40/cells_sim.v:2363: warning: choosing typ expression.
../../techlibs/ice40/cells_sim.v:2365: warning: choosing typ expression.
../../techlibs/ice40/cells_sim.v:2365: warning: choosing typ expression.
../../techlibs/ice40/cells_sim.v:2367: warning: choosing typ expression.
../../techlibs/ice40/cells_sim.v:2367: warning: choosing typ expression.
 ok
Test ../../techlibs/intel/max10/cells_sim.v -> ok
Test ../../techlibs/intel/cycloneive/cells_sim.v -> ok
Test ../../techlibs/intel/cycloneiv/cells_sim.v -> ok
Test ../../techlibs/intel/cyclone10lp/cells_sim.v -> ok
Test ../../techlibs/intel_alm/cyclonev/cells_sim.v -> ok
Test ../../techlibs/machxo2/cells_sim.v -> ok
Test ../../techlibs/nexus/cells_sim.v -> ok
Test ../../techlibs/quicklogic/cells_sim.v -> ok
Test ../../techlibs/sf2/cells_sim.v -> ok
Test ../../techlibs/xilinx/cells_sim.v -> ok
Test ../../techlibs/common/simcells.v -> ok
Test ../../techlibs/common/simlib.v ->../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32.
../../techlibs/common/simlib.v:1373:        : Pruning (signed) 31 high bits of the expression.
 ok
cd tests/arch/ice40 && bash run-test.sh "-S 314159265359"
make[1]: Entering directory '/builddir/build/BUILD/yosys-7bac1920b28672ba125aba991f30debae1cc0d8f/tests/arch/ice40'
Passed add_sub.ys
Passed adffs.ys
Warning: Resizing cell port SSCounter6o.l0.I3 from 32 bits to 1 bits.
Warning: Resizing cell port SSCounter6o.c0.CI from 32 bits to 1 bits.
Warning: Resizing cell port SSCounter6o.lien.I0 from 32 bits to 1 bits.
Warning: Resizing cell port SSCounter6o.lien.I1 from 32 bits to 1 bits.
Passed bug1597.ys
Passed bug1598.ys
Passed bug1626.ys
Passed bug1644.ys
Passed bug2061.ys
Passed counter.ys
Passed dffs.ys
Passed dpram.ys
Passed fsm.ys
Passed ice40_dsp.ys
Passed ice40_opt.ys
Passed ice40_wrapcarry.ys
Passed latches.ys
Passed logic.ys
Passed macc.ys
Passed memories.ys
Passed mul.ys
Passed mux.ys
Warning: wire '\data' is assigned in a block at rom.v:10.5-10.15.
Warning: wire '\data' is assigned in a block at rom.v:11.5-11.15.
Warning: wire '\data' is assigned in a block at rom.v:12.5-12.15.
Warning: wire '\data' is assigned in a block at rom.v:13.6-13.16.
Warning: wire '\data' is assigned in a block at rom.v:14.6-14.16.
Warning: wire '\data' is assigned in a block at rom.v:15.6-15.16.
Warning: wire '\data' is assigned in a block at rom.v:16.11-16.21.
Passed rom.ys
Passed shifter.ys
Passed tribuf.ys
make[1]: Leaving directory '/builddir/build/BUILD/yosys-7bac1920b28672ba125aba991f30debae1cc0d8f/tests/arch/ice40'
cd tests/arch/xilinx && bash run-test.sh "-S 314159265359"
make[1]: Entering directory '/builddir/build/BUILD/yosys-7bac1920b28672ba125aba991f30debae1cc0d8f/tests/arch/xilinx'
Warning: Whitebox '$paramod\FDRE\INIT=s32'00000000000000000000000000000001' with (* abc9_flop *) contains a $dff cell with non-zero initial state -- this is not supported for ABC9 sequential synthesis. Treating as a blackbox.
Warning: Whitebox 'FDSE' with (* abc9_flop *) contains a $dff cell with non-zero initial state -- this is not supported for ABC9 sequential synthesis. Treating as a blackbox.
Warning: Whitebox '$paramod\FDRE_1\INIT=s32'00000000000000000000000000000001' with (* abc9_flop *) contains a $dff cell with non-zero initial state -- this is not supported for ABC9 sequential synthesis. Treating as a blackbox.
Warning: Whitebox '$paramod\FDSE_1\INIT=s32'00000000000000000000000000000001' with (* abc9_flop *) contains a $dff cell with non-zero initial state -- this is not supported for ABC9 sequential synthesis. Treating as a blackbox.
Passed abc9_dff.ys
Warning: Shift register inference not yet supported for family xc3s.
Passed add_sub.ys
Passed adffs.ys
Warning: Resizing cell port block_ram.memory.0.0.DIADI from 64 bits to 16 bits.
Warning: Resizing cell port block_ram.memory.0.0.DOADO from 64 bits to 16 bits.
Warning: Resizing cell port block_ram.memory.0.0.DOBDO from 64 bits to 16 bits.
Warning: Resizing cell port block_ram.memory.0.0.DOPADOP from 8 bits to 2 bits.
Warning: Resizing cell port block_ram.memory.0.0.DOPBDOP from 8 bits to 2 bits.
Warning: Resizing cell port block_ram.memory.0.0.WEA from 4 bits to 2 bits.
Warning: Resizing cell port distributed_ram_manual.memory.0.0.DIADI from 64 bits to 16 bits.
Warning: Resizing cell port distributed_ram_manual.memory.0.0.DOADO from 64 bits to 16 bits.
Warning: Resizing cell port distributed_ram_manual.memory.0.0.DOBDO from 64 bits to 16 bits.
Warning: Resizing cell port distributed_ram_manual.memory.0.0.DOPADOP from 8 bits to 2 bits.
Warning: Resizing cell port distributed_ram_manual.memory.0.0.DOPBDOP from 8 bits to 2 bits.
Warning: Resizing cell port distributed_ram_manual.memory.0.0.WEA from 4 bits to 2 bits.
Passed attributes_test.ys
Warning: Resizing cell port sync_ram_sdp.memory.0.0.DIADI from 64 bits to 16 bits.
Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOADO from 64 bits to 16 bits.
Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOBDO from 64 bits to 16 bits.
Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOPADOP from 8 bits to 2 bits.
Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOPBDOP from 8 bits to 2 bits.
Warning: Resizing cell port sync_ram_sdp.memory.0.0.WEA from 4 bits to 2 bits.
Warning: Resizing cell port sync_ram_sdp.memory.0.0.DIPADIP from 8 bits to 2 bits.
Warning: Resizing cell port sync_ram_sdp.memory.0.0.DIADI from 64 bits to 32 bits.
Warning: Resizing cell port sync_ram_sdp.memory.0.0.DIPADIP from 8 bits to 4 bits.
Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOADO from 64 bits to 32 bits.
Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOBDO from 64 bits to 32 bits.
Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOPADOP from 8 bits to 4 bits.
Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOPBDOP from 8 bits to 4 bits.
Passed blockram.ys
Passed bug1460.ys
Passed bug1462.ys
Passed bug1480.ys
Passed bug1598.ys
Warning: Wire top.\t is used but has no driver.
Warning: Wire top.\in is used but has no driver.
Passed bug1605.ys
Passed counter.ys
Passed dffs.ys
Passed dsp_abc9.ys
/builddir/build/BUILD/yosys-7bac1920b28672ba125aba991f30debae1cc0d8f/share/xilinx/brams_xc3sda_map.v:220: Warning: Range [1:0] select out of bounds on signal `\PORT_W_WR_EN': Setting 1 MSB bits to undef.
/builddir/build/BUILD/yosys-7bac1920b28672ba125aba991f30debae1cc0d8f/share/xilinx/brams_xc3sda_map.v:221: Warning: Range select [3:2] out of bounds on signal `\PORT_W_WR_EN': Setting all 2 result bits to undef.
Passed dsp_cascade.ys
Passed dsp_fastfir.ys
Passed dsp_simd.ys
Warning: Shift register inference not yet supported for family xc3se.
Passed fsm.ys
Passed latches.ys
Passed logic.ys
Warning: Shift register inference not yet supported for family xc3s.
Passed lutram.ys
Passed macc.ys
/builddir/build/BUILD/yosys-7bac1920b28672ba125aba991f30debae1cc0d8f/share/xilinx/brams_xc3sda_map.v:220: Warning: Range [1:0] select out of bounds on signal `\PORT_W_WR_EN': Setting 1 MSB bits to undef.
/builddir/build/BUILD/yosys-7bac1920b28672ba125aba991f30debae1cc0d8f/share/xilinx/brams_xc3sda_map.v:221: Warning: Range select [3:2] out of bounds on signal `\PORT_W_WR_EN': Setting all 2 result bits to undef.
Passed mul.ys
Warning: Replacing memory \M with list of registers. See mul_unsigned.v:25
/builddir/build/BUILD/yosys-7bac1920b28672ba125aba991f30debae1cc0d8f/share/xilinx/brams_xc3sda_map.v:220: Warning: Range [1:0] select out of bounds on signal `\PORT_W_WR_EN': Setting 1 MSB bits to undef.
/builddir/build/BUILD/yosys-7bac1920b28672ba125aba991f30debae1cc0d8f/share/xilinx/brams_xc3sda_map.v:221: Warning: Range select [3:2] out of bounds on signal `\PORT_W_WR_EN': Setting all 2 result bits to undef.
Passed mul_unsigned.ys
Passed mux.ys
Warning: Shift register inference not yet supported for family xc3se.
Passed mux_lut4.ys
Passed nosrl.ys
Passed opt_lut_ins.ys
Passed pmgen_xilinx_srl.ys
Passed shifter.ys
Passed tribuf.ys
Passed xilinx_dffopt.ys
Passed xilinx_dsp.ys
Passed xilinx_srl.ys
Passed macc.sh
Passed tribuf.sh
make[1]: Leaving directory '/builddir/build/BUILD/yosys-7bac1920b28672ba125aba991f30debae1cc0d8f/tests/arch/xilinx'
cd tests/arch/ecp5 && bash run-test.sh "-S 314159265359"
make[1]: Entering directory '/builddir/build/BUILD/yosys-7bac1920b28672ba125aba991f30debae1cc0d8f/tests/arch/ecp5'
Passed add_sub.ys
Passed adffs.ys
Passed bug1459.ys
Passed bug1598.ys
Passed bug1630.ys
Passed bug2409.ys
Warning: Whitebox '$paramod\TRELLIS_FF\REGSET=t24'010100110100010101010100' with (* abc9_flop *) contains a $dff cell with non-zero initial state -- this is not supported for ABC9 sequential synthesis. Treating as a blackbox.
Passed bug2731.ys
Passed counter.ys
Passed dffs.ys
Passed dpram.ys
Passed fsm.ys
Passed latches.ys
Passed latches_abc9.ys
Passed logic.ys
Passed lutram.ys
Passed macc.ys
Passed memories.ys
Passed mul.ys
Passed mux.ys
Passed opt_lut_ins.ys
Warning: wire '\data' is assigned in a block at rom.v:9.5-9.15.
Warning: wire '\data' is assigned in a block at rom.v:10.5-10.15.
Warning: wire '\data' is assigned in a block at rom.v:11.5-11.15.
Warning: wire '\data' is assigned in a block at rom.v:12.6-12.16.
Warning: wire '\data' is assigned in a block at rom.v:13.6-13.16.
Warning: wire '\data' is assigned in a block at rom.v:14.6-14.16.
Warning: wire '\data' is assigned in a block at rom.v:15.11-15.21.
Passed rom.ys
Passed shifter.ys
Passed tribuf.ys
make[1]: Leaving directory '/builddir/build/BUILD/yosys-7bac1920b28672ba125aba991f30debae1cc0d8f/tests/arch/ecp5'
cd tests/arch/machxo2 && bash run-test.sh "-S 314159265359"
make[1]: Entering directory '/builddir/build/BUILD/yosys-7bac1920b28672ba125aba991f30debae1cc0d8f/tests/arch/machxo2'
Passed add_sub.ys
Passed dffs.ys
Passed fsm.ys
Passed logic.ys
Passed mux.ys
Passed shifter.ys
Passed tribuf.ys
make[1]: Leaving directory '/builddir/build/BUILD/yosys-7bac1920b28672ba125aba991f30debae1cc0d8f/tests/arch/machxo2'
cd tests/arch/efinix && bash run-test.sh "-S 314159265359"
make[1]: Entering directory '/builddir/build/BUILD/yosys-7bac1920b28672ba125aba991f30debae1cc0d8f/tests/arch/efinix'
Passed add_sub.ys
Passed adffs.ys
Passed counter.ys
Passed dffs.ys
Passed fsm.ys
Passed latches.ys
Passed logic.ys
Passed lutram.ys
Passed mux.ys
Passed shifter.ys
Passed tribuf.ys
make[1]: Leaving directory '/builddir/build/BUILD/yosys-7bac1920b28672ba125aba991f30debae1cc0d8f/tests/arch/efinix'
cd tests/arch/anlogic && bash run-test.sh "-S 314159265359"
make[1]: Entering directory '/builddir/build/BUILD/yosys-7bac1920b28672ba125aba991f30debae1cc0d8f/tests/arch/anlogic'
Passed add_sub.ys
Passed blockram.ys
Passed counter.ys
Passed dffs.ys
Passed fsm.ys
Passed latches.ys
Passed logic.ys
Passed lutram.ys
Passed mux.ys
Passed shifter.ys
Passed tribuf.ys
make[1]: Leaving directory '/builddir/build/BUILD/yosys-7bac1920b28672ba125aba991f30debae1cc0d8f/tests/arch/anlogic'
cd tests/arch/gowin && bash run-test.sh "-S 314159265359"
make[1]: Entering directory '/builddir/build/BUILD/yosys-7bac1920b28672ba125aba991f30debae1cc0d8f/tests/arch/gowin'
Passed add_sub.ys
Passed adffs.ys
Passed counter.ys
Passed dffs.ys
Passed fsm.ys
ERROR: FF myDFFP.$auto$ff.cc:266:slice$662 (type $_DFF_PP1_) cannot be legalized: unsupported initial value and async reset value combination
Expected error pattern 'unsupported initial value and async reset value combination' found !!!
Passed init-error.ys
Passed init.ys
Passed logic.ys
Passed lutram.ys
Passed mux.ys
Passed shifter.ys
Passed tribuf.ys
make[1]: Leaving directory '/builddir/build/BUILD/yosys-7bac1920b28672ba125aba991f30debae1cc0d8f/tests/arch/gowin'
cd tests/arch/intel_alm && bash run-test.sh "-S 314159265359"
make[1]: Entering directory '/builddir/build/BUILD/yosys-7bac1920b28672ba125aba991f30debae1cc0d8f/tests/arch/intel_alm'
Passed add_sub.ys
Passed adffs.ys
Passed blockram.ys
Passed counter.ys
Passed dffs.ys
Passed fsm.ys
Passed logic.ys
Passed lutram.ys
Passed mul.ys
Passed mux.ys
Passed quartus_ice.ys
Passed shifter.ys
Passed tribuf.ys
make[1]: Leaving directory '/builddir/build/BUILD/yosys-7bac1920b28672ba125aba991f30debae1cc0d8f/tests/arch/intel_alm'
cd tests/arch/nexus && bash run-test.sh "-S 314159265359"
make[1]: Entering directory '/builddir/build/BUILD/yosys-7bac1920b28672ba125aba991f30debae1cc0d8f/tests/arch/nexus'
Passed add_sub.ys
Passed adffs.ys
Passed blockram.ys
Passed counter.ys
Passed dffs.ys
Passed fsm.ys
Passed logic.ys
Passed lutram.ys
Passed mul.ys
Passed mux.ys
Passed shifter.ys
Passed tribuf.ys
make[1]: Leaving directory '/builddir/build/BUILD/yosys-7bac1920b28672ba125aba991f30debae1cc0d8f/tests/arch/nexus'
cd tests/arch/quicklogic && bash run-test.sh "-S 314159265359"
make[1]: Entering directory '/builddir/build/BUILD/yosys-7bac1920b28672ba125aba991f30debae1cc0d8f/tests/arch/quicklogic'
Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock.
Warning: Complex async reset for dff `\Q'.
Passed add_sub.ys
Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock.
Warning: Complex async reset for dff `\Q'.
Passed adffs.ys
Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock.
Warning: Complex async reset for dff `\Q'.
Passed counter.ys
Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock.
Warning: Complex async reset for dff `\Q'.
Passed dffs.ys
Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock.
Warning: Complex async reset for dff `\Q'.
Passed fsm.ys
Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock.
Warning: Complex async reset for dff `\Q'.
Passed latches.ys
Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock.
Warning: Complex async reset for dff `\Q'.
Passed logic.ys
Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock.
Warning: Complex async reset for dff `\Q'.
Passed mux.ys
Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock.
Warning: Complex async reset for dff `\Q'.
Passed tribuf.ys
make[1]: Leaving directory '/builddir/build/BUILD/yosys-7bac1920b28672ba125aba991f30debae1cc0d8f/tests/arch/quicklogic'
cd tests/arch/gatemate && bash run-test.sh "-S 314159265359"
make[1]: Entering directory '/builddir/build/BUILD/yosys-7bac1920b28672ba125aba991f30debae1cc0d8f/tests/arch/gatemate'
Passed add_sub.ys
Passed adffs.ys
Passed counter.ys
Passed dffs.ys
Passed fsm.ys
Passed latches.ys
Passed logic.ys
Passed luttrees.ys
Passed memory.ys
Passed mul.ys
Passed mux.ys
Passed shifter.ys
Passed tribuf.ys
make[1]: Leaving directory '/builddir/build/BUILD/yosys-7bac1920b28672ba125aba991f30debae1cc0d8f/tests/arch/gatemate'
cd tests/rpc && bash run-test.sh
Running exec.ys..
cd tests/memfile && bash run-test.sh
Running from the parent directory with content1.dat
Running from the parent directory with temp/content2.dat
Running from the parent directory with memfile/temp/content2.dat
Running from the same directory with content1.dat
Running from the same directory with temp/content2.dat
Running from a child directory with content1.dat
Running from a child directory with temp/content2.dat
Running from a child directory with content2.dat
Checking a failure when zero length filename is provided
memory.v:0: ERROR: Can not open file `` for \$readmemb.
Execution failed, which is OK.
Checking a failure when not existing filename is provided
memory.v:0: ERROR: Can not open file `content3.dat` for \$readmemb.
Execution failed, which is OK.
cd tests/verilog && bash run-test.sh
make[1]: Entering directory '/builddir/build/BUILD/yosys-7bac1920b28672ba125aba991f30debae1cc0d8f/tests/verilog'
<<EOF:0: ERROR: Expression width 1073741824 exceeds implementation limit of 16777216!
Expected error pattern 'Expression width 1073741824 exceeds implementation limit of 16777216!' found !!!
Passed absurd_width.ys
<<EOF:0: ERROR: Expression width 1073741824 exceeds implementation limit of 16777216!
Expected error pattern 'Expression width 1073741824 exceeds implementation limit of 16777216!' found !!!
Passed absurd_width_const.ys
ERROR: Latch inferred for signal `\top.$unnamed_block$1.y' from always_comb process `\top.$proc$<<EOF:0$2'.
Expected error pattern '^Latch inferred for signal `\\top\.\$unnamed_block\$1\.y' from always_comb process' found !!!
Passed always_comb_latch_1.ys
ERROR: Latch inferred for signal `\top.$unnamed_block$1.y' from always_comb process `\top.$proc$<<EOF:0$2'.
Expected error pattern '^Latch inferred for signal `\\top\.\$unnamed_block\$1\.y' from always_comb process' found !!!
Passed always_comb_latch_2.ys
ERROR: Latch inferred for signal `\top.$unnamed_block$1.y' from always_comb process `\top.$proc$<<EOF:0$2'.
Expected error pattern '^Latch inferred for signal `\\top\.\$unnamed_block\$1\.y' from always_comb process' found !!!
Passed always_comb_latch_3.ys
ERROR: Latch inferred for signal `\top.$unnamed_block$3.y' from always_comb process `\top.$proc$<<EOF:0$4'.
Expected error pattern '^Latch inferred for signal `\\top\.\$unnamed_block\$3\.y' from always_comb process' found !!!
Passed always_comb_latch_4.ys
Passed always_comb_nolatch_1.ys
Passed always_comb_nolatch_2.ys
Passed always_comb_nolatch_3.ys
Passed always_comb_nolatch_4.ys
Passed always_comb_nolatch_5.ys
Passed always_comb_nolatch_6.ys
Passed atom_type_signedness.ys
<<EOF:5: ERROR: Begin label missing where end label (incorrect_name) was given.
Expected error pattern 'Begin label missing where end label \(incorrect_name\) was given\.' found !!!
Passed block_end_label_only.ys
<<EOF:5: ERROR: Begin label (correct_name) and end label (incorrect_name) don't match.
Expected error pattern 'Begin label \(correct_name\) and end label \(incorrect_name\) don't match\.' found !!!
Passed block_end_label_wrong.ys
<<EOT:5: ERROR: Begin label (a) and end label (b) don't match.
Expected error pattern 'Begin label \(a\) and end label \(b\) don't match\.' found !!!
Passed block_labels.ys
Passed bug2037.ys
<<EOT:6: ERROR: syntax error, unexpected TOK_ENDTASK, expecting ';'
Expected error pattern 'syntax error, unexpected TOK_ENDTASK, expecting ';'' found !!!
Passed bug2042-sv.ys
<<EOT:5: ERROR: task/function argument direction missing
Expected error pattern 'task/function argument direction missing' found !!!
Passed bug2042.ys
<<EOT:6: ERROR: Failed to detect width for identifier \genblk1.y!
Expected error pattern 'Failed to detect width for identifier \\genblk1\.y!' found !!!
Passed bug2493.ys
Passed bug656.ys
<<EOT:4: ERROR: Cannot add procedural assertion `\x' because a signal with the same name was already created at <<EOT:2.10-2.11!
Expected error pattern 'Cannot add procedural assertion `\\x' because a signal with the same name was already created' found !!!
Passed conflict_assert.ys
<<EOT:5: ERROR: Cannot add cell `\x' because a memory with the same name was already created at <<EOT:4.15-4.16!
Expected error pattern 'Cannot add cell `\\x' because a memory with the same name was already created' found !!!
Passed conflict_cell_memory.ys
<<EOT:0: ERROR: Cannot add interface port `\i' because a signal with the same name was already created at <<EOT:9.10-9.11!
Expected error pattern 'Cannot add interface port `\\i' because a signal with the same name was already created' found !!!
Passed conflict_interface_port.ys
<<EOT:3: ERROR: Cannot add memory `\x' because a signal with the same name was already created at <<EOT:2.15-2.16!
Expected error pattern 'Cannot add memory `\\x' because a signal with the same name was already created' found !!!
Passed conflict_memory_wire.ys
<<EOT:3: Warning: Ignoring assignment to constant bits:
    old assignment: 2 = 1
    new assignment: { } = { }.
<<EOT:4: ERROR: Cannot add pwire `\x' because a signal with the same name was already created at <<EOT:2.10-2.11!
Expected error pattern 'Cannot add pwire `\\x' because a signal with the same name was already created' found !!!
Passed conflict_pwire.ys
<<EOT:3: ERROR: Cannot add signal `\x' because a memory with the same name was already created at <<EOT:2.15-2.16!
Expected error pattern 'Cannot add signal `\\x' because a memory with the same name was already created' found !!!
Passed conflict_wire_memory.ys
Passed const_arst.ys
Warning: Complex async reset for dff `\q'.
Passed const_sr.ys
Warning: Yosys has only limited support for tri-state logic at the moment. (<<EOT:3)
Passed delay_mintypmax.ys
Warning: Yosys has only limited support for tri-state logic at the moment. (<<EOT:3)
Passed delay_risefall.ys
Passed delay_time_scale.ys
Passed doubleslash.ys
<<EOT:4: ERROR: For loop variable declaration is missing initialization!
Expected error pattern 'For loop variable declaration is missing initialization!' found !!!
Passed for_decl_no_init.ys
<<EOT:4: ERROR: For loop inline variable declaration is only supported in SystemVerilog mode!
Expected error pattern 'For loop inline variable declaration is only supported in SystemVerilog mode!' found !!!
Passed for_decl_no_sv.ys
Passed for_decl_shadow.ys
<<EOT:8: ERROR: Incompatible re-declaration of wire \f$func$<<EOT:8$1.inp.
Expected error pattern 'Incompatible re-declaration of wire' found !!!
Passed func_arg_mismatch_1.ys
<<EOT:0: ERROR: Incompatible re-declaration of constant function wire \f$func$<<EOT:8$1.inp.
Expected error pattern 'Incompatible re-declaration of constant function wire' found !!!
Passed func_arg_mismatch_2.ys
<<EOT:8: ERROR: Incompatible re-declaration of wire \f$func$<<EOT:8$1.inp.
Expected error pattern 'Incompatible re-declaration of wire' found !!!
Passed func_arg_mismatch_3.ys
<<EOT:0: ERROR: Incompatible re-declaration of constant function wire \f$func$<<EOT:8$1.inp.
Expected error pattern 'Incompatible re-declaration of constant function wire' found !!!
Passed func_arg_mismatch_4.ys
Passed func_tern_hint.ys
Passed func_typename_ret.ys
Passed func_upto.ys
<<EOF:5: ERROR: Begin label missing where end label (incorrect_name) was given.
Expected error pattern 'Begin label missing where end label \(incorrect_name\) was given\.' found !!!
Passed gen_block_end_label_only.ys
<<EOF:5: ERROR: Begin label (correct_name) and end label (incorrect_name) don't match.
Expected error pattern 'Begin label \(correct_name\) and end label \(incorrect_name\) don't match\.' found !!!
Passed gen_block_end_label_wrong.ys
Passed genblk_case.ys
<<EOT:4: ERROR: Cannot declare module port `\x' within a generate block.
Expected error pattern 'Cannot declare module port `\\x' within a generate block\.' found !!!
Passed genblk_port_decl.ys
<<EOT:2: ERROR: Generate for loop variable declaration is missing initialization!
Expected error pattern 'Generate for loop variable declaration is missing initialization!' found !!!
Passed genfor_decl_no_init.ys
<<EOT:2: ERROR: Generate for loop inline variable declaration is only supported in SystemVerilog mode!
Expected error pattern 'Generate for loop inline variable declaration is only supported in SystemVerilog mode!' found !!!
Passed genfor_decl_no_sv.ys
Passed genvar_loop_decl_1.ys
Passed genvar_loop_decl_2.ys
Warning: reg '\y' is assigned in a continuous assignment at genvar_loop_decl_3.sv:13.12-13.21.
Warning: reg '\y' is assigned in a continuous assignment at genvar_loop_decl_3.sv:27.12-27.21.
Passed genvar_loop_decl_3.ys
<<EOF:0: ERROR: Can't find object for defparam `$1`!
Expected error pattern 'Can't find object for defparam' found !!!
Passed global_parameter.ys
<<EOT:7: ERROR: Identifier `\y' is implicitly declared and `default_nettype is set to none.
Expected error pattern 'Identifier `\\y' is implicitly declared and `default_nettype is set to none' found !!!
Passed hidden_decl.ys
Passed ifdef_nest.ys
ERROR: Unterminated preprocessor conditional!
Expected error pattern 'Unterminated preprocessor conditional!' found !!!
Passed ifdef_unterminated.ys
Passed include_self.ys
Passed int_types.ys
<<EOF:3: ERROR: localparam initialization is missing!
Expected error pattern 'localparam initialization is missing!' found !!!
Passed localparam_no_default_1.ys
<<EOF:2: ERROR: localparam initialization is missing!
Expected error pattern 'localparam initialization is missing!' found !!!
Passed localparam_no_default_2.ys
Passed macro_arg_tromp.ys
ERROR: Expected to find '(' to begin macro arguments for 'MACRO', but instead found ';'
Expected error pattern 'Expected to find '\(' to begin macro arguments for 'MACRO', but instead found ';'' found !!!
Passed macro_unapplied.ys
ERROR: Expected to find '(' to begin macro arguments for 'foo', but instead found '\x0a'
Expected error pattern 'Expected to find '\(' to begin macro arguments for 'foo', but instead found '\\x0a'' found !!!
Passed macro_unapplied_newline.ys
Passed mem_bounds.ys
<<EOF:3: ERROR: Module name (correct_name) and end label (incorrect_name) don't match.
Expected error pattern 'Module name \(correct_name\) and end label \(incorrect_name\) don't match\.' found !!!
Passed module_end_label.ys
Passed net_types.ys
<<EOF:3: ERROR: Package name (correct_name) and end label (incorrect_name) don't match.
Expected error pattern 'Package name \(correct_name\) and end label \(incorrect_name\) don't match\.' found !!!
Passed package_end_label.ys
Passed package_task_func.ys
Passed param_int_types.ys
Passed param_no_default.ys
<<EOF:3: ERROR: Parameter defaults can only be omitted in SystemVerilog mode!
Expected error pattern 'Parameter defaults can only be omitted in SystemVerilog mode!' found !!!
Passed param_no_default_not_svmode.ys
<<EOF:2: ERROR: Parameter `\X' has no default value and has not been overridden!
Expected error pattern 'Parameter `\\X' has no default value and has not been overridden!' found !!!
Passed param_no_default_unbound_1.ys
<<EOF:2: ERROR: Parameter `\X' has no default value and has not been overridden!
Expected error pattern 'Parameter `\\X' has no default value and has not been overridden!' found !!!
Passed param_no_default_unbound_2.ys
<<EOF:2: ERROR: Parameter `\Y' has no default value and has not been overridden!
Expected error pattern 'Parameter `\\Y' has no default value and has not been overridden!' found !!!
Passed param_no_default_unbound_3.ys
<<EOF:2: ERROR: Parameter `\X' has no default value and has not been overridden!
Expected error pattern 'Parameter `\\X' has no default value and has not been overridden!' found !!!
Passed param_no_default_unbound_4.ys
<<EOF:2: ERROR: Parameter `\X' has no default value and has not been overridden!
Expected error pattern 'Parameter `\\X' has no default value and has not been overridden!' found !!!
Passed param_no_default_unbound_5.ys
Passed parameters_across_files.ys
Passed past_signedness.ys
Passed port_int_types.ys
Passed prefix.ys
Passed sign_array_query.ys
Passed size_cast.ys
Passed struct_access.ys
<<EOT:6: ERROR: syntax error, unexpected ATTR_BEGIN
Expected error pattern 'syntax error, unexpected ATTR_BEGIN' found !!!
Passed task_attr.ys
Passed typedef_across_files.ys
Passed typedef_legacy_conflict.ys
Warning: Yosys has only limited support for tri-state logic at the moment. (unbased_unsized.sv:17)
Warning: Yosys has only limited support for tri-state logic at the moment. (unbased_unsized.sv:21)
Warning: Yosys has only limited support for tri-state logic at the moment. (unbased_unsized.sv:25)
Warning: Yosys has only limited support for tri-state logic at the moment. (unbased_unsized.sv:30)
Warning: Yosys has only limited support for tri-state logic at the moment. (unbased_unsized.sv:34)
Warning: Yosys has only limited support for tri-state logic at the moment. (unbased_unsized.sv:38)
Passed unbased_unsized.ys
Warning: Resizing cell port gate.pt4.out from 64 bits to 40 bits.
Warning: Resizing cell port gate.pt3.out from 64 bits to 40 bits.
Warning: Resizing cell port gate.pt2.out from 64 bits to 40 bits.
Warning: Resizing cell port gate.pt1.out from 64 bits to 40 bits.
Warning: Resizing cell port gold.pt4.out from 64 bits to 40 bits.
Warning: Resizing cell port gold.pt3.out from 64 bits to 40 bits.
Warning: Resizing cell port gold.pt2.out from 64 bits to 40 bits.
Warning: Resizing cell port gold.pt1.out from 64 bits to 40 bits.
Passed unbased_unsized_tern.ys
ERROR: Found `else outside of macro conditional branch!
Expected error pattern 'Found `else outside of macro conditional branch!' found !!!
Passed unmatched_else.ys
ERROR: Found `elsif outside of macro conditional branch!
Expected error pattern 'Found `elsif outside of macro conditional branch!' found !!!
Passed unmatched_elsif.ys
ERROR: Found `endif outside of macro conditional branch!
Expected error pattern 'Found `endif outside of macro conditional branch!' found !!!
Passed unmatched_endif.ys
ERROR: Found `endif outside of macro conditional branch!
Expected error pattern 'Found `endif outside of macro conditional branch!' found !!!
Passed unmatched_endif_2.ys
<<EOT:3: ERROR: Local declaration in unnamed block is only supported in SystemVerilog mode!
Expected error pattern 'Local declaration in unnamed block is only supported in SystemVerilog mode!' found !!!
Passed unnamed_block.ys
Passed unnamed_genblk.ys
Passed unreachable_case_sign.ys
Passed upto.ys
Warning: wire '\wire_1' is assigned in a block at wire_and_var.sv:21.41-21.51.
Warning: reg '\reg_2' is assigned in a continuous assignment at wire_and_var.sv:22.57-22.66.
Warning: reg '\var_reg_2' is assigned in a continuous assignment at wire_and_var.sv:26.77-26.90.
Warning: wire '\wire_logic_1' is assigned in a block at wire_and_var.sv:30.65-30.81.
Warning: wire '\wire_integer_1' is assigned in a block at wire_and_var.sv:31.73-31.91.
Passed wire_and_var.ys
Passed dynamic_range_lhs.sh
make[1]: Leaving directory '/builddir/build/BUILD/yosys-7bac1920b28672ba125aba991f30debae1cc0d8f/tests/verilog'

  Passed "make test".

+ RPM_EC=0
++ jobs -p
+ exit 0
Processing files: yosys-0.25-2.20230104git7bac192.fc38.x86_64
Executing(%doc): /bin/sh -e /var/tmp/rpm-tmp.8FUfDj
+ umask 022
+ cd /builddir/build/BUILD
+ cd yosys-7bac1920b28672ba125aba991f30debae1cc0d8f
+ DOCDIR=/builddir/build/BUILDROOT/yosys-0.25-2.20230104git7bac192.fc38.x86_64/usr/share/doc/yosys
+ export LC_ALL=C
+ LC_ALL=C
+ export DOCDIR
+ /usr/bin/mkdir -p /builddir/build/BUILDROOT/yosys-0.25-2.20230104git7bac192.fc38.x86_64/usr/share/doc/yosys
+ cp -pr README.md /builddir/build/BUILDROOT/yosys-0.25-2.20230104git7bac192.fc38.x86_64/usr/share/doc/yosys
+ RPM_EC=0
++ jobs -p
+ exit 0
Executing(%license): /bin/sh -e /var/tmp/rpm-tmp.ZfhAnR
+ umask 022
+ cd /builddir/build/BUILD
+ cd yosys-7bac1920b28672ba125aba991f30debae1cc0d8f
+ LICENSEDIR=/builddir/build/BUILDROOT/yosys-0.25-2.20230104git7bac192.fc38.x86_64/usr/share/licenses/yosys
+ export LC_ALL=C
+ LC_ALL=C
+ export LICENSEDIR
+ /usr/bin/mkdir -p /builddir/build/BUILDROOT/yosys-0.25-2.20230104git7bac192.fc38.x86_64/usr/share/licenses/yosys
+ cp -pr COPYING /builddir/build/BUILDROOT/yosys-0.25-2.20230104git7bac192.fc38.x86_64/usr/share/licenses/yosys
+ RPM_EC=0
++ jobs -p
+ exit 0
Provides: yosys = 0.25-2.20230104git7bac192.fc38 yosys(x86-64) = 0.25-2.20230104git7bac192.fc38
Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1
Requires: /usr/bin/python3 libc.so.6()(64bit) libc.so.6(GLIBC_2.11)(64bit) libc.so.6(GLIBC_2.15)(64bit) libc.so.6(GLIBC_2.2.5)(64bit) libc.so.6(GLIBC_2.27)(64bit) libc.so.6(GLIBC_2.3)(64bit) libc.so.6(GLIBC_2.3.4)(64bit) libc.so.6(GLIBC_2.32)(64bit) libc.so.6(GLIBC_2.33)(64bit) libc.so.6(GLIBC_2.34)(64bit) libc.so.6(GLIBC_2.4)(64bit) libc.so.6(GLIBC_2.7)(64bit) libc.so.6(GLIBC_2.8)(64bit) libffi.so.8()(64bit) libffi.so.8(LIBFFI_BASE_8.0)(64bit) libgcc_s.so.1()(64bit) libgcc_s.so.1(GCC_3.0)(64bit) libm.so.6()(64bit) libm.so.6(GLIBC_2.2.5)(64bit) libm.so.6(GLIBC_2.29)(64bit) libm.so.6(GLIBC_2.35)(64bit) libreadline.so.8()(64bit) libstdc++.so.6()(64bit) libstdc++.so.6(CXXABI_1.3)(64bit) libstdc++.so.6(CXXABI_1.3.5)(64bit) libstdc++.so.6(GLIBCXX_3.4)(64bit) libstdc++.so.6(GLIBCXX_3.4.11)(64bit) libstdc++.so.6(GLIBCXX_3.4.14)(64bit) libstdc++.so.6(GLIBCXX_3.4.15)(64bit) libstdc++.so.6(GLIBCXX_3.4.18)(64bit) libstdc++.so.6(GLIBCXX_3.4.20)(64bit) libstdc++.so.6(GLIBCXX_3.4.21)(64bit) libstdc++.so.6(GLIBCXX_3.4.26)(64bit) libstdc++.so.6(GLIBCXX_3.4.29)(64bit) libstdc++.so.6(GLIBCXX_3.4.30)(64bit) libstdc++.so.6(GLIBCXX_3.4.5)(64bit) libstdc++.so.6(GLIBCXX_3.4.9)(64bit) libtcl8.6.so()(64bit) libz.so.1()(64bit) libz.so.1(ZLIB_1.2.0)(64bit) rtld(GNU_HASH)
Processing files: yosys-doc-0.25-2.20230104git7bac192.fc38.x86_64
Provides: yosys-doc = 0.25-2.20230104git7bac192.fc38 yosys-doc(x86-64) = 0.25-2.20230104git7bac192.fc38
Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1
Processing files: yosys-share-0.25-2.20230104git7bac192.fc38.noarch
Provides: yosys-share = 0.25-2.20230104git7bac192.fc38
Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1
Processing files: yosys-devel-0.25-2.20230104git7bac192.fc38.x86_64
Provides: yosys-devel = 0.25-2.20230104git7bac192.fc38 yosys-devel(x86-64) = 0.25-2.20230104git7bac192.fc38
Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1
Requires: /usr/bin/bash
Processing files: yosys-debugsource-0.25-2.20230104git7bac192.fc38.x86_64
Provides: yosys-debugsource = 0.25-2.20230104git7bac192.fc38 yosys-debugsource(x86-64) = 0.25-2.20230104git7bac192.fc38
Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1
Processing files: yosys-debuginfo-0.25-2.20230104git7bac192.fc38.x86_64
Provides: debuginfo(build-id) = 4af2d7cfb6925a529b768a938d36964a6ed87310 debuginfo(build-id) = d6536ea983cd38b934a2ee43eb7b9b7b11b782e6 yosys-debuginfo = 0.25-2.20230104git7bac192.fc38 yosys-debuginfo(x86-64) = 0.25-2.20230104git7bac192.fc38
Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1
Recommends: yosys-debugsource(x86-64) = 0.25-2.20230104git7bac192.fc38
Checking for unpackaged file(s): /usr/lib/rpm/check-files /builddir/build/BUILDROOT/yosys-0.25-2.20230104git7bac192.fc38.x86_64
Wrote: /builddir/build/RPMS/yosys-0.25-2.20230104git7bac192.fc38.x86_64.rpm
Wrote: /builddir/build/RPMS/yosys-debugsource-0.25-2.20230104git7bac192.fc38.x86_64.rpm
Wrote: /builddir/build/RPMS/yosys-share-0.25-2.20230104git7bac192.fc38.noarch.rpm
Wrote: /builddir/build/RPMS/yosys-devel-0.25-2.20230104git7bac192.fc38.x86_64.rpm
Wrote: /builddir/build/RPMS/yosys-doc-0.25-2.20230104git7bac192.fc38.x86_64.rpm
Wrote: /builddir/build/RPMS/yosys-debuginfo-0.25-2.20230104git7bac192.fc38.x86_64.rpm
Executing(%clean): /bin/sh -e /var/tmp/rpm-tmp.r75fzm
+ umask 022
+ cd /builddir/build/BUILD
+ cd yosys-7bac1920b28672ba125aba991f30debae1cc0d8f
+ /usr/bin/rm -rf /builddir/build/BUILDROOT/yosys-0.25-2.20230104git7bac192.fc38.x86_64
+ RPM_EC=0
++ jobs -p
+ exit 0
Executing(rmbuild): /bin/sh -e /var/tmp/rpm-tmp.foJQOS
+ umask 022
+ cd /builddir/build/BUILD
+ rm -rf yosys-7bac1920b28672ba125aba991f30debae1cc0d8f yosys-7bac1920b28672ba125aba991f30debae1cc0d8f.gemspec
+ RPM_EC=0
++ jobs -p
+ exit 0
Finish: rpmbuild yosys-0.25-2.20230104git7bac192.fc38.src.rpm
Finish: build phase for yosys-0.25-2.20230104git7bac192.fc38.src.rpm
INFO: chroot_scan: 3 files copied to /var/lib/copr-rpmbuild/results/chroot_scan
INFO: /var/lib/mock/fedora-rawhide-x86_64-1674478804.654692/root/var/log/dnf.log
/var/lib/mock/fedora-rawhide-x86_64-1674478804.654692/root/var/log/dnf.rpm.log
/var/lib/mock/fedora-rawhide-x86_64-1674478804.654692/root/var/log/dnf.librepo.log
INFO: Done(/var/lib/copr-rpmbuild/results/yosys-0.25-2.20230104git7bac192.fc38.src.rpm) Config(child) 39 minutes 38 seconds
INFO: Results and/or logs in: /var/lib/copr-rpmbuild/results
INFO: Cleaning up build root ('cleanup_on_success=True')
Start: clean chroot
INFO: unmounting tmpfs.
Finish: clean chroot
Finish: run
Running RPMResults tool