Warning: Permanently added '3.237.35.140' (ECDSA) to the list of known hosts. Running: /usr/bin/copr-rpmbuild --verbose --drop-resultdir --build-id 1921424 --chroot fedora-rawhide-x86_64 --detached Version: 0.45 Task: {'background': True, 'build_id': 1921424, 'buildroot_pkgs': [], 'chroot': 'fedora-rawhide-x86_64', 'enable_net': False, 'git_hash': '7166ec07c01515318425ae23694cae2d6896f7f5', 'git_repo': 'https://copr-dist-git.fedorainfracloud.org/git/thrnciar/python-pygments/yosys.git', 'isolation': 'default', 'memory_reqs': 2048, 'package_name': 'yosys', 'package_version': '0.9-8.fc34', 'project_dirname': 'python-pygments', 'project_name': 'python-pygments', 'project_owner': 'thrnciar', 'repos': [{'baseurl': 'https://download.copr.fedorainfracloud.org/results/thrnciar/python-pygments/fedora-rawhide-x86_64/', 'id': 'copr_base', 'name': 'Copr repository'}], 'sandbox': 'thrnciar/python-pygments--thrnciar', 'source_json': {}, 'source_type': None, 'submitter': 'thrnciar', 'task_id': '1921424-fedora-rawhide-x86_64', 'timeout': 18000, 'uses_devel_repo': False, 'with_opts': [], 'without_opts': []} Running: git clone https://copr-dist-git.fedorainfracloud.org/git/thrnciar/python-pygments/yosys.git /tmp/copr-rpmbuild-7t41_7jo/obtain-sources/yosys --depth 500 --no-single-branch cmd: ['git', 'clone', 'https://copr-dist-git.fedorainfracloud.org/git/thrnciar/python-pygments/yosys.git', '/tmp/copr-rpmbuild-7t41_7jo/obtain-sources/yosys', '--depth', '500', '--no-single-branch'] cwd: . rc: 0 stdout: stderr: Cloning into '/tmp/copr-rpmbuild-7t41_7jo/obtain-sources/yosys'... Running: git checkout 7166ec07c01515318425ae23694cae2d6896f7f5 cmd: ['git', 'checkout', '7166ec07c01515318425ae23694cae2d6896f7f5'] cwd: /tmp/copr-rpmbuild-7t41_7jo/obtain-sources/yosys rc: 0 stdout: stderr: Note: switching to '7166ec07c01515318425ae23694cae2d6896f7f5'. You are in 'detached HEAD' state. You can look around, make experimental changes and commit them, and you can discard any commits you make in this state without impacting any branches by switching back to a branch. If you want to create a new branch to retain commits you create, you may do so (now or later) by using -c with the switch command. Example: git switch -c Or undo this operation with: git switch - Turn off this advice by setting config variable advice.detachedHead to false HEAD is now at 7166ec0 automatic import of yosys Running: copr-distgit-client sources cmd: ['copr-distgit-client', 'sources'] cwd: /tmp/copr-rpmbuild-7t41_7jo/obtain-sources/yosys rc: 0 stdout: stderr: INFO: Reading stdout from command: git rev-parse --abbrev-ref HEAD INFO: Reading stdout from command: git rev-parse HEAD INFO: Reading sources specification file: sources INFO: Downloading yosys-0.9.tar.gz /usr/bin/tail: /var/lib/copr-rpmbuild/main.log: file truncated INFO: Calling: curl -H Pragma: -o yosys-0.9.tar.gz --location --remote-time --show-error --fail https://copr-dist-git.fedorainfracloud.org/repo/pkgs/thrnciar/python-pygments/yosys/yosys-0.9.tar.gz/md5/88518fa1d41caf4458d63bae220aa6fd/yosys-0.9.tar.gz % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 100 1269k 100 1269k 0 0 10.1M 0 --:--:-- --:--:-- --:--:-- 10.2M INFO: Reading stdout from command: md5sum yosys-0.9.tar.gz INFO: Downloading yosys_0.9-1.debian.tar.xz INFO: Calling: curl -H Pragma: -o yosys_0.9-1.debian.tar.xz --location --remote-time --show-error --fail https://copr-dist-git.fedorainfracloud.org/repo/pkgs/thrnciar/python-pygments/yosys/yosys_0.9-1.debian.tar.xz/md5/cd3e7ea8ea41b6095a23ff309dd873eb/yosys_0.9-1.debian.tar.xz % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 100 17276 100 17276 0 0 432k 0 --:--:-- --:--:-- --:--:-- 432k INFO: Reading stdout from command: md5sum yosys_0.9-1.debian.tar.xz Running (timeout=18000): unbuffer mock --buildsrpm --spec /tmp/copr-rpmbuild-7t41_7jo/obtain-sources/yosys/yosys.spec --sources /tmp/copr-rpmbuild-7t41_7jo/obtain-sources/yosys --resultdir /var/lib/copr-rpmbuild/results --uniqueext 1611951638.964968 -r /var/lib/copr-rpmbuild/results/configs/child.cfg INFO: mock.py version 2.9 starting (python version = 3.9.1, NVR = mock-2.9-1.fc33)... Start(bootstrap): init plugins INFO: tmpfs initialized INFO: selinux enabled INFO: chroot_scan: initialized INFO: compress_logs: initialized Finish(bootstrap): init plugins Start: init plugins INFO: tmpfs initialized INFO: selinux enabled INFO: chroot_scan: initialized INFO: compress_logs: initialized Finish: init plugins INFO: Signal handler active Start: run INFO: Start(/tmp/copr-rpmbuild-7t41_7jo/obtain-sources/yosys/yosys.spec) Config(fedora-rawhide-x86_64) Start: clean chroot Finish: clean chroot Start(bootstrap): chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-rawhide-x86_64-bootstrap-1611951638.964968/root. INFO: calling preinit hooks INFO: enabled root cache INFO: enabled package manager cache Start(bootstrap): cleaning package manager metadata Finish(bootstrap): cleaning package manager metadata INFO: enabled HW Info plugin Mock Version: 2.9 INFO: Mock Version: 2.9 Start(bootstrap): dnf install No matches found for the following disable plugin patterns: local, spacewalk Copr repository 37 MB/s | 6.2 MB 00:00 fedora 25 MB/s | 73 MB 00:02 Last metadata expiration check: 0:00:02 ago on Fri Jan 29 20:20:44 2021. Dependencies resolved. ================================================================================ Package Arch Version Repo Size ================================================================================ Installing: dnf  noarch 4.6.0-1.fc34 fedora 446 k dnf-plugins-core  noarch 4.0.19-1.fc34 fedora 35 k Installing dependencies: alternatives  x86_64 1.15-1.fc34 fedora 35 k audit-libs  x86_64 3.0-1.fc34 fedora 113 k basesystem  noarch 11-10.fc33 fedora 6.8 k bash  x86_64 5.1.0-1.fc34 fedora 1.7 M bzip2-libs  x86_64 1.0.8-4.fc33 fedora 40 k ca-certificates  noarch 2020.2.41-6.fc34 fedora 353 k coreutils  x86_64 8.32-15.fc34 fedora 1.1 M coreutils-common  x86_64 8.32-15.fc34 fedora 2.0 M crypto-policies  noarch 20210127-2.gitb21c811.fc34 fedora 57 k curl  x86_64 7.74.0-4.fc34 fedora 299 k cyrus-sasl-lib  x86_64 2.1.27-6.fc33 fedora 101 k dbus-libs  x86_64 1:1.12.20-2.fc33 fedora 154 k dnf-data  noarch 4.6.0-1.fc34 fedora 47 k elfutils-default-yama-scope  noarch 0.182-2.fc34 fedora 16 k elfutils-libelf  x86_64 0.182-2.fc34 fedora 193 k elfutils-libs  x86_64 0.182-2.fc34 fedora 265 k expat  x86_64 2.2.10-1.fc34 fedora 104 k fedora-gpg-keys  noarch 34-0.8 fedora 107 k fedora-release  noarch 34-0.10 fedora 11 k fedora-release-common  noarch 34-0.10 fedora 20 k fedora-release-identity-basic noarch 34-0.10 fedora 12 k fedora-repos  noarch 34-0.8 fedora 11 k fedora-repos-rawhide  noarch 34-0.8 fedora 10 k file-libs  x86_64 5.39-4.fc34 fedora 591 k filesystem  x86_64 3.14-4.fc34 fedora 1.1 M gawk  x86_64 5.1.0-2.fc33 fedora 1.0 M gdbm-libs  x86_64 1:1.19-1.fc34 fedora 54 k glib2  x86_64 2.67.1-3.fc34 copr_base 2.7 M glibc  x86_64 2.32.9000-26.fc34 fedora 3.5 M glibc-common  x86_64 2.32.9000-26.fc34 fedora 2.1 M glibc-minimal-langpack  x86_64 2.32.9000-26.fc34 fedora 110 k gmp  x86_64 1:6.2.0-5.fc34 fedora 320 k gnupg2  x86_64 2.2.27-1.fc34 fedora 2.3 M gnutls  x86_64 3.6.15-2.fc34 fedora 925 k gpgme  x86_64 1.15.1-1.fc34 fedora 207 k grep  x86_64 3.6-1.fc34 fedora 260 k ima-evm-utils  x86_64 1.3.2-1.fc34 fedora 62 k json-c  x86_64 0.14-7.fc33 fedora 41 k keyutils-libs  x86_64 1.6.1-1.fc34 fedora 31 k krb5-libs  x86_64 1.19-0.beta2.5.fc34 fedora 735 k libacl  x86_64 2.2.53-9.fc34 fedora 23 k libarchive  x86_64 3.5.1-1.fc34 fedora 391 k libassuan  x86_64 2.5.4-1.fc34 fedora 68 k libattr  x86_64 2.4.48-10.fc33 fedora 18 k libblkid  x86_64 2.36.1-4.fc34 fedora 100 k libbrotli  x86_64 1.0.9-3.fc34 fedora 322 k libcap  x86_64 2.46-1.fc34 fedora 65 k libcap-ng  x86_64 0.8.2-1.fc34 fedora 32 k libcom_err  x86_64 1.45.6-4.fc33 fedora 27 k libcomps  x86_64 0.1.15-5.fc34 copr_base 80 k libcurl  x86_64 7.74.0-4.fc34 fedora 285 k libdb  x86_64 5.3.28-45.fc34 fedora 760 k libdnf  x86_64 0.58.0-1.fc34 fedora 632 k libffi  x86_64 3.1-27.fc34 fedora 33 k libgcc  x86_64 11.0.0-0.17.fc34 fedora 91 k libgcrypt  x86_64 1.9.0-1.fc34 fedora 511 k libgomp  x86_64 11.0.0-0.17.fc34 fedora 262 k libgpg-error  x86_64 1.41-1.fc34 fedora 212 k libidn2  x86_64 2.3.0-4.fc34 fedora 104 k libksba  x86_64 1.5.0-1.fc34 fedora 152 k libmetalink  x86_64 0.1.3-13.fc33 fedora 31 k libmodulemd  x86_64 2.12.0-1.fc34 copr_base 235 k libmount  x86_64 2.36.1-4.fc34 fedora 130 k libnghttp2  x86_64 1.42.0-1.fc34 fedora 76 k libnsl2  x86_64 1.3.0-1.fc34 fedora 58 k libpsl  x86_64 0.21.1-2.fc34 copr_base 61 k librepo  x86_64 1.12.1-1.fc34 copr_base 98 k libreport-filesystem  noarch 2.14.0-15.fc34 fedora 15 k libselinux  x86_64 3.2-0.rc1.1.fc34 fedora 84 k libsemanage  x86_64 3.2-0.rc1.1.fc34 fedora 116 k libsepol  x86_64 3.2-0.rc1.1.fc34 fedora 286 k libsigsegv  x86_64 2.13-1.fc34 fedora 27 k libsmartcols  x86_64 2.36.1-4.fc34 fedora 59 k libsolv  x86_64 0.7.17-2.fc34 fedora 392 k libssh  x86_64 0.9.5-1.fc34 fedora 209 k libssh-config  noarch 0.9.5-1.fc34 fedora 11 k libstdc++  x86_64 11.0.0-0.17.fc34 fedora 693 k libtasn1  x86_64 4.16.0-3.fc33 fedora 75 k libtirpc  x86_64 1.3.1-0.fc34 fedora 95 k libunistring  x86_64 0.9.10-9.fc33 fedora 516 k libusbx  x86_64 1.0.23-2.fc33 fedora 70 k libuuid  x86_64 2.36.1-4.fc34 fedora 25 k libverto  x86_64 0.3.1-2.fc34 fedora 21 k libxcrypt  x86_64 4.4.17-1.fc34 fedora 121 k libxml2  x86_64 2.9.10-9.fc34 fedora 744 k libyaml  x86_64 0.2.5-4.fc34 fedora 61 k libzstd  x86_64 1.4.7-1.fc34 fedora 340 k lua-libs  x86_64 5.4.2-1.fc34 fedora 216 k lz4-libs  x86_64 1.9.3-1.fc34 fedora 67 k mpfr  x86_64 4.1.0-2.fc33 fedora 1.4 M ncurses-base  noarch 6.2-3.20200222.fc33 fedora 60 k ncurses-libs  x86_64 6.2-3.20200222.fc33 fedora 329 k nettle  x86_64 3.7-1.fc34 fedora 396 k npth  x86_64 1.6-5.fc33 fedora 25 k openldap  x86_64 2.4.57-1.fc34 fedora 261 k openssl-libs  x86_64 1:1.1.1i-1.fc34 fedora 1.4 M p11-kit  x86_64 0.23.22-3.fc34 copr_base 370 k p11-kit-trust  x86_64 0.23.22-3.fc34 copr_base 141 k pcre  x86_64 8.44-3.fc34 fedora 200 k pcre2  x86_64 10.36-2.fc34 fedora 234 k pcre2-syntax  noarch 10.36-2.fc34 fedora 143 k popt  x86_64 1.18-2.fc34 fedora 59 k publicsuffix-list-dafsa  noarch 20190417-4.fc33 fedora 55 k python-pip-wheel  noarch 21.0-1.fc34 copr_base 1.3 M python-setuptools-wheel  noarch 52.0.0-1.fc34 fedora 471 k python3  x86_64 3.9.1-4.fc34 fedora 28 k python3-dateutil  noarch 1:2.8.1-2.fc34 copr_base 290 k python3-dbus  x86_64 1.2.16-3.fc33 fedora 133 k python3-distro  noarch 1.5.0-4.fc33 fedora 36 k python3-dnf  noarch 4.6.0-1.fc34 fedora 414 k python3-dnf-plugins-core  noarch 4.0.19-1.fc34 fedora 197 k python3-gpg  x86_64 1.15.1-1.fc34 fedora 268 k python3-hawkey  x86_64 0.58.0-1.fc34 fedora 116 k python3-libcomps  x86_64 0.1.15-5.fc34 copr_base 52 k python3-libdnf  x86_64 0.58.0-1.fc34 fedora 793 k python3-libs  x86_64 3.9.1-4.fc34 fedora 7.4 M python3-rpm  x86_64 4.16.1.2-4.fc34 fedora 99 k python3-setuptools  noarch 52.0.0-1.fc34 fedora 842 k python3-six  noarch 1.15.0-3.fc34 fedora 37 k readline  x86_64 8.1-1.fc34 fedora 216 k rpm  x86_64 4.16.1.2-4.fc34 fedora 527 k rpm-build-libs  x86_64 4.16.1.2-4.fc34 fedora 97 k rpm-libs  x86_64 4.16.1.2-4.fc34 fedora 326 k rpm-sign-libs  x86_64 4.16.1.2-4.fc34 fedora 27 k sed  x86_64 4.8-6.fc34 fedora 298 k setup  noarch 2.13.7-2.fc33 fedora 142 k shadow-utils  x86_64 2:4.8.1-6.fc34 fedora 1.2 M sqlite-libs  x86_64 3.34.1-1.fc34 fedora 626 k systemd-libs  x86_64 247.2-1.fc34 fedora 546 k tpm2-tss  x86_64 3.0.3-1.fc34 fedora 588 k tzdata  noarch 2021a-1.fc34 fedora 432 k xz-libs  x86_64 5.2.5-4.fc34 fedora 91 k zchunk-libs  x86_64 1.1.9-1.fc34 fedora 46 k zlib  x86_64 1.2.11-23.fc34 fedora 92 k Transaction Summary ================================================================================ Install 136 Packages Total download size: 55 M Installed size: 192 M Downloading Packages: (1/136): libcomps-0.1.15-5.fc34.x86_64.rpm 2.9 MB/s | 80 kB 00:00 (2/136): libpsl-0.21.1-2.fc34.x86_64.rpm 14 MB/s | 61 kB 00:00 (3/136): libmodulemd-2.12.0-1.fc34.x86_64.rpm 6.7 MB/s | 235 kB 00:00 (4/136): librepo-1.12.1-1.fc34.x86_64.rpm 20 MB/s | 98 kB 00:00 (5/136): p11-kit-0.23.22-3.fc34.x86_64.rpm 41 MB/s | 370 kB 00:00 (6/136): p11-kit-trust-0.23.22-3.fc34.x86_64.rp 19 MB/s | 141 kB 00:00 (7/136): python3-dateutil-2.8.1-2.fc34.noarch.r 37 MB/s | 290 kB 00:00 (8/136): python3-libcomps-0.1.15-5.fc34.x86_64. 15 MB/s | 52 kB 00:00 (9/136): python-pip-wheel-21.0-1.fc34.noarch.rp 48 MB/s | 1.3 MB 00:00 (10/136): glib2-2.67.1-3.fc34.x86_64.rpm 32 MB/s | 2.7 MB 00:00 (11/136): basesystem-11-10.fc33.noarch.rpm 18 kB/s | 6.8 kB 00:00 (12/136): alternatives-1.15-1.fc34.x86_64.rpm 87 kB/s | 35 kB 00:00 (13/136): bzip2-libs-1.0.8-4.fc33.x86_64.rpm 2.0 MB/s | 40 kB 00:00 (14/136): audit-libs-3.0-1.fc34.x86_64.rpm 271 kB/s | 113 kB 00:00 (15/136): ca-certificates-2020.2.41-6.fc34.noar 4.5 MB/s | 353 kB 00:00 (16/136): bash-5.1.0-1.fc34.x86_64.rpm 15 MB/s | 1.7 MB 00:00 (17/136): coreutils-8.32-15.fc34.x86_64.rpm 13 MB/s | 1.1 MB 00:00 (18/136): curl-7.74.0-4.fc34.x86_64.rpm 18 MB/s | 299 kB 00:00 (19/136): crypto-policies-20210127-2.gitb21c811 2.3 MB/s | 57 kB 00:00 (20/136): coreutils-common-8.32-15.fc34.x86_64. 44 MB/s | 2.0 MB 00:00 (21/136): cyrus-sasl-lib-2.1.27-6.fc33.x86_64.r 6.2 MB/s | 101 kB 00:00 (22/136): dbus-libs-1.12.20-2.fc33.x86_64.rpm 9.9 MB/s | 154 kB 00:00 (23/136): dnf-data-4.6.0-1.fc34.noarch.rpm 6.8 MB/s | 47 kB 00:00 (24/136): dnf-4.6.0-1.fc34.noarch.rpm 37 MB/s | 446 kB 00:00 (25/136): elfutils-default-yama-scope-0.182-2.f 2.4 MB/s | 16 kB 00:00 (26/136): dnf-plugins-core-4.0.19-1.fc34.noarch 2.2 MB/s | 35 kB 00:00 (27/136): elfutils-libs-0.182-2.fc34.x86_64.rpm 28 MB/s | 265 kB 00:00 (28/136): elfutils-libelf-0.182-2.fc34.x86_64.r 13 MB/s | 193 kB 00:00 (29/136): expat-2.2.10-1.fc34.x86_64.rpm 11 MB/s | 104 kB 00:00 (30/136): fedora-gpg-keys-34-0.8.noarch.rpm 13 MB/s | 107 kB 00:00 (31/136): fedora-release-34-0.10.noarch.rpm 1.6 MB/s | 11 kB 00:00 (32/136): fedora-release-common-34-0.10.noarch. 3.0 MB/s | 20 kB 00:00 (33/136): fedora-release-identity-basic-34-0.10 1.8 MB/s | 12 kB 00:00 (34/136): fedora-repos-rawhide-34-0.8.noarch.rp 1.5 MB/s | 10 kB 00:00 (35/136): fedora-repos-34-0.8.noarch.rpm 733 kB/s | 11 kB 00:00 (36/136): file-libs-5.39-4.fc34.x86_64.rpm 39 MB/s | 591 kB 00:00 (37/136): filesystem-3.14-4.fc34.x86_64.rpm 50 MB/s | 1.1 MB 00:00 (38/136): gdbm-libs-1.19-1.fc34.x86_64.rpm 5.0 MB/s | 54 kB 00:00 (39/136): gawk-5.1.0-2.fc33.x86_64.rpm 37 MB/s | 1.0 MB 00:00 (40/136): glibc-minimal-langpack-2.32.9000-26.f 6.5 MB/s | 110 kB 00:00 (41/136): glibc-common-2.32.9000-26.fc34.x86_64 52 MB/s | 2.1 MB 00:00 (42/136): gmp-6.2.0-5.fc34.x86_64.rpm 17 MB/s | 320 kB 00:00 (43/136): glibc-2.32.9000-26.fc34.x86_64.rpm 54 MB/s | 3.5 MB 00:00 (44/136): gnutls-3.6.15-2.fc34.x86_64.rpm 32 MB/s | 925 kB 00:00 (45/136): gpgme-1.15.1-1.fc34.x86_64.rpm 17 MB/s | 207 kB 00:00 (46/136): gnupg2-2.2.27-1.fc34.x86_64.rpm 47 MB/s | 2.3 MB 00:00 (47/136): grep-3.6-1.fc34.x86_64.rpm 14 MB/s | 260 kB 00:00 (48/136): ima-evm-utils-1.3.2-1.fc34.x86_64.rpm 3.6 MB/s | 62 kB 00:00 (49/136): json-c-0.14-7.fc33.x86_64.rpm 3.2 MB/s | 41 kB 00:00 (50/136): keyutils-libs-1.6.1-1.fc34.x86_64.rpm 2.2 MB/s | 31 kB 00:00 (51/136): krb5-libs-1.19-0.beta2.5.fc34.x86_64. 42 MB/s | 735 kB 00:00 (52/136): libacl-2.2.53-9.fc34.x86_64.rpm 2.5 MB/s | 23 kB 00:00 (53/136): libarchive-3.5.1-1.fc34.x86_64.rpm 35 MB/s | 391 kB 00:00 (54/136): libassuan-2.5.4-1.fc34.x86_64.rpm 7.7 MB/s | 68 kB 00:00 (55/136): libattr-2.4.48-10.fc33.x86_64.rpm 2.7 MB/s | 18 kB 00:00 (56/136): libbrotli-1.0.9-3.fc34.x86_64.rpm 33 MB/s | 322 kB 00:00 (57/136): libblkid-2.36.1-4.fc34.x86_64.rpm 7.5 MB/s | 100 kB 00:00 (58/136): libcap-2.46-1.fc34.x86_64.rpm 5.5 MB/s | 65 kB 00:00 (59/136): libcom_err-1.45.6-4.fc33.x86_64.rpm 3.6 MB/s | 27 kB 00:00 (60/136): libcap-ng-0.8.2-1.fc34.x86_64.rpm 2.6 MB/s | 32 kB 00:00 (61/136): libcurl-7.74.0-4.fc34.x86_64.rpm 28 MB/s | 285 kB 00:00 (62/136): libffi-3.1-27.fc34.x86_64.rpm 4.9 MB/s | 33 kB 00:00 (63/136): libdb-5.3.28-45.fc34.x86_64.rpm 48 MB/s | 760 kB 00:00 (64/136): libdnf-0.58.0-1.fc34.x86_64.rpm 36 MB/s | 632 kB 00:00 (65/136): libgcc-11.0.0-0.17.fc34.x86_64.rpm 9.4 MB/s | 91 kB 00:00 (66/136): libgcrypt-1.9.0-1.fc34.x86_64.rpm 37 MB/s | 511 kB 00:00 (67/136): libgomp-11.0.0-0.17.fc34.x86_64.rpm 19 MB/s | 262 kB 00:00 (68/136): libidn2-2.3.0-4.fc34.x86_64.rpm 14 MB/s | 104 kB 00:00 (69/136): libgpg-error-1.41-1.fc34.x86_64.rpm 11 MB/s | 212 kB 00:00 (70/136): libksba-1.5.0-1.fc34.x86_64.rpm 19 MB/s | 152 kB 00:00 (71/136): libmetalink-0.1.3-13.fc33.x86_64.rpm 4.5 MB/s | 31 kB 00:00 (72/136): libnghttp2-1.42.0-1.fc34.x86_64.rpm 10 MB/s | 76 kB 00:00 (73/136): libmount-2.36.1-4.fc34.x86_64.rpm 11 MB/s | 130 kB 00:00 (74/136): libnsl2-1.3.0-1.fc34.x86_64.rpm 6.7 MB/s | 58 kB 00:00 (75/136): libreport-filesystem-2.14.0-15.fc34.n 1.5 MB/s | 15 kB 00:00 (76/136): libselinux-3.2-0.rc1.1.fc34.x86_64.rp 8.3 MB/s | 84 kB 00:00 (77/136): libsemanage-3.2-0.rc1.1.fc34.x86_64.r 10 MB/s | 116 kB 00:00 (78/136): libsepol-3.2-0.rc1.1.fc34.x86_64.rpm 24 MB/s | 286 kB 00:00 (79/136): libsigsegv-2.13-1.fc34.x86_64.rpm 2.5 MB/s | 27 kB 00:00 (80/136): libsmartcols-2.36.1-4.fc34.x86_64.rpm 6.9 MB/s | 59 kB 00:00 (81/136): libssh-config-0.9.5-1.fc34.noarch.rpm 1.7 MB/s | 11 kB 00:00 (82/136): libsolv-0.7.17-2.fc34.x86_64.rpm 31 MB/s | 392 kB 00:00 (83/136): libssh-0.9.5-1.fc34.x86_64.rpm 13 MB/s | 209 kB 00:00 (84/136): libtasn1-4.16.0-3.fc33.x86_64.rpm 10 MB/s | 75 kB 00:00 (85/136): libunistring-0.9.10-9.fc33.x86_64.rpm 42 MB/s | 516 kB 00:00 (86/136): libtirpc-1.3.1-0.fc34.x86_64.rpm 5.6 MB/s | 95 kB 00:00 (87/136): libstdc++-11.0.0-0.17.fc34.x86_64.rpm 24 MB/s | 693 kB 00:00 (88/136): libusbx-1.0.23-2.fc33.x86_64.rpm 8.9 MB/s | 70 kB 00:00 (89/136): libuuid-2.36.1-4.fc34.x86_64.rpm 3.3 MB/s | 25 kB 00:00 (90/136): libxcrypt-4.4.17-1.fc34.x86_64.rpm 16 MB/s | 121 kB 00:00 (91/136): libverto-0.3.1-2.fc34.x86_64.rpm 1.7 MB/s | 21 kB 00:00 (92/136): libyaml-0.2.5-4.fc34.x86_64.rpm 8.5 MB/s | 61 kB 00:00 (93/136): libxml2-2.9.10-9.fc34.x86_64.rpm 39 MB/s | 744 kB 00:00 (94/136): libzstd-1.4.7-1.fc34.x86_64.rpm 25 MB/s | 340 kB 00:00 (95/136): lua-libs-5.4.2-1.fc34.x86_64.rpm 23 MB/s | 216 kB 00:00 (96/136): ncurses-base-6.2-3.20200222.fc33.noar 8.5 MB/s | 60 kB 00:00 (97/136): lz4-libs-1.9.3-1.fc34.x86_64.rpm 4.3 MB/s | 67 kB 00:00 (98/136): mpfr-4.1.0-2.fc33.x86_64.rpm 62 MB/s | 1.4 MB 00:00 (99/136): ncurses-libs-6.2-3.20200222.fc33.x86_ 18 MB/s | 329 kB 00:00 (100/136): nettle-3.7-1.fc34.x86_64.rpm 22 MB/s | 396 kB 00:00 (101/136): openldap-2.4.57-1.fc34.x86_64.rpm 28 MB/s | 261 kB 00:00 (102/136): npth-1.6-5.fc33.x86_64.rpm 1.5 MB/s | 25 kB 00:00 (103/136): pcre-8.44-3.fc34.x86_64.rpm 23 MB/s | 200 kB 00:00 (104/136): pcre2-10.36-2.fc34.x86_64.rpm 23 MB/s | 234 kB 00:00 (105/136): openssl-libs-1.1.1i-1.fc34.x86_64.rp 57 MB/s | 1.4 MB 00:00 (106/136): popt-1.18-2.fc34.x86_64.rpm 8.1 MB/s | 59 kB 00:00 (107/136): pcre2-syntax-10.36-2.fc34.noarch.rpm 12 MB/s | 143 kB 00:00 (108/136): publicsuffix-list-dafsa-20190417-4.f 7.7 MB/s | 55 kB 00:00 (109/136): python-setuptools-wheel-52.0.0-1.fc3 44 MB/s | 471 kB 00:00 (110/136): python3-3.9.1-4.fc34.x86_64.rpm 2.3 MB/s | 28 kB 00:00 (111/136): python3-dbus-1.2.16-3.fc33.x86_64.rp 15 MB/s | 133 kB 00:00 (112/136): python3-distro-1.5.0-4.fc33.noarch.r 5.3 MB/s | 36 kB 00:00 (113/136): python3-dnf-4.6.0-1.fc34.noarch.rpm 40 MB/s | 414 kB 00:00 (114/136): python3-gpg-1.15.1-1.fc34.x86_64.rpm 22 MB/s | 268 kB 00:00 (115/136): python3-dnf-plugins-core-4.0.19-1.fc 12 MB/s | 197 kB 00:00 (116/136): python3-hawkey-0.58.0-1.fc34.x86_64. 13 MB/s | 116 kB 00:00 (117/136): python3-libdnf-0.58.0-1.fc34.x86_64. 58 MB/s | 793 kB 00:00 (118/136): python3-rpm-4.16.1.2-4.fc34.x86_64.r 8.5 MB/s | 99 kB 00:00 (119/136): python3-setuptools-52.0.0-1.fc34.noa 51 MB/s | 842 kB 00:00 (120/136): python3-six-1.15.0-3.fc34.noarch.rpm 2.2 MB/s | 37 kB 00:00 (121/136): rpm-4.16.1.2-4.fc34.x86_64.rpm 40 MB/s | 527 kB 00:00 (122/136): readline-8.1-1.fc34.x86_64.rpm 12 MB/s | 216 kB 00:00 (123/136): rpm-build-libs-4.16.1.2-4.fc34.x86_6 9.3 MB/s | 97 kB 00:00 (124/136): rpm-libs-4.16.1.2-4.fc34.x86_64.rpm 24 MB/s | 326 kB 00:00 (125/136): rpm-sign-libs-4.16.1.2-4.fc34.x86_64 3.6 MB/s | 27 kB 00:00 (126/136): setup-2.13.7-2.fc33.noarch.rpm 17 MB/s | 142 kB 00:00 (127/136): sed-4.8-6.fc34.x86_64.rpm 25 MB/s | 298 kB 00:00 (128/136): python3-libs-3.9.1-4.fc34.x86_64.rpm 66 MB/s | 7.4 MB 00:00 (129/136): sqlite-libs-3.34.1-1.fc34.x86_64.rpm 15 MB/s | 626 kB 00:00 (130/136): shadow-utils-4.8.1-6.fc34.x86_64.rpm 24 MB/s | 1.2 MB 00:00 (131/136): tpm2-tss-3.0.3-1.fc34.x86_64.rpm 46 MB/s | 588 kB 00:00 (132/136): systemd-libs-247.2-1.fc34.x86_64.rpm 25 MB/s | 546 kB 00:00 (133/136): tzdata-2021a-1.fc34.noarch.rpm 25 MB/s | 432 kB 00:00 (134/136): zchunk-libs-1.1.9-1.fc34.x86_64.rpm 6.7 MB/s | 46 kB 00:00 (135/136): xz-libs-5.2.5-4.fc34.x86_64.rpm 5.8 MB/s | 91 kB 00:00 (136/136): zlib-1.2.11-23.fc34.x86_64.rpm 5.3 MB/s | 92 kB 00:00 -------------------------------------------------------------------------------- Total 43 MB/s | 55 MB 00:01 warning: /var/lib/mock/fedora-rawhide-x86_64-bootstrap-1611951638.964968/root/var/cache/dnf/fedora-2d95c80a1fa0a67d/packages/alternatives-1.15-1.fc34.x86_64.rpm: Header V4 RSA/SHA256 Signature, key ID 45719a39: NOKEY fedora 1.6 MB/s | 1.6 kB 00:00 Importing GPG key 0x45719A39: Userid : "Fedora (34) " Fingerprint: 8C5B A699 0BDB 26E1 9F2A 1A80 1161 AE69 4571 9A39 From : /usr/share/distribution-gpg-keys/fedora/RPM-GPG-KEY-fedora-34-primary Key imported successfully fedora 1.6 MB/s | 1.6 kB 00:00 GPG key at file:///usr/share/distribution-gpg-keys/fedora/RPM-GPG-KEY-fedora-34-primary (0x45719A39) is already installed fedora 1.6 MB/s | 1.6 kB 00:00 Importing GPG key 0x9570FF31: Userid : "Fedora (33) " Fingerprint: 963A 2BEB 0200 9608 FE67 EA42 49FD 7749 9570 FF31 From : /usr/share/distribution-gpg-keys/fedora/RPM-GPG-KEY-fedora-33-primary Key imported successfully Running transaction check Transaction check succeeded. Running transaction test Transaction test succeeded. Running transaction Running scriptlet: filesystem-3.14-4.fc34.x86_64 1/1 Preparing : 1/1 Installing : libgcc-11.0.0-0.17.fc34.x86_64 1/136 Running scriptlet: libgcc-11.0.0-0.17.fc34.x86_64 1/136 Installing : crypto-policies-20210127-2.gitb21c811.fc34.noarc 2/136 Running scriptlet: crypto-policies-20210127-2.gitb21c811.fc34.noarc 2/136 Installing : tzdata-2021a-1.fc34.noarch 3/136 Installing : fedora-release-identity-basic-34-0.10.noarch 4/136 Installing : python-setuptools-wheel-52.0.0-1.fc34.noarch 5/136 Installing : publicsuffix-list-dafsa-20190417-4.fc33.noarch 6/136 Installing : pcre2-syntax-10.36-2.fc34.noarch 7/136 Installing : ncurses-base-6.2-3.20200222.fc33.noarch 8/136 Installing : libssh-config-0.9.5-1.fc34.noarch 9/136 Installing : libreport-filesystem-2.14.0-15.fc34.noarch 10/136 Installing : dnf-data-4.6.0-1.fc34.noarch 11/136 warning: /etc/dnf/dnf.conf created as /etc/dnf/dnf.conf.rpmnew Installing : fedora-gpg-keys-34-0.8.noarch 12/136 Installing : fedora-release-34-0.10.noarch 13/136 Installing : fedora-release-common-34-0.10.noarch 14/136 Installing : fedora-repos-rawhide-34-0.8.noarch 15/136 Installing : fedora-repos-34-0.8.noarch 16/136 Installing : setup-2.13.7-2.fc33.noarch 17/136 Running scriptlet: setup-2.13.7-2.fc33.noarch 17/136 Installing : filesystem-3.14-4.fc34.x86_64 18/136 Installing : basesystem-11-10.fc33.noarch 19/136 Installing : glibc-minimal-langpack-2.32.9000-26.fc34.x86_64 20/136 Installing : glibc-common-2.32.9000-26.fc34.x86_64 21/136 Running scriptlet: glibc-2.32.9000-26.fc34.x86_64 22/136 Installing : glibc-2.32.9000-26.fc34.x86_64 22/136 Running scriptlet: glibc-2.32.9000-26.fc34.x86_64 22/136 Installing : ncurses-libs-6.2-3.20200222.fc33.x86_64 23/136 Installing : bash-5.1.0-1.fc34.x86_64 24/136 Running scriptlet: bash-5.1.0-1.fc34.x86_64 24/136 Installing : zlib-1.2.11-23.fc34.x86_64 25/136 Installing : bzip2-libs-1.0.8-4.fc33.x86_64 26/136 Installing : xz-libs-5.2.5-4.fc34.x86_64 27/136 Installing : libzstd-1.4.7-1.fc34.x86_64 28/136 Installing : sqlite-libs-3.34.1-1.fc34.x86_64 29/136 Installing : libcap-2.46-1.fc34.x86_64 30/136 Installing : gmp-1:6.2.0-5.fc34.x86_64 31/136 Installing : libdb-5.3.28-45.fc34.x86_64 32/136 Installing : libgpg-error-1.41-1.fc34.x86_64 33/136 Installing : popt-1.18-2.fc34.x86_64 34/136 Installing : libxml2-2.9.10-9.fc34.x86_64 35/136 Installing : libcom_err-1.45.6-4.fc33.x86_64 36/136 Installing : libxcrypt-4.4.17-1.fc34.x86_64 37/136 Installing : lua-libs-5.4.2-1.fc34.x86_64 38/136 Installing : elfutils-libelf-0.182-2.fc34.x86_64 39/136 Installing : file-libs-5.39-4.fc34.x86_64 40/136 Installing : readline-8.1-1.fc34.x86_64 41/136 Installing : expat-2.2.10-1.fc34.x86_64 42/136 Installing : libattr-2.4.48-10.fc33.x86_64 43/136 Installing : libacl-2.2.53-9.fc34.x86_64 44/136 Installing : libffi-3.1-27.fc34.x86_64 45/136 Installing : p11-kit-0.23.22-3.fc34.x86_64 46/136 Installing : libstdc++-11.0.0-0.17.fc34.x86_64 47/136 Installing : libunistring-0.9.10-9.fc33.x86_64 48/136 Installing : libidn2-2.3.0-4.fc34.x86_64 49/136 Installing : libuuid-2.36.1-4.fc34.x86_64 50/136 Installing : libassuan-2.5.4-1.fc34.x86_64 51/136 Installing : libgcrypt-1.9.0-1.fc34.x86_64 52/136 Installing : json-c-0.14-7.fc33.x86_64 53/136 Installing : keyutils-libs-1.6.1-1.fc34.x86_64 54/136 Installing : libsepol-3.2-0.rc1.1.fc34.x86_64 55/136 Installing : libsmartcols-2.36.1-4.fc34.x86_64 56/136 Installing : libtasn1-4.16.0-3.fc33.x86_64 57/136 Installing : lz4-libs-1.9.3-1.fc34.x86_64 58/136 Installing : pcre-8.44-3.fc34.x86_64 59/136 Installing : grep-3.6-1.fc34.x86_64 60/136 Installing : libpsl-0.21.1-2.fc34.x86_64 61/136 Installing : libcomps-0.1.15-5.fc34.x86_64 62/136 Installing : libmetalink-0.1.3-13.fc33.x86_64 63/136 Installing : libksba-1.5.0-1.fc34.x86_64 64/136 Installing : mpfr-4.1.0-2.fc33.x86_64 65/136 Installing : nettle-3.7-1.fc34.x86_64 66/136 Installing : elfutils-default-yama-scope-0.182-2.fc34.noarch 67/136 Running scriptlet: elfutils-default-yama-scope-0.182-2.fc34.noarch 67/136 Installing : elfutils-libs-0.182-2.fc34.x86_64 68/136 Installing : alternatives-1.15-1.fc34.x86_64 69/136 Installing : p11-kit-trust-0.23.22-3.fc34.x86_64 70/136 Running scriptlet: p11-kit-trust-0.23.22-3.fc34.x86_64 70/136 Installing : gnutls-3.6.15-2.fc34.x86_64 71/136 Installing : gdbm-libs-1:1.19-1.fc34.x86_64 72/136 Installing : libbrotli-1.0.9-3.fc34.x86_64 73/136 Installing : libcap-ng-0.8.2-1.fc34.x86_64 74/136 Installing : audit-libs-3.0-1.fc34.x86_64 75/136 Installing : libgomp-11.0.0-0.17.fc34.x86_64 76/136 Installing : libnghttp2-1.42.0-1.fc34.x86_64 77/136 Installing : libsigsegv-2.13-1.fc34.x86_64 78/136 Installing : gawk-5.1.0-2.fc33.x86_64 79/136 Installing : libverto-0.3.1-2.fc34.x86_64 80/136 Installing : libyaml-0.2.5-4.fc34.x86_64 81/136 Installing : npth-1.6-5.fc33.x86_64 82/136 Installing : pcre2-10.36-2.fc34.x86_64 83/136 Installing : libselinux-3.2-0.rc1.1.fc34.x86_64 84/136 Installing : sed-4.8-6.fc34.x86_64 85/136 Installing : libsemanage-3.2-0.rc1.1.fc34.x86_64 86/136 Installing : shadow-utils-2:4.8.1-6.fc34.x86_64 87/136 Installing : coreutils-common-8.32-15.fc34.x86_64 88/136 Installing : openssl-libs-1:1.1.1i-1.fc34.x86_64 89/136 Installing : coreutils-8.32-15.fc34.x86_64 90/136 Running scriptlet: ca-certificates-2020.2.41-6.fc34.noarch 91/136 Installing : ca-certificates-2020.2.41-6.fc34.noarch 91/136 Running scriptlet: ca-certificates-2020.2.41-6.fc34.noarch 91/136 Installing : krb5-libs-1.19-0.beta2.5.fc34.x86_64 92/136 Installing : libtirpc-1.3.1-0.fc34.x86_64 93/136 Installing : systemd-libs-247.2-1.fc34.x86_64 94/136 Running scriptlet: systemd-libs-247.2-1.fc34.x86_64 94/136 Installing : zchunk-libs-1.1.9-1.fc34.x86_64 95/136 Installing : dbus-libs-1:1.12.20-2.fc33.x86_64 96/136 Installing : libusbx-1.0.23-2.fc33.x86_64 97/136 Installing : libnsl2-1.3.0-1.fc34.x86_64 98/136 Installing : cyrus-sasl-lib-2.1.27-6.fc33.x86_64 99/136 Installing : openldap-2.4.57-1.fc34.x86_64 100/136 Installing : gnupg2-2.2.27-1.fc34.x86_64 101/136 Installing : gpgme-1.15.1-1.fc34.x86_64 102/136 Installing : libssh-0.9.5-1.fc34.x86_64 103/136 Installing : libcurl-7.74.0-4.fc34.x86_64 104/136 Installing : curl-7.74.0-4.fc34.x86_64 105/136 Running scriptlet: tpm2-tss-3.0.3-1.fc34.x86_64 106/136 Installing : tpm2-tss-3.0.3-1.fc34.x86_64 106/136 Installing : ima-evm-utils-1.3.2-1.fc34.x86_64 107/136 Installing : python-pip-wheel-21.0-1.fc34.noarch 108/136 Installing : python3-setuptools-52.0.0-1.fc34.noarch 109/136 Installing : python3-3.9.1-4.fc34.x86_64 110/136 Installing : python3-libs-3.9.1-4.fc34.x86_64 111/136 Installing : python3-libcomps-0.1.15-5.fc34.x86_64 112/136 Installing : python3-distro-1.5.0-4.fc33.noarch 113/136 Installing : python3-gpg-1.15.1-1.fc34.x86_64 114/136 Installing : python3-six-1.15.0-3.fc34.noarch 115/136 Installing : python3-dateutil-1:2.8.1-2.fc34.noarch 116/136 Installing : libblkid-2.36.1-4.fc34.x86_64 117/136 Running scriptlet: libblkid-2.36.1-4.fc34.x86_64 117/136 Installing : libmount-2.36.1-4.fc34.x86_64 118/136 Installing : glib2-2.67.1-3.fc34.x86_64 119/136 Installing : python3-dbus-1.2.16-3.fc33.x86_64 120/136 Installing : librepo-1.12.1-1.fc34.x86_64 121/136 Installing : libarchive-3.5.1-1.fc34.x86_64 122/136 Installing : rpm-libs-4.16.1.2-4.fc34.x86_64 123/136 Installing : rpm-4.16.1.2-4.fc34.x86_64 124/136 Installing : libmodulemd-2.12.0-1.fc34.x86_64 125/136 Installing : libsolv-0.7.17-2.fc34.x86_64 126/136 Installing : libdnf-0.58.0-1.fc34.x86_64 127/136 Installing : python3-libdnf-0.58.0-1.fc34.x86_64 128/136 Installing : python3-hawkey-0.58.0-1.fc34.x86_64 129/136 Installing : rpm-build-libs-4.16.1.2-4.fc34.x86_64 130/136 Installing : rpm-sign-libs-4.16.1.2-4.fc34.x86_64 131/136 Installing : python3-rpm-4.16.1.2-4.fc34.x86_64 132/136 Installing : python3-dnf-4.6.0-1.fc34.noarch 133/136 Installing : python3-dnf-plugins-core-4.0.19-1.fc34.noarch 134/136 Installing : dnf-plugins-core-4.0.19-1.fc34.noarch 135/136 Installing : dnf-4.6.0-1.fc34.noarch 136/136 Running scriptlet: dnf-4.6.0-1.fc34.noarch 136/136 Running scriptlet: filesystem-3.14-4.fc34.x86_64 136/136 Running scriptlet: ca-certificates-2020.2.41-6.fc34.noarch 136/136 Running scriptlet: rpm-4.16.1.2-4.fc34.x86_64 136/136 Running scriptlet: dnf-4.6.0-1.fc34.noarch 136/136 Verifying : glib2-2.67.1-3.fc34.x86_64 1/136 Verifying : libcomps-0.1.15-5.fc34.x86_64 2/136 Verifying : libmodulemd-2.12.0-1.fc34.x86_64 3/136 Verifying : libpsl-0.21.1-2.fc34.x86_64 4/136 Verifying : librepo-1.12.1-1.fc34.x86_64 5/136 Verifying : p11-kit-0.23.22-3.fc34.x86_64 6/136 Verifying : p11-kit-trust-0.23.22-3.fc34.x86_64 7/136 Verifying : python-pip-wheel-21.0-1.fc34.noarch 8/136 Verifying : python3-dateutil-1:2.8.1-2.fc34.noarch 9/136 Verifying : python3-libcomps-0.1.15-5.fc34.x86_64 10/136 Verifying : alternatives-1.15-1.fc34.x86_64 11/136 Verifying : audit-libs-3.0-1.fc34.x86_64 12/136 Verifying : basesystem-11-10.fc33.noarch 13/136 Verifying : bash-5.1.0-1.fc34.x86_64 14/136 Verifying : bzip2-libs-1.0.8-4.fc33.x86_64 15/136 Verifying : ca-certificates-2020.2.41-6.fc34.noarch 16/136 Verifying : coreutils-8.32-15.fc34.x86_64 17/136 Verifying : coreutils-common-8.32-15.fc34.x86_64 18/136 Verifying : crypto-policies-20210127-2.gitb21c811.fc34.noarc 19/136 Verifying : curl-7.74.0-4.fc34.x86_64 20/136 Verifying : cyrus-sasl-lib-2.1.27-6.fc33.x86_64 21/136 Verifying : dbus-libs-1:1.12.20-2.fc33.x86_64 22/136 Verifying : dnf-4.6.0-1.fc34.noarch 23/136 Verifying : dnf-data-4.6.0-1.fc34.noarch 24/136 Verifying : dnf-plugins-core-4.0.19-1.fc34.noarch 25/136 Verifying : elfutils-default-yama-scope-0.182-2.fc34.noarch 26/136 Verifying : elfutils-libelf-0.182-2.fc34.x86_64 27/136 Verifying : elfutils-libs-0.182-2.fc34.x86_64 28/136 Verifying : expat-2.2.10-1.fc34.x86_64 29/136 Verifying : fedora-gpg-keys-34-0.8.noarch 30/136 Verifying : fedora-release-34-0.10.noarch 31/136 Verifying : fedora-release-common-34-0.10.noarch 32/136 Verifying : fedora-release-identity-basic-34-0.10.noarch 33/136 Verifying : fedora-repos-34-0.8.noarch 34/136 Verifying : fedora-repos-rawhide-34-0.8.noarch 35/136 Verifying : file-libs-5.39-4.fc34.x86_64 36/136 Verifying : filesystem-3.14-4.fc34.x86_64 37/136 Verifying : gawk-5.1.0-2.fc33.x86_64 38/136 Verifying : gdbm-libs-1:1.19-1.fc34.x86_64 39/136 Verifying : glibc-2.32.9000-26.fc34.x86_64 40/136 Verifying : glibc-common-2.32.9000-26.fc34.x86_64 41/136 Verifying : glibc-minimal-langpack-2.32.9000-26.fc34.x86_64 42/136 Verifying : gmp-1:6.2.0-5.fc34.x86_64 43/136 Verifying : gnupg2-2.2.27-1.fc34.x86_64 44/136 Verifying : gnutls-3.6.15-2.fc34.x86_64 45/136 Verifying : gpgme-1.15.1-1.fc34.x86_64 46/136 Verifying : grep-3.6-1.fc34.x86_64 47/136 Verifying : ima-evm-utils-1.3.2-1.fc34.x86_64 48/136 Verifying : json-c-0.14-7.fc33.x86_64 49/136 Verifying : keyutils-libs-1.6.1-1.fc34.x86_64 50/136 Verifying : krb5-libs-1.19-0.beta2.5.fc34.x86_64 51/136 Verifying : libacl-2.2.53-9.fc34.x86_64 52/136 Verifying : libarchive-3.5.1-1.fc34.x86_64 53/136 Verifying : libassuan-2.5.4-1.fc34.x86_64 54/136 Verifying : libattr-2.4.48-10.fc33.x86_64 55/136 Verifying : libblkid-2.36.1-4.fc34.x86_64 56/136 Verifying : libbrotli-1.0.9-3.fc34.x86_64 57/136 Verifying : libcap-2.46-1.fc34.x86_64 58/136 Verifying : libcap-ng-0.8.2-1.fc34.x86_64 59/136 Verifying : libcom_err-1.45.6-4.fc33.x86_64 60/136 Verifying : libcurl-7.74.0-4.fc34.x86_64 61/136 Verifying : libdb-5.3.28-45.fc34.x86_64 62/136 Verifying : libdnf-0.58.0-1.fc34.x86_64 63/136 Verifying : libffi-3.1-27.fc34.x86_64 64/136 Verifying : libgcc-11.0.0-0.17.fc34.x86_64 65/136 Verifying : libgcrypt-1.9.0-1.fc34.x86_64 66/136 Verifying : libgomp-11.0.0-0.17.fc34.x86_64 67/136 Verifying : libgpg-error-1.41-1.fc34.x86_64 68/136 Verifying : libidn2-2.3.0-4.fc34.x86_64 69/136 Verifying : libksba-1.5.0-1.fc34.x86_64 70/136 Verifying : libmetalink-0.1.3-13.fc33.x86_64 71/136 Verifying : libmount-2.36.1-4.fc34.x86_64 72/136 Verifying : libnghttp2-1.42.0-1.fc34.x86_64 73/136 Verifying : libnsl2-1.3.0-1.fc34.x86_64 74/136 Verifying : libreport-filesystem-2.14.0-15.fc34.noarch 75/136 Verifying : libselinux-3.2-0.rc1.1.fc34.x86_64 76/136 Verifying : libsemanage-3.2-0.rc1.1.fc34.x86_64 77/136 Verifying : libsepol-3.2-0.rc1.1.fc34.x86_64 78/136 Verifying : libsigsegv-2.13-1.fc34.x86_64 79/136 Verifying : libsmartcols-2.36.1-4.fc34.x86_64 80/136 Verifying : libsolv-0.7.17-2.fc34.x86_64 81/136 Verifying : libssh-0.9.5-1.fc34.x86_64 82/136 Verifying : libssh-config-0.9.5-1.fc34.noarch 83/136 Verifying : libstdc++-11.0.0-0.17.fc34.x86_64 84/136 Verifying : libtasn1-4.16.0-3.fc33.x86_64 85/136 Verifying : libtirpc-1.3.1-0.fc34.x86_64 86/136 Verifying : libunistring-0.9.10-9.fc33.x86_64 87/136 Verifying : libusbx-1.0.23-2.fc33.x86_64 88/136 Verifying : libuuid-2.36.1-4.fc34.x86_64 89/136 Verifying : libverto-0.3.1-2.fc34.x86_64 90/136 Verifying : libxcrypt-4.4.17-1.fc34.x86_64 91/136 Verifying : libxml2-2.9.10-9.fc34.x86_64 92/136 Verifying : libyaml-0.2.5-4.fc34.x86_64 93/136 Verifying : libzstd-1.4.7-1.fc34.x86_64 94/136 Verifying : lua-libs-5.4.2-1.fc34.x86_64 95/136 Verifying : lz4-libs-1.9.3-1.fc34.x86_64 96/136 Verifying : mpfr-4.1.0-2.fc33.x86_64 97/136 Verifying : ncurses-base-6.2-3.20200222.fc33.noarch 98/136 Verifying : ncurses-libs-6.2-3.20200222.fc33.x86_64 99/136 Verifying : nettle-3.7-1.fc34.x86_64 100/136 Verifying : npth-1.6-5.fc33.x86_64 101/136 Verifying : openldap-2.4.57-1.fc34.x86_64 102/136 Verifying : openssl-libs-1:1.1.1i-1.fc34.x86_64 103/136 Verifying : pcre-8.44-3.fc34.x86_64 104/136 Verifying : pcre2-10.36-2.fc34.x86_64 105/136 Verifying : pcre2-syntax-10.36-2.fc34.noarch 106/136 Verifying : popt-1.18-2.fc34.x86_64 107/136 Verifying : publicsuffix-list-dafsa-20190417-4.fc33.noarch 108/136 Verifying : python-setuptools-wheel-52.0.0-1.fc34.noarch 109/136 Verifying : python3-3.9.1-4.fc34.x86_64 110/136 Verifying : python3-dbus-1.2.16-3.fc33.x86_64 111/136 Verifying : python3-distro-1.5.0-4.fc33.noarch 112/136 Verifying : python3-dnf-4.6.0-1.fc34.noarch 113/136 Verifying : python3-dnf-plugins-core-4.0.19-1.fc34.noarch 114/136 Verifying : python3-gpg-1.15.1-1.fc34.x86_64 115/136 Verifying : python3-hawkey-0.58.0-1.fc34.x86_64 116/136 Verifying : python3-libdnf-0.58.0-1.fc34.x86_64 117/136 Verifying : python3-libs-3.9.1-4.fc34.x86_64 118/136 Verifying : python3-rpm-4.16.1.2-4.fc34.x86_64 119/136 Verifying : python3-setuptools-52.0.0-1.fc34.noarch 120/136 Verifying : python3-six-1.15.0-3.fc34.noarch 121/136 Verifying : readline-8.1-1.fc34.x86_64 122/136 Verifying : rpm-4.16.1.2-4.fc34.x86_64 123/136 Verifying : rpm-build-libs-4.16.1.2-4.fc34.x86_64 124/136 Verifying : rpm-libs-4.16.1.2-4.fc34.x86_64 125/136 Verifying : rpm-sign-libs-4.16.1.2-4.fc34.x86_64 126/136 Verifying : sed-4.8-6.fc34.x86_64 127/136 Verifying : setup-2.13.7-2.fc33.noarch 128/136 Verifying : shadow-utils-2:4.8.1-6.fc34.x86_64 129/136 Verifying : sqlite-libs-3.34.1-1.fc34.x86_64 130/136 Verifying : systemd-libs-247.2-1.fc34.x86_64 131/136 Verifying : tpm2-tss-3.0.3-1.fc34.x86_64 132/136 Verifying : tzdata-2021a-1.fc34.noarch 133/136 Verifying : xz-libs-5.2.5-4.fc34.x86_64 134/136 Verifying : zchunk-libs-1.1.9-1.fc34.x86_64 135/136 Verifying : zlib-1.2.11-23.fc34.x86_64 136/136 Installed: alternatives-1.15-1.fc34.x86_64 audit-libs-3.0-1.fc34.x86_64 basesystem-11-10.fc33.noarch bash-5.1.0-1.fc34.x86_64 bzip2-libs-1.0.8-4.fc33.x86_64 ca-certificates-2020.2.41-6.fc34.noarch coreutils-8.32-15.fc34.x86_64 coreutils-common-8.32-15.fc34.x86_64 crypto-policies-20210127-2.gitb21c811.fc34.noarch curl-7.74.0-4.fc34.x86_64 cyrus-sasl-lib-2.1.27-6.fc33.x86_64 dbus-libs-1:1.12.20-2.fc33.x86_64 dnf-4.6.0-1.fc34.noarch dnf-data-4.6.0-1.fc34.noarch dnf-plugins-core-4.0.19-1.fc34.noarch elfutils-default-yama-scope-0.182-2.fc34.noarch elfutils-libelf-0.182-2.fc34.x86_64 elfutils-libs-0.182-2.fc34.x86_64 expat-2.2.10-1.fc34.x86_64 fedora-gpg-keys-34-0.8.noarch fedora-release-34-0.10.noarch fedora-release-common-34-0.10.noarch fedora-release-identity-basic-34-0.10.noarch fedora-repos-34-0.8.noarch fedora-repos-rawhide-34-0.8.noarch file-libs-5.39-4.fc34.x86_64 filesystem-3.14-4.fc34.x86_64 gawk-5.1.0-2.fc33.x86_64 gdbm-libs-1:1.19-1.fc34.x86_64 glib2-2.67.1-3.fc34.x86_64 glibc-2.32.9000-26.fc34.x86_64 glibc-common-2.32.9000-26.fc34.x86_64 glibc-minimal-langpack-2.32.9000-26.fc34.x86_64 gmp-1:6.2.0-5.fc34.x86_64 gnupg2-2.2.27-1.fc34.x86_64 gnutls-3.6.15-2.fc34.x86_64 gpgme-1.15.1-1.fc34.x86_64 grep-3.6-1.fc34.x86_64 ima-evm-utils-1.3.2-1.fc34.x86_64 json-c-0.14-7.fc33.x86_64 keyutils-libs-1.6.1-1.fc34.x86_64 krb5-libs-1.19-0.beta2.5.fc34.x86_64 libacl-2.2.53-9.fc34.x86_64 libarchive-3.5.1-1.fc34.x86_64 libassuan-2.5.4-1.fc34.x86_64 libattr-2.4.48-10.fc33.x86_64 libblkid-2.36.1-4.fc34.x86_64 libbrotli-1.0.9-3.fc34.x86_64 libcap-2.46-1.fc34.x86_64 libcap-ng-0.8.2-1.fc34.x86_64 libcom_err-1.45.6-4.fc33.x86_64 libcomps-0.1.15-5.fc34.x86_64 libcurl-7.74.0-4.fc34.x86_64 libdb-5.3.28-45.fc34.x86_64 libdnf-0.58.0-1.fc34.x86_64 libffi-3.1-27.fc34.x86_64 libgcc-11.0.0-0.17.fc34.x86_64 libgcrypt-1.9.0-1.fc34.x86_64 libgomp-11.0.0-0.17.fc34.x86_64 libgpg-error-1.41-1.fc34.x86_64 libidn2-2.3.0-4.fc34.x86_64 libksba-1.5.0-1.fc34.x86_64 libmetalink-0.1.3-13.fc33.x86_64 libmodulemd-2.12.0-1.fc34.x86_64 libmount-2.36.1-4.fc34.x86_64 libnghttp2-1.42.0-1.fc34.x86_64 libnsl2-1.3.0-1.fc34.x86_64 libpsl-0.21.1-2.fc34.x86_64 librepo-1.12.1-1.fc34.x86_64 libreport-filesystem-2.14.0-15.fc34.noarch libselinux-3.2-0.rc1.1.fc34.x86_64 libsemanage-3.2-0.rc1.1.fc34.x86_64 libsepol-3.2-0.rc1.1.fc34.x86_64 libsigsegv-2.13-1.fc34.x86_64 libsmartcols-2.36.1-4.fc34.x86_64 libsolv-0.7.17-2.fc34.x86_64 libssh-0.9.5-1.fc34.x86_64 libssh-config-0.9.5-1.fc34.noarch libstdc++-11.0.0-0.17.fc34.x86_64 libtasn1-4.16.0-3.fc33.x86_64 libtirpc-1.3.1-0.fc34.x86_64 libunistring-0.9.10-9.fc33.x86_64 libusbx-1.0.23-2.fc33.x86_64 libuuid-2.36.1-4.fc34.x86_64 libverto-0.3.1-2.fc34.x86_64 libxcrypt-4.4.17-1.fc34.x86_64 libxml2-2.9.10-9.fc34.x86_64 libyaml-0.2.5-4.fc34.x86_64 libzstd-1.4.7-1.fc34.x86_64 lua-libs-5.4.2-1.fc34.x86_64 lz4-libs-1.9.3-1.fc34.x86_64 mpfr-4.1.0-2.fc33.x86_64 ncurses-base-6.2-3.20200222.fc33.noarch ncurses-libs-6.2-3.20200222.fc33.x86_64 nettle-3.7-1.fc34.x86_64 npth-1.6-5.fc33.x86_64 openldap-2.4.57-1.fc34.x86_64 openssl-libs-1:1.1.1i-1.fc34.x86_64 p11-kit-0.23.22-3.fc34.x86_64 p11-kit-trust-0.23.22-3.fc34.x86_64 pcre-8.44-3.fc34.x86_64 pcre2-10.36-2.fc34.x86_64 pcre2-syntax-10.36-2.fc34.noarch popt-1.18-2.fc34.x86_64 publicsuffix-list-dafsa-20190417-4.fc33.noarch python-pip-wheel-21.0-1.fc34.noarch python-setuptools-wheel-52.0.0-1.fc34.noarch python3-3.9.1-4.fc34.x86_64 python3-dateutil-1:2.8.1-2.fc34.noarch python3-dbus-1.2.16-3.fc33.x86_64 python3-distro-1.5.0-4.fc33.noarch python3-dnf-4.6.0-1.fc34.noarch python3-dnf-plugins-core-4.0.19-1.fc34.noarch python3-gpg-1.15.1-1.fc34.x86_64 python3-hawkey-0.58.0-1.fc34.x86_64 python3-libcomps-0.1.15-5.fc34.x86_64 python3-libdnf-0.58.0-1.fc34.x86_64 python3-libs-3.9.1-4.fc34.x86_64 python3-rpm-4.16.1.2-4.fc34.x86_64 python3-setuptools-52.0.0-1.fc34.noarch python3-six-1.15.0-3.fc34.noarch readline-8.1-1.fc34.x86_64 rpm-4.16.1.2-4.fc34.x86_64 rpm-build-libs-4.16.1.2-4.fc34.x86_64 rpm-libs-4.16.1.2-4.fc34.x86_64 rpm-sign-libs-4.16.1.2-4.fc34.x86_64 sed-4.8-6.fc34.x86_64 setup-2.13.7-2.fc33.noarch shadow-utils-2:4.8.1-6.fc34.x86_64 sqlite-libs-3.34.1-1.fc34.x86_64 systemd-libs-247.2-1.fc34.x86_64 tpm2-tss-3.0.3-1.fc34.x86_64 tzdata-2021a-1.fc34.noarch xz-libs-5.2.5-4.fc34.x86_64 zchunk-libs-1.1.9-1.fc34.x86_64 zlib-1.2.11-23.fc34.x86_64 Complete! Finish(bootstrap): dnf install Start(bootstrap): creating root cache Finish(bootstrap): creating root cache Finish(bootstrap): chroot init Start: chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-rawhide-x86_64-1611951638.964968/root. INFO: calling preinit hooks INFO: enabled root cache INFO: enabled package manager cache Start: cleaning package manager metadata Finish: cleaning package manager metadata INFO: enabled HW Info plugin Mock Version: 2.9 INFO: Mock Version: 2.9 Start: dnf install No matches found for the following disable plugin patterns: local, spacewalk Copr repository 135 kB/s | 3.0 kB 00:00 Copr repository 36 MB/s | 6.2 MB 00:00 fedora 202 kB/s | 8.9 kB 00:00 Last metadata expiration check: 0:00:01 ago on Fri Jan 29 20:21:25 2021. Dependencies resolved. ================================================================================ Package Arch Version Repo Size ================================================================================ Installing group/module packages: bash  x86_64 5.1.0-1.fc34 fedora 1.7 M bzip2  x86_64 1.0.8-4.fc33 fedora 52 k coreutils  x86_64 8.32-15.fc34 fedora 1.1 M cpio  x86_64 2.13-8.fc33 fedora 273 k diffutils  x86_64 3.7-7.fc34 fedora 390 k fedora-release-common  noarch 34-0.10 fedora 20 k findutils  x86_64 1:4.8.0-1.fc34 fedora 545 k gawk  x86_64 5.1.0-2.fc33 fedora 1.0 M glibc-minimal-langpack  x86_64 2.32.9000-26.fc34 fedora 110 k grep  x86_64 3.6-1.fc34 fedora 260 k gzip  x86_64 1.10-3.fc33 fedora 152 k info  x86_64 6.7-8.fc33 fedora 228 k make  x86_64 1:4.3-2.fc33 fedora 539 k patch  x86_64 2.7.6-13.fc33 fedora 129 k redhat-rpm-config  noarch 180-1.fc34 fedora 71 k rpm-build  x86_64 4.16.1.2-4.fc34 fedora 107 k sed  x86_64 4.8-6.fc34 fedora 298 k shadow-utils  x86_64 2:4.8.1-6.fc34 fedora 1.2 M tar  x86_64 2:1.33-2.fc34 fedora 895 k unzip  x86_64 6.0-49.fc33 fedora 181 k util-linux  x86_64 2.36.1-4.fc34 fedora 2.5 M which  x86_64 2.21-20.fc33 fedora 41 k xz  x86_64 5.2.5-4.fc34 fedora 215 k Installing dependencies: alternatives  x86_64 1.15-1.fc34 fedora 35 k audit-libs  x86_64 3.0-1.fc34 fedora 113 k basesystem  noarch 11-10.fc33 fedora 6.8 k binutils  x86_64 2.35.1-25.fc34 fedora 5.3 M binutils-gold  x86_64 2.35.1-25.fc34 fedora 747 k bzip2-libs  x86_64 1.0.8-4.fc33 fedora 40 k ca-certificates  noarch 2020.2.41-6.fc34 fedora 353 k coreutils-common  x86_64 8.32-15.fc34 fedora 2.0 M cracklib  x86_64 2.9.6-24.fc33 fedora 83 k crypto-policies  noarch 20210127-2.gitb21c811.fc34 fedora 57 k curl  x86_64 7.74.0-4.fc34 fedora 299 k cyrus-sasl-lib  x86_64 2.1.27-6.fc33 fedora 101 k dwz  x86_64 0.13-7.fc34 fedora 126 k ed  x86_64 1.14.2-9.fc33 fedora 75 k efi-srpm-macros  noarch 4-5.fc33 fedora 21 k elfutils  x86_64 0.182-2.fc34 fedora 525 k elfutils-debuginfod-client  x86_64 0.182-2.fc34 fedora 32 k elfutils-default-yama-scope  noarch 0.182-2.fc34 fedora 16 k elfutils-libelf  x86_64 0.182-2.fc34 fedora 193 k elfutils-libs  x86_64 0.182-2.fc34 fedora 265 k expat  x86_64 2.2.10-1.fc34 fedora 104 k fedora-gpg-keys  noarch 34-0.8 fedora 107 k fedora-release  noarch 34-0.10 fedora 11 k fedora-release-identity-basic noarch 34-0.10 fedora 12 k fedora-repos  noarch 34-0.8 fedora 11 k fedora-repos-rawhide  noarch 34-0.8 fedora 10 k file  x86_64 5.39-4.fc34 fedora 51 k file-libs  x86_64 5.39-4.fc34 fedora 591 k filesystem  x86_64 3.14-4.fc34 fedora 1.1 M fonts-srpm-macros  noarch 1:2.0.5-4.fc33 fedora 27 k fpc-srpm-macros  noarch 1.3-2.fc33 fedora 7.6 k gc  x86_64 8.0.4-4.fc33 fedora 104 k gdb-minimal  x86_64 10.1-2.fc34 fedora 3.6 M ghc-srpm-macros  noarch 1.5.0-3.fc33 fedora 7.7 k glibc  x86_64 2.32.9000-26.fc34 fedora 3.5 M glibc-common  x86_64 2.32.9000-26.fc34 fedora 2.1 M gmp  x86_64 1:6.2.0-5.fc34 fedora 320 k gnat-srpm-macros  noarch 4-12.fc33 fedora 8.2 k go-srpm-macros  noarch 3.0.9-1.fc34 fedora 25 k guile22  x86_64 2.2.7-1.fc34 fedora 6.5 M kernel-srpm-macros  noarch 1.0-3.fc33 fedora 7.2 k keyutils-libs  x86_64 1.6.1-1.fc34 fedora 31 k krb5-libs  x86_64 1.19-0.beta2.5.fc34 fedora 735 k libacl  x86_64 2.2.53-9.fc34 fedora 23 k libarchive  x86_64 3.5.1-1.fc34 fedora 391 k libattr  x86_64 2.4.48-10.fc33 fedora 18 k libblkid  x86_64 2.36.1-4.fc34 fedora 100 k libbrotli  x86_64 1.0.9-3.fc34 fedora 322 k libcap  x86_64 2.46-1.fc34 fedora 65 k libcap-ng  x86_64 0.8.2-1.fc34 fedora 32 k libcom_err  x86_64 1.45.6-4.fc33 fedora 27 k libcurl  x86_64 7.74.0-4.fc34 fedora 285 k libdb  x86_64 5.3.28-45.fc34 fedora 760 k libeconf  x86_64 0.3.8-4.fc33 fedora 24 k libfdisk  x86_64 2.36.1-4.fc34 fedora 151 k libffi  x86_64 3.1-27.fc34 fedora 33 k libgcc  x86_64 11.0.0-0.17.fc34 fedora 91 k libgcrypt  x86_64 1.9.0-1.fc34 fedora 511 k libgomp  x86_64 11.0.0-0.17.fc34 fedora 262 k libgpg-error  x86_64 1.41-1.fc34 fedora 212 k libidn2  x86_64 2.3.0-4.fc34 fedora 104 k libmetalink  x86_64 0.1.3-13.fc33 fedora 31 k libmount  x86_64 2.36.1-4.fc34 fedora 130 k libnghttp2  x86_64 1.42.0-1.fc34 fedora 76 k libnsl2  x86_64 1.3.0-1.fc34 fedora 58 k libpkgconf  x86_64 1.7.3-5.fc34 fedora 36 k libpsl  x86_64 0.21.1-2.fc34 copr_base 61 k libpwquality  x86_64 1.4.4-2.fc34 fedora 104 k libselinux  x86_64 3.2-0.rc1.1.fc34 fedora 84 k libsemanage  x86_64 3.2-0.rc1.1.fc34 fedora 116 k libsepol  x86_64 3.2-0.rc1.1.fc34 fedora 286 k libsigsegv  x86_64 2.13-1.fc34 fedora 27 k libsmartcols  x86_64 2.36.1-4.fc34 fedora 59 k libssh  x86_64 0.9.5-1.fc34 fedora 209 k libssh-config  noarch 0.9.5-1.fc34 fedora 11 k libstdc++  x86_64 11.0.0-0.17.fc34 fedora 693 k libtasn1  x86_64 4.16.0-3.fc33 fedora 75 k libtirpc  x86_64 1.3.1-0.fc34 fedora 95 k libtool-ltdl  x86_64 2.4.6-38.fc34 fedora 36 k libunistring  x86_64 0.9.10-9.fc33 fedora 516 k libutempter  x86_64 1.2.1-3.fc34 fedora 26 k libuuid  x86_64 2.36.1-4.fc34 fedora 25 k libverto  x86_64 0.3.1-2.fc34 fedora 21 k libxcrypt  x86_64 4.4.17-1.fc34 fedora 121 k libxml2  x86_64 2.9.10-9.fc34 fedora 744 k libzstd  x86_64 1.4.7-1.fc34 fedora 340 k lua-libs  x86_64 5.4.2-1.fc34 fedora 216 k lua-srpm-macros  noarch 1-3.fc34 fedora 8.1 k lz4-libs  x86_64 1.9.3-1.fc34 fedora 67 k mpfr  x86_64 4.1.0-2.fc33 fedora 1.4 M ncurses-base  noarch 6.2-3.20200222.fc33 fedora 60 k ncurses-libs  x86_64 6.2-3.20200222.fc33 fedora 329 k nim-srpm-macros  noarch 3-3.fc33 fedora 8.3 k ocaml-srpm-macros  noarch 6-3.fc33 fedora 7.7 k openblas-srpm-macros  noarch 2-8.fc33 fedora 7.2 k openldap  x86_64 2.4.57-1.fc34 fedora 261 k openssl-libs  x86_64 1:1.1.1i-1.fc34 fedora 1.4 M p11-kit  x86_64 0.23.22-3.fc34 copr_base 370 k p11-kit-trust  x86_64 0.23.22-3.fc34 copr_base 141 k pam  x86_64 1.5.1-2.fc34 fedora 545 k pcre  x86_64 8.44-3.fc34 fedora 200 k pcre2  x86_64 10.36-2.fc34 fedora 234 k pcre2-syntax  noarch 10.36-2.fc34 fedora 143 k perl-srpm-macros  noarch 1-38.fc33 fedora 8.3 k pkgconf  x86_64 1.7.3-5.fc34 fedora 41 k pkgconf-m4  noarch 1.7.3-5.fc34 fedora 14 k pkgconf-pkg-config  x86_64 1.7.3-5.fc34 fedora 10 k popt  x86_64 1.18-2.fc34 fedora 59 k publicsuffix-list-dafsa  noarch 20190417-4.fc33 fedora 55 k python-srpm-macros  noarch 3.9-11.fc34 fedora 20 k qt5-srpm-macros  noarch 5.15.2-1.fc34 fedora 8.4 k readline  x86_64 8.1-1.fc34 fedora 216 k rpm  x86_64 4.16.1.2-4.fc34 fedora 527 k rpm-build-libs  x86_64 4.16.1.2-4.fc34 fedora 97 k rpm-libs  x86_64 4.16.1.2-4.fc34 fedora 326 k rust-srpm-macros  noarch 17-1.fc34 fedora 9.8 k setup  noarch 2.13.7-2.fc33 fedora 142 k sqlite-libs  x86_64 3.34.1-1.fc34 fedora 626 k systemd-libs  x86_64 247.2-1.fc34 fedora 546 k tzdata  noarch 2021a-1.fc34 fedora 432 k xxhash-libs  x86_64 0.8.0-1.fc33 fedora 41 k xz-libs  x86_64 5.2.5-4.fc34 fedora 91 k zip  x86_64 3.0-27.fc33 fedora 258 k zlib  x86_64 1.2.11-23.fc34 fedora 92 k zstd  x86_64 1.4.7-1.fc34 fedora 568 k Installing Groups: Buildsystem building group Transaction Summary ================================================================================ Install 148 Packages Total size: 58 M Installed size: 224 M Downloading Packages: [SKIPPED] libpsl-0.21.1-2.fc34.x86_64.rpm: Already downloaded [SKIPPED] p11-kit-0.23.22-3.fc34.x86_64.rpm: Already downloaded [SKIPPED] p11-kit-trust-0.23.22-3.fc34.x86_64.rpm: Already downloaded [SKIPPED] alternatives-1.15-1.fc34.x86_64.rpm: Already downloaded [SKIPPED] audit-libs-3.0-1.fc34.x86_64.rpm: Already downloaded [SKIPPED] basesystem-11-10.fc33.noarch.rpm: Already downloaded [SKIPPED] bash-5.1.0-1.fc34.x86_64.rpm: Already downloaded [SKIPPED] binutils-2.35.1-25.fc34.x86_64.rpm: Already downloaded [SKIPPED] binutils-gold-2.35.1-25.fc34.x86_64.rpm: Already downloaded [SKIPPED] bzip2-1.0.8-4.fc33.x86_64.rpm: Already downloaded [SKIPPED] bzip2-libs-1.0.8-4.fc33.x86_64.rpm: Already downloaded [SKIPPED] ca-certificates-2020.2.41-6.fc34.noarch.rpm: Already downloaded [SKIPPED] coreutils-8.32-15.fc34.x86_64.rpm: Already downloaded [SKIPPED] coreutils-common-8.32-15.fc34.x86_64.rpm: Already downloaded [SKIPPED] cpio-2.13-8.fc33.x86_64.rpm: Already downloaded [SKIPPED] cracklib-2.9.6-24.fc33.x86_64.rpm: Already downloaded [SKIPPED] crypto-policies-20210127-2.gitb21c811.fc34.noarch.rpm: Already downloaded [SKIPPED] curl-7.74.0-4.fc34.x86_64.rpm: Already downloaded [SKIPPED] cyrus-sasl-lib-2.1.27-6.fc33.x86_64.rpm: Already downloaded [SKIPPED] diffutils-3.7-7.fc34.x86_64.rpm: Already downloaded [SKIPPED] dwz-0.13-7.fc34.x86_64.rpm: Already downloaded [SKIPPED] ed-1.14.2-9.fc33.x86_64.rpm: Already downloaded [SKIPPED] efi-srpm-macros-4-5.fc33.noarch.rpm: Already downloaded [SKIPPED] elfutils-0.182-2.fc34.x86_64.rpm: Already downloaded [SKIPPED] elfutils-debuginfod-client-0.182-2.fc34.x86_64.rpm: Already downloaded [SKIPPED] elfutils-default-yama-scope-0.182-2.fc34.noarch.rpm: Already downloaded [SKIPPED] elfutils-libelf-0.182-2.fc34.x86_64.rpm: Already downloaded [SKIPPED] elfutils-libs-0.182-2.fc34.x86_64.rpm: Already downloaded [SKIPPED] expat-2.2.10-1.fc34.x86_64.rpm: Already downloaded [SKIPPED] fedora-gpg-keys-34-0.8.noarch.rpm: Already downloaded [SKIPPED] fedora-release-34-0.10.noarch.rpm: Already downloaded [SKIPPED] fedora-release-common-34-0.10.noarch.rpm: Already downloaded [SKIPPED] fedora-release-identity-basic-34-0.10.noarch.rpm: Already downloaded [SKIPPED] fedora-repos-34-0.8.noarch.rpm: Already downloaded [SKIPPED] fedora-repos-rawhide-34-0.8.noarch.rpm: Already downloaded [SKIPPED] file-5.39-4.fc34.x86_64.rpm: Already downloaded [SKIPPED] file-libs-5.39-4.fc34.x86_64.rpm: Already downloaded [SKIPPED] filesystem-3.14-4.fc34.x86_64.rpm: Already downloaded [SKIPPED] findutils-4.8.0-1.fc34.x86_64.rpm: Already downloaded [SKIPPED] fonts-srpm-macros-2.0.5-4.fc33.noarch.rpm: Already downloaded [SKIPPED] fpc-srpm-macros-1.3-2.fc33.noarch.rpm: Already downloaded [SKIPPED] gawk-5.1.0-2.fc33.x86_64.rpm: Already downloaded [SKIPPED] gc-8.0.4-4.fc33.x86_64.rpm: Already downloaded [SKIPPED] gdb-minimal-10.1-2.fc34.x86_64.rpm: Already downloaded [SKIPPED] ghc-srpm-macros-1.5.0-3.fc33.noarch.rpm: Already downloaded [SKIPPED] glibc-2.32.9000-26.fc34.x86_64.rpm: Already downloaded [SKIPPED] glibc-common-2.32.9000-26.fc34.x86_64.rpm: Already downloaded [SKIPPED] glibc-minimal-langpack-2.32.9000-26.fc34.x86_64.rpm: Already downloaded [SKIPPED] gmp-6.2.0-5.fc34.x86_64.rpm: Already downloaded [SKIPPED] gnat-srpm-macros-4-12.fc33.noarch.rpm: Already downloaded [SKIPPED] go-srpm-macros-3.0.9-1.fc34.noarch.rpm: Already downloaded [SKIPPED] grep-3.6-1.fc34.x86_64.rpm: Already downloaded [SKIPPED] guile22-2.2.7-1.fc34.x86_64.rpm: Already downloaded [SKIPPED] gzip-1.10-3.fc33.x86_64.rpm: Already downloaded [SKIPPED] info-6.7-8.fc33.x86_64.rpm: Already downloaded [SKIPPED] kernel-srpm-macros-1.0-3.fc33.noarch.rpm: Already downloaded [SKIPPED] keyutils-libs-1.6.1-1.fc34.x86_64.rpm: Already downloaded [SKIPPED] krb5-libs-1.19-0.beta2.5.fc34.x86_64.rpm: Already downloaded [SKIPPED] libacl-2.2.53-9.fc34.x86_64.rpm: Already downloaded [SKIPPED] libarchive-3.5.1-1.fc34.x86_64.rpm: Already downloaded [SKIPPED] libattr-2.4.48-10.fc33.x86_64.rpm: Already downloaded [SKIPPED] libblkid-2.36.1-4.fc34.x86_64.rpm: Already downloaded [SKIPPED] libbrotli-1.0.9-3.fc34.x86_64.rpm: Already downloaded [SKIPPED] libcap-2.46-1.fc34.x86_64.rpm: Already downloaded [SKIPPED] libcap-ng-0.8.2-1.fc34.x86_64.rpm: Already downloaded [SKIPPED] libcom_err-1.45.6-4.fc33.x86_64.rpm: Already downloaded [SKIPPED] libcurl-7.74.0-4.fc34.x86_64.rpm: Already downloaded [SKIPPED] libdb-5.3.28-45.fc34.x86_64.rpm: Already downloaded [SKIPPED] libeconf-0.3.8-4.fc33.x86_64.rpm: Already downloaded [SKIPPED] libfdisk-2.36.1-4.fc34.x86_64.rpm: Already downloaded [SKIPPED] libffi-3.1-27.fc34.x86_64.rpm: Already downloaded [SKIPPED] libgcc-11.0.0-0.17.fc34.x86_64.rpm: Already downloaded [SKIPPED] libgcrypt-1.9.0-1.fc34.x86_64.rpm: Already downloaded [SKIPPED] libgomp-11.0.0-0.17.fc34.x86_64.rpm: Already downloaded [SKIPPED] libgpg-error-1.41-1.fc34.x86_64.rpm: Already downloaded [SKIPPED] libidn2-2.3.0-4.fc34.x86_64.rpm: Already downloaded [SKIPPED] libmetalink-0.1.3-13.fc33.x86_64.rpm: Already downloaded [SKIPPED] libmount-2.36.1-4.fc34.x86_64.rpm: Already downloaded [SKIPPED] libnghttp2-1.42.0-1.fc34.x86_64.rpm: Already downloaded [SKIPPED] libnsl2-1.3.0-1.fc34.x86_64.rpm: Already downloaded [SKIPPED] libpkgconf-1.7.3-5.fc34.x86_64.rpm: Already downloaded [SKIPPED] libpwquality-1.4.4-2.fc34.x86_64.rpm: Already downloaded [SKIPPED] libselinux-3.2-0.rc1.1.fc34.x86_64.rpm: Already downloaded [SKIPPED] libsemanage-3.2-0.rc1.1.fc34.x86_64.rpm: Already downloaded [SKIPPED] libsepol-3.2-0.rc1.1.fc34.x86_64.rpm: Already downloaded [SKIPPED] libsigsegv-2.13-1.fc34.x86_64.rpm: Already downloaded [SKIPPED] libsmartcols-2.36.1-4.fc34.x86_64.rpm: Already downloaded [SKIPPED] libssh-0.9.5-1.fc34.x86_64.rpm: Already downloaded [SKIPPED] libssh-config-0.9.5-1.fc34.noarch.rpm: Already downloaded [SKIPPED] libstdc++-11.0.0-0.17.fc34.x86_64.rpm: Already downloaded [SKIPPED] libtasn1-4.16.0-3.fc33.x86_64.rpm: Already downloaded [SKIPPED] libtirpc-1.3.1-0.fc34.x86_64.rpm: Already downloaded [SKIPPED] libtool-ltdl-2.4.6-38.fc34.x86_64.rpm: Already downloaded [SKIPPED] libunistring-0.9.10-9.fc33.x86_64.rpm: Already downloaded [SKIPPED] libutempter-1.2.1-3.fc34.x86_64.rpm: Already downloaded [SKIPPED] libuuid-2.36.1-4.fc34.x86_64.rpm: Already downloaded [SKIPPED] libverto-0.3.1-2.fc34.x86_64.rpm: Already downloaded [SKIPPED] libxcrypt-4.4.17-1.fc34.x86_64.rpm: Already downloaded [SKIPPED] libxml2-2.9.10-9.fc34.x86_64.rpm: Already downloaded [SKIPPED] libzstd-1.4.7-1.fc34.x86_64.rpm: Already downloaded [SKIPPED] lua-libs-5.4.2-1.fc34.x86_64.rpm: Already downloaded [SKIPPED] lua-srpm-macros-1-3.fc34.noarch.rpm: Already downloaded [SKIPPED] lz4-libs-1.9.3-1.fc34.x86_64.rpm: Already downloaded [SKIPPED] make-4.3-2.fc33.x86_64.rpm: Already downloaded [SKIPPED] mpfr-4.1.0-2.fc33.x86_64.rpm: Already downloaded [SKIPPED] ncurses-base-6.2-3.20200222.fc33.noarch.rpm: Already downloaded [SKIPPED] ncurses-libs-6.2-3.20200222.fc33.x86_64.rpm: Already downloaded [SKIPPED] nim-srpm-macros-3-3.fc33.noarch.rpm: Already downloaded [SKIPPED] ocaml-srpm-macros-6-3.fc33.noarch.rpm: Already downloaded [SKIPPED] openblas-srpm-macros-2-8.fc33.noarch.rpm: Already downloaded [SKIPPED] openldap-2.4.57-1.fc34.x86_64.rpm: Already downloaded [SKIPPED] openssl-libs-1.1.1i-1.fc34.x86_64.rpm: Already downloaded [SKIPPED] pam-1.5.1-2.fc34.x86_64.rpm: Already downloaded [SKIPPED] patch-2.7.6-13.fc33.x86_64.rpm: Already downloaded [SKIPPED] pcre-8.44-3.fc34.x86_64.rpm: Already downloaded [SKIPPED] pcre2-10.36-2.fc34.x86_64.rpm: Already downloaded [SKIPPED] pcre2-syntax-10.36-2.fc34.noarch.rpm: Already downloaded [SKIPPED] perl-srpm-macros-1-38.fc33.noarch.rpm: Already downloaded [SKIPPED] pkgconf-1.7.3-5.fc34.x86_64.rpm: Already downloaded [SKIPPED] pkgconf-m4-1.7.3-5.fc34.noarch.rpm: Already downloaded [SKIPPED] pkgconf-pkg-config-1.7.3-5.fc34.x86_64.rpm: Already downloaded [SKIPPED] popt-1.18-2.fc34.x86_64.rpm: Already downloaded [SKIPPED] publicsuffix-list-dafsa-20190417-4.fc33.noarch.rpm: Already downloaded [SKIPPED] python-srpm-macros-3.9-11.fc34.noarch.rpm: Already downloaded [SKIPPED] qt5-srpm-macros-5.15.2-1.fc34.noarch.rpm: Already downloaded [SKIPPED] readline-8.1-1.fc34.x86_64.rpm: Already downloaded [SKIPPED] redhat-rpm-config-180-1.fc34.noarch.rpm: Already downloaded [SKIPPED] rpm-4.16.1.2-4.fc34.x86_64.rpm: Already downloaded [SKIPPED] rpm-build-4.16.1.2-4.fc34.x86_64.rpm: Already downloaded [SKIPPED] rpm-build-libs-4.16.1.2-4.fc34.x86_64.rpm: Already downloaded [SKIPPED] rpm-libs-4.16.1.2-4.fc34.x86_64.rpm: Already downloaded [SKIPPED] rust-srpm-macros-17-1.fc34.noarch.rpm: Already downloaded [SKIPPED] sed-4.8-6.fc34.x86_64.rpm: Already downloaded [SKIPPED] setup-2.13.7-2.fc33.noarch.rpm: Already downloaded [SKIPPED] shadow-utils-4.8.1-6.fc34.x86_64.rpm: Already downloaded [SKIPPED] sqlite-libs-3.34.1-1.fc34.x86_64.rpm: Already downloaded [SKIPPED] systemd-libs-247.2-1.fc34.x86_64.rpm: Already downloaded [SKIPPED] tar-1.33-2.fc34.x86_64.rpm: Already downloaded [SKIPPED] tzdata-2021a-1.fc34.noarch.rpm: Already downloaded [SKIPPED] unzip-6.0-49.fc33.x86_64.rpm: Already downloaded [SKIPPED] util-linux-2.36.1-4.fc34.x86_64.rpm: Already downloaded [SKIPPED] which-2.21-20.fc33.x86_64.rpm: Already downloaded [SKIPPED] xxhash-libs-0.8.0-1.fc33.x86_64.rpm: Already downloaded [SKIPPED] xz-5.2.5-4.fc34.x86_64.rpm: Already downloaded [SKIPPED] xz-libs-5.2.5-4.fc34.x86_64.rpm: Already downloaded [SKIPPED] zip-3.0-27.fc33.x86_64.rpm: Already downloaded [SKIPPED] zlib-1.2.11-23.fc34.x86_64.rpm: Already downloaded [SKIPPED] zstd-1.4.7-1.fc34.x86_64.rpm: Already downloaded warning: /var/lib/mock/fedora-rawhide-x86_64-1611951638.964968/root/var/cache/dnf/fedora-2d95c80a1fa0a67d/packages/alternatives-1.15-1.fc34.x86_64.rpm: Header V4 RSA/SHA256 Signature, key ID 45719a39: NOKEY fedora 1.6 MB/s | 1.6 kB 00:00 Importing GPG key 0x45719A39: Userid : "Fedora (34) " Fingerprint: 8C5B A699 0BDB 26E1 9F2A 1A80 1161 AE69 4571 9A39 From : /usr/share/distribution-gpg-keys/fedora/RPM-GPG-KEY-fedora-34-primary Key imported successfully fedora 1.6 MB/s | 1.6 kB 00:00 GPG key at file:///usr/share/distribution-gpg-keys/fedora/RPM-GPG-KEY-fedora-34-primary (0x45719A39) is already installed fedora 1.6 MB/s | 1.6 kB 00:00 Importing GPG key 0x9570FF31: Userid : "Fedora (33) " Fingerprint: 963A 2BEB 0200 9608 FE67 EA42 49FD 7749 9570 FF31 From : /usr/share/distribution-gpg-keys/fedora/RPM-GPG-KEY-fedora-33-primary Key imported successfully Running transaction check Transaction check succeeded. Running transaction test Transaction test succeeded. Running transaction Running scriptlet: filesystem-3.14-4.fc34.x86_64 1/1 Preparing : 1/1 Installing : libgcc-11.0.0-0.17.fc34.x86_64 1/148 Running scriptlet: libgcc-11.0.0-0.17.fc34.x86_64 1/148 Installing : crypto-policies-20210127-2.gitb21c811.fc34.noarc 2/148 Running scriptlet: crypto-policies-20210127-2.gitb21c811.fc34.noarc 2/148 Installing : fedora-release-identity-basic-34-0.10.noarch 3/148 Installing : tzdata-2021a-1.fc34.noarch 4/148 Installing : rust-srpm-macros-17-1.fc34.noarch 5/148 Installing : qt5-srpm-macros-5.15.2-1.fc34.noarch 6/148 Installing : publicsuffix-list-dafsa-20190417-4.fc33.noarch 7/148 Installing : pkgconf-m4-1.7.3-5.fc34.noarch 8/148 Installing : perl-srpm-macros-1-38.fc33.noarch 9/148 Installing : pcre2-syntax-10.36-2.fc34.noarch 10/148 Installing : openblas-srpm-macros-2-8.fc33.noarch 11/148 Installing : ocaml-srpm-macros-6-3.fc33.noarch 12/148 Installing : nim-srpm-macros-3-3.fc33.noarch 13/148 Installing : ncurses-base-6.2-3.20200222.fc33.noarch 14/148 Installing : libssh-config-0.9.5-1.fc34.noarch 15/148 Installing : kernel-srpm-macros-1.0-3.fc33.noarch 16/148 Installing : gnat-srpm-macros-4-12.fc33.noarch 17/148 Installing : ghc-srpm-macros-1.5.0-3.fc33.noarch 18/148 Installing : fpc-srpm-macros-1.3-2.fc33.noarch 19/148 Installing : fedora-gpg-keys-34-0.8.noarch 20/148 Installing : fedora-release-34-0.10.noarch 21/148 Installing : fedora-release-common-34-0.10.noarch 22/148 Installing : fedora-repos-rawhide-34-0.8.noarch 23/148 Installing : fedora-repos-34-0.8.noarch 24/148 Installing : setup-2.13.7-2.fc33.noarch 25/148 warning: /etc/hosts created as /etc/hosts.rpmnew Running scriptlet: setup-2.13.7-2.fc33.noarch 25/148 Installing : filesystem-3.14-4.fc34.x86_64 26/148 Installing : basesystem-11-10.fc33.noarch 27/148 Installing : glibc-minimal-langpack-2.32.9000-26.fc34.x86_64 28/148 Installing : glibc-common-2.32.9000-26.fc34.x86_64 29/148 Running scriptlet: glibc-2.32.9000-26.fc34.x86_64 30/148 Installing : glibc-2.32.9000-26.fc34.x86_64 30/148 Running scriptlet: glibc-2.32.9000-26.fc34.x86_64 30/148 Installing : ncurses-libs-6.2-3.20200222.fc33.x86_64 31/148 Installing : bash-5.1.0-1.fc34.x86_64 32/148 Running scriptlet: bash-5.1.0-1.fc34.x86_64 32/148 Installing : zlib-1.2.11-23.fc34.x86_64 33/148 Installing : bzip2-libs-1.0.8-4.fc33.x86_64 34/148 Installing : xz-libs-5.2.5-4.fc34.x86_64 35/148 Installing : elfutils-libelf-0.182-2.fc34.x86_64 36/148 Installing : libstdc++-11.0.0-0.17.fc34.x86_64 37/148 Installing : libxcrypt-4.4.17-1.fc34.x86_64 38/148 Installing : libzstd-1.4.7-1.fc34.x86_64 39/148 Installing : readline-8.1-1.fc34.x86_64 40/148 Installing : gmp-1:6.2.0-5.fc34.x86_64 41/148 Installing : libattr-2.4.48-10.fc33.x86_64 42/148 Installing : libacl-2.2.53-9.fc34.x86_64 43/148 Installing : libcap-2.46-1.fc34.x86_64 44/148 Installing : libcom_err-1.45.6-4.fc33.x86_64 45/148 Installing : libdb-5.3.28-45.fc34.x86_64 46/148 Installing : libuuid-2.36.1-4.fc34.x86_64 47/148 Installing : popt-1.18-2.fc34.x86_64 48/148 Installing : libunistring-0.9.10-9.fc33.x86_64 49/148 Installing : libidn2-2.3.0-4.fc34.x86_64 50/148 Installing : mpfr-4.1.0-2.fc33.x86_64 51/148 Installing : unzip-6.0-49.fc33.x86_64 52/148 Installing : file-libs-5.39-4.fc34.x86_64 53/148 Installing : file-5.39-4.fc34.x86_64 54/148 Installing : sqlite-libs-3.34.1-1.fc34.x86_64 55/148 Installing : alternatives-1.15-1.fc34.x86_64 56/148 Installing : libcap-ng-0.8.2-1.fc34.x86_64 57/148 Installing : audit-libs-3.0-1.fc34.x86_64 58/148 Installing : libffi-3.1-27.fc34.x86_64 59/148 Installing : p11-kit-0.23.22-3.fc34.x86_64 60/148 Installing : libsepol-3.2-0.rc1.1.fc34.x86_64 61/148 Installing : lua-libs-5.4.2-1.fc34.x86_64 62/148 Installing : lz4-libs-1.9.3-1.fc34.x86_64 63/148 Installing : pcre2-10.36-2.fc34.x86_64 64/148 Installing : libselinux-3.2-0.rc1.1.fc34.x86_64 65/148 Installing : sed-4.8-6.fc34.x86_64 66/148 Installing : findutils-1:4.8.0-1.fc34.x86_64 67/148 Installing : libsemanage-3.2-0.rc1.1.fc34.x86_64 68/148 Installing : shadow-utils-2:4.8.1-6.fc34.x86_64 69/148 Running scriptlet: libutempter-1.2.1-3.fc34.x86_64 70/148 Installing : libutempter-1.2.1-3.fc34.x86_64 70/148 Installing : tar-2:1.33-2.fc34.x86_64 71/148 Installing : zip-3.0-27.fc33.x86_64 72/148 Installing : libpsl-0.21.1-2.fc34.x86_64 73/148 Installing : gc-8.0.4-4.fc33.x86_64 74/148 Installing : zstd-1.4.7-1.fc34.x86_64 75/148 Installing : dwz-0.13-7.fc34.x86_64 76/148 Installing : libxml2-2.9.10-9.fc34.x86_64 77/148 Installing : bzip2-1.0.8-4.fc33.x86_64 78/148 Installing : info-6.7-8.fc33.x86_64 79/148 Installing : ed-1.14.2-9.fc33.x86_64 80/148 Installing : patch-2.7.6-13.fc33.x86_64 81/148 Installing : elfutils-default-yama-scope-0.182-2.fc34.noarch 82/148 Running scriptlet: elfutils-default-yama-scope-0.182-2.fc34.noarch 82/148 Installing : cpio-2.13-8.fc33.x86_64 83/148 Installing : diffutils-3.7-7.fc34.x86_64 84/148 Installing : expat-2.2.10-1.fc34.x86_64 85/148 Installing : libmetalink-0.1.3-13.fc33.x86_64 86/148 Installing : keyutils-libs-1.6.1-1.fc34.x86_64 87/148 Installing : libbrotli-1.0.9-3.fc34.x86_64 88/148 Installing : libeconf-0.3.8-4.fc33.x86_64 89/148 Installing : libgomp-11.0.0-0.17.fc34.x86_64 90/148 Installing : libgpg-error-1.41-1.fc34.x86_64 91/148 Installing : libgcrypt-1.9.0-1.fc34.x86_64 92/148 Installing : libnghttp2-1.42.0-1.fc34.x86_64 93/148 Installing : libpkgconf-1.7.3-5.fc34.x86_64 94/148 Installing : pkgconf-1.7.3-5.fc34.x86_64 95/148 Installing : pkgconf-pkg-config-1.7.3-5.fc34.x86_64 96/148 Installing : libsigsegv-2.13-1.fc34.x86_64 97/148 Installing : gawk-5.1.0-2.fc33.x86_64 98/148 Installing : libsmartcols-2.36.1-4.fc34.x86_64 99/148 Installing : libtasn1-4.16.0-3.fc33.x86_64 100/148 Installing : p11-kit-trust-0.23.22-3.fc34.x86_64 101/148 Running scriptlet: p11-kit-trust-0.23.22-3.fc34.x86_64 101/148 Installing : libtool-ltdl-2.4.6-38.fc34.x86_64 102/148 Installing : libverto-0.3.1-2.fc34.x86_64 103/148 Installing : pcre-8.44-3.fc34.x86_64 104/148 Installing : grep-3.6-1.fc34.x86_64 105/148 Installing : xz-5.2.5-4.fc34.x86_64 106/148 Installing : xxhash-libs-0.8.0-1.fc33.x86_64 107/148 Installing : coreutils-common-8.32-15.fc34.x86_64 108/148 Installing : openssl-libs-1:1.1.1i-1.fc34.x86_64 109/148 Installing : coreutils-8.32-15.fc34.x86_64 110/148 Running scriptlet: ca-certificates-2020.2.41-6.fc34.noarch 111/148 Installing : ca-certificates-2020.2.41-6.fc34.noarch 111/148 Running scriptlet: ca-certificates-2020.2.41-6.fc34.noarch 111/148 Installing : krb5-libs-1.19-0.beta2.5.fc34.x86_64 112/148 Installing : libblkid-2.36.1-4.fc34.x86_64 113/148 Running scriptlet: libblkid-2.36.1-4.fc34.x86_64 113/148 Installing : libtirpc-1.3.1-0.fc34.x86_64 114/148 Installing : gzip-1.10-3.fc33.x86_64 115/148 Installing : cracklib-2.9.6-24.fc33.x86_64 116/148 Installing : libnsl2-1.3.0-1.fc34.x86_64 117/148 Installing : libpwquality-1.4.4-2.fc34.x86_64 118/148 Installing : pam-1.5.1-2.fc34.x86_64 119/148 Installing : libfdisk-2.36.1-4.fc34.x86_64 120/148 Installing : libmount-2.36.1-4.fc34.x86_64 121/148 Installing : cyrus-sasl-lib-2.1.27-6.fc33.x86_64 122/148 Installing : openldap-2.4.57-1.fc34.x86_64 123/148 Installing : libssh-0.9.5-1.fc34.x86_64 124/148 Installing : libcurl-7.74.0-4.fc34.x86_64 125/148 Installing : elfutils-libs-0.182-2.fc34.x86_64 126/148 Installing : elfutils-debuginfod-client-0.182-2.fc34.x86_64 127/148 Installing : binutils-gold-2.35.1-25.fc34.x86_64 128/148 Installing : binutils-2.35.1-25.fc34.x86_64 129/148 Running scriptlet: binutils-2.35.1-25.fc34.x86_64 129/148 Installing : elfutils-0.182-2.fc34.x86_64 130/148 Installing : gdb-minimal-10.1-2.fc34.x86_64 131/148 Installing : curl-7.74.0-4.fc34.x86_64 132/148 Installing : guile22-2.2.7-1.fc34.x86_64 133/148 Installing : systemd-libs-247.2-1.fc34.x86_64 134/148 Running scriptlet: systemd-libs-247.2-1.fc34.x86_64 134/148 Installing : libarchive-3.5.1-1.fc34.x86_64 135/148 Installing : rpm-libs-4.16.1.2-4.fc34.x86_64 136/148 Installing : rpm-4.16.1.2-4.fc34.x86_64 137/148 Installing : efi-srpm-macros-4-5.fc33.noarch 138/148 Installing : lua-srpm-macros-1-3.fc34.noarch 139/148 Installing : fonts-srpm-macros-1:2.0.5-4.fc33.noarch 140/148 Installing : go-srpm-macros-3.0.9-1.fc34.noarch 141/148 Installing : python-srpm-macros-3.9-11.fc34.noarch 142/148 Installing : redhat-rpm-config-180-1.fc34.noarch 143/148 Installing : rpm-build-libs-4.16.1.2-4.fc34.x86_64 144/148 Installing : rpm-build-4.16.1.2-4.fc34.x86_64 145/148 Installing : util-linux-2.36.1-4.fc34.x86_64 146/148 Running scriptlet: util-linux-2.36.1-4.fc34.x86_64 146/148 Installing : make-1:4.3-2.fc33.x86_64 147/148 Installing : which-2.21-20.fc33.x86_64 148/148 Running scriptlet: filesystem-3.14-4.fc34.x86_64 148/148 Running scriptlet: ca-certificates-2020.2.41-6.fc34.noarch 148/148 Running scriptlet: rpm-4.16.1.2-4.fc34.x86_64 148/148 Running scriptlet: which-2.21-20.fc33.x86_64 148/148 Verifying : libpsl-0.21.1-2.fc34.x86_64 1/148 Verifying : p11-kit-0.23.22-3.fc34.x86_64 2/148 Verifying : p11-kit-trust-0.23.22-3.fc34.x86_64 3/148 Verifying : alternatives-1.15-1.fc34.x86_64 4/148 Verifying : audit-libs-3.0-1.fc34.x86_64 5/148 Verifying : basesystem-11-10.fc33.noarch 6/148 Verifying : bash-5.1.0-1.fc34.x86_64 7/148 Verifying : binutils-2.35.1-25.fc34.x86_64 8/148 Verifying : binutils-gold-2.35.1-25.fc34.x86_64 9/148 Verifying : bzip2-1.0.8-4.fc33.x86_64 10/148 Verifying : bzip2-libs-1.0.8-4.fc33.x86_64 11/148 Verifying : ca-certificates-2020.2.41-6.fc34.noarch 12/148 Verifying : coreutils-8.32-15.fc34.x86_64 13/148 Verifying : coreutils-common-8.32-15.fc34.x86_64 14/148 Verifying : cpio-2.13-8.fc33.x86_64 15/148 Verifying : cracklib-2.9.6-24.fc33.x86_64 16/148 Verifying : crypto-policies-20210127-2.gitb21c811.fc34.noarc 17/148 Verifying : curl-7.74.0-4.fc34.x86_64 18/148 Verifying : cyrus-sasl-lib-2.1.27-6.fc33.x86_64 19/148 Verifying : diffutils-3.7-7.fc34.x86_64 20/148 Verifying : dwz-0.13-7.fc34.x86_64 21/148 Verifying : ed-1.14.2-9.fc33.x86_64 22/148 Verifying : efi-srpm-macros-4-5.fc33.noarch 23/148 Verifying : elfutils-0.182-2.fc34.x86_64 24/148 Verifying : elfutils-debuginfod-client-0.182-2.fc34.x86_64 25/148 Verifying : elfutils-default-yama-scope-0.182-2.fc34.noarch 26/148 Verifying : elfutils-libelf-0.182-2.fc34.x86_64 27/148 Verifying : elfutils-libs-0.182-2.fc34.x86_64 28/148 Verifying : expat-2.2.10-1.fc34.x86_64 29/148 Verifying : fedora-gpg-keys-34-0.8.noarch 30/148 Verifying : fedora-release-34-0.10.noarch 31/148 Verifying : fedora-release-common-34-0.10.noarch 32/148 Verifying : fedora-release-identity-basic-34-0.10.noarch 33/148 Verifying : fedora-repos-34-0.8.noarch 34/148 Verifying : fedora-repos-rawhide-34-0.8.noarch 35/148 Verifying : file-5.39-4.fc34.x86_64 36/148 Verifying : file-libs-5.39-4.fc34.x86_64 37/148 Verifying : filesystem-3.14-4.fc34.x86_64 38/148 Verifying : findutils-1:4.8.0-1.fc34.x86_64 39/148 Verifying : fonts-srpm-macros-1:2.0.5-4.fc33.noarch 40/148 Verifying : fpc-srpm-macros-1.3-2.fc33.noarch 41/148 Verifying : gawk-5.1.0-2.fc33.x86_64 42/148 Verifying : gc-8.0.4-4.fc33.x86_64 43/148 Verifying : gdb-minimal-10.1-2.fc34.x86_64 44/148 Verifying : ghc-srpm-macros-1.5.0-3.fc33.noarch 45/148 Verifying : glibc-2.32.9000-26.fc34.x86_64 46/148 Verifying : glibc-common-2.32.9000-26.fc34.x86_64 47/148 Verifying : glibc-minimal-langpack-2.32.9000-26.fc34.x86_64 48/148 Verifying : gmp-1:6.2.0-5.fc34.x86_64 49/148 Verifying : gnat-srpm-macros-4-12.fc33.noarch 50/148 Verifying : go-srpm-macros-3.0.9-1.fc34.noarch 51/148 Verifying : grep-3.6-1.fc34.x86_64 52/148 Verifying : guile22-2.2.7-1.fc34.x86_64 53/148 Verifying : gzip-1.10-3.fc33.x86_64 54/148 Verifying : info-6.7-8.fc33.x86_64 55/148 Verifying : kernel-srpm-macros-1.0-3.fc33.noarch 56/148 Verifying : keyutils-libs-1.6.1-1.fc34.x86_64 57/148 Verifying : krb5-libs-1.19-0.beta2.5.fc34.x86_64 58/148 Verifying : libacl-2.2.53-9.fc34.x86_64 59/148 Verifying : libarchive-3.5.1-1.fc34.x86_64 60/148 Verifying : libattr-2.4.48-10.fc33.x86_64 61/148 Verifying : libblkid-2.36.1-4.fc34.x86_64 62/148 Verifying : libbrotli-1.0.9-3.fc34.x86_64 63/148 Verifying : libcap-2.46-1.fc34.x86_64 64/148 Verifying : libcap-ng-0.8.2-1.fc34.x86_64 65/148 Verifying : libcom_err-1.45.6-4.fc33.x86_64 66/148 Verifying : libcurl-7.74.0-4.fc34.x86_64 67/148 Verifying : libdb-5.3.28-45.fc34.x86_64 68/148 Verifying : libeconf-0.3.8-4.fc33.x86_64 69/148 Verifying : libfdisk-2.36.1-4.fc34.x86_64 70/148 Verifying : libffi-3.1-27.fc34.x86_64 71/148 Verifying : libgcc-11.0.0-0.17.fc34.x86_64 72/148 Verifying : libgcrypt-1.9.0-1.fc34.x86_64 73/148 Verifying : libgomp-11.0.0-0.17.fc34.x86_64 74/148 Verifying : libgpg-error-1.41-1.fc34.x86_64 75/148 Verifying : libidn2-2.3.0-4.fc34.x86_64 76/148 Verifying : libmetalink-0.1.3-13.fc33.x86_64 77/148 Verifying : libmount-2.36.1-4.fc34.x86_64 78/148 Verifying : libnghttp2-1.42.0-1.fc34.x86_64 79/148 Verifying : libnsl2-1.3.0-1.fc34.x86_64 80/148 Verifying : libpkgconf-1.7.3-5.fc34.x86_64 81/148 Verifying : libpwquality-1.4.4-2.fc34.x86_64 82/148 Verifying : libselinux-3.2-0.rc1.1.fc34.x86_64 83/148 Verifying : libsemanage-3.2-0.rc1.1.fc34.x86_64 84/148 Verifying : libsepol-3.2-0.rc1.1.fc34.x86_64 85/148 Verifying : libsigsegv-2.13-1.fc34.x86_64 86/148 Verifying : libsmartcols-2.36.1-4.fc34.x86_64 87/148 Verifying : libssh-0.9.5-1.fc34.x86_64 88/148 Verifying : libssh-config-0.9.5-1.fc34.noarch 89/148 Verifying : libstdc++-11.0.0-0.17.fc34.x86_64 90/148 Verifying : libtasn1-4.16.0-3.fc33.x86_64 91/148 Verifying : libtirpc-1.3.1-0.fc34.x86_64 92/148 Verifying : libtool-ltdl-2.4.6-38.fc34.x86_64 93/148 Verifying : libunistring-0.9.10-9.fc33.x86_64 94/148 Verifying : libutempter-1.2.1-3.fc34.x86_64 95/148 Verifying : libuuid-2.36.1-4.fc34.x86_64 96/148 Verifying : libverto-0.3.1-2.fc34.x86_64 97/148 Verifying : libxcrypt-4.4.17-1.fc34.x86_64 98/148 Verifying : libxml2-2.9.10-9.fc34.x86_64 99/148 Verifying : libzstd-1.4.7-1.fc34.x86_64 100/148 Verifying : lua-libs-5.4.2-1.fc34.x86_64 101/148 Verifying : lua-srpm-macros-1-3.fc34.noarch 102/148 Verifying : lz4-libs-1.9.3-1.fc34.x86_64 103/148 Verifying : make-1:4.3-2.fc33.x86_64 104/148 Verifying : mpfr-4.1.0-2.fc33.x86_64 105/148 Verifying : ncurses-base-6.2-3.20200222.fc33.noarch 106/148 Verifying : ncurses-libs-6.2-3.20200222.fc33.x86_64 107/148 Verifying : nim-srpm-macros-3-3.fc33.noarch 108/148 Verifying : ocaml-srpm-macros-6-3.fc33.noarch 109/148 Verifying : openblas-srpm-macros-2-8.fc33.noarch 110/148 Verifying : openldap-2.4.57-1.fc34.x86_64 111/148 Verifying : openssl-libs-1:1.1.1i-1.fc34.x86_64 112/148 Verifying : pam-1.5.1-2.fc34.x86_64 113/148 Verifying : patch-2.7.6-13.fc33.x86_64 114/148 Verifying : pcre-8.44-3.fc34.x86_64 115/148 Verifying : pcre2-10.36-2.fc34.x86_64 116/148 Verifying : pcre2-syntax-10.36-2.fc34.noarch 117/148 Verifying : perl-srpm-macros-1-38.fc33.noarch 118/148 Verifying : pkgconf-1.7.3-5.fc34.x86_64 119/148 Verifying : pkgconf-m4-1.7.3-5.fc34.noarch 120/148 Verifying : pkgconf-pkg-config-1.7.3-5.fc34.x86_64 121/148 Verifying : popt-1.18-2.fc34.x86_64 122/148 Verifying : publicsuffix-list-dafsa-20190417-4.fc33.noarch 123/148 Verifying : python-srpm-macros-3.9-11.fc34.noarch 124/148 Verifying : qt5-srpm-macros-5.15.2-1.fc34.noarch 125/148 Verifying : readline-8.1-1.fc34.x86_64 126/148 Verifying : redhat-rpm-config-180-1.fc34.noarch 127/148 Verifying : rpm-4.16.1.2-4.fc34.x86_64 128/148 Verifying : rpm-build-4.16.1.2-4.fc34.x86_64 129/148 Verifying : rpm-build-libs-4.16.1.2-4.fc34.x86_64 130/148 Verifying : rpm-libs-4.16.1.2-4.fc34.x86_64 131/148 Verifying : rust-srpm-macros-17-1.fc34.noarch 132/148 Verifying : sed-4.8-6.fc34.x86_64 133/148 Verifying : setup-2.13.7-2.fc33.noarch 134/148 Verifying : shadow-utils-2:4.8.1-6.fc34.x86_64 135/148 Verifying : sqlite-libs-3.34.1-1.fc34.x86_64 136/148 Verifying : systemd-libs-247.2-1.fc34.x86_64 137/148 Verifying : tar-2:1.33-2.fc34.x86_64 138/148 Verifying : tzdata-2021a-1.fc34.noarch 139/148 Verifying : unzip-6.0-49.fc33.x86_64 140/148 Verifying : util-linux-2.36.1-4.fc34.x86_64 141/148 Verifying : which-2.21-20.fc33.x86_64 142/148 Verifying : xxhash-libs-0.8.0-1.fc33.x86_64 143/148 Verifying : xz-5.2.5-4.fc34.x86_64 144/148 Verifying : xz-libs-5.2.5-4.fc34.x86_64 145/148 Verifying : zip-3.0-27.fc33.x86_64 146/148 Verifying : zlib-1.2.11-23.fc34.x86_64 147/148 Verifying : zstd-1.4.7-1.fc34.x86_64 148/148 Installed: alternatives-1.15-1.fc34.x86_64 audit-libs-3.0-1.fc34.x86_64 basesystem-11-10.fc33.noarch bash-5.1.0-1.fc34.x86_64 binutils-2.35.1-25.fc34.x86_64 binutils-gold-2.35.1-25.fc34.x86_64 bzip2-1.0.8-4.fc33.x86_64 bzip2-libs-1.0.8-4.fc33.x86_64 ca-certificates-2020.2.41-6.fc34.noarch coreutils-8.32-15.fc34.x86_64 coreutils-common-8.32-15.fc34.x86_64 cpio-2.13-8.fc33.x86_64 cracklib-2.9.6-24.fc33.x86_64 crypto-policies-20210127-2.gitb21c811.fc34.noarch curl-7.74.0-4.fc34.x86_64 cyrus-sasl-lib-2.1.27-6.fc33.x86_64 diffutils-3.7-7.fc34.x86_64 dwz-0.13-7.fc34.x86_64 ed-1.14.2-9.fc33.x86_64 efi-srpm-macros-4-5.fc33.noarch elfutils-0.182-2.fc34.x86_64 elfutils-debuginfod-client-0.182-2.fc34.x86_64 elfutils-default-yama-scope-0.182-2.fc34.noarch elfutils-libelf-0.182-2.fc34.x86_64 elfutils-libs-0.182-2.fc34.x86_64 expat-2.2.10-1.fc34.x86_64 fedora-gpg-keys-34-0.8.noarch fedora-release-34-0.10.noarch fedora-release-common-34-0.10.noarch fedora-release-identity-basic-34-0.10.noarch fedora-repos-34-0.8.noarch fedora-repos-rawhide-34-0.8.noarch file-5.39-4.fc34.x86_64 file-libs-5.39-4.fc34.x86_64 filesystem-3.14-4.fc34.x86_64 findutils-1:4.8.0-1.fc34.x86_64 fonts-srpm-macros-1:2.0.5-4.fc33.noarch fpc-srpm-macros-1.3-2.fc33.noarch gawk-5.1.0-2.fc33.x86_64 gc-8.0.4-4.fc33.x86_64 gdb-minimal-10.1-2.fc34.x86_64 ghc-srpm-macros-1.5.0-3.fc33.noarch glibc-2.32.9000-26.fc34.x86_64 glibc-common-2.32.9000-26.fc34.x86_64 glibc-minimal-langpack-2.32.9000-26.fc34.x86_64 gmp-1:6.2.0-5.fc34.x86_64 gnat-srpm-macros-4-12.fc33.noarch go-srpm-macros-3.0.9-1.fc34.noarch grep-3.6-1.fc34.x86_64 guile22-2.2.7-1.fc34.x86_64 gzip-1.10-3.fc33.x86_64 info-6.7-8.fc33.x86_64 kernel-srpm-macros-1.0-3.fc33.noarch keyutils-libs-1.6.1-1.fc34.x86_64 krb5-libs-1.19-0.beta2.5.fc34.x86_64 libacl-2.2.53-9.fc34.x86_64 libarchive-3.5.1-1.fc34.x86_64 libattr-2.4.48-10.fc33.x86_64 libblkid-2.36.1-4.fc34.x86_64 libbrotli-1.0.9-3.fc34.x86_64 libcap-2.46-1.fc34.x86_64 libcap-ng-0.8.2-1.fc34.x86_64 libcom_err-1.45.6-4.fc33.x86_64 libcurl-7.74.0-4.fc34.x86_64 libdb-5.3.28-45.fc34.x86_64 libeconf-0.3.8-4.fc33.x86_64 libfdisk-2.36.1-4.fc34.x86_64 libffi-3.1-27.fc34.x86_64 libgcc-11.0.0-0.17.fc34.x86_64 libgcrypt-1.9.0-1.fc34.x86_64 libgomp-11.0.0-0.17.fc34.x86_64 libgpg-error-1.41-1.fc34.x86_64 libidn2-2.3.0-4.fc34.x86_64 libmetalink-0.1.3-13.fc33.x86_64 libmount-2.36.1-4.fc34.x86_64 libnghttp2-1.42.0-1.fc34.x86_64 libnsl2-1.3.0-1.fc34.x86_64 libpkgconf-1.7.3-5.fc34.x86_64 libpsl-0.21.1-2.fc34.x86_64 libpwquality-1.4.4-2.fc34.x86_64 libselinux-3.2-0.rc1.1.fc34.x86_64 libsemanage-3.2-0.rc1.1.fc34.x86_64 libsepol-3.2-0.rc1.1.fc34.x86_64 libsigsegv-2.13-1.fc34.x86_64 libsmartcols-2.36.1-4.fc34.x86_64 libssh-0.9.5-1.fc34.x86_64 libssh-config-0.9.5-1.fc34.noarch libstdc++-11.0.0-0.17.fc34.x86_64 libtasn1-4.16.0-3.fc33.x86_64 libtirpc-1.3.1-0.fc34.x86_64 libtool-ltdl-2.4.6-38.fc34.x86_64 libunistring-0.9.10-9.fc33.x86_64 libutempter-1.2.1-3.fc34.x86_64 libuuid-2.36.1-4.fc34.x86_64 libverto-0.3.1-2.fc34.x86_64 libxcrypt-4.4.17-1.fc34.x86_64 libxml2-2.9.10-9.fc34.x86_64 libzstd-1.4.7-1.fc34.x86_64 lua-libs-5.4.2-1.fc34.x86_64 lua-srpm-macros-1-3.fc34.noarch lz4-libs-1.9.3-1.fc34.x86_64 make-1:4.3-2.fc33.x86_64 mpfr-4.1.0-2.fc33.x86_64 ncurses-base-6.2-3.20200222.fc33.noarch ncurses-libs-6.2-3.20200222.fc33.x86_64 nim-srpm-macros-3-3.fc33.noarch ocaml-srpm-macros-6-3.fc33.noarch openblas-srpm-macros-2-8.fc33.noarch openldap-2.4.57-1.fc34.x86_64 openssl-libs-1:1.1.1i-1.fc34.x86_64 p11-kit-0.23.22-3.fc34.x86_64 p11-kit-trust-0.23.22-3.fc34.x86_64 pam-1.5.1-2.fc34.x86_64 patch-2.7.6-13.fc33.x86_64 pcre-8.44-3.fc34.x86_64 pcre2-10.36-2.fc34.x86_64 pcre2-syntax-10.36-2.fc34.noarch perl-srpm-macros-1-38.fc33.noarch pkgconf-1.7.3-5.fc34.x86_64 pkgconf-m4-1.7.3-5.fc34.noarch pkgconf-pkg-config-1.7.3-5.fc34.x86_64 popt-1.18-2.fc34.x86_64 publicsuffix-list-dafsa-20190417-4.fc33.noarch python-srpm-macros-3.9-11.fc34.noarch qt5-srpm-macros-5.15.2-1.fc34.noarch readline-8.1-1.fc34.x86_64 redhat-rpm-config-180-1.fc34.noarch rpm-4.16.1.2-4.fc34.x86_64 rpm-build-4.16.1.2-4.fc34.x86_64 rpm-build-libs-4.16.1.2-4.fc34.x86_64 rpm-libs-4.16.1.2-4.fc34.x86_64 rust-srpm-macros-17-1.fc34.noarch sed-4.8-6.fc34.x86_64 setup-2.13.7-2.fc33.noarch shadow-utils-2:4.8.1-6.fc34.x86_64 sqlite-libs-3.34.1-1.fc34.x86_64 systemd-libs-247.2-1.fc34.x86_64 tar-2:1.33-2.fc34.x86_64 tzdata-2021a-1.fc34.noarch unzip-6.0-49.fc33.x86_64 util-linux-2.36.1-4.fc34.x86_64 which-2.21-20.fc33.x86_64 xxhash-libs-0.8.0-1.fc33.x86_64 xz-5.2.5-4.fc34.x86_64 xz-libs-5.2.5-4.fc34.x86_64 zip-3.0-27.fc33.x86_64 zlib-1.2.11-23.fc34.x86_64 zstd-1.4.7-1.fc34.x86_64 Complete! Finish: dnf install Start: creating root cache Finish: creating root cache Finish: chroot init INFO: Installed packages: INFO: which-2.21-20.fc33.x86_64 pkgconf-1.7.3-5.fc34.x86_64 libtool-ltdl-2.4.6-38.fc34.x86_64 libcurl-7.74.0-4.fc34.x86_64 gpg-pubkey-9570ff31-5e3006fb libmount-2.36.1-4.fc34.x86_64 nim-srpm-macros-3-3.fc33.noarch krb5-libs-1.19-0.beta2.5.fc34.x86_64 zlib-1.2.11-23.fc34.x86_64 bzip2-libs-1.0.8-4.fc33.x86_64 efi-srpm-macros-4-5.fc33.noarch pcre2-10.36-2.fc34.x86_64 libzstd-1.4.7-1.fc34.x86_64 binutils-gold-2.35.1-25.fc34.x86_64 bash-5.1.0-1.fc34.x86_64 openblas-srpm-macros-2-8.fc33.noarch file-5.39-4.fc34.x86_64 pcre2-syntax-10.36-2.fc34.noarch fonts-srpm-macros-2.0.5-4.fc33.noarch libssh-config-0.9.5-1.fc34.noarch libverto-0.3.1-2.fc34.x86_64 rpm-4.16.1.2-4.fc34.x86_64 lua-srpm-macros-1-3.fc34.noarch shadow-utils-4.8.1-6.fc34.x86_64 gmp-6.2.0-5.fc34.x86_64 libgomp-11.0.0-0.17.fc34.x86_64 xxhash-libs-0.8.0-1.fc33.x86_64 alternatives-1.15-1.fc34.x86_64 libtasn1-4.16.0-3.fc33.x86_64 findutils-4.8.0-1.fc34.x86_64 libnghttp2-1.42.0-1.fc34.x86_64 glibc-2.32.9000-26.fc34.x86_64 diffutils-3.7-7.fc34.x86_64 expat-2.2.10-1.fc34.x86_64 redhat-rpm-config-180-1.fc34.noarch glibc-minimal-langpack-2.32.9000-26.fc34.x86_64 glibc-common-2.32.9000-26.fc34.x86_64 coreutils-8.32-15.fc34.x86_64 elfutils-debuginfod-client-0.182-2.fc34.x86_64 setup-2.13.7-2.fc33.noarch publicsuffix-list-dafsa-20190417-4.fc33.noarch kernel-srpm-macros-1.0-3.fc33.noarch gc-8.0.4-4.fc33.x86_64 readline-8.1-1.fc34.x86_64 openldap-2.4.57-1.fc34.x86_64 xz-libs-5.2.5-4.fc34.x86_64 fedora-release-34-0.10.noarch p11-kit-trust-0.23.22-3.fc34.x86_64 libffi-3.1-27.fc34.x86_64 libgpg-error-1.41-1.fc34.x86_64 go-srpm-macros-3.0.9-1.fc34.noarch libuuid-2.36.1-4.fc34.x86_64 dwz-0.13-7.fc34.x86_64 libmetalink-0.1.3-13.fc33.x86_64 libxcrypt-4.4.17-1.fc34.x86_64 fedora-gpg-keys-34-0.8.noarch libeconf-0.3.8-4.fc33.x86_64 libpkgconf-1.7.3-5.fc34.x86_64 zip-3.0-27.fc33.x86_64 libarchive-3.5.1-1.fc34.x86_64 rpm-build-libs-4.16.1.2-4.fc34.x86_64 libdb-5.3.28-45.fc34.x86_64 fpc-srpm-macros-1.3-2.fc33.noarch libxml2-2.9.10-9.fc34.x86_64 fedora-release-identity-basic-34-0.10.noarch libidn2-2.3.0-4.fc34.x86_64 libssh-0.9.5-1.fc34.x86_64 bzip2-1.0.8-4.fc33.x86_64 rpm-build-4.16.1.2-4.fc34.x86_64 libunistring-0.9.10-9.fc33.x86_64 make-4.3-2.fc33.x86_64 filesystem-3.14-4.fc34.x86_64 tar-1.33-2.fc34.x86_64 basesystem-11-10.fc33.noarch pkgconf-m4-1.7.3-5.fc34.noarch ocaml-srpm-macros-6-3.fc33.noarch elfutils-0.182-2.fc34.x86_64 libsmartcols-2.36.1-4.fc34.x86_64 ca-certificates-2020.2.41-6.fc34.noarch libcom_err-1.45.6-4.fc33.x86_64 lua-libs-5.4.2-1.fc34.x86_64 libstdc++-11.0.0-0.17.fc34.x86_64 cracklib-2.9.6-24.fc33.x86_64 fedora-repos-rawhide-34-0.8.noarch libattr-2.4.48-10.fc33.x86_64 ncurses-base-6.2-3.20200222.fc33.noarch sed-4.8-6.fc34.x86_64 libtirpc-1.3.1-0.fc34.x86_64 curl-7.74.0-4.fc34.x86_64 gpg-pubkey-45719a39-5f2c0192 gawk-5.1.0-2.fc33.x86_64 ghc-srpm-macros-1.5.0-3.fc33.noarch libgcc-11.0.0-0.17.fc34.x86_64 libbrotli-1.0.9-3.fc34.x86_64 elfutils-libelf-0.182-2.fc34.x86_64 lz4-libs-1.9.3-1.fc34.x86_64 tzdata-2021a-1.fc34.noarch p11-kit-0.23.22-3.fc34.x86_64 pam-1.5.1-2.fc34.x86_64 fedora-repos-34-0.8.noarch info-6.7-8.fc33.x86_64 libsigsegv-2.13-1.fc34.x86_64 binutils-2.35.1-25.fc34.x86_64 audit-libs-3.0-1.fc34.x86_64 file-libs-5.39-4.fc34.x86_64 libpsl-0.21.1-2.fc34.x86_64 libsemanage-3.2-0.rc1.1.fc34.x86_64 gzip-1.10-3.fc33.x86_64 patch-2.7.6-13.fc33.x86_64 ed-1.14.2-9.fc33.x86_64 elfutils-libs-0.182-2.fc34.x86_64 openssl-libs-1.1.1i-1.fc34.x86_64 libcap-2.46-1.fc34.x86_64 libblkid-2.36.1-4.fc34.x86_64 zstd-1.4.7-1.fc34.x86_64 qt5-srpm-macros-5.15.2-1.fc34.noarch libutempter-1.2.1-3.fc34.x86_64 perl-srpm-macros-1-38.fc33.noarch grep-3.6-1.fc34.x86_64 pkgconf-pkg-config-1.7.3-5.fc34.x86_64 ncurses-libs-6.2-3.20200222.fc33.x86_64 coreutils-common-8.32-15.fc34.x86_64 rust-srpm-macros-17-1.fc34.noarch libselinux-3.2-0.rc1.1.fc34.x86_64 guile22-2.2.7-1.fc34.x86_64 libcap-ng-0.8.2-1.fc34.x86_64 libpwquality-1.4.4-2.fc34.x86_64 xz-5.2.5-4.fc34.x86_64 unzip-6.0-49.fc33.x86_64 sqlite-libs-3.34.1-1.fc34.x86_64 libsepol-3.2-0.rc1.1.fc34.x86_64 util-linux-2.36.1-4.fc34.x86_64 libgcrypt-1.9.0-1.fc34.x86_64 systemd-libs-247.2-1.fc34.x86_64 elfutils-default-yama-scope-0.182-2.fc34.noarch keyutils-libs-1.6.1-1.fc34.x86_64 cyrus-sasl-lib-2.1.27-6.fc33.x86_64 gdb-minimal-10.1-2.fc34.x86_64 rpm-libs-4.16.1.2-4.fc34.x86_64 python-srpm-macros-3.9-11.fc34.noarch libfdisk-2.36.1-4.fc34.x86_64 libacl-2.2.53-9.fc34.x86_64 popt-1.18-2.fc34.x86_64 fedora-release-common-34-0.10.noarch mpfr-4.1.0-2.fc33.x86_64 gnat-srpm-macros-4-12.fc33.noarch cpio-2.13-8.fc33.x86_64 crypto-policies-20210127-2.gitb21c811.fc34.noarch libnsl2-1.3.0-1.fc34.x86_64 pcre-8.44-3.fc34.x86_64 Start: buildsrpm Start: rpmbuild -bs Building target platforms: x86_64 Building for target x86_64 setting SOURCE_DATE_EPOCH=1602892800 Wrote: /builddir/build/SRPMS/yosys-0.9-8.fc34.src.rpm Finish: rpmbuild -bs INFO: chroot_scan: 3 files copied to /var/lib/copr-rpmbuild/results/chroot_scan INFO: /var/lib/mock/fedora-rawhide-x86_64-1611951638.964968/root/var/log/dnf.rpm.log /var/lib/mock/fedora-rawhide-x86_64-1611951638.964968/root/var/log/dnf.librepo.log /var/lib/mock/fedora-rawhide-x86_64-1611951638.964968/root/var/log/dnf.log Finish: buildsrpm INFO: Done(/tmp/copr-rpmbuild-7t41_7jo/obtain-sources/yosys/yosys.spec) Config(child) 1 minutes 7 seconds INFO: Results and/or logs in: /var/lib/copr-rpmbuild/results INFO: Cleaning up build root ('cleanup_on_success=True') Start: clean chroot INFO: unmounting tmpfs. Finish: clean chroot Finish: run INFO: unmounting tmpfs. Running (timeout=18000): unbuffer mock --rebuild /var/lib/copr-rpmbuild/results/yosys-0.9-8.fc34.src.rpm --resultdir /var/lib/copr-rpmbuild/results --uniqueext 1611951707.719038 -r /var/lib/copr-rpmbuild/results/configs/child.cfg INFO: mock.py version 2.9 starting (python version = 3.9.1, NVR = mock-2.9-1.fc33)... Start(bootstrap): init plugins INFO: tmpfs initialized INFO: selinux enabled INFO: chroot_scan: initialized INFO: compress_logs: initialized Finish(bootstrap): init plugins Start: init plugins INFO: tmpfs initialized INFO: selinux enabled INFO: chroot_scan: initialized INFO: compress_logs: initialized Finish: init plugins INFO: Signal handler active Start: run INFO: Start(/var/lib/copr-rpmbuild/results/yosys-0.9-8.fc34.src.rpm) Config(fedora-rawhide-x86_64) Start: clean chroot Finish: clean chroot Start(bootstrap): chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-rawhide-x86_64-bootstrap-1611951707.719038/root. INFO: calling preinit hooks INFO: enabled root cache Start(bootstrap): unpacking root cache Finish(bootstrap): unpacking root cache INFO: enabled package manager cache Start(bootstrap): cleaning package manager metadata Finish(bootstrap): cleaning package manager metadata INFO: enabled HW Info plugin Mock Version: 2.9 INFO: Mock Version: 2.9 Finish(bootstrap): chroot init Start: chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-rawhide-x86_64-1611951707.719038/root. INFO: calling preinit hooks INFO: enabled root cache Start: unpacking root cache Finish: unpacking root cache INFO: enabled package manager cache Start: cleaning package manager metadata Finish: cleaning package manager metadata INFO: enabled HW Info plugin Mock Version: 2.9 INFO: Mock Version: 2.9 Start: dnf update No matches found for the following disable plugin patterns: local, spacewalk Copr repository 73 kB/s | 3.7 kB 00:00 Copr repository 74 MB/s | 6.2 MB 00:00 fedora 399 kB/s | 8.9 kB 00:00 Dependencies resolved. Nothing to do. Complete! Finish: dnf update Finish: chroot init Start: build phase for yosys-0.9-8.fc34.src.rpm Start: build setup for yosys-0.9-8.fc34.src.rpm Building target platforms: x86_64 Building for target x86_64 setting SOURCE_DATE_EPOCH=1602892800 Wrote: /builddir/build/SRPMS/yosys-0.9-8.fc34.src.rpm No matches found for the following disable plugin patterns: local, spacewalk Copr repository 164 kB/s | 3.0 kB 00:00 Copr repository 58 MB/s | 6.2 MB 00:00 fedora 206 kB/s | 8.9 kB 00:00 Package pkgconf-pkg-config-1.7.3-5.fc34.x86_64 is already installed. Dependencies resolved. ==================================================================================================== Package Arch Version Repo Size ==================================================================================================== Installing: abc  x86_64 1.01-28.git20201126.fc34 fedora 19 k bison  x86_64 3.7.4-1.fc34 fedora 925 k flex  x86_64 2.6.4-6.fc34 fedora 312 k gcc-c++  x86_64 11.0.0-0.17.fc34 fedora 12 M graphviz  x86_64 2.44.0-16.fc34 fedora 3.4 M iverilog  x86_64 11.0-1.fc34 fedora 2.2 M libffi-devel  x86_64 3.1-27.fc34 fedora 24 k python3  x86_64 3.9.1-4.fc34 fedora 28 k readline-devel  x86_64 8.1-1.fc34 fedora 195 k tcl-devel  x86_64 1:8.6.10-5.fc34 fedora 163 k texlive-beamer  noarch 9:svn54841-36.fc34 fedora 209 k texlive-collection-bibtexextra  noarch 9:svn54875-36.fc34 fedora 16 k texlive-collection-fontsextra  noarch 9:svn54138-36.fc34 fedora 19 k texlive-collection-latexextra  noarch 9:svn54851-36.fc34 fedora 41 k texlive-collection-mathscience  noarch 9:svn54754-36.fc34 fedora 17 k texlive-collection-publishers  noarch 9:svn54407-36.fc34 fedora 17 k txt2man  noarch 1.6.0-9.fc33 fedora 28 k Installing dependencies: ImageMagick  x86_64 1:6.9.11.27-2.fc34 fedora 163 k ImageMagick-libs  x86_64 1:6.9.11.27-2.fc34 fedora 2.3 M LibRaw  x86_64 0.20.2-1.fc34 fedora 381 k R-core  x86_64 4.0.3-1.fc34 fedora 57 M R-evaluate  noarch 0.14-6.fc33 fedora 88 k R-glue  x86_64 1.4.2-1.fc34 fedora 148 k R-highr  noarch 0.8-6.fc33 fedora 52 k R-knitr  noarch 1.30-1.fc34 fedora 1.2 M R-magrittr  noarch 1.5-11.fc33 fedora 174 k R-markdown  x86_64 1.1-5.fc33 fedora 139 k R-mime  x86_64 0.9-4.fc33 fedora 46 k R-stringi  x86_64 1.5.3-1.fc34 fedora 807 k R-stringr  noarch 1.4.0-7.fc33 fedora 217 k R-xfun  x86_64 0.20-1.fc34 fedora 319 k R-yaml  x86_64 2.2.1-4.fc33 fedora 119 k abc-libs  x86_64 1.01-28.git20201126.fc34 fedora 4.8 M acl  x86_64 2.2.53-9.fc34 fedora 72 k adobe-mappings-cmap  noarch 20171205-9.fc33 fedora 1.9 M adobe-mappings-cmap-deprecated  noarch 20171205-9.fc33 fedora 109 k adobe-mappings-pdf  noarch 20180407-7.fc33 fedora 668 k adwaita-cursor-theme  noarch 3.38.0-1.fc34 fedora 623 k adwaita-icon-theme  noarch 3.38.0-1.fc34 fedora 11 M alsa-lib  x86_64 1.2.4-4.fc34 fedora 475 k annobin  x86_64 9.58-1.fc34 fedora 135 k at-spi2-atk  x86_64 2.38.0-1.fc34 fedora 90 k at-spi2-core  x86_64 2.38.0-2.fc34 copr_base 173 k atk  x86_64 2.36.0-2.fc34 copr_base 274 k avahi-libs  x86_64 0.8-6.fc34 fedora 67 k biber  noarch 2.14-4.fc33 fedora 299 k bubblewrap  x86_64 0.4.1-2.fc33 fedora 50 k cairo  x86_64 1.17.4-1.fc34 fedora 670 k cairo-gobject  x86_64 1.17.4-1.fc34 fedora 18 k cdparanoia-libs  x86_64 10.2-35.fc34 fedora 54 k colord-libs  x86_64 1.4.5-1.fc34 copr_base 240 k cpp  x86_64 11.0.0-0.17.fc34 fedora 10 M crypto-policies-scripts  noarch 20210127-2.gitb21c811.fc34 fedora 65 k cryptsetup-libs  x86_64 2.3.4-1.fc34 fedora 476 k cups-libs  x86_64 1:2.3.3op1-3.fc34 fedora 276 k dbus  x86_64 1:1.12.20-2.fc33 fedora 9.9 k dbus-broker  x86_64 26-1.fc34 fedora 173 k dbus-common  noarch 1:1.12.20-2.fc33 fedora 17 k dbus-libs  x86_64 1:1.12.20-2.fc33 fedora 154 k dejavu-sans-fonts  noarch 2.37-15.fc34 fedora 1.3 M desktop-file-utils  x86_64 0.26-2.fc33 fedora 74 k device-mapper  x86_64 1.02.173-1.fc33 fedora 142 k device-mapper-libs  x86_64 1.02.173-1.fc33 fedora 178 k emacs-filesystem  noarch 1:27.1-2.fc34 fedora 8.2 k enchant2  x86_64 2.2.15-1.fc34 fedora 63 k fftw-libs-double  x86_64 3.3.8-9.fc34 fedora 940 k flexiblas  x86_64 3.0.4-2.fc34 fedora 31 k flexiblas-netlib  x86_64 3.0.4-2.fc34 fedora 3.0 M flexiblas-openblas-openmp  x86_64 3.0.4-2.fc34 fedora 17 k fontawesome-fonts  noarch 1:4.7.0-10.fc33 fedora 206 k fontconfig  x86_64 2.13.93-4.fc34 fedora 275 k fonts-filesystem  noarch 1:2.0.5-4.fc33 fedora 8.7 k freetype  x86_64 2.10.4-1.fc34 fedora 391 k fribidi  x86_64 1.0.10-3.fc33 fedora 86 k gcc  x86_64 11.0.0-0.17.fc34 fedora 31 M gd  x86_64 2.3.0-3.fc33 fedora 137 k gdbm-libs  x86_64 1:1.19-1.fc34 fedora 54 k gdk-pixbuf2  x86_64 2.40.0-3.fc34 copr_base 464 k gdk-pixbuf2-modules  x86_64 2.40.0-3.fc34 copr_base 99 k ghostscript  x86_64 9.53.3-4.fc34 fedora 38 k glib-networking  x86_64 2.66.0-1.fc34 fedora 161 k glib2  x86_64 2.67.1-3.fc34 copr_base 2.7 M glibc-devel  x86_64 2.32.9000-26.fc34 fedora 1.1 M glibc-headers-x86  noarch 2.32.9000-26.fc34 fedora 514 k gnutls  x86_64 3.6.15-2.fc34 fedora 925 k google-droid-sans-fonts  noarch 20200215-8.fc34 fedora 2.7 M graphene  x86_64 1.10.2-5.fc34 copr_base 65 k graphite2  x86_64 1.3.14-6.fc34 fedora 95 k groff-base  x86_64 1.22.4-4.fc34 fedora 1.1 M gsettings-desktop-schemas  x86_64 40~alpha-1.fc34 fedora 681 k gstreamer1  x86_64 1.18.2-1.fc34 fedora 1.4 M gstreamer1-plugins-base  x86_64 1.18.2-1.fc34 fedora 2.1 M gtk-update-icon-cache  x86_64 3.24.24-2.fc34 copr_base 34 k gtk2  x86_64 2.24.33-3.fc34 copr_base 3.5 M gtk3  x86_64 3.24.24-2.fc34 copr_base 4.7 M gts  x86_64 0.7.6-38.20121130.fc33 fedora 237 k harfbuzz  x86_64 2.7.4-1.fc34 copr_base 635 k harfbuzz-icu  x86_64 2.7.4-1.fc34 copr_base 15 k hicolor-icon-theme  noarch 0.17-9.fc33 fedora 44 k hunspell  x86_64 1.7.0-7.fc33 fedora 329 k hunspell-en-US  noarch 0.20140811.1-17.fc33 fedora 176 k hwdata  noarch 0.343-1.fc34 fedora 1.5 M hyphen  x86_64 2.8.8-14.fc33 fedora 29 k iptables-libs  x86_64 1.8.7-3.fc34 fedora 368 k isl  x86_64 0.16.1-12.fc33 fedora 876 k iso-codes  noarch 4.5.0-2.fc33 fedora 3.4 M jasper-libs  x86_64 2.0.24-3.fc34 fedora 152 k jbig2dec-libs  x86_64 0.19-3.fc34 fedora 74 k jbigkit-libs  x86_64 2.1-20.fc34 fedora 52 k json-c  x86_64 0.14-7.fc33 fedora 41 k json-glib  x86_64 1.6.0-1.fc34 copr_base 150 k kernel-headers  x86_64 5.11.0-0.rc5.git0.1.fc34 fedora 1.2 M kmod-libs  x86_64 28-1.fc34 fedora 64 k langpacks-core-font-en  noarch 3.0-6.fc34 fedora 9.7 k lasi  x86_64 1.1.3-4.fc33 fedora 56 k lcms2  x86_64 2.11-2.fc33 fedora 169 k less  x86_64 575-1.fc34 fedora 157 k libICE  x86_64 1.0.10-5.fc34 fedora 71 k libRmath  x86_64 4.0.3-1.fc34 fedora 121 k libSM  x86_64 1.2.3-7.fc34 fedora 42 k libX11  x86_64 1.7.0-2.fc34 fedora 660 k libX11-common  noarch 1.7.0-2.fc34 fedora 153 k libX11-xcb  x86_64 1.7.0-2.fc34 fedora 11 k libXau  x86_64 1.0.9-5.fc34 fedora 31 k libXaw  x86_64 1.0.13-16.fc34 fedora 202 k libXcomposite  x86_64 0.4.5-4.fc34 fedora 23 k libXcursor  x86_64 1.2.0-4.fc34 fedora 29 k libXdamage  x86_64 1.1.5-4.fc34 fedora 22 k libXext  x86_64 1.3.4-5.fc34 fedora 39 k libXfixes  x86_64 5.0.3-13.fc34 fedora 19 k libXft  x86_64 2.3.3-5.fc34 fedora 63 k libXi  x86_64 1.7.10-5.fc34 fedora 38 k libXinerama  x86_64 1.1.4-7.fc34 fedora 14 k libXmu  x86_64 1.1.3-5.fc34 fedora 76 k libXpm  x86_64 3.5.13-4.fc34 fedora 59 k libXrandr  x86_64 1.5.2-5.fc34 fedora 27 k libXrender  x86_64 0.9.10-13.fc34 fedora 27 k libXt  x86_64 1.2.0-3.fc34 fedora 183 k libXtst  x86_64 1.2.3-13.fc34 fedora 20 k libXv  x86_64 1.0.11-13.fc34 fedora 18 k libXxf86vm  x86_64 1.1.4-15.fc34 fedora 18 k libargon2  x86_64 20171227-5.fc33 fedora 29 k libcbor  x86_64 0.7.0-2.fc34 copr_base 57 k libdatrie  x86_64 0.2.9-13.fc34 fedora 31 k libdrm  x86_64 2.4.103-1.fc34 fedora 160 k libedit  x86_64 3.1-33.20191231cvs.fc33 fedora 106 k libepoxy  x86_64 1.5.5-1.fc34 fedora 253 k libevent  x86_64 2.1.12-2.fc34 fedora 265 k libfido2  x86_64 1.6.0-1.fc34 fedora 71 k libfontenc  x86_64 1.1.3-14.fc34 fedora 31 k libgee  x86_64 0.20.3-2.fc33 fedora 279 k libgfortran  x86_64 11.0.0-0.17.fc34 fedora 803 k libglvnd  x86_64 1:1.3.2-2.fc33 fedora 139 k libglvnd-egl  x86_64 1:1.3.2-2.fc33 fedora 37 k libglvnd-glx  x86_64 1:1.3.2-2.fc33 fedora 155 k libgs  x86_64 9.53.3-4.fc34 fedora 3.1 M libgusb  x86_64 0.3.5-1.fc34 copr_base 50 k libibverbs  x86_64 33.0-3.fc34 fedora 335 k libicu  x86_64 67.1-4.fc33 fedora 9.7 M libijs  x86_64 0.35-12.fc33 fedora 30 k libimagequant  x86_64 2.14.0-1.fc34 fedora 63 k libjpeg-turbo  x86_64 2.0.90-1.fc34 fedora 176 k liblqr-1  x86_64 0.4.2-15.fc33 fedora 49 k libmarkdown  x86_64 2.2.4-5.fc33 fedora 46 k libmnl  x86_64 1.0.4-12.fc33 fedora 28 k libmpc  x86_64 1.2.1-1.fc34 fedora 63 k libnetfilter_conntrack  x86_64 1.0.8-1.fc34 fedora 58 k libnfnetlink  x86_64 1.0.1-18.fc33 fedora 29 k libnl3  x86_64 3.5.0-5.fc33 fedora 325 k libnotify  x86_64 0.7.9-3.fc34 copr_base 43 k libogg  x86_64 2:1.3.4-3.fc33 fedora 33 k libpaper  x86_64 1.1.28-1.fc34 fedora 41 k libpcap  x86_64 14:1.9.1-6.fc34 fedora 173 k libpciaccess  x86_64 0.16-3.fc33 fedora 26 k libpng  x86_64 2:1.6.37-6.fc34 fedora 120 k libproxy  x86_64 0.4.15-29.fc34 fedora 71 k libquadmath  x86_64 11.0.0-0.17.fc34 fedora 195 k libraqm  x86_64 0.7.0-6.fc34 copr_base 19 k librsvg2  x86_64 2.50.3-1.fc34 fedora 3.4 M libseccomp  x86_64 2.5.0-3.fc33 fedora 72 k libsecret  x86_64 0.20.4-1.fc34 copr_base 162 k libsoup  x86_64 2.72.0-3.fc34 copr_base 399 k libstdc++-devel  x86_64 11.0.0-0.17.fc34 fedora 2.1 M libthai  x86_64 0.1.28-5.fc33 fedora 213 k libtheora  x86_64 1:1.1.1-28.fc33 fedora 162 k libtiff  x86_64 4.1.0-6.fc34 fedora 191 k libunwind  x86_64 1.4.0-4.fc34 fedora 65 k libusbx  x86_64 1.0.23-2.fc33 fedora 70 k libvisual  x86_64 1:0.4.0-31.fc34 fedora 147 k libvorbis  x86_64 1:1.3.7-2.fc33 fedora 199 k libwayland-client  x86_64 1.19.0-1.fc34 fedora 32 k libwayland-cursor  x86_64 1.19.0-1.fc34 fedora 19 k libwayland-egl  x86_64 1.19.0-1.fc34 fedora 12 k libwayland-server  x86_64 1.19.0-1.fc34 fedora 41 k libwebp  x86_64 1.1.0-6.fc34 fedora 278 k libwmf-lite  x86_64 0.2.12-4.fc33 fedora 73 k libwpe  x86_64 1.9.1-1.fc34 fedora 27 k libxcb  x86_64 1.13.1-6.fc34 fedora 230 k libxcrypt-devel  x86_64 4.4.17-1.fc34 fedora 32 k libxkbcommon  x86_64 1.0.3-1.fc34 fedora 135 k libxshmfence  x86_64 1.3-7.fc33 fedora 11 k libxslt  x86_64 1.1.34-4.fc34 fedora 243 k llvm-libs  x86_64 11.1.0-0.2.rc2.fc34 fedora 22 M m4  x86_64 1.4.18-16.fc34 fedora 211 k mailcap  noarch 2.1.49-2.fc33 fedora 33 k mesa-libEGL  x86_64 20.3.3-5.fc34 fedora 121 k mesa-libGL  x86_64 20.3.3-5.fc34 fedora 182 k mesa-libgbm  x86_64 20.3.3-5.fc34 fedora 42 k mesa-libglapi  x86_64 20.3.3-5.fc34 fedora 59 k ncurses  x86_64 6.2-3.20200222.fc33 fedora 395 k ncurses-c++-libs  x86_64 6.2-3.20200222.fc33 fedora 37 k ncurses-devel  x86_64 6.2-3.20200222.fc33 fedora 510 k netpbm  x86_64 10.93.00-1.fc34 fedora 188 k nettle  x86_64 3.7-1.fc34 fedora 396 k nspr  x86_64 4.29.0-11.fc34 fedora 142 k nss  x86_64 3.60.1-3.fc34 fedora 688 k nss-softokn  x86_64 3.60.1-3.fc34 fedora 384 k nss-softokn-freebl  x86_64 3.60.1-3.fc34 fedora 328 k nss-sysinit  x86_64 3.60.1-3.fc34 fedora 21 k nss-util  x86_64 3.60.1-3.fc34 fedora 91 k oldstandard-sfd-fonts  noarch 2.0.2-28.fc33 fedora 1.7 M openblas  x86_64 0.3.12-1.fc34 fedora 31 k openblas-openmp  x86_64 0.3.12-1.fc34 fedora 4.6 M openexr-libs  x86_64 2.5.4-3.fc34 fedora 1.0 M openjpeg2  x86_64 2.4.0-1.fc34 fedora 165 k opus  x86_64 1.3.1-7.fc34 fedora 203 k orc  x86_64 0.4.31-3.fc34 copr_base 186 k pango  x86_64 1.48.1-1.fc34 copr_base 300 k pdfpc  x86_64 4.5.0-2.fc34 fedora 212 k perl-Authen-SASL  noarch 2.16-22.fc33 fedora 53 k perl-AutoLoader  noarch 5.74-469.fc34 fedora 32 k perl-B  x86_64 1.80-469.fc34 fedora 192 k perl-B-Hooks-EndOfScope  noarch 0.24-12.fc33 fedora 38 k perl-BibTeX-Parser  noarch 1.02-10.fc34 fedora 31 k perl-Bit-Vector  x86_64 7.4-21.fc33 fedora 167 k perl-Business-ISBN  noarch 3.006-1.fc34 fedora 33 k perl-Business-ISBN-Data  noarch 20210112.001-1.fc34 fedora 34 k perl-Business-ISMN  noarch 1.201-7.fc33 fedora 25 k perl-Business-ISSN  noarch 1.004-3.fc33 fedora 18 k perl-Carp  noarch 1.50-457.fc33 fedora 29 k perl-Carp-Clan  noarch 6.08-5.fc33 fedora 25 k perl-Class-Accessor  noarch 0.51-10.fc33 fedora 29 k perl-Class-Data-Inheritable  noarch 0.08-36.fc33 fedora 13 k perl-Class-Inspector  noarch 1.36-4.fc33 fedora 31 k perl-Class-Method-Modifiers  noarch 2.13-5.fc33 fedora 44 k perl-Class-Singleton  noarch 1.6-1.fc34 fedora 27 k perl-Class-Struct  noarch 0.66-469.fc34 fedora 33 k perl-Clone  x86_64 0.45-3.fc33 fedora 22 k perl-Compress-Raw-Bzip2  x86_64 2.100-1.fc34 fedora 34 k perl-Compress-Raw-Zlib  x86_64 2.100-1.fc34 fedora 61 k perl-Convert-ASN1  noarch 0.27-21.fc34 fedora 55 k perl-Crypt-RC4  noarch 2.02-26.fc33 fedora 14 k perl-Data-Compare  noarch 1.27-4.fc33 fedora 36 k perl-Data-Dump  noarch 1.23-15.fc33 fedora 33 k perl-Data-Dumper  x86_64 2.174-459.fc34 fedora 56 k perl-Data-OptList  noarch 0.110-14.fc33 fedora 26 k perl-Data-Uniqid  noarch 0.12-23.fc33 fedora 12 k perl-Date-Calc  noarch 6.4-17.fc33 fedora 202 k perl-Date-ISO8601  noarch 0.005-10.fc33 fedora 20 k perl-Date-Manip  noarch 6.83-2.fc34 fedora 1.0 M perl-DateTime  x86_64 2:1.54-1.fc34 fedora 129 k perl-DateTime-Calendar-Julian  noarch 0.103-1.fc34 fedora 22 k perl-DateTime-Format-Builder  noarch 0.8300-1.fc33 fedora 87 k perl-DateTime-Format-Strptime  noarch 1:1.78-1.fc34 fedora 43 k perl-DateTime-Locale  noarch 1.31-1.fc34 fedora 2.8 M perl-DateTime-TimeZone  noarch 2.47-1.fc34 fedora 365 k perl-DateTime-TimeZone-SystemV  noarch 0.010-11.fc33 fedora 24 k perl-DateTime-TimeZone-Tzfile  noarch 0.011-11.fc33 fedora 20 k perl-Devel-CallChecker  x86_64 0.008-11.fc33 fedora 24 k perl-Devel-Caller  x86_64 2.06-23.fc33 fedora 19 k perl-Devel-GlobalDestruction  noarch 0.14-13.fc33 fedora 17 k perl-Devel-LexAlias  x86_64 0.05-24.fc33 fedora 16 k perl-Devel-StackTrace  noarch 1:2.04-7.fc33 fedora 31 k perl-Digest  noarch 1.19-1.fc34 fedora 26 k perl-Digest-HMAC  noarch 1.03-26.fc33 fedora 16 k perl-Digest-MD4  x86_64 1.9-26.fc33 fedora 35 k perl-Digest-MD5  x86_64 2.58-1.fc34 fedora 36 k perl-Digest-Perl-MD5  noarch 1.9-21.fc33 fedora 18 k perl-Digest-SHA  x86_64 1:6.02-458.fc33 fedora 64 k perl-Digest-SHA1  x86_64 2.13-31.fc33 fedora 54 k perl-Dist-CheckConflicts  noarch 0.11-20.fc33 fedora 24 k perl-DynaLoader  x86_64 1.47-469.fc34 fedora 40 k perl-DynaLoader-Functions  noarch 0.003-10.fc33 fedora 19 k perl-Email-Date-Format  noarch 1.005-17.fc33 fedora 18 k perl-Encode  x86_64 4:3.08-458.fc34 fedora 1.8 M perl-Encode-EUCJPASCII  x86_64 0.03-31.fc33 fedora 164 k perl-Encode-HanExtra  x86_64 0.23-31.fc33 fedora 2.1 M perl-Encode-JIS2K  x86_64 0.03-16.fc33 fedora 406 k perl-Encode-Locale  noarch 1.05-18.fc33 fedora 19 k perl-Errno  x86_64 1.30-469.fc34 fedora 26 k perl-Eval-Closure  noarch 0.14-13.fc33 fedora 24 k perl-Exception-Class  noarch 1.44-10.fc33 fedora 43 k perl-Exporter  noarch 5.74-458.fc33 fedora 32 k perl-Exporter-Tiny  noarch 1.002002-3.fc33 fedora 52 k perl-ExtUtils-MM-Utils  noarch 2:7.58-1.fc34 fedora 12 k perl-Fcntl  x86_64 1.13-469.fc34 fedora 32 k perl-File-Basename  noarch 2.85-469.fc34 fedora 28 k perl-File-Compare  noarch 1.100.600-469.fc34 fedora 24 k perl-File-Copy  noarch 2.34-469.fc34 fedora 31 k perl-File-Find  noarch 1.37-469.fc34 fedora 37 k perl-File-Find-Rule  noarch 0.34-16.fc33 fedora 33 k perl-File-Listing  noarch 6.14-1.fc34 fedora 25 k perl-File-Path  noarch 2.18-1.fc34 fedora 36 k perl-File-ShareDir  noarch 1.118-1.fc34 fedora 30 k perl-File-Slurper  noarch 0.012-9.fc33 fedora 21 k perl-File-Temp  noarch 1:0.231.100-1.fc34 fedora 60 k perl-File-Which  noarch 1.23-7.fc33 fedora 22 k perl-File-stat  noarch 1.09-469.fc34 fedora 28 k perl-FileHandle  noarch 2.03-469.fc34 fedora 27 k perl-Filter  x86_64 2:1.60-1.fc33 fedora 82 k perl-FindBin  noarch 1.51-469.fc34 fedora 25 k perl-GSSAPI  x86_64 0.28-32.fc33 fedora 60 k perl-Getopt-Long  noarch 1:2.52-1.fc34 fedora 60 k perl-Getopt-Std  noarch 1.12-469.fc34 fedora 27 k perl-HTML-Parser  x86_64 3.75-2.fc34 fedora 121 k perl-HTML-Tagset  noarch 3.20-44.fc33 fedora 18 k perl-HTTP-Cookies  noarch 6.10-1.fc34 fedora 38 k perl-HTTP-Date  noarch 6.05-4.fc33 fedora 24 k perl-HTTP-Message  noarch 6.27-1.fc34 fedora 97 k perl-HTTP-Negotiate  noarch 6.01-27.fc33 fedora 20 k perl-HTTP-Tiny  noarch 0.076-457.fc33 fedora 55 k perl-Hash-Util-FieldHash  x86_64 1.20-469.fc34 fedora 50 k perl-I18N-LangTags  noarch 0.44-469.fc34 fedora 66 k perl-I18N-Langinfo  x86_64 0.19-469.fc34 fedora 34 k perl-IO  x86_64 1.43-469.fc34 fedora 99 k perl-IO-Compress  noarch 2.100-1.fc34 fedora 255 k perl-IO-HTML  noarch 1.004-1.fc34 fedora 28 k perl-IO-Socket-IP  noarch 0.41-2.fc34 fedora 43 k perl-IO-Socket-SSL  noarch 2.069-1.fc34 fedora 216 k perl-IO-String  noarch 1.08-40.fc33 fedora 17 k perl-IO-stringy  noarch 2.113-4.fc33 fedora 66 k perl-IPC-Cmd  noarch 2:1.04-458.fc33 fedora 40 k perl-IPC-Open3  noarch 1.21-469.fc34 fedora 34 k perl-IPC-Run3  noarch 0.048-20.fc33 fedora 40 k perl-IPC-SysV  x86_64 2.09-1.fc34 fedora 43 k perl-JSON  noarch 4.03-1.fc34 fedora 96 k perl-Jcode  noarch 2.07-33.fc33 fedora 39 k perl-LDAP  noarch 1:0.68-1.fc34 fedora 378 k perl-LWP-MediaTypes  noarch 6.04-6.fc33 fedora 34 k perl-LWP-Protocol-https  noarch 6.10-1.fc34 fedora 21 k perl-LaTeX-ToUnicode  noarch 0.11-1.fc34 fedora 26 k perl-List-AllUtils  noarch 0.18-1.fc34 fedora 50 k perl-List-MoreUtils  noarch 0.430-1.fc34 fedora 64 k perl-List-MoreUtils-XS  x86_64 0.430-1.fc34 fedora 63 k perl-List-SomeUtils  noarch 0.58-4.fc33 fedora 47 k perl-List-UtilsBy  noarch 0.11-10.fc33 fedora 29 k perl-Locale-Maketext  noarch 1.29-458.fc33 fedora 95 k perl-Locale-Maketext-Simple  noarch 1:0.21-469.fc34 fedora 29 k perl-Log-Dispatch  noarch 2.70-2.fc34 fedora 83 k perl-Log-Dispatch-FileRotate  noarch 1.36-7.fc33 fedora 33 k perl-Log-Log4perl  noarch 1.53-1.fc34 fedora 356 k perl-MIME-Base64  x86_64 3.16-1.fc34 fedora 30 k perl-MIME-Charset  noarch 1.012.2-12.fc33 fedora 49 k perl-MIME-Lite  noarch 3.031-4.fc33 fedora 96 k perl-MIME-Types  noarch 2.18-1.fc34 fedora 70 k perl-MRO-Compat  noarch 0.13-12.fc33 fedora 19 k perl-Mail-Sender  noarch 1:0.903-13.fc33 fedora 52 k perl-Mail-Sendmail  noarch 0.80-10.fc33 fedora 37 k perl-MailTools  noarch 2.21-6.fc33 fedora 103 k perl-Math-BigInt  noarch 1:1.9998.18-457.fc33 fedora 190 k perl-Math-Complex  noarch 1.59-469.fc34 fedora 58 k perl-Module-CoreList  noarch 1:5.20210123-1.fc34 fedora 83 k perl-Module-Implementation  noarch 0.09-27.fc33 fedora 20 k perl-Module-Load  noarch 1:0.36-1.fc34 fedora 17 k perl-Module-Load-Conditional  noarch 0.74-1.fc34 fedora 23 k perl-Module-Metadata  noarch 1.000037-457.fc33 fedora 36 k perl-Module-Runtime  noarch 0.016-10.fc33 fedora 23 k perl-Mozilla-CA  noarch 20200520-3.fc33 fedora 12 k perl-NTLM  noarch 1.09-27.fc33 fedora 22 k perl-Net-HTTP  noarch 6.20-1.fc34 fedora 40 k perl-Net-SMTP-SSL  noarch 1.04-13.fc33 fedora 12 k perl-Net-SSLeay  x86_64 1.90-1.fc34 fedora 375 k perl-Number-Compare  noarch 0.03-27.fc33 fedora 12 k perl-OLE-Storage_Lite  noarch 0.20-4.fc33 fedora 30 k perl-Opcode  x86_64 1.48-469.fc34 fedora 48 k perl-POSIX  x86_64 1.94-469.fc34 fedora 110 k perl-Package-Generator  noarch 1.106-20.fc34 fedora 23 k perl-Package-Stash  noarch 0.39-1.fc34 fedora 34 k perl-Package-Stash-XS  x86_64 0.29-8.fc33 fedora 36 k perl-PadWalker  x86_64 2.5-1.fc34 fedora 26 k perl-Params-Check  noarch 1:0.38-458.fc34 fedora 22 k perl-Params-Classify  x86_64 0.015-11.fc33 fedora 32 k perl-Params-Util  x86_64 1.102-2.fc34 fedora 33 k perl-Params-Validate  x86_64 1.30-1.fc34 fedora 70 k perl-Params-ValidationCompiler  noarch 0.30-9.fc33 fedora 39 k perl-Parse-RecDescent  noarch 1.967015-12.fc33 fedora 197 k perl-PathTools  x86_64 3.78-458.fc34 fedora 86 k perl-PerlIO-utf8_strict  x86_64 0.008-1.fc34 fedora 26 k perl-Pod-Escapes  noarch 1:1.07-457.fc33 fedora 20 k perl-Pod-Html  noarch 1.25-469.fc34 fedora 38 k perl-Pod-Perldoc  noarch 3.28.01-458.fc33 fedora 84 k perl-Pod-Simple  noarch 1:3.42-1.fc34 fedora 216 k perl-Pod-Usage  noarch 4:2.01-1.fc34 fedora 41 k perl-Ref-Util  noarch 0.204-9.fc33 fedora 24 k perl-Ref-Util-XS  x86_64 0.117-10.fc33 fedora 23 k perl-Regexp-Common  noarch 2017060201-13.fc33 fedora 182 k perl-Role-Tiny  noarch 2.002004-1.fc34 fedora 34 k perl-Safe  noarch 2.41-469.fc34 fedora 36 k perl-Scalar-List-Utils  x86_64 4:1.55-457.fc33 fedora 70 k perl-SelectSaver  noarch 1.02-469.fc34 fedora 23 k perl-Socket  x86_64 4:2.031-1.fc34 fedora 56 k perl-Sort-Key  x86_64 1.33-19.fc33 fedora 47 k perl-Specio  noarch 0.46-4.fc33 fedora 154 k perl-Spreadsheet-ParseExcel  x86_64 0.6500-27.fc33 fedora 149 k perl-Spreadsheet-WriteExcel  noarch 2.40-20.fc33 fedora 515 k perl-Storable  x86_64 1:3.21-457.fc33 fedora 97 k perl-Sub-Exporter  noarch 0.987-24.fc33 fedora 67 k perl-Sub-Exporter-Progressive  noarch 0.001013-13.fc33 fedora 21 k perl-Sub-Identify  x86_64 0.14-14.fc33 fedora 23 k perl-Sub-Install  noarch 0.928-25.fc33 fedora 22 k perl-Symbol  noarch 1.08-469.fc34 fedora 25 k perl-Sys-Hostname  x86_64 1.23-469.fc34 fedora 29 k perl-Sys-Syslog  x86_64 0.36-458.fc33 fedora 48 k perl-Term-ANSIColor  noarch 5.01-458.fc33 fedora 49 k perl-Term-Cap  noarch 1.17-457.fc33 fedora 22 k perl-Text-Balanced  noarch 2.04-1.fc34 fedora 48 k perl-Text-BibTeX  x86_64 0.88-6.fc33 fedora 266 k perl-Text-CSV  noarch 2.00-5.fc33 fedora 110 k perl-Text-CSV_XS  x86_64 1.45-1.fc34 fedora 134 k perl-Text-Glob  noarch 0.11-12.fc33 fedora 13 k perl-Text-ParseWords  noarch 3.30-457.fc33 fedora 16 k perl-Text-Roman  noarch 3.5-17.fc33 fedora 23 k perl-Text-Soundex  x86_64 3.05-17.fc33 fedora 30 k perl-Text-Tabs+Wrap  noarch 2013.0523-457.fc33 fedora 23 k perl-Text-Unidecode  noarch 1.30-13.fc33 fedora 142 k perl-Tie  noarch 4.6-469.fc34 fedora 43 k perl-Tie-Cycle  noarch 1.226-1.fc34 fedora 19 k perl-Time-HiRes  x86_64 4:1.9764-459.fc34 fedora 58 k perl-Time-Local  noarch 2:1.300-4.fc33 fedora 34 k perl-TimeDate  noarch 1:2.33-3.fc33 fedora 52 k perl-Try-Tiny  noarch 0.30-10.fc33 fedora 37 k perl-UNIVERSAL-isa  noarch 1.20171012-10.fc33 fedora 22 k perl-URI  noarch 5.06-1.fc34 fedora 108 k perl-Unicode-Collate  x86_64 1.29-1.fc34 fedora 754 k perl-Unicode-LineBreak  x86_64 2019.001-8.fc33 fedora 121 k perl-Unicode-Map  x86_64 0.112-52.fc33 fedora 231 k perl-Unicode-Normalize  x86_64 1.27-458.fc33 fedora 96 k perl-Unicode-UCD  noarch 0.75-469.fc34 fedora 90 k perl-Variable-Magic  x86_64 0.62-11.fc33 fedora 54 k perl-WWW-RobotRules  noarch 6.02-27.fc34 fedora 20 k perl-XML-LibXML  x86_64 1:2.0206-2.fc34 fedora 359 k perl-XML-LibXML-Simple  noarch 1.01-4.fc33 fedora 33 k perl-XML-LibXSLT  x86_64 1.99-4.fc33 fedora 59 k perl-XML-NamespaceSupport  noarch 1.12-12.fc33 fedora 26 k perl-XML-Parser  x86_64 2.46-6.fc33 fedora 233 k perl-XML-SAX  noarch 1.02-5.fc33 fedora 59 k perl-XML-SAX-Base  noarch 1.09-12.fc33 fedora 33 k perl-XML-Writer  noarch 0.900-2.fc34 fedora 34 k perl-XML-XPath  noarch 1.44-8.fc34 fedora 81 k perl-XString  x86_64 0.005-1.fc34 fedora 23 k perl-autouse  noarch 1.11-469.fc34 fedora 25 k perl-autovivification  x86_64 0.18-11.fc33 fedora 33 k perl-base  noarch 2.27-469.fc34 fedora 27 k perl-constant  noarch 1.33-458.fc33 fedora 23 k perl-deprecate  noarch 0.04-469.fc34 fedora 26 k perl-encoding  x86_64 4:3.00-458.fc34 fedora 62 k perl-if  noarch 0.60.800-469.fc34 fedora 25 k perl-interpreter  x86_64 4:5.32.1-469.fc34 fedora 83 k perl-lib  x86_64 0.65-469.fc34 fedora 26 k perl-libnet  noarch 3.13-1.fc34 fedora 126 k perl-libs  x86_64 4:5.32.1-469.fc34 fedora 2.1 M perl-libwww-perl  noarch 6.52-1.fc34 fedora 203 k perl-locale  noarch 1.09-469.fc34 fedora 25 k perl-meta-notation  noarch 5.32.1-469.fc34 fedora 21 k perl-mro  x86_64 1.23-469.fc34 fedora 40 k perl-namespace-autoclean  noarch 0.29-5.fc33 fedora 26 k perl-namespace-clean  noarch 0.27-15.fc33 fedora 29 k perl-open  noarch 1.12-469.fc34 fedora 28 k perl-overload  noarch 1.31-469.fc34 fedora 57 k perl-overloading  noarch 0.02-469.fc34 fedora 24 k perl-parent  noarch 1:0.238-457.fc33 fedora 14 k perl-podlators  noarch 1:4.14-457.fc33 fedora 113 k perl-sigtrap  noarch 1.09-469.fc34 fedora 27 k perl-subs  noarch 1.03-469.fc34 fedora 23 k perl-vars  noarch 1.05-469.fc34 fedora 24 k perl-version  x86_64 7:0.99.28-1.fc34 fedora 63 k perltidy  noarch 20210111-1.fc34 fedora 542 k pixman  x86_64 0.40.0-2.fc33 fedora 275 k poppler  x86_64 21.01.0-1.fc34 copr_base 1.1 M poppler-data  noarch 0.4.9-6.fc33 fedora 1.9 M poppler-glib  x86_64 21.01.0-1.fc34 copr_base 162 k python-pip-wheel  noarch 21.0-1.fc34 copr_base 1.3 M python-setuptools-wheel  noarch 52.0.0-1.fc34 fedora 471 k python-unversioned-command  noarch 3.9.1-4.fc34 fedora 12 k python3-libs  x86_64 3.9.1-4.fc34 fedora 7.4 M python3-pygments  noarch 2.7.4-1.fc34 copr_base 1.8 M rest  x86_64 0.8.1-8.fc34 copr_base 69 k shared-mime-info  x86_64 2.1-1.fc34 fedora 375 k sombok  x86_64 2.4.0-13.fc33 fedora 47 k systemd  x86_64 247.2-1.fc34 fedora 4.2 M systemd-pam  x86_64 247.2-1.fc34 fedora 313 k systemd-rpm-macros  noarch 247.2-1.fc34 fedora 27 k tcl  x86_64 1:8.6.10-5.fc34 fedora 1.1 M teckit  x86_64 2.5.9-5.fc33 fedora 449 k tex-preview  noarch 12.1-9.fc33 fedora 60 k texlive-12many  noarch 9:svn15878.0.3-36.fc34 fedora 18 k texlive-2up  noarch 9:svn41578-36.fc34 fedora 17 k texlive-ESIEEcv  noarch 9:svn15878.0-36.fc34 fedora 17 k texlive-GS1  noarch 9:svn44822-36.fc34 fedora 22 k texlive-HA-prosper  noarch 9:svn15878.4.21-36.fc34 fedora 48 k texlive-IEEEconf  noarch 9:svn15878.1.4-36.fc34 fedora 18 k texlive-IEEEtran  noarch 9:svn51065-36.fc34 fedora 109 k texlive-SIstyle  noarch 9:svn54080-36.fc34 fedora 19 k texlive-SIunits  noarch 9:svn15878.1.36-36.fc34 fedora 25 k texlive-Tabbing  noarch 9:svn17022.0-36.fc34 fedora 17 k texlive-a0poster  noarch 9:svn54071-36.fc34 fedora 135 k texlive-a4wide  noarch 9:svn20943.0-36.fc34 fedora 17 k texlive-a5comb  noarch 9:svn17020.4-36.fc34 fedora 14 k texlive-aaai-named  noarch 9:svn52470-36.fc34 fedora 17 k texlive-aastex  noarch 9:svn51836-36.fc34 fedora 65 k texlive-abnt  noarch 9:svn54927-36.fc34 fedora 139 k texlive-abntex2  noarch 9:svn49248-36.fc34 fedora 49 k texlive-abraces  noarch 9:svn27880.2-36.fc34 fedora 18 k texlive-abstract  noarch 9:svn15878.1.2a-36.fc34 fedora 18 k texlive-academicons  noarch 9:svn54512-36.fc34 fedora 50 k texlive-accanthis  noarch 9:svn32089.0-36.fc34 fedora 417 k texlive-accents  noarch 9:svn51497-36.fc34 fedora 246 k texlive-accessibility  noarch 9:svn52650-36.fc34 fedora 540 k texlive-accsupp  noarch 9:svn53052-36.fc34 fedora 340 k texlive-achemso  noarch 9:svn50025-36.fc34 fedora 46 k texlive-acmart  noarch 9:svn53899-36.fc34 fedora 56 k texlive-acmconf  noarch 9:svn15878.1.3-36.fc34 fedora 20 k texlive-acro  noarch 9:svn54512-36.fc34 fedora 44 k texlive-acronym  noarch 9:svn54758-36.fc34 fedora 24 k texlive-acroterm  noarch 9:svn20498.0.1-36.fc34 fedora 20 k texlive-active-conf  noarch 9:svn15878.0.3a-36.fc34 fedora 21 k texlive-actuarialangle  noarch 9:svn51376-36.fc34 fedora 15 k texlive-actuarialsymbol  noarch 9:svn54080-36.fc34 fedora 297 k texlive-addfont  noarch 9:svn41972-36.fc34 fedora 118 k texlive-addlines  noarch 9:svn49326-36.fc34 fedora 17 k texlive-adfathesis  noarch 9:svn26048.2.42-36.fc34 fedora 23 k texlive-adforn  noarch 9:svn54512-36.fc34 fedora 54 k texlive-adfsymbols  noarch 9:svn54512-36.fc34 fedora 46 k texlive-adigraph  noarch 9:svn49862-36.fc34 fedora 491 k texlive-adjmulticol  noarch 9:svn54157-36.fc34 fedora 21 k texlive-adjustbox  noarch 9:svn49596-36.fc34 fedora 33 k texlive-adobemapping  noarch 9:svn51787-36.fc34 fedora 3.0 M texlive-adrconv  noarch 9:svn46817-36.fc34 fedora 19 k texlive-advdate  noarch 9:svn20538.0-36.fc34 fedora 21 k texlive-ae  noarch 9:svn15878.1.4-36.fc34 fedora 99 k texlive-aeguill  noarch 9:svn15878.0-36.fc34 fedora 18 k texlive-afparticle  noarch 9:svn35900.1.3-36.fc34 fedora 23 k texlive-afthesis  noarch 9:svn15878.2.7-36.fc34 fedora 27 k texlive-aguplus  noarch 9:svn17156.1.6b-36.fc34 fedora 45 k texlive-aiaa  noarch 9:svn15878.3.6-36.fc34 fedora 25 k texlive-aichej  noarch 9:svn15878.0-36.fc34 fedora 22 k texlive-ajl  noarch 9:svn34016.0-36.fc34 fedora 22 k texlive-akktex  noarch 9:svn26055.0.3.2-36.fc34 fedora 34 k texlive-akletter  noarch 9:svn15878.1.5i-36.fc34 fedora 26 k texlive-alegreya  noarch 9:svn54512-36.fc34 fedora 24 M texlive-alertmessage  noarch 9:svn38055.1.1-36.fc34 fedora 26 k texlive-alg  noarch 9:svn15878.0-36.fc34 fedora 18 k texlive-algobox  noarch 9:svn52204-36.fc34 fedora 140 k texlive-algolrevived  noarch 9:svn56788-36.fc34 fedora 1.0 M texlive-algorithm2e  noarch 9:svn44846-36.fc34 fedora 49 k texlive-algorithmicx  noarch 9:svn15878.0-36.fc34 fedora 24 k texlive-algorithms  noarch 9:svn42428-36.fc34 fedora 25 k texlive-algxpar  noarch 9:svn52758-36.fc34 fedora 187 k texlive-aligned-overset  noarch 9:svn47290-36.fc34 fedora 389 k texlive-allrunes  noarch 9:svn42221-36.fc34 fedora 7.7 M texlive-almendra  noarch 9:svn56035-36.fc34 fedora 377 k texlive-almfixed  noarch 9:svn35065.0.92-36.fc34 fedora 295 k texlive-alnumsec  noarch 9:svn15878.v0.03-36.fc34 fedora 18 k texlive-alphalph  noarch 9:svn53087-36.fc34 fedora 364 k texlive-altfont  noarch 9:svn15878.1.1-36.fc34 fedora 21 k texlive-ametsoc  noarch 9:svn36030.4.3.2-36.fc34 fedora 32 k texlive-amsaddr  noarch 9:svn29630.1.1-36.fc34 fedora 18 k texlive-amscdx  noarch 9:svn51532-36.fc34 fedora 227 k texlive-amscls  noarch 9:svn46099-36.fc34 fedora 1.1 M texlive-amsfonts  noarch 9:svn29208.3.04-36.fc34 fedora 3.6 M texlive-amsmath  noarch 9:svn53640-36.fc34 fedora 47 k texlive-amsrefs  noarch 9:svn30646.2.14-36.fc34 fedora 48 k texlive-amstex  noarch 9:20200327-25.fc34 fedora 428 k texlive-animate  noarch 9:svn54874-36.fc34 fedora 39 k texlive-annotate  noarch 9:svn52824-36.fc34 fedora 17 k texlive-anonchap  noarch 9:svn17049.1.1a-36.fc34 fedora 17 k texlive-anonymouspro  noarch 9:svn51631-36.fc34 fedora 454 k texlive-answers  noarch 9:svn35032.2.16-36.fc34 fedora 18 k texlive-antiqua  noarch 9:svn24266.001.003-36.fc34 fedora 89 k texlive-antt  noarch 9:svn18651.2.08-36.fc34 fedora 4.8 M texlive-anufinalexam-doc  noarch 9:svn26053.0-36.fc34 fedora 19 k texlive-anyfontsize  noarch 9:svn17050.0-36.fc34 fedora 18 k texlive-anysize  noarch 9:svn15878.0-36.fc34 fedora 14 k texlive-aobs-tikz  noarch 9:svn32662.1.0-36.fc34 fedora 20 k texlive-aomart  noarch 9:svn46091-36.fc34 fedora 32 k texlive-apa  noarch 9:svn54080-36.fc34 fedora 29 k texlive-apa6  noarch 9:svn53406-36.fc34 fedora 34 k texlive-apa6e  noarch 9:svn23350.0.3-36.fc34 fedora 16 k texlive-apa7  noarch 9:svn54775-36.fc34 fedora 732 k texlive-apacite  noarch 9:svn54080-36.fc34 fedora 60 k texlive-apalike2  noarch 9:svn54080-36.fc34 fedora 19 k texlive-appendix  noarch 9:svn53718-36.fc34 fedora 19 k texlive-appendixnumberbeamer  noarch 9:svn46317-36.fc34 fedora 25 k texlive-apptools  noarch 9:svn28400.1.0-36.fc34 fedora 20 k texlive-apxproof  noarch 9:svn52324-36.fc34 fedora 567 k texlive-arabicfront  noarch 9:svn51474-36.fc34 fedora 15 k texlive-archaeologie  noarch 9:svn53813-36.fc34 fedora 95 k texlive-archaic  noarch 9:svn38005.0-36.fc34 fedora 398 k texlive-arcs  noarch 9:svn15878.1-36.fc34 fedora 17 k texlive-arev  noarch 9:svn15878.0-36.fc34 fedora 978 k texlive-arimo  noarch 9:svn42880-36.fc34 fedora 2.9 M texlive-arphic  noarch 9:svn15878.0-36.fc34 fedora 26 M texlive-arraycols  noarch 9:svn51491-36.fc34 fedora 465 k texlive-arrayjobx  noarch 9:svn18125.1.04-36.fc34 fedora 19 k texlive-arraysort  noarch 9:svn31576.1.0-36.fc34 fedora 19 k texlive-arsclassica  noarch 9:svn45656-36.fc34 fedora 18 k texlive-articleingud  noarch 9:svn38741-36.fc34 fedora 22 k texlive-arydshln  noarch 9:svn50084-36.fc34 fedora 25 k texlive-asaetr  noarch 9:svn15878.1.0a-36.fc34 fedora 27 k texlive-asana-math  noarch 9:svn50999-36.fc34 fedora 732 k texlive-asapsym  noarch 9:svn40201-36.fc34 fedora 71 k texlive-ascelike  noarch 9:svn29129.2.3-36.fc34 fedora 29 k texlive-ascii-font  noarch 9:svn29989.2.0-36.fc34 fedora 65 k texlive-asciilist  noarch 9:svn49060-36.fc34 fedora 20 k texlive-ascmac  noarch 9:svn53411-36.fc34 fedora 188 k texlive-askinclude  noarch 9:svn54725-36.fc34 fedora 597 k texlive-askmaps  noarch 9:svn32320.0.1-36.fc34 fedora 19 k texlive-asmeconf  noarch 9:svn54758-36.fc34 fedora 421 k texlive-asmejour  noarch 9:svn54758-36.fc34 fedora 493 k texlive-aspectratio  noarch 9:svn25243.2.0-36.fc34 fedora 89 k texlive-assignment  noarch 9:svn20431.0-36.fc34 fedora 18 k texlive-assoccnt  noarch 9:svn38497-36.fc34 fedora 23 k texlive-astro  noarch 9:svn15878.2.20-36.fc34 fedora 30 k texlive-asyfig  noarch 9:svn17512.0.1c-36.fc34 fedora 20 k texlive-asypictureb  noarch 9:svn33490.0.3-36.fc34 fedora 22 k texlive-atbegshi  noarch 9:svn53051-36.fc34 fedora 411 k texlive-atenddvi  noarch 9:svn53107-36.fc34 fedora 286 k texlive-attachfile  noarch 9:svn42099-36.fc34 fedora 24 k texlive-attachfile2  noarch 9:20200327-25.fc34 fedora 448 k texlive-atveryend  noarch 9:svn53108-36.fc34 fedora 388 k texlive-aucklandthesis  noarch 9:svn51323-36.fc34 fedora 24 k texlive-augie  noarch 9:svn18948.0-36.fc34 fedora 81 k texlive-auncial-new  noarch 9:svn15878.2.0-36.fc34 fedora 216 k texlive-aurical  noarch 9:svn15878.1.5-36.fc34 fedora 2.4 M texlive-aurl  noarch 9:svn41853-36.fc34 fedora 28 k texlive-authoraftertitle  noarch 9:svn24863.0.9-36.fc34 fedora 13 k texlive-authorarchive  noarch 9:svn54512-36.fc34 fedora 1.2 M texlive-authordate  noarch 9:svn52564-36.fc34 fedora 25 k texlive-authorindex  noarch 9:20200327-25.fc34 fedora 87 k texlive-auto-pst-pdf  noarch 9:svn52849-36.fc34 fedora 19 k texlive-autoarea  noarch 9:svn15878.0.3a-36.fc34 fedora 20 k texlive-autobreak  noarch 9:svn43337-36.fc34 fedora 551 k texlive-autofancyhdr  noarch 9:svn54049-36.fc34 fedora 20 k texlive-autonum  noarch 9:svn36084.0.3.11-36.fc34 fedora 22 k texlive-autopdf  noarch 9:svn32377.1.1-36.fc34 fedora 22 k texlive-auxhook  noarch 9:svn53173-36.fc34 fedora 297 k texlive-avantgar  noarch 9:svn31835.0-36.fc34 fedora 299 k texlive-avremu  noarch 9:svn35373.0.1-36.fc34 fedora 34 k texlive-axessibility  noarch 9:svn54080-36.fc34 fedora 241 k texlive-axodraw2  x86_64 9:20200327-25.fc34 fedora 530 k texlive-b1encoding  noarch 9:svn21271.1.0-36.fc34 fedora 24 k texlive-babel  noarch 9:svn54487-36.fc34 fedora 321 k texlive-babel-english  noarch 9:svn44495-36.fc34 fedora 22 k texlive-babelbib  noarch 9:svn50354-36.fc34 fedora 47 k texlive-background  noarch 9:svn42428-36.fc34 fedora 18 k texlive-backnaur  noarch 9:svn54080-36.fc34 fedora 20 k texlive-bangorcsthesis  noarch 9:svn48834-36.fc34 fedora 76 k texlive-bangorexam  noarch 9:svn46626-36.fc34 fedora 256 k texlive-bankstatement  noarch 9:svn38857-36.fc34 fedora 21 k texlive-barcodes  noarch 9:svn15878.0-36.fc34 fedora 24 k texlive-bardiag  noarch 9:svn22013.0.4a-36.fc34 fedora 29 k texlive-base  x86_64 9:20200327-25.fc34 fedora 2.2 M texlive-bashful  noarch 9:svn25597.0.93-36.fc34 fedora 24 k texlive-basicarith  noarch 9:svn35460.1.1-36.fc34 fedora 21 k texlive-baskervald  noarch 9:svn19490.1.016-36.fc34 fedora 461 k texlive-baskervaldx  noarch 9:svn54512-36.fc34 fedora 811 k texlive-baskervillef  noarch 9:svn55475-36.fc34 fedora 1.4 M texlive-bath-bst  noarch 9:svn53422-36.fc34 fedora 835 k texlive-bbding  noarch 9:svn17186.1.01-36.fc34 fedora 29 k texlive-bbm  noarch 9:svn15878.0-36.fc34 fedora 59 k texlive-bbm-macros  noarch 9:svn17224.0-36.fc34 fedora 18 k texlive-bbold  noarch 9:svn17187.1.01-36.fc34 fedora 34 k texlive-bbold-type1  noarch 9:svn33143.0-36.fc34 fedora 82 k texlive-bchart  noarch 9:svn43928-36.fc34 fedora 14 k texlive-beamer-FUBerlin-doc  noarch 9:svn38159.0.02b-36.fc34 fedora 378 k texlive-beamer-rl  noarch 9:svn52284-36.fc34 fedora 198 k texlive-beamer-verona  noarch 9:svn39180-36.fc34 fedora 22 k texlive-beamer2thesis  noarch 9:svn27539.2.2-36.fc34 fedora 304 k texlive-beameraudience  noarch 9:svn23427.0.1-36.fc34 fedora 17 k texlive-beamerauxtheme  noarch 9:svn51053-36.fc34 fedora 201 k texlive-beamercolorthemeowl  noarch 9:svn40105-36.fc34 fedora 21 k texlive-beamerdarkthemes  noarch 9:svn35101.0.4.1-36.fc34 fedora 21 k texlive-beamerposter  noarch 9:svn54512-36.fc34 fedora 24 k texlive-beamersubframe  noarch 9:svn23510.0.2-36.fc34 fedora 20 k texlive-beamerswitch  noarch 9:svn53467-36.fc34 fedora 257 k texlive-beamertheme-cuerna  noarch 9:svn42161-36.fc34 fedora 346 k texlive-beamertheme-detlevcm  noarch 9:svn39048-36.fc34 fedora 21 k texlive-beamertheme-epyt  noarch 9:svn41404-36.fc34 fedora 22 k texlive-beamertheme-focus  noarch 9:svn54772-36.fc34 fedora 550 k texlive-beamertheme-light  noarch 9:svn49867-36.fc34 fedora 122 k texlive-beamertheme-metropolis  noarch 9:svn43031-36.fc34 fedora 21 k texlive-beamertheme-npbt  noarch 9:svn54512-36.fc34 fedora 1.8 M texlive-beamertheme-phnompenh  noarch 9:svn39100-36.fc34 fedora 13 k texlive-beamertheme-saintpetersburg  noarch 9:svn45877-36.fc34 fedora 269 k texlive-beamertheme-upenn-bc  noarch 9:svn29937.1.0-36.fc34 fedora 18 k texlive-beamerthemejltree  noarch 9:svn21977.1.1-36.fc34 fedora 19 k texlive-beamerthemenirma  noarch 9:svn20765.0.1-36.fc34 fedora 19 k texlive-bearwear  noarch 9:svn54826-36.fc34 fedora 792 k texlive-beebe  noarch 9:svn54760-36.fc34 fedora 841 k texlive-begriff  noarch 9:svn15878.1.6-36.fc34 fedora 19 k texlive-beilstein  noarch 9:svn53925-36.fc34 fedora 682 k texlive-belleek  noarch 9:svn18651.0-36.fc34 fedora 100 k texlive-bera  noarch 9:svn20031.0-36.fc34 fedora 342 k texlive-berenisadf  noarch 9:svn32215.1.004-36.fc34 fedora 1.5 M texlive-besjournals  noarch 9:svn45662-36.fc34 fedora 21 k texlive-bestpapers  noarch 9:svn38708-36.fc34 fedora 21 k texlive-beton  noarch 9:svn15878.0-36.fc34 fedora 18 k texlive-beuron  noarch 9:svn46374-36.fc34 fedora 289 k texlive-bewerbung  noarch 9:svn37880.1.1-36.fc34 fedora 30 k texlive-bez123  noarch 9:svn15878.1.1b-36.fc34 fedora 21 k texlive-bgteubner  noarch 9:svn54080-36.fc34 fedora 47 k texlive-bguq  noarch 9:svn27401.0.4-36.fc34 fedora 38 k texlive-bhcexam  noarch 9:svn39041-36.fc34 fedora 20 k texlive-bib2gls  noarch 9:20200327-25.fc34 fedora 6.0 M texlive-bibarts  noarch 9:svn54080-36.fc34 fedora 45 k texlive-bibexport  noarch 9:20200327-25.fc34 fedora 269 k texlive-bibhtml  noarch 9:svn31607.2.0.2-36.fc34 fedora 30 k texlive-biblatex  noarch 9:svn53063-36.fc34 fedora 248 k texlive-biblatex-abnt  noarch 9:svn49179-36.fc34 fedora 38 k texlive-biblatex-ajc2020unofficial  noarch 9:svn54401-36.fc34 fedora 21 k texlive-biblatex-anonymous  noarch 9:svn48548-36.fc34 fedora 20 k texlive-biblatex-apa  noarch 9:svn54896-36.fc34 fedora 43 k texlive-biblatex-apa6  noarch 9:svn54995-36.fc34 fedora 265 k texlive-biblatex-archaeology  noarch 9:svn53281-36.fc34 fedora 4.7 M texlive-biblatex-arthistory-bonn  noarch 9:svn46637-36.fc34 fedora 207 k texlive-biblatex-bath  noarch 9:svn53424-36.fc34 fedora 504 k texlive-biblatex-bookinarticle  noarch 9:svn40323-36.fc34 fedora 21 k texlive-biblatex-bookinother  noarch 9:svn54015-36.fc34 fedora 22 k texlive-biblatex-bwl  noarch 9:svn26556.0.02-36.fc34 fedora 20 k texlive-biblatex-caspervector  noarch 9:svn52802-36.fc34 fedora 24 k texlive-biblatex-chem  noarch 9:svn53012-36.fc34 fedora 25 k texlive-biblatex-chicago  noarch 9:svn54809-36.fc34 fedora 113 k texlive-biblatex-claves  noarch 9:svn43723-36.fc34 fedora 21 k texlive-biblatex-dw  noarch 9:svn42649-36.fc34 fedora 34 k texlive-biblatex-enc  noarch 9:svn44627-36.fc34 fedora 29 k texlive-biblatex-ext  noarch 9:svn54327-36.fc34 fedora 621 k texlive-biblatex-fiwi  noarch 9:svn45876-36.fc34 fedora 36 k texlive-biblatex-gb7714-2015  noarch 9:svn54512-36.fc34 fedora 2.0 M texlive-biblatex-german-legal  noarch 9:svn54297-36.fc34 fedora 186 k texlive-biblatex-gost  noarch 9:svn53916-36.fc34 fedora 46 k texlive-biblatex-historian  noarch 9:svn19787.0.4-36.fc34 fedora 40 k texlive-biblatex-ieee  noarch 9:svn53931-36.fc34 fedora 24 k texlive-biblatex-ijsra  noarch 9:svn41634-36.fc34 fedora 20 k texlive-biblatex-iso690  noarch 9:svn54561-36.fc34 fedora 38 k texlive-biblatex-jura2  noarch 9:svn53243-36.fc34 fedora 385 k texlive-biblatex-juradiss  noarch 9:svn54515-36.fc34 fedora 22 k texlive-biblatex-lni  noarch 9:svn49935-36.fc34 fedora 22 k texlive-biblatex-luh-ipw  noarch 9:svn32180.0.3-36.fc34 fedora 26 k texlive-biblatex-manuscripts-philology  noarch 9:svn53344-36.fc34 fedora 25 k texlive-biblatex-mla  noarch 9:svn42445-36.fc34 fedora 32 k texlive-biblatex-morenames  noarch 9:svn43049-36.fc34 fedora 21 k texlive-biblatex-multiple-dm  noarch 9:svn37081.1.0.1-36.fc34 fedora 20 k texlive-biblatex-musuos  noarch 9:svn24097.1.0-36.fc34 fedora 20 k texlive-biblatex-nature  noarch 9:svn48940-36.fc34 fedora 19 k texlive-biblatex-nejm  noarch 9:svn49839-36.fc34 fedora 21 k texlive-biblatex-nottsclassic  noarch 9:svn41596-36.fc34 fedora 22 k texlive-biblatex-opcit-booktitle  noarch 9:svn48983-36.fc34 fedora 21 k texlive-biblatex-oxref  noarch 9:svn53571-36.fc34 fedora 1.9 M texlive-biblatex-philosophy  noarch 9:svn47283-36.fc34 fedora 33 k texlive-biblatex-phys  noarch 9:svn53013-36.fc34 fedora 22 k texlive-biblatex-publist  noarch 9:svn50989-36.fc34 fedora 26 k texlive-biblatex-realauthor  noarch 9:svn45865-36.fc34 fedora 21 k texlive-biblatex-sbl  noarch 9:svn49426-36.fc34 fedora 675 k texlive-biblatex-science  noarch 9:svn48945-36.fc34 fedora 19 k texlive-biblatex-shortfields  noarch 9:svn45858-36.fc34 fedora 102 k texlive-biblatex-socialscienceshuberlin  noarch 9:svn47839-36.fc34 fedora 132 k texlive-biblatex-software  noarch 9:svn54950-36.fc34 fedora 309 k texlive-biblatex-source-division  noarch 9:svn45379-36.fc34 fedora 21 k texlive-biblatex-subseries  noarch 9:svn43330-36.fc34 fedora 20 k texlive-biblatex-swiss-legal  noarch 9:svn32750.1.1.2a-36.fc34 fedora 39 k texlive-biblatex-trad  noarch 9:svn46668-36.fc34 fedora 21 k texlive-biblatex-true-citepages-omit  noarch 9:svn44653-36.fc34 fedora 20 k texlive-biblatex2bibitem  noarch 9:svn54030-36.fc34 fedora 141 k texlive-bibletext  noarch 9:svn45196-36.fc34 fedora 14 k texlive-biblist  noarch 9:svn17116.0-36.fc34 fedora 25 k texlive-bibtex  x86_64 9:20200327-25.fc34 fedora 457 k texlive-bibtexperllibs  noarch 9:svn54512-36.fc34 fedora 12 k texlive-bibtopic  noarch 9:svn15878.1.1a-36.fc34 fedora 26 k texlive-bibtopicprefix  noarch 9:svn15878.1.10-36.fc34 fedora 18 k texlive-bibunits  noarch 9:svn15878.2.2-36.fc34 fedora 19 k texlive-bidi  noarch 9:svn53615-36.fc34 fedora 137 k texlive-bigfoot  noarch 9:svn38248.2.1-36.fc34 fedora 31 k texlive-bigintcalc  noarch 9:svn53172-36.fc34 fedora 469 k texlive-bigints  noarch 9:svn29803.0-36.fc34 fedora 17 k texlive-binarytree  noarch 9:svn41777-36.fc34 fedora 460 k texlive-binomexp  noarch 9:svn15878.1.0-36.fc34 fedora 18 k texlive-biochemistry-colors  noarch 9:svn54512-36.fc34 fedora 97 k texlive-biocon  noarch 9:svn15878.0-36.fc34 fedora 21 k texlive-biolett-bst  noarch 9:svn42217-36.fc34 fedora 22 k texlive-bitpattern  noarch 9:svn39073-36.fc34 fedora 21 k texlive-bitset  noarch 9:svn53837-36.fc34 fedora 623 k texlive-bitter  noarch 9:svn56026-36.fc34 fedora 241 k texlive-bizcard  noarch 9:svn15878.1.1-36.fc34 fedora 19 k texlive-blacklettert1  noarch 9:svn15878.0-36.fc34 fedora 28 k texlive-blindtext  noarch 9:svn25039.2.0-36.fc34 fedora 26 k texlive-blkarray  noarch 9:svn36406.0.07-36.fc34 fedora 35 k texlive-blochsphere  noarch 9:svn38388-36.fc34 fedora 22 k texlive-block  noarch 9:svn17209.0-36.fc34 fedora 14 k texlive-bloques  noarch 9:svn22490.1.0-36.fc34 fedora 20 k texlive-blowup  noarch 9:svn46213-36.fc34 fedora 331 k texlive-blox  noarch 9:svn35014.2.5-36.fc34 fedora 18 k texlive-bnumexpr  noarch 9:svn49643-36.fc34 fedora 22 k texlive-bodegraph  noarch 9:svn20047.1.4-36.fc34 fedora 34 k texlive-bohr  noarch 9:svn54512-36.fc34 fedora 22 k texlive-boisik  noarch 9:svn15878.0.5-36.fc34 fedora 256 k texlive-boites  noarch 9:svn32235.1.1-36.fc34 fedora 22 k texlive-bold-extra  noarch 9:svn17076.0.1-36.fc34 fedora 17 k texlive-boldtensors  noarch 9:svn15878.0-36.fc34 fedora 20 k texlive-bondgraph  noarch 9:svn21670.1.0-36.fc34 fedora 20 k texlive-bondgraphs  noarch 9:svn36605.1.0.1-36.fc34 fedora 21 k texlive-bookcover  noarch 9:svn46410-36.fc34 fedora 22 k texlive-bookdb  noarch 9:svn37536.0.2-36.fc34 fedora 26 k texlive-bookest  noarch 9:svn15878.1.1-36.fc34 fedora 20 k texlive-bookhands  noarch 9:svn46480-36.fc34 fedora 194 k texlive-booklet  noarch 9:svn15878.0.7b-36.fc34 fedora 22 k texlive-bookman  noarch 9:svn31835.0-36.fc34 fedora 341 k texlive-bookmark  noarch 9:svn53026-36.fc34 fedora 479 k texlive-booktabs  noarch 9:svn53402-36.fc34 fedora 20 k texlive-boolexpr  noarch 9:svn17830.3.14-36.fc34 fedora 19 k texlive-boondox  noarch 9:svn54512-36.fc34 fedora 225 k texlive-bophook  noarch 9:svn17062.0.02-36.fc34 fedora 18 k texlive-bosisio  noarch 9:svn16989.0-36.fc34 fedora 24 k texlive-boxedminipage  noarch 9:svn54827-36.fc34 fedora 348 k texlive-boxhandler  noarch 9:svn28031.1.30-36.fc34 fedora 22 k texlive-bpchem  noarch 9:svn45120-36.fc34 fedora 19 k texlive-br-lex  noarch 9:svn44939-36.fc34 fedora 21 k texlive-bracketkey  noarch 9:svn17129.1.0-36.fc34 fedora 20 k texlive-braids  noarch 9:svn54080-36.fc34 fedora 26 k texlive-braille  noarch 9:svn20655.0-36.fc34 fedora 18 k texlive-braket  noarch 9:svn17127.0-36.fc34 fedora 15 k texlive-brandeis-dissertation  noarch 9:svn54758-36.fc34 fedora 19 k texlive-brandeis-problemset  noarch 9:svn50991-36.fc34 fedora 165 k texlive-brandeis-thesis  noarch 9:svn54758-36.fc34 fedora 166 k texlive-breakcites  noarch 9:svn21014-36.fc34 fedora 14 k texlive-breakurl  noarch 9:svn29901.1.40-36.fc34 fedora 19 k texlive-breqn  noarch 9:svn54801-36.fc34 fedora 44 k texlive-bropd  noarch 9:svn35383.1.2-36.fc34 fedora 20 k texlive-brushscr  noarch 9:svn28363.0-36.fc34 fedora 68 k texlive-bullcntr  noarch 9:svn15878.0.04-36.fc34 fedora 21 k texlive-bussproofs  noarch 9:svn54080-36.fc34 fedora 27 k texlive-bussproofs-extra  noarch 9:svn51299-36.fc34 fedora 240 k texlive-bxcalc  noarch 9:svn52947-36.fc34 fedora 312 k texlive-bxdpx-beamer  noarch 9:svn41813-36.fc34 fedora 15 k texlive-bxdvidriver  noarch 9:svn43219-36.fc34 fedora 16 k texlive-bxeepic  noarch 9:svn30559.0.2-36.fc34 fedora 20 k texlive-bxenclose  noarch 9:svn40213-36.fc34 fedora 15 k texlive-bxnewfont  noarch 9:svn44173-36.fc34 fedora 18 k texlive-bxpapersize  noarch 9:svn52304-36.fc34 fedora 18 k texlive-bxpdfver  noarch 9:svn54802-36.fc34 fedora 20 k texlive-bxtexlogo  noarch 9:svn47230-36.fc34 fedora 434 k texlive-bytefield  noarch 9:svn45339-36.fc34 fedora 21 k texlive-cabin  noarch 9:svn55907-36.fc34 fedora 3.0 M texlive-cachepic  noarch 9:20200327-25.fc34 fedora 175 k texlive-caladea  noarch 9:svn34991.0-36.fc34 fedora 379 k texlive-calcage  noarch 9:svn27725.0.90-36.fc34 fedora 20 k texlive-calctab  noarch 9:svn15878.v0.6.1-36.fc34 fedora 21 k texlive-calculation  noarch 9:svn35973.1.0-36.fc34 fedora 22 k texlive-calculator  noarch 9:svn33041.2.0-36.fc34 fedora 28 k texlive-calligra  noarch 9:svn15878.0-36.fc34 fedora 59 k texlive-calligra-type1  noarch 9:svn24302.001.000-36.fc34 fedora 72 k texlive-callouts  noarch 9:svn44899-36.fc34 fedora 216 k texlive-calrsfs  noarch 9:svn17125.0-36.fc34 fedora 14 k texlive-cals  noarch 9:svn43003-36.fc34 fedora 24 k texlive-calxxxx-yyyy  noarch 9:svn53290-36.fc34 fedora 25 k texlive-cancel  noarch 9:svn32508.2.2-36.fc34 fedora 16 k texlive-canoniclayout  noarch 9:svn54758-36.fc34 fedora 20 k texlive-cantarell  noarch 9:svn54512-36.fc34 fedora 1.3 M texlive-capt-of  noarch 9:svn29803.0-36.fc34 fedora 17 k texlive-captcont  noarch 9:svn15878.2.0-36.fc34 fedora 18 k texlive-captdef  noarch 9:svn17353.0-36.fc34 fedora 17 k texlive-caption  noarch 9:svn53517-36.fc34 fedora 48 k texlive-carbohydrates  noarch 9:svn39000-36.fc34 fedora 24 k texlive-carlisle  noarch 9:svn47876-36.fc34 fedora 28 k texlive-carlito  noarch 9:svn35002.0-36.fc34 fedora 2.8 M texlive-carolmin-ps  noarch 9:svn15878.0-36.fc34 fedora 121 k texlive-cascade  noarch 9:svn48200-36.fc34 fedora 90 k texlive-cascadilla  noarch 9:svn25144.1.8.2-36.fc34 fedora 26 k texlive-cases  noarch 9:svn54682-36.fc34 fedora 17 k texlive-casyl  noarch 9:svn15878.2.0-36.fc34 fedora 20 k texlive-catchfile  noarch 9:svn53084-36.fc34 fedora 310 k texlive-catchfilebetweentags  noarch 9:svn21476.1.1-36.fc34 fedora 21 k texlive-catcodes  noarch 9:svn38859-36.fc34 fedora 25 k texlive-catechis  noarch 9:svn49061-36.fc34 fedora 18 k texlive-catoptions  noarch 9:svn35069.0.2.7h-36.fc34 fedora 57 k texlive-cbcoptic  noarch 9:svn16666.0.2-36.fc34 fedora 124 k texlive-cbfonts  noarch 9:svn54080-36.fc34 fedora 63 M texlive-cbfonts-fd  noarch 9:svn54080-36.fc34 fedora 23 k texlive-ccaption  noarch 9:svn23443.3.2c-36.fc34 fedora 24 k texlive-ccfonts  noarch 9:svn54686-36.fc34 fedora 18 k texlive-ccicons  noarch 9:svn54512-36.fc34 fedora 37 k texlive-cclicenses  noarch 9:svn15878.0-36.fc34 fedora 17 k texlive-ccool  noarch 9:svn54753-36.fc34 fedora 721 k texlive-cd  noarch 9:svn34452.1.4-36.fc34 fedora 21 k texlive-cd-cover  noarch 9:svn17121.1.0-36.fc34 fedora 21 k texlive-cdpbundl  noarch 9:svn46613-36.fc34 fedora 36 k texlive-cell  noarch 9:svn42428-36.fc34 fedora 24 k texlive-cellprops  noarch 9:svn52205-36.fc34 fedora 557 k texlive-cellspace  noarch 9:svn50374-36.fc34 fedora 18 k texlive-celtic  noarch 9:svn39797-36.fc34 fedora 22 k texlive-censor  noarch 9:svn49168-36.fc34 fedora 21 k texlive-centeredline  noarch 9:svn50971-36.fc34 fedora 21 k texlive-cesenaexam  noarch 9:svn44960-36.fc34 fedora 522 k texlive-cfr-initials  noarch 9:svn36728.1.01-36.fc34 fedora 25 k texlive-cfr-lm  noarch 9:svn36195.1.5-36.fc34 fedora 313 k texlive-changebar  noarch 9:svn46919-36.fc34 fedora 23 k texlive-changelayout  noarch 9:svn16094.1.0-36.fc34 fedora 20 k texlive-changelog  noarch 9:svn54864-36.fc34 fedora 189 k texlive-changepage  noarch 9:svn15878.1.0c-36.fc34 fedora 22 k texlive-changes  noarch 9:svn52838-36.fc34 fedora 30 k texlive-chappg  noarch 9:svn15878.2.1b-36.fc34 fedora 18 k texlive-chapterfolder  noarch 9:svn15878.2.0.1-36.fc34 fedora 18 k texlive-charter  noarch 9:svn15878.0-36.fc34 fedora 200 k texlive-chbibref  noarch 9:svn17120.1.0-36.fc34 fedora 17 k texlive-cheatsheet  noarch 9:svn45069-36.fc34 fedora 409 k texlive-checkend  noarch 9:svn51475-36.fc34 fedora 15 k texlive-chem-journal  noarch 9:svn15878.0-36.fc34 fedora 23 k texlive-chemarrow  noarch 9:svn17146.0.9-36.fc34 fedora 20 k texlive-chembst  noarch 9:svn15878.0.2.5-36.fc34 fedora 23 k texlive-chemcompounds  noarch 9:svn15878.0-36.fc34 fedora 18 k texlive-chemcono  noarch 9:svn17119.1.3-36.fc34 fedora 24 k texlive-chemexec  noarch 9:svn21632.1.0-36.fc34 fedora 26 k texlive-chemfig  noarch 9:svn54914-36.fc34 fedora 45 k texlive-chemformula  noarch 9:svn54158-36.fc34 fedora 37 k texlive-chemgreek  noarch 9:svn53437-36.fc34 fedora 26 k texlive-chemmacros  noarch 9:svn54191-36.fc34 fedora 68 k texlive-chemnum  noarch 9:svn52256-36.fc34 fedora 30 k texlive-chemplants  noarch 9:svn52863-36.fc34 fedora 878 k texlive-chemschemex  noarch 9:svn46723-36.fc34 fedora 24 k texlive-chemsec  noarch 9:svn46972-36.fc34 fedora 267 k texlive-chemstyle  noarch 9:svn31096.2.0m-36.fc34 fedora 27 k texlive-cherokee  noarch 9:svn21046.0-36.fc34 fedora 18 k texlive-chessfss  noarch 9:svn19440.1.2a-36.fc34 fedora 32 k texlive-chet  noarch 9:svn45081-36.fc34 fedora 24 k texlive-chextras  noarch 9:svn27118.1.01-36.fc34 fedora 25 k texlive-chicago  noarch 9:svn15878.0-36.fc34 fedora 23 k texlive-chicago-annote  noarch 9:svn15878.0-36.fc34 fedora 24 k texlive-childdoc  noarch 9:svn49543-36.fc34 fedora 274 k texlive-chivo  noarch 9:svn54512-36.fc34 fedora 2.5 M texlive-chkfloat  noarch 9:svn27473.0.1-36.fc34 fedora 20 k texlive-chletter  noarch 9:svn20060.2.0-36.fc34 fedora 20 k texlive-chngcntr  noarch 9:svn47577-36.fc34 fedora 18 k texlive-chronology  noarch 9:svn37934.1.1.1-36.fc34 fedora 20 k texlive-chs-physics-report  noarch 9:svn54512-36.fc34 fedora 204 k texlive-chscite  noarch 9:svn28552.2.9999-36.fc34 fedora 24 k texlive-cinzel  noarch 9:svn54512-36.fc34 fedora 573 k texlive-circ  noarch 9:svn15878.1.1-36.fc34 fedora 44 k texlive-circledsteps  noarch 9:svn53382-36.fc34 fedora 419 k texlive-circuit-macros  noarch 9:svn54994-36.fc34 fedora 1.7 M texlive-circuitikz  noarch 9:svn54873-36.fc34 fedora 137 k texlive-cite  noarch 9:svn36428.5.5-36.fc34 fedora 33 k texlive-citeall  noarch 9:svn45975-36.fc34 fedora 21 k texlive-citeref  noarch 9:svn47407-36.fc34 fedora 80 k texlive-cje  noarch 9:svn46721-36.fc34 fedora 663 k texlive-cjk  noarch 9:svn36951.4.8.4-36.fc34 fedora 110 k texlive-cjkpunct  noarch 9:svn41119-36.fc34 fedora 20 k texlive-clara  noarch 9:svn54512-36.fc34 fedora 1.1 M texlive-classics  noarch 9:svn53671-36.fc34 fedora 20 k texlive-classicthesis  noarch 9:svn48041-36.fc34 fedora 28 k texlive-classpack  noarch 9:svn33101.0.77-36.fc34 fedora 20 k texlive-cleanthesis  noarch 9:svn51472-36.fc34 fedora 26 k texlive-clearsans  noarch 9:svn54512-36.fc34 fedora 1.4 M texlive-clefval  noarch 9:svn16549.0-36.fc34 fedora 17 k texlive-cleveref  noarch 9:svn47525-36.fc34 fedora 45 k texlive-clipboard  noarch 9:svn47747-36.fc34 fedora 20 k texlive-clock  noarch 9:svn15878.0-36.fc34 fedora 16 k texlive-cloze  noarch 9:svn41531-36.fc34 fedora 23 k texlive-clrdblpg  noarch 9:svn47511-36.fc34 fedora 296 k texlive-clrscode  noarch 9:svn51136-36.fc34 fedora 20 k texlive-clrscode3e  noarch 9:svn51137-36.fc34 fedora 21 k texlive-clrstrip  noarch 9:svn53537-36.fc34 fedora 209 k texlive-cm  noarch 9:svn49028-36.fc34 fedora 291 k texlive-cm-lgc  noarch 9:svn28250.0.5-36.fc34 fedora 4.8 M texlive-cm-mf-extra-bold  noarch 9:svn54512-36.fc34 fedora 25 k texlive-cm-super  noarch 9:svn15878.0-36.fc34 fedora 62 M texlive-cm-unicode  noarch 9:svn19445.0.7.0-36.fc34 fedora 14 M texlive-cmap  noarch 9:svn42428-36.fc34 fedora 24 k texlive-cmbright  noarch 9:svn21107.8.1-36.fc34 fedora 156 k texlive-cmdstring  noarch 9:svn15878.1.1-36.fc34 fedora 17 k texlive-cmdtrack  noarch 9:svn28910-36.fc34 fedora 19 k texlive-cmexb  noarch 9:svn54074-36.fc34 fedora 45 k texlive-cmextra  noarch 9:svn42428-36.fc34 fedora 43 k texlive-cmll  noarch 9:svn17964.0-36.fc34 fedora 352 k texlive-cmpica  noarch 9:svn15878.0-36.fc34 fedora 21 k texlive-cmpj  noarch 9:svn51661-36.fc34 fedora 69 k texlive-cmsd  noarch 9:svn18787.0-36.fc34 fedora 18 k texlive-cmsrb  noarch 9:svn54706-36.fc34 fedora 3.0 M texlive-cmtiup  noarch 9:svn39728-36.fc34 fedora 82 k texlive-cnltx  noarch 9:svn52601-36.fc34 fedora 57 k texlive-cns  noarch 9:svn45677-36.fc34 fedora 3.4 M texlive-cntformats  noarch 9:svn34668.0.7-36.fc34 fedora 21 k texlive-cntperchap  noarch 9:svn37572.0.3-36.fc34 fedora 23 k texlive-cochineal  noarch 9:svn56418-36.fc34 fedora 2.8 M texlive-codeanatomy  noarch 9:svn51627-36.fc34 fedora 271 k texlive-codedoc  noarch 9:svn17630.0.3-36.fc34 fedora 26 k texlive-codepage  noarch 9:svn51502-36.fc34 fedora 25 k texlive-codesection  noarch 9:svn34481.0.1-36.fc34 fedora 21 k texlive-coelacanth  noarch 9:svn54736-36.fc34 fedora 6.9 M texlive-collcell  noarch 9:svn21539.0.5-36.fc34 fedora 21 k texlive-collectbox  noarch 9:svn26557.0.4b-36.fc34 fedora 22 k texlive-collection-basic  noarch 9:svn54186-36.fc34 fedora 13 k texlive-collection-fontsrecommended  noarch 9:svn54074-36.fc34 fedora 13 k texlive-collection-latex  noarch 9:svn54074-36.fc34 fedora 13 k texlive-collection-latexrecommended  noarch 9:svn54074-36.fc34 fedora 14 k texlive-collection-pictures  noarch 9:svn54812-36.fc34 fedora 17 k texlive-collref  noarch 9:svn46358-36.fc34 fedora 20 k texlive-colophon  noarch 9:svn47913-36.fc34 fedora 382 k texlive-colordoc  noarch 9:svn18270.0-36.fc34 fedora 18 k texlive-colorinfo  noarch 9:svn15878.0.3c-36.fc34 fedora 18 k texlive-coloring  noarch 9:svn41042-36.fc34 fedora 20 k texlive-colorprofiles  noarch 9:svn49086-36.fc34 fedora 151 k texlive-colorspace  noarch 9:svn50585-36.fc34 fedora 25 k texlive-colortab  noarch 9:svn22155.1.0-36.fc34 fedora 24 k texlive-colortbl  noarch 9:svn53545-36.fc34 fedora 19 k texlive-colorwav  noarch 9:svn15878.1.0-36.fc34 fedora 23 k texlive-colorweb  noarch 9:svn31490.1.3-36.fc34 fedora 22 k texlive-colourchange  noarch 9:svn21741.1.22-36.fc34 fedora 26 k texlive-combelow  noarch 9:svn18462.0.99f-36.fc34 fedora 20 k texlive-combine  noarch 9:svn19361.0.7a-36.fc34 fedora 29 k texlive-combinedgraphics  noarch 9:svn27198.0.2.2-36.fc34 fedora 21 k texlive-comfortaa  noarch 9:svn54512-36.fc34 fedora 614 k texlive-comicneue  noarch 9:svn54891-36.fc34 fedora 928 k texlive-comma  noarch 9:svn18259.1.2-36.fc34 fedora 17 k texlive-commado  noarch 9:svn38875-36.fc34 fedora 22 k texlive-commath  noarch 9:svn15878.0.3-36.fc34 fedora 18 k texlive-commedit  noarch 9:svn50116-36.fc34 fedora 605 k texlive-comment  noarch 9:svn41927-36.fc34 fedora 22 k texlive-compactbib  noarch 9:svn15878.0-36.fc34 fedora 18 k texlive-competences  noarch 9:svn47573-36.fc34 fedora 22 k texlive-complexity  noarch 9:svn45322-36.fc34 fedora 22 k texlive-computational-complexity  noarch 9:svn44847-36.fc34 fedora 52 k texlive-concepts  noarch 9:svn29020.0.0.5_r1-36.fc34 fedora 23 k texlive-concmath  noarch 9:svn17219.0-36.fc34 fedora 19 k texlive-concmath-fonts  noarch 9:svn17218.0-36.fc34 fedora 41 k texlive-concprog  noarch 9:svn18791.0-36.fc34 fedora 21 k texlive-concrete  noarch 9:svn15878.0-36.fc34 fedora 27 k texlive-confproc  noarch 9:svn29349.0.8-36.fc34 fedora 34 k texlive-constants  noarch 9:svn15878.1.0-36.fc34 fedora 18 k texlive-conteq  noarch 9:svn37868.0.1.1-36.fc34 fedora 21 k texlive-continue  noarch 9:svn49449-36.fc34 fedora 22 k texlive-contour  noarch 9:svn18950.2.14-36.fc34 fedora 19 k texlive-contracard  noarch 9:svn50217-36.fc34 fedora 24 k texlive-conv-xkv  noarch 9:svn43558-36.fc34 fedora 98 k texlive-cooking  noarch 9:svn15878.0.9b-36.fc34 fedora 19 k texlive-cooking-units  noarch 9:svn53403-36.fc34 fedora 715 k texlive-cookingsymbols  noarch 9:svn35929.1.1-36.fc34 fedora 20 k texlive-cool  noarch 9:svn15878.1.35-36.fc34 fedora 32 k texlive-coollist  noarch 9:svn15878.1.4-36.fc34 fedora 24 k texlive-coolstr  noarch 9:svn15878.2.2-36.fc34 fedora 24 k texlive-coolthms  noarch 9:svn29062.1.2-36.fc34 fedora 19 k texlive-cooltooltips  noarch 9:svn15878.1.0-36.fc34 fedora 18 k texlive-coordsys  noarch 9:svn15878.1.4-36.fc34 fedora 21 k texlive-copyedit  noarch 9:svn37928.1.6-36.fc34 fedora 22 k texlive-copyrightbox  noarch 9:svn24829.0.1-36.fc34 fedora 17 k texlive-cormorantgaramond  noarch 9:svn54696-36.fc34 fedora 5.8 M texlive-correctmathalign  noarch 9:svn44131-36.fc34 fedora 557 k texlive-coseoul  noarch 9:svn23862.1.1-36.fc34 fedora 20 k texlive-countriesofeurope  noarch 9:svn54512-36.fc34 fedora 241 k texlive-counttexruns  noarch 9:svn27576.1.00a-36.fc34 fedora 20 k texlive-courier  noarch 9:svn35058.0-36.fc34 fedora 511 k texlive-courier-scaled  noarch 9:svn24940.0-36.fc34 fedora 21 k texlive-courseoutline  noarch 9:svn15878.1.0-36.fc34 fedora 16 k texlive-coursepaper  noarch 9:svn15878.2.0-36.fc34 fedora 17 k texlive-coverpage  noarch 9:svn15878.1.01-36.fc34 fedora 21 k texlive-cprotect  noarch 9:svn21209.1.0e-36.fc34 fedora 21 k texlive-cquthesis  noarch 9:svn52355-36.fc34 fedora 35 k texlive-crbox  noarch 9:svn29803.0.1-36.fc34 fedora 17 k texlive-crimson  noarch 9:svn54512-36.fc34 fedora 1.4 M texlive-crimsonpro  noarch 9:svn54512-36.fc34 fedora 2.8 M texlive-crop  noarch 9:svn15878.1.5-36.fc34 fedora 21 k texlive-crossreference  noarch 9:svn15878.0-36.fc34 fedora 17 k texlive-crossreftools  noarch 9:svn54080-36.fc34 fedora 368 k texlive-crossrefware  noarch 9:20200327-25.fc34 fedora 186 k texlive-cryptocode  noarch 9:svn49131-36.fc34 fedora 33 k texlive-cryst  noarch 9:svn15878.0-36.fc34 fedora 54 k texlive-csquotes  noarch 9:svn53041-36.fc34 fedora 37 k texlive-css-colors  noarch 9:svn54512-36.fc34 fedora 2.0 M texlive-csvmerge  noarch 9:svn51857-36.fc34 fedora 234 k texlive-csvsimple  noarch 9:svn51010-36.fc34 fedora 24 k texlive-ctable  noarch 9:svn38672-36.fc34 fedora 20 k texlive-ctablestack  noarch 9:svn38514-36.fc34 fedora 20 k texlive-ctex  noarch 9:svn54841-36.fc34 fedora 72 k texlive-ctib  noarch 9:svn15878.0-36.fc34 fedora 150 k texlive-cuisine  noarch 9:svn34453.0.7-36.fc34 fedora 19 k texlive-cuprum  noarch 9:svn49909-36.fc34 fedora 155 k texlive-currency  noarch 9:svn48990-36.fc34 fedora 709 k texlive-currfile  noarch 9:svn40725-36.fc34 fedora 23 k texlive-currvita  noarch 9:svn15878.0-36.fc34 fedora 20 k texlive-curve  noarch 9:svn20745.1.16-36.fc34 fedora 23 k texlive-curve2e  noarch 9:svn54758-36.fc34 fedora 28 k texlive-curves  noarch 9:svn45255-36.fc34 fedora 21 k texlive-custom-bib  noarch 9:svn24729.4.33-36.fc34 fedora 102 k texlive-cutwin  noarch 9:svn29803.0.1-36.fc34 fedora 21 k texlive-cv  noarch 9:svn15878.0-36.fc34 fedora 18 k texlive-cv4tw  noarch 9:svn34577.0.2-36.fc34 fedora 19 k texlive-cweb-latex  noarch 9:svn28878.0-36.fc34 fedora 54 k texlive-cyber  noarch 9:svn46776-36.fc34 fedora 17 k texlive-cybercic  noarch 9:svn37659.2.1-36.fc34 fedora 16 k texlive-cyklop  noarch 9:svn18651.0.915-36.fc34 fedora 353 k texlive-dancers  noarch 9:svn13293.0-36.fc34 fedora 17 k texlive-dantelogo  noarch 9:svn38599-36.fc34 fedora 40 k texlive-dashbox  noarch 9:svn23425.1.14-36.fc34 fedora 17 k texlive-dashrule  noarch 9:svn29579.1.3-36.fc34 fedora 17 k texlive-dashundergaps  noarch 9:svn53756-36.fc34 fedora 19 k texlive-dataref  noarch 9:svn42883-36.fc34 fedora 25 k texlive-datatool  noarch 9:svn52663-36.fc34 fedora 76 k texlive-dateiliste  noarch 9:svn27974.0.6-36.fc34 fedora 20 k texlive-datenumber  noarch 9:svn18951.0.02-36.fc34 fedora 20 k texlive-datetime  noarch 9:svn36650.2.60-36.fc34 fedora 40 k texlive-datetime2  noarch 9:svn54080-36.fc34 fedora 28 k texlive-datetime2-bahasai  noarch 9:svn46287-36.fc34 fedora 21 k texlive-datetime2-basque  noarch 9:svn47064-36.fc34 fedora 21 k texlive-datetime2-breton  noarch 9:svn52647-36.fc34 fedora 22 k texlive-datetime2-bulgarian  noarch 9:svn47031-36.fc34 fedora 22 k texlive-datetime2-catalan  noarch 9:svn47032-36.fc34 fedora 22 k texlive-datetime2-croatian  noarch 9:svn36682.1.0-36.fc34 fedora 22 k texlive-datetime2-czech  noarch 9:svn47033-36.fc34 fedora 22 k texlive-datetime2-danish  noarch 9:svn47034-36.fc34 fedora 22 k texlive-datetime2-dutch  noarch 9:svn47355-36.fc34 fedora 21 k texlive-datetime2-en-fulltext  noarch 9:svn36705.1.0-36.fc34 fedora 22 k texlive-datetime2-english  noarch 9:svn52479-36.fc34 fedora 27 k texlive-datetime2-esperanto  noarch 9:svn47356-36.fc34 fedora 22 k texlive-datetime2-estonian  noarch 9:svn47565-36.fc34 fedora 22 k texlive-datetime2-finnish  noarch 9:svn47047-36.fc34 fedora 22 k texlive-datetime2-french  noarch 9:svn43742-36.fc34 fedora 22 k texlive-datetime2-galician  noarch 9:svn47631-36.fc34 fedora 22 k texlive-datetime2-german  noarch 9:svn53125-36.fc34 fedora 23 k texlive-datetime2-greek  noarch 9:svn47533-36.fc34 fedora 22 k texlive-datetime2-hebrew  noarch 9:svn47534-36.fc34 fedora 21 k texlive-datetime2-icelandic  noarch 9:svn47501-36.fc34 fedora 22 k texlive-datetime2-irish  noarch 9:svn47632-36.fc34 fedora 22 k texlive-datetime2-it-fulltext  noarch 9:svn54779-36.fc34 fedora 23 k texlive-datetime2-italian  noarch 9:svn37146.1.3-36.fc34 fedora 22 k texlive-datetime2-latin  noarch 9:svn47748-36.fc34 fedora 21 k texlive-datetime2-lsorbian  noarch 9:svn47749-36.fc34 fedora 22 k texlive-datetime2-magyar  noarch 9:svn48266-36.fc34 fedora 22 k texlive-datetime2-norsk  noarch 9:svn48267-36.fc34 fedora 22 k texlive-datetime2-polish  noarch 9:svn48456-36.fc34 fedora 22 k texlive-datetime2-portuges  noarch 9:svn48457-36.fc34 fedora 22 k texlive-datetime2-romanian  noarch 9:svn43743-36.fc34 fedora 22 k texlive-datetime2-russian  noarch 9:svn49345-36.fc34 fedora 22 k texlive-datetime2-samin  noarch 9:svn49346-36.fc34 fedora 22 k texlive-datetime2-scottish  noarch 9:svn52101-36.fc34 fedora 22 k texlive-datetime2-serbian  noarch 9:svn52893-36.fc34 fedora 27 k texlive-datetime2-slovak  noarch 9:svn52281-36.fc34 fedora 22 k texlive-datetime2-slovene  noarch 9:svn52282-36.fc34 fedora 21 k texlive-datetime2-spanish  noarch 9:svn45785-36.fc34 fedora 22 k texlive-datetime2-swedish  noarch 9:svn36700.1.0-36.fc34 fedora 22 k texlive-datetime2-turkish  noarch 9:svn52331-36.fc34 fedora 22 k texlive-datetime2-ukrainian  noarch 9:svn47552-36.fc34 fedora 23 k texlive-datetime2-usorbian  noarch 9:svn52375-36.fc34 fedora 22 k texlive-datetime2-welsh  noarch 9:svn52553-36.fc34 fedora 22 k texlive-dblfloatfix  noarch 9:svn28983.1.0a-36.fc34 fedora 21 k texlive-dccpaper  noarch 9:svn54512-36.fc34 fedora 46 k texlive-dcpic  noarch 9:svn30206.5.0.0-36.fc34 fedora 33 k texlive-decimal  noarch 9:svn23374.0-36.fc34 fedora 17 k texlive-decorule  noarch 9:svn23487.0.6-36.fc34 fedora 20 k texlive-dehyph  noarch 9:svn48599-36.fc34 fedora 68 k texlive-dejavu  noarch 9:svn31771.2.34-36.fc34 fedora 11 M texlive-dejavu-otf  noarch 9:svn45991-36.fc34 fedora 346 k texlive-delim  noarch 9:svn23974.1.0-36.fc34 fedora 18 k texlive-delimseasy  noarch 9:svn39589-36.fc34 fedora 24 k texlive-delimset  noarch 9:svn49544-36.fc34 fedora 331 k texlive-delimtxt  noarch 9:svn16549.0-36.fc34 fedora 18 k texlive-denisbdoc  noarch 9:svn54584-36.fc34 fedora 34 k texlive-derivative  noarch 9:svn53654-36.fc34 fedora 217 k texlive-dhua  noarch 9:svn24035.0.11-36.fc34 fedora 23 k texlive-diabetes-logbook  noarch 9:svn54810-36.fc34 fedora 298 k texlive-diagbox  noarch 9:svn54080-36.fc34 fedora 22 k texlive-diagmac2  noarch 9:svn15878.2.1-36.fc34 fedora 27 k texlive-diagnose  noarch 9:svn19387.0.2-36.fc34 fedora 18 k texlive-dialogl  noarch 9:svn28946.0-36.fc34 fedora 38 k texlive-dice  noarch 9:svn28501.0-36.fc34 fedora 19 k texlive-dichokey  noarch 9:svn17192.0-36.fc34 fedora 15 k texlive-dictsym  noarch 9:svn20031.0-36.fc34 fedora 50 k texlive-diffcoeff  noarch 9:svn53244-36.fc34 fedora 630 k texlive-digiconfigs  noarch 9:svn15878.0.5-36.fc34 fedora 18 k texlive-dijkstra  noarch 9:svn45256-36.fc34 fedora 390 k texlive-din1505  noarch 9:svn19441.0-36.fc34 fedora 29 k texlive-dinbrief  noarch 9:svn15878.0-36.fc34 fedora 29 k texlive-dingbat  noarch 9:svn27918.1.0-36.fc34 fedora 26 k texlive-directory  noarch 9:svn15878.1.20-36.fc34 fedora 29 k texlive-dirtree  noarch 9:svn42428-36.fc34 fedora 18 k texlive-dirtytalk  noarch 9:svn20520.1.0-36.fc34 fedora 14 k texlive-ditaa  noarch 9:svn48932-36.fc34 fedora 124 k texlive-dithesis  noarch 9:svn34295.0.2-36.fc34 fedora 22 k texlive-dk-bib  noarch 9:svn15878.0.6-36.fc34 fedora 29 k texlive-dlfltxb  noarch 9:svn17337.0-36.fc34 fedora 39 k texlive-dnaseq  noarch 9:svn17194.0.01-36.fc34 fedora 18 k texlive-doc-pictex-doc  noarch 9:svn24927.0-36.fc34 fedora 14 k texlive-doclicense  noarch 9:svn54758-36.fc34 fedora 104 k texlive-docmfp  noarch 9:svn15878.1.2d-36.fc34 fedora 18 k texlive-docmute  noarch 9:svn25741.1.4-36.fc34 fedora 20 k texlive-doctools  noarch 9:svn34474.0.1-36.fc34 fedora 24 k texlive-documentation  noarch 9:svn34521.0.1-36.fc34 fedora 19 k texlive-doi  noarch 9:svn48634-36.fc34 fedora 18 k texlive-doipubmed  noarch 9:svn15878.1.01-36.fc34 fedora 18 k texlive-domitian  noarch 9:svn55286-36.fc34 fedora 1.5 M texlive-dot2texi  noarch 9:svn26237.3.0-36.fc34 fedora 21 k texlive-dotarrow  noarch 9:svn15878.0.01a-36.fc34 fedora 17 k texlive-dotlessi  noarch 9:svn51476-36.fc34 fedora 15 k texlive-dotseqn  noarch 9:svn17195.1.1-36.fc34 fedora 14 k texlive-dottex  noarch 9:svn15878.0.6-36.fc34 fedora 20 k texlive-doublestroke  noarch 9:svn15878.1.111-36.fc34 fedora 83 k texlive-dowith  noarch 9:svn38860-36.fc34 fedora 25 k texlive-download  noarch 9:svn52257-36.fc34 fedora 21 k texlive-dox  noarch 9:svn46011-36.fc34 fedora 19 k texlive-dozenal  noarch 9:svn47680-36.fc34 fedora 135 k texlive-dpcircling  noarch 9:svn54994-36.fc34 fedora 45 k texlive-dpfloat  noarch 9:svn17196.0-36.fc34 fedora 18 k texlive-dprogress  noarch 9:svn15878.0.1-36.fc34 fedora 17 k texlive-drac  noarch 9:svn15878.1-36.fc34 fedora 17 k texlive-draftcopy  noarch 9:svn15878.2.16-36.fc34 fedora 20 k texlive-draftfigure  noarch 9:svn44854-36.fc34 fedora 180 k texlive-draftwatermark  noarch 9:svn54317-36.fc34 fedora 22 k texlive-dratex  noarch 9:svn15878.0-36.fc34 fedora 61 k texlive-drawmatrix  noarch 9:svn44471-36.fc34 fedora 16 k texlive-drawstack  noarch 9:svn28582.0-36.fc34 fedora 21 k texlive-drm  noarch 9:svn38157.4.4-36.fc34 fedora 13 M texlive-droid  noarch 9:svn54512-36.fc34 fedora 3.2 M texlive-drs  noarch 9:svn19232.1.1b-36.fc34 fedora 23 k texlive-dsserif  noarch 9:svn54512-36.fc34 fedora 341 k texlive-dtk  noarch 9:svn54080-36.fc34 fedora 38 k texlive-dtxdescribe  noarch 9:svn51652-36.fc34 fedora 344 k texlive-dtxgallery-doc  noarch 9:svn49504-36.fc34 fedora 359 k texlive-ducksay  noarch 9:svn53631-36.fc34 fedora 505 k texlive-duckuments  noarch 9:svn52271-36.fc34 fedora 975 k texlive-duerer  noarch 9:svn20741.0-36.fc34 fedora 30 k texlive-duerer-latex  noarch 9:svn15878.1.1-36.fc34 fedora 19 k texlive-duotenzor  noarch 9:svn18728.1.00-36.fc34 fedora 24 k texlive-dutchcal  noarch 9:svn54080-36.fc34 fedora 55 k texlive-dvdcoll  noarch 9:svn15878.v1.1a-36.fc34 fedora 36 k texlive-dvipdfmx  x86_64 9:20200327-25.fc34 fedora 3.0 M texlive-dvips  x86_64 9:20200327-25.fc34 fedora 751 k texlive-dvisvgm  x86_64 9:20200327-25.fc34 fedora 1.6 M texlive-dynamicnumber  noarch 9:svn38726-36.fc34 fedora 15 k texlive-dynblocks  noarch 9:svn35193.0.2b-36.fc34 fedora 21 k texlive-dynkin-diagrams  noarch 9:svn54489-36.fc34 fedora 823 k texlive-dyntree  noarch 9:svn15878.1.0-36.fc34 fedora 25 k texlive-ean  noarch 9:svn20851.0-36.fc34 fedora 21 k texlive-ean13isbn  noarch 9:svn15878.0-36.fc34 fedora 18 k texlive-easy  noarch 9:svn19440.0.99-36.fc34 fedora 29 k texlive-easy-todo  noarch 9:svn32677.0-36.fc34 fedora 18 k texlive-easyfig  noarch 9:svn47193-36.fc34 fedora 21 k texlive-easyformat  noarch 9:svn44543-36.fc34 fedora 75 k texlive-easylist  noarch 9:svn32661.1.3-36.fc34 fedora 22 k texlive-easyreview  noarch 9:svn38352.1.0-36.fc34 fedora 17 k texlive-ebezier  noarch 9:svn15878.4-36.fc34 fedora 20 k texlive-ebgaramond  noarch 9:svn54721-36.fc34 fedora 11 M texlive-ebgaramond-maths  noarch 9:svn52168-36.fc34 fedora 24 k texlive-ebook  noarch 9:svn29466.0-36.fc34 fedora 15 k texlive-ebproof  noarch 9:svn44392-36.fc34 fedora 25 k texlive-ebsthesis  noarch 9:svn15878.1.0-36.fc34 fedora 22 k texlive-ec  noarch 9:svn25033.1.0-36.fc34 fedora 490 k texlive-ecc  noarch 9:svn15878.0-36.fc34 fedora 45 k texlive-ecclesiastic  noarch 9:svn38172.0.3-36.fc34 fedora 21 k texlive-ecgdraw  noarch 9:svn41617-36.fc34 fedora 726 k texlive-eco  noarch 9:svn29349.1.3-36.fc34 fedora 270 k texlive-ecobiblatex  noarch 9:svn39233-36.fc34 fedora 20 k texlive-econ-bst  noarch 9:svn54191-36.fc34 fedora 849 k texlive-econometrics  noarch 9:svn39396-36.fc34 fedora 21 k texlive-economic  noarch 9:svn32639.0-36.fc34 fedora 50 k texlive-ecothesis  noarch 9:svn48007-36.fc34 fedora 353 k texlive-ecv  noarch 9:svn24928.0.3-36.fc34 fedora 17 k texlive-ed  noarch 9:svn25231.1.8-36.fc34 fedora 19 k texlive-edmargin  noarch 9:svn27599.1.2-36.fc34 fedora 21 k texlive-eemeir  noarch 9:svn15878.1.1b-36.fc34 fedora 18 k texlive-eepic  noarch 9:svn15878.1.1e-36.fc34 fedora 25 k texlive-efbox  noarch 9:svn33236.1.0-36.fc34 fedora 20 k texlive-egplot  noarch 9:svn20617.1.02a-36.fc34 fedora 21 k texlive-ehhline  noarch 9:svn54676-36.fc34 fedora 44 k texlive-eiad  noarch 9:svn15878.0-36.fc34 fedora 51 k texlive-eiad-ltx  noarch 9:svn15878.1.0-36.fc34 fedora 20 k texlive-ejpecp  noarch 9:svn50761-36.fc34 fedora 22 k texlive-ekaia  noarch 9:svn49594-36.fc34 fedora 20 k texlive-elbioimp  noarch 9:svn21758.1.2-36.fc34 fedora 18 k texlive-electrum  noarch 9:svn19705.1.005_b-36.fc34 fedora 786 k texlive-elegantbook  noarch 9:svn54758-36.fc34 fedora 2.2 M texlive-elegantnote  noarch 9:svn54758-36.fc34 fedora 1.2 M texlive-elegantpaper  noarch 9:svn54758-36.fc34 fedora 873 k texlive-elements  noarch 9:svn52398-36.fc34 fedora 29 k texlive-ellipse  noarch 9:svn39025-36.fc34 fedora 21 k texlive-ellipsis  noarch 9:svn15878.0-36.fc34 fedora 17 k texlive-elmath  noarch 9:svn15878.v1.2-36.fc34 fedora 18 k texlive-elocalloc  noarch 9:svn42712-36.fc34 fedora 17 k texlive-elpres  noarch 9:svn46429-36.fc34 fedora 18 k texlive-els-cas-templates  noarch 9:svn54317-36.fc34 fedora 574 k texlive-elsarticle  noarch 9:svn50786-36.fc34 fedora 35 k texlive-elteikthesis  noarch 9:svn53926-36.fc34 fedora 23 k texlive-eltex  noarch 9:svn15878.2.0-36.fc34 fedora 30 k texlive-elvish  noarch 9:svn15878.0-36.fc34 fedora 24 k texlive-elzcards  noarch 9:svn51894-36.fc34 fedora 24 k texlive-emarks  noarch 9:svn24504.1.0-36.fc34 fedora 21 k texlive-embedall  noarch 9:svn51177-36.fc34 fedora 19 k texlive-embedfile  noarch 9:svn54865-36.fc34 fedora 405 k texlive-embrac  noarch 9:svn54512-36.fc34 fedora 22 k texlive-emf  noarch 9:svn42023-36.fc34 fedora 331 k texlive-emisa  noarch 9:svn46734-36.fc34 fedora 34 k texlive-emptypage  noarch 9:svn18064.1.2-36.fc34 fedora 18 k texlive-emulateapj  noarch 9:svn28469.0-36.fc34 fedora 33 k texlive-enctex  noarch 9:svn34957.0-36.fc34 fedora 48 k texlive-endfloat  noarch 9:svn51003-36.fc34 fedora 22 k texlive-endheads  noarch 9:svn43750-36.fc34 fedora 18 k texlive-endiagram  noarch 9:svn34486.0.1d-36.fc34 fedora 27 k texlive-endnotes  noarch 9:svn53319-36.fc34 fedora 22 k texlive-endnotes-hy  noarch 9:svn54758-36.fc34 fedora 315 k texlive-endofproofwd  noarch 9:svn45116-36.fc34 fedora 21 k texlive-engpron  noarch 9:svn16558.2-36.fc34 fedora 20 k texlive-engrec  noarch 9:svn15878.1.1-36.fc34 fedora 18 k texlive-engtlc  noarch 9:svn28571.3.2-36.fc34 fedora 22 k texlive-enotez  noarch 9:svn54512-36.fc34 fedora 26 k texlive-enumitem  noarch 9:svn51423-36.fc34 fedora 29 k texlive-enumitem-zref  noarch 9:svn21472.1.8-36.fc34 fedora 26 k texlive-envbig  noarch 9:svn15878.0-36.fc34 fedora 17 k texlive-environ  noarch 9:svn54080-36.fc34 fedora 18 k texlive-envlab  noarch 9:svn15878.1.2-36.fc34 fedora 21 k texlive-epigrafica  noarch 9:svn17210.1.01-36.fc34 fedora 366 k texlive-epigraph  noarch 9:svn54857-36.fc34 fedora 20 k texlive-epigraph-keys  noarch 9:svn54851-36.fc34 fedora 324 k texlive-epiolmec  noarch 9:svn15878.0-36.fc34 fedora 123 k texlive-epsdice  noarch 9:svn15878.2.1-36.fc34 fedora 22 k texlive-epsf  noarch 9:svn21461.2.7.4-36.fc34 fedora 21 k texlive-epspdf  noarch 9:20200327-25.fc34 fedora 286 k texlive-epspdfconversion  noarch 9:svn18703.0.61-36.fc34 fedora 20 k texlive-epstopdf-pkg  noarch 9:svn53546-36.fc34 fedora 367 k texlive-eqell  noarch 9:svn22931.0-36.fc34 fedora 18 k texlive-eqexpl  noarch 9:svn54080-36.fc34 fedora 100 k texlive-eqlist  noarch 9:svn32257.2.1-36.fc34 fedora 17 k texlive-eqnalign  noarch 9:svn43278-36.fc34 fedora 300 k texlive-eqname  noarch 9:svn20678.0-36.fc34 fedora 13 k texlive-eqnarray  noarch 9:svn20641.1.3-36.fc34 fedora 26 k texlive-eqnnumwarn  noarch 9:svn45511-36.fc34 fedora 112 k texlive-eqparbox  noarch 9:svn45215-36.fc34 fedora 22 k texlive-erdc  noarch 9:svn15878.1.1-36.fc34 fedora 22 k texlive-erewhon  noarch 9:svn55705-36.fc34 fedora 2.6 M texlive-erewhon-math  noarch 9:svn54769-36.fc34 fedora 1.6 M texlive-errata  noarch 9:svn42428-36.fc34 fedora 18 k texlive-erw-l3  noarch 9:svn53694-36.fc34 fedora 536 k texlive-esami  noarch 9:svn47639-36.fc34 fedora 38 k texlive-esdiff  noarch 9:svn21385.1.2-36.fc34 fedora 18 k texlive-esindex  noarch 9:svn52342-36.fc34 fedora 83 k texlive-esint  noarch 9:svn52240-36.fc34 fedora 20 k texlive-esint-type1  noarch 9:svn15878.0-36.fc34 fedora 45 k texlive-esk  noarch 9:svn18115.1.0-36.fc34 fedora 21 k texlive-eso-pic  noarch 9:svn47694-36.fc34 fedora 21 k texlive-esrelation  noarch 9:svn37236.0-36.fc34 fedora 54 k texlive-esstix  noarch 9:svn22426.1.0-36.fc34 fedora 223 k texlive-estcpmm  noarch 9:svn17335.0.4-36.fc34 fedora 19 k texlive-esvect  noarch 9:svn32098.1.3-36.fc34 fedora 88 k texlive-etaremune  noarch 9:svn15878.v1.2-36.fc34 fedora 18 k texlive-etbb  noarch 9:svn56390-36.fc34 fedora 1.4 M texlive-etex  noarch 9:svn37057.0-36.fc34 fedora 30 k texlive-etex-pkg  noarch 9:svn41784-36.fc34 fedora 19 k texlive-etexcmds  noarch 9:svn53171-36.fc34 fedora 313 k texlive-etextools  noarch 9:svn20694.3.1415926-36.fc34 fedora 28 k texlive-etoc  noarch 9:svn52842-36.fc34 fedora 29 k texlive-etoolbox  noarch 9:svn52153-36.fc34 fedora 27 k texlive-etsvthor  noarch 9:svn48186-36.fc34 fedora 21 k texlive-euclideangeometry  noarch 9:svn54897-36.fc34 fedora 1.0 M texlive-euenc  noarch 9:svn19795.0.1h-36.fc34 fedora 24 k texlive-euflag  noarch 9:svn49970-36.fc34 fedora 118 k texlive-eukdate  noarch 9:svn15878.1.04-36.fc34 fedora 17 k texlive-euler  noarch 9:svn42428-36.fc34 fedora 19 k texlive-eulerpx  noarch 9:svn43735-36.fc34 fedora 22 k texlive-eulervm  noarch 9:svn15878.4.0-36.fc34 fedora 37 k texlive-euro  noarch 9:svn22191.1.1-36.fc34 fedora 18 k texlive-euro-ce  noarch 9:svn25714-36.fc34 fedora 28 k texlive-europasscv  noarch 9:svn49703-36.fc34 fedora 51 k texlive-europecv  noarch 9:svn54080-36.fc34 fedora 62 k texlive-eurosym  noarch 9:svn17265.1.4_subrfix-36.fc34 fedora 153 k texlive-euxm  noarch 9:svn54074-36.fc34 fedora 41 k texlive-everyhook  noarch 9:svn35675.1.2-36.fc34 fedora 21 k texlive-everypage  noarch 9:svn15878.1.1-36.fc34 fedora 17 k texlive-exam  noarch 9:svn46084-36.fc34 fedora 68 k texlive-exam-n  noarch 9:svn42755-36.fc34 fedora 28 k texlive-exam-randomizechoices  noarch 9:svn49662-36.fc34 fedora 294 k texlive-examdesign  noarch 9:svn15878.1.02-36.fc34 fedora 28 k texlive-example  noarch 9:svn33398.0-36.fc34 fedora 22 k texlive-examplep  noarch 9:svn16916.0.04-36.fc34 fedora 52 k texlive-exceltex  noarch 9:20200327-25.fc34 fedora 23 k texlive-excludeonly  noarch 9:svn17262.1.0-36.fc34 fedora 15 k texlive-exercise  noarch 9:svn35417.1.6-36.fc34 fedora 26 k texlive-exercisebank  noarch 9:svn50448-36.fc34 fedora 331 k texlive-exercisepoints  noarch 9:svn49590-36.fc34 fedora 243 k texlive-exercises  noarch 9:svn42428-36.fc34 fedora 19 k texlive-exframe  noarch 9:svn53911-36.fc34 fedora 420 k texlive-exp-testopt  noarch 9:svn15878.0.3-36.fc34 fedora 18 k texlive-expdlist  noarch 9:svn15878.2.4-36.fc34 fedora 18 k texlive-export  noarch 9:svn27206.1.8-36.fc34 fedora 22 k texlive-exsheets  noarch 9:svn52227-36.fc34 fedora 44 k texlive-exsol  noarch 9:svn48977-36.fc34 fedora 22 k texlive-extarrows  noarch 9:svn54400-36.fc34 fedora 22 k texlive-extpfeil  noarch 9:svn16243.0.4-36.fc34 fedora 20 k texlive-extract  noarch 9:svn52117-36.fc34 fedora 21 k texlive-extsizes  noarch 9:svn17263.1.4a-36.fc34 fedora 29 k texlive-facsimile  noarch 9:svn21328.1.0-36.fc34 fedora 21 k texlive-factura  noarch 9:svn54803-36.fc34 fedora 45 k texlive-facture-belge-simple-sans-tva  noarch 9:svn49004-36.fc34 fedora 290 k texlive-faktor  noarch 9:svn15878.0.1b-36.fc34 fedora 17 k texlive-fancybox  noarch 9:svn18304.1.4-36.fc34 fedora 25 k texlive-fancyhandout  noarch 9:svn46411-36.fc34 fedora 91 k texlive-fancyhdr  noarch 9:svn49886-36.fc34 fedora 20 k texlive-fancylabel  noarch 9:svn46736-36.fc34 fedora 22 k texlive-fancynum  noarch 9:svn15878.0.92-36.fc34 fedora 14 k texlive-fancypar  noarch 9:svn49801-36.fc34 fedora 22 k texlive-fancyref  noarch 9:svn15878.0.9c-36.fc34 fedora 21 k texlive-fancyslides  noarch 9:svn36263.1.0-36.fc34 fedora 21 k texlive-fancytabs  noarch 9:svn41549-36.fc34 fedora 20 k texlive-fancytooltips  noarch 9:svn27129.1.8-36.fc34 fedora 26 k texlive-fancyvrb  noarch 9:svn53392-36.fc34 fedora 28 k texlive-fandol  noarch 9:svn37889.0.3-36.fc34 fedora 22 M texlive-fascicules  noarch 9:svn54080-36.fc34 fedora 2.0 M texlive-fast-diagram  noarch 9:svn29264.1.1-36.fc34 fedora 23 k texlive-fbb  noarch 9:svn55728-36.fc34 fedora 1.3 M texlive-fbithesis  noarch 9:svn21340.1.2m-36.fc34 fedora 19 k texlive-fbox  noarch 9:svn53320-36.fc34 fedora 64 k texlive-fbs  noarch 9:svn15878.0-36.fc34 fedora 21 k texlive-fcavtex  noarch 9:svn38074.1.1-36.fc34 fedora 35 k texlive-fcltxdoc  noarch 9:svn24500.1.0-36.fc34 fedora 30 k texlive-fcolumn  noarch 9:svn50937-36.fc34 fedora 21 k texlive-fdsymbol  noarch 9:svn26722.0.8-36.fc34 fedora 954 k texlive-fei  noarch 9:svn54178-36.fc34 fedora 26 k texlive-fetamont  noarch 9:svn43812-36.fc34 fedora 3.6 M texlive-fetchcls  noarch 9:svn45245-36.fc34 fedora 141 k texlive-fewerfloatpages  noarch 9:svn53779-36.fc34 fedora 888 k texlive-feyn  noarch 9:svn45679-36.fc34 fedora 36 k texlive-feynmf  noarch 9:svn17259.1.08-36.fc34 fedora 37 k texlive-ffslides  noarch 9:svn38895-36.fc34 fedora 24 k texlive-fge  noarch 9:svn37628.1.25-36.fc34 fedora 57 k texlive-fgruler  noarch 9:svn42966-36.fc34 fedora 267 k texlive-fibeamer  noarch 9:svn53146-36.fc34 fedora 438 k texlive-fifo-stack  noarch 9:svn33288.1.0-36.fc34 fedora 18 k texlive-fig4latex  noarch 9:20200327-25.fc34 fedora 77 k texlive-figbib  noarch 9:svn19388.0-36.fc34 fedora 20 k texlive-figsize  noarch 9:svn18784.0.1-36.fc34 fedora 18 k texlive-filecontents  noarch 9:svn52142-36.fc34 fedora 18 k texlive-filecontentsdef  noarch 9:svn52208-36.fc34 fedora 148 k texlive-filedate  noarch 9:svn29529.0-36.fc34 fedora 23 k texlive-filehook  noarch 9:svn53655-36.fc34 fedora 24 k texlive-fileinfo  noarch 9:svn28421.0.81a-36.fc34 fedora 25 k texlive-filemod  noarch 9:svn24042.1.2-36.fc34 fedora 23 k texlive-fink  noarch 9:svn24329.2.2.1-36.fc34 fedora 18 k texlive-finstrut  noarch 9:svn21719.0.5-36.fc34 fedora 22 k texlive-fira  noarch 9:svn54512-36.fc34 fedora 16 M texlive-firamath  noarch 9:svn53388-36.fc34 fedora 640 k texlive-firamath-otf  noarch 9:svn50732-36.fc34 fedora 142 k texlive-fitbox  noarch 9:svn50088-36.fc34 fedora 21 k texlive-fithesis  noarch 9:svn54483-36.fc34 fedora 674 k texlive-fix2col  noarch 9:svn38770-36.fc34 fedora 18 k texlive-fixcmex  noarch 9:svn51825-36.fc34 fedora 20 k texlive-fixfoot  noarch 9:svn17131.0.3a-36.fc34 fedora 19 k texlive-fixltxhyph  noarch 9:svn25832.0.4-36.fc34 fedora 20 k texlive-fixme  noarch 9:svn49591-36.fc34 fedora 28 k texlive-fixmetodonotes  noarch 9:svn30168.0.2.2-36.fc34 fedora 15 k texlive-fjodor  noarch 9:svn53207-36.fc34 fedora 19 k texlive-flabels  noarch 9:svn17272.1.0-36.fc34 fedora 18 k texlive-flacards  noarch 9:svn19440.0.1.1b-36.fc34 fedora 19 k texlive-flagderiv  noarch 9:svn15878.0.10-36.fc34 fedora 19 k texlive-flashcards  noarch 9:svn19667.1.0.1-36.fc34 fedora 21 k texlive-flashmovie  noarch 9:svn25768.0.4-36.fc34 fedora 38 k texlive-flipbook  noarch 9:svn25584.0.2-36.fc34 fedora 18 k texlive-flippdf  noarch 9:svn15878.1.0-36.fc34 fedora 17 k texlive-float  noarch 9:svn15878.1.3d-36.fc34 fedora 19 k texlive-floatflt  noarch 9:svn25540.1.31-36.fc34 fedora 15 k texlive-floatrow  noarch 9:svn15878.0.3b-36.fc34 fedora 33 k texlive-flowchart  noarch 9:svn36572.3.3-36.fc34 fedora 21 k texlive-flowfram  noarch 9:svn35291.1.17-36.fc34 fedora 43 k texlive-fltpoint  noarch 9:svn15878.1.1b-36.fc34 fedora 16 k texlive-fmp  noarch 9:svn15878.0-36.fc34 fedora 17 k texlive-fmtcount  noarch 9:svn53912-36.fc34 fedora 49 k texlive-fn2end  noarch 9:svn15878.1.1-36.fc34 fedora 14 k texlive-fnbreak  noarch 9:svn25003.1.30-36.fc34 fedora 18 k texlive-fncychap  noarch 9:svn20710.v1.34-36.fc34 fedora 24 k texlive-fncylab  noarch 9:svn52090-36.fc34 fedora 18 k texlive-fnpara  noarch 9:svn25607.0-36.fc34 fedora 21 k texlive-fnpct  noarch 9:svn54512-36.fc34 fedora 27 k texlive-fnspe  noarch 9:svn45360-36.fc34 fedora 188 k texlive-fnumprint  noarch 9:svn29173.1.1a-36.fc34 fedora 20 k texlive-foekfont  noarch 9:svn15878.0-36.fc34 fedora 28 k texlive-foilhtml  noarch 9:svn21855.1.2-36.fc34 fedora 20 k texlive-fonetika  noarch 9:svn21326.0-36.fc34 fedora 82 k texlive-fontawesome  noarch 9:svn48145-36.fc34 fedora 303 k texlive-fontawesome5  noarch 9:svn54517-36.fc34 fedora 1.5 M texlive-fontaxes  noarch 9:svn33276.1.0d-36.fc34 fedora 22 k texlive-fontmfizz  noarch 9:svn43546-36.fc34 fedora 56 k texlive-fonts-churchslavonic  noarch 9:svn43121-36.fc34 fedora 1.6 M texlive-fonts-tlwg  noarch 9:svn54512-36.fc34 fedora 4.9 M texlive-fontsetup  noarch 9:svn53195-36.fc34 fedora 814 k texlive-fontsize  noarch 9:svn53874-36.fc34 fedora 621 k texlive-fontspec  noarch 9:svn53860-36.fc34 fedora 47 k texlive-fonttable  noarch 9:svn44799-36.fc34 fedora 24 k texlive-footbib  noarch 9:svn17115.2.0.7-36.fc34 fedora 23 k texlive-footmisc  noarch 9:svn23330.5.5b-36.fc34 fedora 25 k texlive-footmisx  noarch 9:svn42621-36.fc34 fedora 25 k texlive-footnotebackref  noarch 9:svn27034.1.0-36.fc34 fedora 20 k texlive-footnotehyper  noarch 9:svn52676-36.fc34 fedora 21 k texlive-footnoterange  noarch 9:svn52910-36.fc34 fedora 21 k texlive-footnpag  noarch 9:svn15878.0-36.fc34 fedora 25 k texlive-forarray  noarch 9:svn15878.1.01-36.fc34 fedora 21 k texlive-foreign  noarch 9:svn27819.2.7-36.fc34 fedora 20 k texlive-forest  noarch 9:svn44797-36.fc34 fedora 75 k texlive-forloop  noarch 9:svn15878.3.0-36.fc34 fedora 23 k texlive-formlett  noarch 9:svn21480.2.3-36.fc34 fedora 28 k texlive-forms16be  noarch 9:svn51305-36.fc34 fedora 1.4 M texlive-formular  noarch 9:svn15878.1.0a-36.fc34 fedora 19 k texlive-forum  noarch 9:svn56025-36.fc34 fedora 739 k texlive-fouridx  noarch 9:svn32214.2.00-36.fc34 fedora 17 k texlive-fourier  noarch 9:svn54090-36.fc34 fedora 334 k texlive-fouriernc  noarch 9:svn29646.0-36.fc34 fedora 34 k texlive-fp  noarch 9:svn49719-36.fc34 fedora 38 k texlive-fpl  noarch 9:svn54512-36.fc34 fedora 305 k texlive-fragments  noarch 9:svn15878.0-36.fc34 fedora 15 k texlive-frame  noarch 9:svn18312.1.0-36.fc34 fedora 17 k texlive-framed  noarch 9:svn26789.0.96-36.fc34 fedora 20 k texlive-francais-bst  noarch 9:svn38922-36.fc34 fedora 27 k texlive-frankenstein  noarch 9:svn15878.0-36.fc34 fedora 154 k texlive-frcursive  noarch 9:svn24559.0-36.fc34 fedora 1.7 M texlive-frederika2016  noarch 9:svn42157-36.fc34 fedora 385 k texlive-frege  noarch 9:svn27417.1.3-36.fc34 fedora 21 k texlive-ftc-notebook  noarch 9:svn50043-36.fc34 fedora 3.5 M texlive-ftcap  noarch 9:svn17275.1.4-36.fc34 fedora 19 k texlive-ftnxtra  noarch 9:svn29652.0.1-36.fc34 fedora 18 k texlive-fullblck  noarch 9:svn25434.1.03-36.fc34 fedora 17 k texlive-fullminipage  noarch 9:svn34545.0.1.1-36.fc34 fedora 26 k texlive-fullwidth  noarch 9:svn24684.0.1-36.fc34 fedora 20 k texlive-functan  noarch 9:svn15878.0-36.fc34 fedora 18 k texlive-fundus-calligra  noarch 9:svn26018.1.2-36.fc34 fedora 15 k texlive-fundus-cyr  noarch 9:svn26019.0-36.fc34 fedora 20 k texlive-fundus-sueterlin  noarch 9:svn26030.1.2-36.fc34 fedora 20 k texlive-fvextra  noarch 9:svn49947-36.fc34 fedora 824 k texlive-fwlw  noarch 9:svn29803.0-36.fc34 fedora 15 k texlive-g-brief  noarch 9:svn50415-36.fc34 fedora 21 k texlive-gaceta  noarch 9:svn15878.1.06-36.fc34 fedora 34 k texlive-galois  noarch 9:svn15878.1.5-36.fc34 fedora 18 k texlive-gammas  noarch 9:svn50012-36.fc34 fedora 31 k texlive-garamond-libre  noarch 9:svn55166-36.fc34 fedora 7.2 M texlive-garamond-math  noarch 9:svn52820-36.fc34 fedora 718 k texlive-garuda-c90  noarch 9:svn37677.0-36.fc34 fedora 17 k texlive-gastex  noarch 9:svn54080-36.fc34 fedora 33 k texlive-gatech-thesis  noarch 9:svn19886.1.8-36.fc34 fedora 51 k texlive-gatherenum  noarch 9:svn52209-36.fc34 fedora 409 k texlive-gauss  noarch 9:svn32934.0-36.fc34 fedora 32 k texlive-gb4e  noarch 9:svn19216.0-36.fc34 fedora 24 k texlive-gbt7714  noarch 9:svn54758-36.fc34 fedora 361 k texlive-gcard  noarch 9:svn15878.0-36.fc34 fedora 18 k texlive-gcite  noarch 9:svn15878.1.0.1-36.fc34 fedora 21 k texlive-gender  noarch 9:svn36464.1.0-36.fc34 fedora 20 k texlive-gene-logic  noarch 9:svn15878.1.4-36.fc34 fedora 14 k texlive-genealogy  noarch 9:svn25112.0-36.fc34 fedora 19 k texlive-genealogytree  noarch 9:svn50872-36.fc34 fedora 50 k texlive-genmpage  noarch 9:svn15878.0.3.1-36.fc34 fedora 18 k texlive-gentium-tug  noarch 9:svn54512-36.fc34 fedora 3.9 M texlive-geometry  noarch 9:svn54080-36.fc34 fedora 25 k texlive-geschichtsfrkl  noarch 9:svn42121-36.fc34 fedora 21 k texlive-getfiledate  noarch 9:svn16189.1.2-36.fc34 fedora 18 k texlive-getitems  noarch 9:svn39365-36.fc34 fedora 20 k texlive-getmap  noarch 9:20200327-25.fc34 fedora 1.9 M texlive-gettitlestring  noarch 9:svn53170-36.fc34 fedora 330 k texlive-gfsartemisia  noarch 9:svn19469.1.0-36.fc34 fedora 1.0 M texlive-gfsbodoni  noarch 9:svn28484.1.01-36.fc34 fedora 948 k texlive-gfscomplutum  noarch 9:svn19469.1.0-36.fc34 fedora 141 k texlive-gfsdidot  noarch 9:svn54080-36.fc34 fedora 1.3 M texlive-gfsdidotclassic  noarch 9:svn52778-36.fc34 fedora 46 k texlive-gfsneohellenic  noarch 9:svn54080-36.fc34 fedora 1.0 M texlive-gfsneohellenicmath  noarch 9:svn52570-36.fc34 fedora 460 k texlive-gfssolomos  noarch 9:svn18651.1.0-36.fc34 fedora 121 k texlive-ghsystem  noarch 9:svn53822-36.fc34 fedora 1.9 M texlive-gillcm  noarch 9:svn19878.1.1-36.fc34 fedora 33 k texlive-gillius  noarch 9:svn32068.0-36.fc34 fedora 1.0 M texlive-gincltex  noarch 9:svn23835.0.3-36.fc34 fedora 21 k texlive-gindex  noarch 9:svn52311-36.fc34 fedora 47 k texlive-ginpenc  noarch 9:svn24980.1.0-36.fc34 fedora 20 k texlive-gitfile-info  noarch 9:svn51928-36.fc34 fedora 576 k texlive-gitinfo  noarch 9:svn34049.1.0-36.fc34 fedora 21 k texlive-gitinfo2  noarch 9:svn38913-36.fc34 fedora 22 k texlive-gitlog  noarch 9:svn38932-36.fc34 fedora 21 k texlive-gitver  noarch 9:svn49980-36.fc34 fedora 145 k texlive-globalvals  noarch 9:svn49962-36.fc34 fedora 167 k texlive-glosmathtools  noarch 9:svn54558-36.fc34 fedora 540 k texlive-gloss  noarch 9:svn15878.1.5.2-36.fc34 fedora 22 k texlive-glossaries  noarch 9:20200327-25.fc34 fedora 5.8 M texlive-glossaries-danish  noarch 9:svn35665.1.0-36.fc34 fedora 20 k texlive-glossaries-dutch  noarch 9:svn35685.1.1-36.fc34 fedora 20 k texlive-glossaries-english  noarch 9:svn35665.1.0-36.fc34 fedora 20 k texlive-glossaries-estonian  noarch 9:svn49928-36.fc34 fedora 42 k texlive-glossaries-extra  noarch 9:svn54688-36.fc34 fedora 85 k texlive-glossaries-finnish  noarch 9:svn54080-36.fc34 fedora 156 k texlive-glossaries-french  noarch 9:svn42873-36.fc34 fedora 20 k texlive-glossaries-german  noarch 9:svn35665.1.0-36.fc34 fedora 20 k texlive-glossaries-irish  noarch 9:svn35665.1.0-36.fc34 fedora 21 k texlive-glossaries-italian  noarch 9:svn35665.1.0-36.fc34 fedora 20 k texlive-glossaries-magyar  noarch 9:svn35665.1.0-36.fc34 fedora 21 k texlive-glossaries-polish  noarch 9:svn35665.1.0-36.fc34 fedora 21 k texlive-glossaries-portuges  noarch 9:svn36064.1.1-36.fc34 fedora 21 k texlive-glossaries-serbian  noarch 9:svn35665.1.0-36.fc34 fedora 21 k texlive-glossaries-slovene  noarch 9:svn51211-36.fc34 fedora 141 k texlive-glossaries-spanish  noarch 9:svn35665.1.0-36.fc34 fedora 21 k texlive-glyphlist  noarch 9:20200327-25.fc34 fedora 39 k texlive-gmdoc  noarch 9:svn21292.0.993-36.fc34 fedora 63 k texlive-gmdoc-enhance  noarch 9:svn15878.v0.2-36.fc34 fedora 19 k texlive-gmiflink  noarch 9:svn15878.v0.97-36.fc34 fedora 19 k texlive-gmp  noarch 9:svn21691.1.0-36.fc34 fedora 22 k texlive-gmutils  noarch 9:svn24287.v0.996-36.fc34 fedora 83 k texlive-gmverb  noarch 9:svn24288.v0.98-36.fc34 fedora 32 k texlive-gnu-freefont  noarch 9:svn29349.0-36.fc34 fedora 7.5 M texlive-gnuplottex  noarch 9:svn54758-36.fc34 fedora 22 k texlive-gofonts  noarch 9:svn54512-36.fc34 fedora 1.7 M texlive-gothic  noarch 9:svn49869-36.fc34 fedora 156 k texlive-gotoh  noarch 9:svn44764-36.fc34 fedora 298 k texlive-grabbox  noarch 9:svn51052-36.fc34 fedora 363 k texlive-gradientframe  noarch 9:svn21387.0.2-36.fc34 fedora 20 k texlive-gradstudentresume  noarch 9:svn38832-36.fc34 fedora 20 k texlive-grafcet  noarch 9:svn22509.1.3.5-36.fc34 fedora 20 k texlive-grant  noarch 9:svn41905-36.fc34 fedora 126 k texlive-graph35  noarch 9:svn47522-36.fc34 fedora 2.1 M texlive-graphbox  noarch 9:svn46360-36.fc34 fedora 21 k texlive-graphics  noarch 9:svn53640-36.fc34 fedora 36 k texlive-graphics-cfg  noarch 9:svn41448-36.fc34 fedora 14 k texlive-graphics-def  noarch 9:svn54522-36.fc34 fedora 29 k texlive-graphicx-psmin  noarch 9:svn15878.1.1-36.fc34 fedora 19 k texlive-graphicxbox  noarch 9:svn32630.1.0-36.fc34 fedora 17 k texlive-graphicxpsd  noarch 9:svn46477-36.fc34 fedora 436 k texlive-graphviz  noarch 9:svn31517.0.94-36.fc34 fedora 20 k texlive-grayhints  noarch 9:svn49052-36.fc34 fedora 505 k texlive-greek-fontenc  noarch 9:svn53955-36.fc34 fedora 46 k texlive-greek-inputenc  noarch 9:svn51612-36.fc34 fedora 26 k texlive-greenpoint  noarch 9:svn15878.0-36.fc34 fedora 19 k texlive-grfext  noarch 9:svn53024-36.fc34 fedora 320 k texlive-grffile  noarch 9:svn52756-36.fc34 fedora 363 k texlive-grfpaste  noarch 9:svn17354.0.2-36.fc34 fedora 19 k texlive-grid  noarch 9:svn15878.1.0-36.fc34 fedora 19 k texlive-grid-system  noarch 9:svn32981.0.3.0-36.fc34 fedora 18 k texlive-gridset  noarch 9:svn53762-36.fc34 fedora 19 k texlive-gridslides  noarch 9:svn54512-36.fc34 fedora 584 k texlive-grotesq  noarch 9:svn35859.0-36.fc34 fedora 72 k texlive-grundgesetze  noarch 9:svn34439.1.02-36.fc34 fedora 22 k texlive-gsemthesis  noarch 9:svn36244.0.9.4-36.fc34 fedora 22 k texlive-gtl  noarch 9:svn49527-36.fc34 fedora 20 k texlive-gtrlib-largetrees  noarch 9:svn49062-36.fc34 fedora 324 k texlive-gu  noarch 9:svn15878.0-36.fc34 fedora 22 k texlive-guitlogo  noarch 9:svn51582-36.fc34 fedora 20 k texlive-gzt  noarch 9:svn54390-36.fc34 fedora 54 k texlive-h2020proposal  noarch 9:svn38428-36.fc34 fedora 32 k texlive-hackthefootline  noarch 9:svn46494-36.fc34 fedora 201 k texlive-hacm  noarch 9:svn27671.0.1-36.fc34 fedora 247 k texlive-hagenberg-thesis  noarch 9:svn51150-36.fc34 fedora 3.9 M texlive-halloweenmath  noarch 9:svn52602-36.fc34 fedora 889 k texlive-handin  noarch 9:svn48255-36.fc34 fedora 450 k texlive-handout  noarch 9:svn43962-36.fc34 fedora 21 k texlive-hands  noarch 9:svn13293.0-36.fc34 fedora 16 k texlive-hang  noarch 9:svn43280-36.fc34 fedora 20 k texlive-hanging  noarch 9:svn15878.1.2b-36.fc34 fedora 21 k texlive-har2nat  noarch 9:svn54080-36.fc34 fedora 17 k texlive-hardwrap  noarch 9:svn21396.0.2-36.fc34 fedora 21 k texlive-harnon-cv  noarch 9:svn26543.1.0-36.fc34 fedora 15 k texlive-harpoon  noarch 9:svn21327.1.0-36.fc34 fedora 14 k texlive-harvard  noarch 9:svn15878.2.0.5-36.fc34 fedora 34 k texlive-harveyballs  noarch 9:svn32003.1.1-36.fc34 fedora 25 k texlive-harvmac  noarch 9:svn15878.0-36.fc34 fedora 18 k texlive-hc  noarch 9:svn15878.0-36.fc34 fedora 26 k texlive-he-she  noarch 9:svn41359-36.fc34 fedora 22 k texlive-hecthese  noarch 9:svn50590-36.fc34 fedora 892 k texlive-helvetic  noarch 9:svn31835.0-36.fc34 fedora 619 k texlive-hep  noarch 9:svn15878.1.0-36.fc34 fedora 18 k texlive-hep-paper  noarch 9:svn54994-36.fc34 fedora 538 k texlive-hepnames  noarch 9:svn35722.2.0-36.fc34 fedora 23 k texlive-hepparticles  noarch 9:svn35723.2.0-36.fc34 fedora 21 k texlive-hepthesis  noarch 9:svn46054-36.fc34 fedora 24 k texlive-hepunits  noarch 9:svn54758-36.fc34 fedora 18 k texlive-here  noarch 9:svn16135.0-36.fc34 fedora 14 k texlive-heuristica  noarch 9:svn51362-36.fc34 fedora 1.1 M texlive-hf-tikz  noarch 9:svn34733.0.3a-36.fc34 fedora 22 k texlive-hfbright  noarch 9:svn29349.0-36.fc34 fedora 833 k texlive-hfoldsty  noarch 9:svn29349.1.15-36.fc34 fedora 328 k texlive-hhtensor  noarch 9:svn54080-36.fc34 fedora 17 k texlive-histogr  noarch 9:svn15878.1.01-36.fc34 fedora 20 k texlive-historische-zeitschrift  noarch 9:svn42635-36.fc34 fedora 22 k texlive-hitec  noarch 9:svn15878.0.0_beta_-36.fc34 fedora 22 k texlive-hithesis  noarch 9:svn53362-36.fc34 fedora 1.0 M texlive-hitszbeamer  noarch 9:svn54381-36.fc34 fedora 1.1 M texlive-hitszthesis  noarch 9:svn54709-36.fc34 fedora 1.6 M texlive-hletter  noarch 9:svn30002.4.2-36.fc34 fedora 27 k texlive-hobby  noarch 9:svn44474-36.fc34 fedora 29 k texlive-hobete  noarch 9:svn27036.0-36.fc34 fedora 23 k texlive-hobsub  noarch 9:svn52810-36.fc34 fedora 80 k texlive-hologo  noarch 9:svn53048-36.fc34 fedora 565 k texlive-hpsdiss  noarch 9:svn15878.1.0-36.fc34 fedora 23 k texlive-hrefhide  noarch 9:svn22255.1.0f-36.fc34 fedora 21 k texlive-hu-berlin-bundle  noarch 9:svn54512-36.fc34 fedora 448 k texlive-hustthesis  noarch 9:svn42547-36.fc34 fedora 793 k texlive-hvfloat  noarch 9:svn52010-36.fc34 fedora 23 k texlive-hvindex  noarch 9:svn46051-36.fc34 fedora 18 k texlive-hvqrurl  noarch 9:svn52993-36.fc34 fedora 115 k texlive-hycolor  noarch 9:svn53584-36.fc34 fedora 386 k texlive-hypdvips  noarch 9:svn53197-36.fc34 fedora 43 k texlive-hyper  noarch 9:svn17357.4.2d-36.fc34 fedora 35 k texlive-hyperbar  noarch 9:svn48147-36.fc34 fedora 452 k texlive-hypernat  noarch 9:svn17358.1.0b-36.fc34 fedora 19 k texlive-hyperref  noarch 9:svn54841-36.fc34 fedora 123 k texlive-hyperxmp  noarch 9:svn54758-36.fc34 fedora 30 k texlive-hyph-utf8  noarch 9:svn54568-36.fc34 fedora 31 k texlive-hyphen-base  noarch 9:svn54763-36.fc34 fedora 35 k texlive-hyphen-polish  noarch 9:svn54568-36.fc34 fedora 29 k texlive-hyphenat  noarch 9:svn15878.2.3c-36.fc34 fedora 20 k texlive-hyphenex  noarch 9:svn37354.0-36.fc34 fedora 20 k texlive-icite  noarch 9:svn54512-36.fc34 fedora 293 k texlive-icsv  noarch 9:svn15878.0.2-36.fc34 fedora 20 k texlive-identkey  noarch 9:svn49018-36.fc34 fedora 25 k texlive-idxcmds  noarch 9:svn54554-36.fc34 fedora 21 k texlive-idxlayout  noarch 9:svn25821.0.4d-36.fc34 fedora 19 k texlive-ieeepes  noarch 9:svn17359.4.0-36.fc34 fedora 26 k texlive-ietfbibs-doc  noarch 9:svn41332-36.fc34 fedora 18 k texlive-iffont  noarch 9:svn38823-36.fc34 fedora 20 k texlive-ifmslide  noarch 9:svn20727.0.47-36.fc34 fedora 290 k texlive-ifmtarg  noarch 9:svn47544-36.fc34 fedora 16 k texlive-ifnextok  noarch 9:svn23379.0.3-36.fc34 fedora 29 k texlive-ifoddpage  noarch 9:svn40726-36.fc34 fedora 20 k texlive-ifplatform  noarch 9:svn45533-36.fc34 fedora 18 k texlive-ifsym  noarch 9:svn24868.0-36.fc34 fedora 28 k texlive-iftex  noarch 9:svn54159-36.fc34 fedora 23 k texlive-ifthenx  noarch 9:svn25819.0.1a-36.fc34 fedora 20 k texlive-iitem  noarch 9:svn29613.1.0-36.fc34 fedora 17 k texlive-ijmart  noarch 9:svn30958.1.7-36.fc34 fedora 27 k texlive-ijqc  noarch 9:svn15878.1.2-36.fc34 fedora 22 k texlive-ijsra  noarch 9:svn44886-36.fc34 fedora 708 k texlive-imac  noarch 9:svn17347.0-36.fc34 fedora 24 k texlive-image-gallery  noarch 9:svn15878.v1.0j-36.fc34 fedora 18 k texlive-imakeidx  noarch 9:svn42287-36.fc34 fedora 23 k texlive-imfellenglish  noarch 9:svn38547-36.fc34 fedora 2.9 M texlive-import  noarch 9:svn54683-36.fc34 fedora 16 k texlive-imtekda  noarch 9:svn17667.1.7-36.fc34 fedora 20 k texlive-incgraph  noarch 9:svn36500.1.12-36.fc34 fedora 23 k texlive-includernw  noarch 9:svn47557-36.fc34 fedora 175 k texlive-inconsolata  noarch 9:svn54512-36.fc34 fedora 338 k texlive-index  noarch 9:svn24099.4.1beta-36.fc34 fedora 25 k texlive-indextools  noarch 9:svn38931-36.fc34 fedora 23 k texlive-infwarerr  noarch 9:svn53023-36.fc34 fedora 298 k texlive-initials  noarch 9:svn54080-36.fc34 fedora 3.0 M texlive-inkpaper  noarch 9:svn54080-36.fc34 fedora 299 k texlive-inline-images  noarch 9:svn54080-36.fc34 fedora 146 k texlive-inlinebib  noarch 9:svn22018.0-36.fc34 fedora 23 k texlive-inlinedef  noarch 9:svn15878.1.0-36.fc34 fedora 20 k texlive-inputenx  noarch 9:svn52986-36.fc34 fedora 822 k texlive-inputtrc  noarch 9:svn28019.0.3-36.fc34 fedora 21 k texlive-inriafonts  noarch 9:svn54512-36.fc34 fedora 2.5 M texlive-intcalc  noarch 9:svn53168-36.fc34 fedora 435 k texlive-interactiveworkbook  noarch 9:svn15878.0-36.fc34 fedora 21 k texlive-interfaces  noarch 9:svn21474.3.1-36.fc34 fedora 50 k texlive-interval  noarch 9:svn50265-36.fc34 fedora 20 k texlive-intopdf  noarch 9:svn51247-36.fc34 fedora 408 k texlive-inversepath  noarch 9:svn15878.0.2-36.fc34 fedora 20 k texlive-invoice  noarch 9:svn48359-36.fc34 fedora 26 k texlive-invoice-class  noarch 9:svn49749-36.fc34 fedora 122 k texlive-invoice2  noarch 9:svn46364-36.fc34 fedora 627 k texlive-iodhbwm  noarch 9:svn54734-36.fc34 fedora 3.0 M texlive-ionumbers  noarch 9:svn33457.0.3.3-36.fc34 fedora 23 k texlive-iopart-num  noarch 9:svn15878.2.1-36.fc34 fedora 23 k texlive-ipaex  noarch 9:svn52032-36.fc34 fedora 16 M texlive-ipaex-type1  noarch 9:svn47700-36.fc34 fedora 13 M texlive-is-bst  noarch 9:svn52623-36.fc34 fedora 37 k texlive-iscram  noarch 9:svn45801-36.fc34 fedora 285 k texlive-iso  noarch 9:svn15878.2.4-36.fc34 fedora 32 k texlive-iso10303  noarch 9:svn15878.1.5-36.fc34 fedora 66 k texlive-isodate  noarch 9:svn16613.2.28-36.fc34 fedora 24 k texlive-isodoc  noarch 9:svn47868-36.fc34 fedora 29 k texlive-isomath  noarch 9:svn27654.0.6.1-36.fc34 fedora 21 k texlive-isonums  noarch 9:svn17362.1.0-36.fc34 fedora 18 k texlive-isopt  noarch 9:svn45509-36.fc34 fedora 80 k texlive-isorot  noarch 9:svn15878.0-36.fc34 fedora 18 k texlive-isotope  noarch 9:svn23711.v0.3-36.fc34 fedora 17 k texlive-issuulinks  noarch 9:svn25742.1.1-36.fc34 fedora 21 k texlive-istgame  noarch 9:svn49848-36.fc34 fedora 764 k texlive-itnumpar  noarch 9:svn15878.1.0-36.fc34 fedora 18 k texlive-iwhdp  noarch 9:svn37552.0.50-36.fc34 fedora 29 k texlive-iwona  noarch 9:svn19611.0.995b-36.fc34 fedora 4.0 M texlive-jablantile  noarch 9:svn16364.0-36.fc34 fedora 17 k texlive-jacow  noarch 9:svn50870-36.fc34 fedora 25 k texlive-jamtimes  noarch 9:svn20408.1.12-36.fc34 fedora 82 k texlive-japanese-otf  noarch 9:svn50769-36.fc34 fedora 734 k texlive-japanese-otf-uptex  noarch 9:svn54080-36.fc34 fedora 304 k texlive-jbact  noarch 9:svn52717-36.fc34 fedora 22 k texlive-jkmath  noarch 9:svn47109-36.fc34 fedora 145 k texlive-jknapltx  noarch 9:svn19440.0-36.fc34 fedora 29 k texlive-jlabels  noarch 9:svn24858.0-36.fc34 fedora 14 k texlive-jmb  noarch 9:svn52718-36.fc34 fedora 23 k texlive-jmlr  noarch 9:svn54562-36.fc34 fedora 44 k texlive-jneurosci  noarch 9:svn17346.1.00-36.fc34 fedora 23 k texlive-jnuexam  noarch 9:svn52696-36.fc34 fedora 604 k texlive-jpsj  noarch 9:svn15878.1.2.2-36.fc34 fedora 23 k texlive-jslectureplanner  noarch 9:svn53672-36.fc34 fedora 32 k texlive-jumplines  noarch 9:svn37553.0.2-36.fc34 fedora 23 k texlive-junicode  noarch 9:svn53954-36.fc34 fedora 1.1 M texlive-jurabib  noarch 9:svn15878.0.6-36.fc34 fedora 72 k texlive-jvlisting  noarch 9:svn24638.0.7-36.fc34 fedora 18 k texlive-kalendarium  noarch 9:svn48744-36.fc34 fedora 378 k texlive-kantlipsum  noarch 9:svn51727-36.fc34 fedora 43 k texlive-karnaugh  noarch 9:svn21338.0-36.fc34 fedora 20 k texlive-karnaugh-map  noarch 9:svn44131-36.fc34 fedora 250 k texlive-karnaughmap  noarch 9:svn36989.2.0-36.fc34 fedora 21 k texlive-kastrup  noarch 9:svn15878.0-36.fc34 fedora 14 k texlive-kblocks  noarch 9:svn52382-36.fc34 fedora 165 k texlive-kdgdocs  noarch 9:svn24498.1.0-36.fc34 fedora 23 k texlive-kerntest  noarch 9:svn15878.1.32-36.fc34 fedora 29 k texlive-keycommand  noarch 9:svn18042.3.1415-36.fc34 fedora 21 k texlive-keyfloat  noarch 9:svn52160-36.fc34 fedora 1.0 M texlive-keyindex  noarch 9:svn50828-36.fc34 fedora 162 k texlive-keyreader  noarch 9:svn28195.0.5b-36.fc34 fedora 28 k texlive-keystroke  noarch 9:svn17992.v1.6-36.fc34 fedora 25 k texlive-keyval2e  noarch 9:svn23698.0.0.2-36.fc34 fedora 22 k texlive-keyvaltable  noarch 9:svn54677-36.fc34 fedora 26 k texlive-kix  noarch 9:svn21606.0-36.fc34 fedora 17 k texlive-kixfont  noarch 9:svn18488.0-36.fc34 fedora 15 k texlive-kluwer  noarch 9:svn54074-36.fc34 fedora 69 k texlive-knitting  noarch 9:svn50782-36.fc34 fedora 593 k texlive-knittingpattern  noarch 9:svn17205.0-36.fc34 fedora 20 k texlive-knowledge  noarch 9:svn54876-36.fc34 fedora 784 k texlive-knuth-lib  noarch 9:svn35820.0-36.fc34 fedora 48 k texlive-knuth-local  noarch 9:svn38627-36.fc34 fedora 41 k texlive-koma-moderncvclassic  noarch 9:svn25025.v0.5-36.fc34 fedora 23 k texlive-koma-script  noarch 9:svn54825-36.fc34 fedora 6.4 M texlive-koma-script-sfs  noarch 9:svn26137.1.0-36.fc34 fedora 19 k texlive-komacv  noarch 9:svn43902-36.fc34 fedora 26 k texlive-komacv-rg  noarch 9:svn49064-36.fc34 fedora 851 k texlive-kpathsea  x86_64 9:20200327-25.fc34 fedora 1.1 M texlive-kpfonts  noarch 9:svn48470-36.fc34 fedora 2.4 M texlive-ksfh_nat  noarch 9:svn24825.1.1-36.fc34 fedora 22 k texlive-ksp-thesis  noarch 9:svn39080-36.fc34 fedora 23 k texlive-ktv-texdata  noarch 9:svn27369.05.34-36.fc34 fedora 20 k texlive-ku-template  noarch 9:svn45935-36.fc34 fedora 236 k texlive-kurier  noarch 9:svn19612.0.995b-36.fc34 fedora 3.9 M texlive-kvdefinekeys  noarch 9:svn53193-36.fc34 fedora 309 k texlive-kvmap  noarch 9:svn54898-36.fc34 fedora 125 k texlive-kvoptions  noarch 9:svn52985-36.fc34 fedora 489 k texlive-kvsetkeys  noarch 9:svn53166-36.fc34 fedora 383 k texlive-l3backend  noarch 9:svn54259-36.fc34 fedora 737 k texlive-l3build  noarch 9:20200327-25.fc34 fedora 745 k texlive-l3experimental  noarch 9:svn53394-36.fc34 fedora 47 k texlive-l3kernel  noarch 9:svn54672-36.fc34 fedora 177 k texlive-l3packages  noarch 9:svn54131-36.fc34 fedora 40 k texlive-labbook  noarch 9:svn15878.0-36.fc34 fedora 20 k texlive-labels  noarch 9:svn15878.13-36.fc34 fedora 21 k texlive-labels4easylist  noarch 9:svn51124-36.fc34 fedora 38 k texlive-labelschanged  noarch 9:svn46040-36.fc34 fedora 203 k texlive-ladder  noarch 9:svn44394-36.fc34 fedora 222 k texlive-lambda-lists  noarch 9:svn31402.0-36.fc34 fedora 17 k texlive-langcode  noarch 9:svn27764.0.2-36.fc34 fedora 22 k texlive-langsci  noarch 9:svn54393-36.fc34 fedora 92 k texlive-langsci-avm  noarch 9:svn54239-36.fc34 fedora 120 k texlive-lapdf  noarch 9:svn23806.1.1-36.fc34 fedora 30 k texlive-lastpackage  noarch 9:svn34481.0.1-36.fc34 fedora 20 k texlive-lastpage  noarch 9:svn36680.1.2m-36.fc34 fedora 22 k texlive-latex  noarch 9:20200327-25.fc34 fedora 17 M texlive-latex-base-dev  noarch 9:svn54146-36.fc34 fedora 17 M texlive-latex-fonts  noarch 9:svn28888.0-36.fc34 fedora 43 k texlive-latex-make  noarch 9:svn48925-36.fc34 fedora 33 k texlive-latex-tds-doc  noarch 9:svn54758-36.fc34 fedora 103 k texlive-latex-uni8  noarch 9:svn49729-36.fc34 fedora 27 k texlive-latexbug  noarch 9:svn52414-36.fc34 fedora 205 k texlive-latexcolors  noarch 9:svn49888-36.fc34 fedora 391 k texlive-latexconfig  noarch 9:svn53525-36.fc34 fedora 17 k texlive-latexdemo  noarch 9:svn34481.0.1-36.fc34 fedora 21 k texlive-latexgit  noarch 9:svn54811-36.fc34 fedora 450 k texlive-lato  noarch 9:svn54512-36.fc34 fedora 13 M texlive-layouts  noarch 9:svn42428-36.fc34 fedora 32 k texlive-lazylist  noarch 9:svn17691.1.0a-36.fc34 fedora 19 k texlive-lccaps  noarch 9:svn46432-36.fc34 fedora 166 k texlive-lcd  noarch 9:svn16549.0.3-36.fc34 fedora 19 k texlive-lcg  noarch 9:svn31474.1.3-36.fc34 fedora 18 k texlive-leading  noarch 9:svn15878.0.3-36.fc34 fedora 17 k texlive-leaflet  noarch 9:svn43523-36.fc34 fedora 21 k texlive-lectures  noarch 9:svn53642-36.fc34 fedora 21 k texlive-leftidx  noarch 9:svn15878.0-36.fc34 fedora 17 k texlive-leipzig  noarch 9:svn52450-36.fc34 fedora 25 k texlive-lengthconvert  noarch 9:svn30867.1.0a-36.fc34 fedora 21 k texlive-letltxmacro  noarch 9:svn53022-36.fc34 fedora 302 k texlive-letterswitharrows  noarch 9:svn53709-36.fc34 fedora 465 k texlive-lettre  noarch 9:svn54722-36.fc34 fedora 45 k texlive-lettrine  noarch 9:svn54560-36.fc34 fedora 27 k texlive-lewis  noarch 9:svn15878.0.1-36.fc34 fedora 14 k texlive-lexend  noarch 9:svn54512-36.fc34 fedora 372 k texlive-lfb  noarch 9:svn15878.1.0-36.fc34 fedora 43 k texlive-lhelp  noarch 9:svn23638.2.0-36.fc34 fedora 23 k texlive-lib  x86_64 9:20200327-25.fc34 fedora 487 k texlive-libertine  noarch 9:svn54583-36.fc34 fedora 14 M texlive-libertinegc  noarch 9:svn44616-36.fc34 fedora 82 k texlive-libertinus  noarch 9:svn55064-36.fc34 fedora 16 k texlive-libertinus-fonts  noarch 9:svn56656-36.fc34 fedora 2.3 M texlive-libertinus-otf  noarch 9:svn56649-36.fc34 fedora 609 k texlive-libertinus-type1  noarch 9:svn56160-36.fc34 fedora 10 M texlive-libertinust1math  noarch 9:svn55517-36.fc34 fedora 1.0 M texlive-libgreek  noarch 9:svn27789.1.0-36.fc34 fedora 21 k texlive-librebaskerville  noarch 9:svn56018-36.fc34 fedora 633 k texlive-librebodoni  noarch 9:svn39375-36.fc34 fedora 597 k texlive-librecaslon  noarch 9:svn56003-36.fc34 fedora 795 k texlive-librefranklin  noarch 9:svn54512-36.fc34 fedora 3.1 M texlive-libris  noarch 9:svn19409.1.007-36.fc34 fedora 272 k texlive-lie-hasse  noarch 9:svn53653-36.fc34 fedora 591 k texlive-limap  noarch 9:svn44863-36.fc34 fedora 21 k texlive-limecv  noarch 9:svn54329-36.fc34 fedora 391 k texlive-lineara  noarch 9:svn15878.0-36.fc34 fedora 167 k texlive-linegoal  noarch 9:svn21523.2.9-36.fc34 fedora 21 k texlive-lineno  noarch 9:svn21442.4.41-36.fc34 fedora 78 k texlive-linguex  noarch 9:svn30815.4.3-36.fc34 fedora 23 k texlive-linguisticspro  noarch 9:svn54512-36.fc34 fedora 1.5 M texlive-linop  noarch 9:svn41304-36.fc34 fedora 20 k texlive-lion-msc  noarch 9:svn51143-36.fc34 fedora 1.4 M texlive-lipsum  noarch 9:svn49610-36.fc34 fedora 92 k texlive-lisp-on-tex  noarch 9:svn38722-36.fc34 fedora 28 k texlive-listbib  noarch 9:20200327-25.fc34 fedora 359 k texlive-listing  noarch 9:svn17373.1.2-36.fc34 fedora 18 k texlive-listings  noarch 9:svn54554-36.fc34 fedora 169 k texlive-listingsutf8  noarch 9:svn53097-36.fc34 fedora 311 k texlive-listlbls  noarch 9:svn34893.1.03-36.fc34 fedora 21 k texlive-listliketab  noarch 9:svn15878.0-36.fc34 fedora 17 k texlive-listofitems  noarch 9:svn51923-36.fc34 fedora 809 k texlive-listofsymbols  noarch 9:svn16134.0.2-36.fc34 fedora 19 k texlive-lkproof  noarch 9:svn20021.3.1-36.fc34 fedora 20 k texlive-llncsconf  noarch 9:svn46707-36.fc34 fedora 143 k texlive-lm  noarch 9:svn48145-36.fc34 fedora 12 M texlive-lm-math  noarch 9:svn36915.1.959-36.fc34 fedora 456 k texlive-lmake  noarch 9:svn25552.1.0-36.fc34 fedora 19 k texlive-lni  noarch 9:svn52401-36.fc34 fedora 390 k texlive-lobster2  noarch 9:svn56019-36.fc34 fedora 563 k texlive-locality  noarch 9:svn20422.0.2-36.fc34 fedora 21 k texlive-localloc  noarch 9:svn21934.0-36.fc34 fedora 15 k texlive-logbox  noarch 9:svn24499.1.0-36.fc34 fedora 20 k texlive-logical-markup-utils  noarch 9:svn15878.0-36.fc34 fedora 26 k texlive-logicproof  noarch 9:svn33254.0-36.fc34 fedora 21 k texlive-logix  noarch 9:svn54512-36.fc34 fedora 2.7 M texlive-logpap  noarch 9:svn15878.0.6-36.fc34 fedora 22 k texlive-logreq  noarch 9:svn53003-36.fc34 fedora 22 k texlive-longdivision  noarch 9:svn50586-36.fc34 fedora 148 k texlive-longfbox  noarch 9:svn39028-36.fc34 fedora 36 k texlive-longfigure  noarch 9:svn34302.1.0-36.fc34 fedora 23 k texlive-longnamefilelist  noarch 9:svn27889.0.2-36.fc34 fedora 22 k texlive-loops  noarch 9:svn30704.1.3-36.fc34 fedora 30 k texlive-lpform  noarch 9:svn36918.0-36.fc34 fedora 18 k texlive-lpic  noarch 9:svn20843.0.8-36.fc34 fedora 24 k texlive-lplfitch  noarch 9:svn31077.0.9-36.fc34 fedora 21 k texlive-lps  noarch 9:svn21322.0.7-36.fc34 fedora 18 k texlive-lroundrect  noarch 9:svn39804-36.fc34 fedora 21 k texlive-lsc  noarch 9:svn15878.0-36.fc34 fedora 30 k texlive-lstaddons  noarch 9:svn26196.0.1-36.fc34 fedora 21 k texlive-lstbayes  noarch 9:svn48160-36.fc34 fedora 22 k texlive-lstfiracode  noarch 9:svn49503-36.fc34 fedora 76 k texlive-lt3graph  noarch 9:svn45913-36.fc34 fedora 30 k texlive-ltablex  noarch 9:svn34923.1.1-36.fc34 fedora 18 k texlive-ltabptch  noarch 9:svn17533.1.74d-36.fc34 fedora 20 k texlive-ltb2bib  noarch 9:svn43746-36.fc34 fedora 63 k texlive-ltxcmds  noarch 9:svn53165-36.fc34 fedora 400 k texlive-ltxdockit  noarch 9:svn21869.1.2d-36.fc34 fedora 23 k texlive-ltxguidex  noarch 9:svn50992-36.fc34 fedora 89 k texlive-ltxkeys  noarch 9:svn28332.0.0.3c-36.fc34 fedora 41 k texlive-ltxmisc  noarch 9:svn21927.0-36.fc34 fedora 30 k texlive-ltxnew  noarch 9:svn21586.1.3-36.fc34 fedora 22 k texlive-ltxtools  noarch 9:svn24897.0.0.1a-36.fc34 fedora 71 k texlive-lua-alt-getopt  noarch 9:svn29349.0.7.0-36.fc34 fedora 14 k texlive-lua-check-hyphen  noarch 9:svn47527-36.fc34 fedora 17 k texlive-luabibentry  noarch 9:svn31783.0.1a-36.fc34 fedora 21 k texlive-luabidi  noarch 9:svn54512-36.fc34 fedora 22 k texlive-luacode  noarch 9:svn25193.1.2a-36.fc34 fedora 21 k texlive-luacolor  noarch 9:svn53933-36.fc34 fedora 334 k texlive-luahbtex  x86_64 9:20200327-25.fc34 fedora 1.9 M texlive-luainputenc  noarch 9:svn20491.0.973-36.fc34 fedora 19 k texlive-lualatex-math  noarch 9:svn52663-36.fc34 fedora 22 k texlive-lualibs  noarch 9:svn53682-36.fc34 fedora 144 k texlive-luamesh  noarch 9:svn43814-36.fc34 fedora 248 k texlive-luaotfload  noarch 9:20200327-25.fc34 fedora 1.1 M texlive-luasseq  noarch 9:svn37877.0-36.fc34 fedora 26 k texlive-luatex  x86_64 9:20200327-25.fc34 fedora 3.7 M texlive-luatexbase  noarch 9:svn52663-36.fc34 fedora 18 k texlive-luatexja  noarch 9:svn54758-36.fc34 fedora 222 k texlive-luatextra  noarch 9:svn20747.1.0.1-36.fc34 fedora 14 k texlive-luatodonotes  noarch 9:svn53825-36.fc34 fedora 44 k texlive-lwarp  noarch 9:20200327-25.fc34 fedora 2.8 M texlive-lxfonts  noarch 9:svn32354.2.0b-36.fc34 fedora 935 k texlive-ly1  noarch 9:svn47848-36.fc34 fedora 92 k texlive-macroswap  noarch 9:svn31498.1.1-36.fc34 fedora 18 k texlive-magaz  noarch 9:svn24694.0.4-36.fc34 fedora 17 k texlive-mailing  noarch 9:svn15878.0-36.fc34 fedora 18 k texlive-mailmerge  noarch 9:svn15878.1.0-36.fc34 fedora 19 k texlive-make4ht  noarch 9:20200327-25.fc34 fedora 222 k texlive-makebarcode  noarch 9:svn15878.1.0-36.fc34 fedora 21 k texlive-makebase  noarch 9:svn41012-36.fc34 fedora 20 k texlive-makebox  noarch 9:svn15878.0.1-36.fc34 fedora 17 k texlive-makecell  noarch 9:svn15878.0.1e-36.fc34 fedora 20 k texlive-makecirc  noarch 9:svn15878.0-36.fc34 fedora 28 k texlive-makecmds  noarch 9:svn15878.0-36.fc34 fedora 17 k texlive-makecookbook  noarch 9:svn49311-36.fc34 fedora 480 k texlive-makedtx  noarch 9:20200327-25.fc34 fedora 284 k texlive-makeglos  noarch 9:svn15878.0-36.fc34 fedora 18 k texlive-makeindex  x86_64 9:20200327-25.fc34 fedora 436 k texlive-maker  noarch 9:svn44823-36.fc34 fedora 24 k texlive-makerobust  noarch 9:svn52811-36.fc34 fedora 85 k texlive-makeshape  noarch 9:svn28973.2.1-36.fc34 fedora 20 k texlive-mandi  noarch 9:svn49720-36.fc34 fedora 35 k texlive-manfnt  noarch 9:svn42428-36.fc34 fedora 17 k texlive-manfnt-font  noarch 9:svn54684-36.fc34 fedora 39 k texlive-manuscript  noarch 9:svn36110.1.7-36.fc34 fedora 18 k texlive-manyind  noarch 9:svn49874-36.fc34 fedora 175 k texlive-marcellus  noarch 9:svn56016-36.fc34 fedora 228 k texlive-margbib  noarch 9:svn15878.1.0c-36.fc34 fedora 19 k texlive-marginfit  noarch 9:svn48281-36.fc34 fedora 229 k texlive-marginfix  noarch 9:svn31598.1.1-36.fc34 fedora 20 k texlive-marginnote  noarch 9:svn48383-36.fc34 fedora 19 k texlive-markdown  noarch 9:svn54482-36.fc34 fedora 53 k texlive-marvosym  noarch 9:svn29349.2.2a-36.fc34 fedora 151 k texlive-matc3  noarch 9:svn29845.1.0.1-36.fc34 fedora 20 k texlive-matc3mem  noarch 9:svn35773.1.1-36.fc34 fedora 21 k texlive-mathabx  noarch 9:svn15878.0-36.fc34 fedora 136 k texlive-mathabx-type1  noarch 9:svn21129.0-36.fc34 fedora 1.8 M texlive-mathalpha  noarch 9:svn52305-36.fc34 fedora 23 k texlive-mathastext  noarch 9:svn52840-36.fc34 fedora 35 k texlive-mathcommand  noarch 9:svn53044-36.fc34 fedora 464 k texlive-mathcomp  noarch 9:svn15878.0.1f-36.fc34 fedora 17 k texlive-mathdesign  noarch 9:svn31639.2.31-36.fc34 fedora 2.4 M texlive-mathexam  noarch 9:svn15878.1.00-36.fc34 fedora 18 k texlive-mathfam256  noarch 9:svn53519-36.fc34 fedora 103 k texlive-mathfixs  noarch 9:svn49547-36.fc34 fedora 306 k texlive-mathfont  noarch 9:svn53035-36.fc34 fedora 842 k texlive-mathlig  noarch 9:svn54244-36.fc34 fedora 15 k texlive-mathpartir  noarch 9:svn39864-36.fc34 fedora 24 k texlive-mathpazo  noarch 9:svn52663-36.fc34 fedora 87 k texlive-mathpunctspace  noarch 9:svn46754-36.fc34 fedora 200 k texlive-mathspec  noarch 9:svn42773-36.fc34 fedora 26 k texlive-mathspic  noarch 9:20200327-25.fc34 fedora 2.4 M texlive-mathtools  noarch 9:svn54516-36.fc34 fedora 39 k texlive-matlab-prettifier  noarch 9:svn34323.0.3-36.fc34 fedora 23 k texlive-matrix-skeleton  noarch 9:svn54080-36.fc34 fedora 158 k texlive-mattens  noarch 9:svn17582.1.3-36.fc34 fedora 19 k texlive-maybemath  noarch 9:svn15878.0-36.fc34 fedora 18 k texlive-mcaption  noarch 9:svn15878.3.0-36.fc34 fedora 18 k texlive-mceinleger  noarch 9:svn15878.0-36.fc34 fedora 19 k texlive-mcexam  noarch 9:svn46155-36.fc34 fedora 264 k texlive-mcite  noarch 9:svn18173.1.6-36.fc34 fedora 20 k texlive-mciteplus  noarch 9:svn31648.1.2-36.fc34 fedora 50 k texlive-mcmthesis  noarch 9:svn53513-36.fc34 fedora 23 k texlive-mdframed  noarch 9:svn31075.1.9b-36.fc34 fedora 38 k texlive-mdputu  noarch 9:svn20298.1.2-36.fc34 fedora 35 k texlive-mdsymbol  noarch 9:svn28399.0.5-36.fc34 fedora 939 k texlive-mdwtools  noarch 9:svn15878.1.05.4-36.fc34 fedora 38 k texlive-media4svg  noarch 9:svn54773-36.fc34 fedora 156 k texlive-media9  noarch 9:svn54554-36.fc34 fedora 1.8 M texlive-medstarbeamer  noarch 9:svn38828-36.fc34 fedora 21 k texlive-meetingmins  noarch 9:svn31878.1.6-36.fc34 fedora 21 k texlive-memexsupp  noarch 9:svn15878.0.1-36.fc34 fedora 18 k texlive-memoir  noarch 9:svn54554-36.fc34 fedora 96 k texlive-memory  noarch 9:svn30452.1.2-36.fc34 fedora 20 k texlive-memorygraphs  noarch 9:svn49631-36.fc34 fedora 206 k texlive-mensa-tex  noarch 9:svn45997-36.fc34 fedora 187 k texlive-mentis  noarch 9:svn15878.1.5-36.fc34 fedora 20 k texlive-menu  noarch 9:svn15878.0.994-36.fc34 fedora 15 k texlive-menukeys  noarch 9:svn41823-36.fc34 fedora 23 k texlive-mercatormap  noarch 9:svn54812-36.fc34 fedora 24 M texlive-merriweather  noarch 9:svn56365-36.fc34 fedora 5.7 M texlive-metafont  x86_64 9:20200327-25.fc34 fedora 278 k texlive-metalogo  noarch 9:svn18611.0.12-36.fc34 fedora 18 k texlive-metalogox  noarch 9:svn49774-36.fc34 fedora 438 k texlive-metastr  noarch 9:svn53700-36.fc34 fedora 333 k texlive-method  noarch 9:svn17485.2.0b-36.fc34 fedora 19 k texlive-metre  noarch 9:svn18489.1.0-36.fc34 fedora 27 k texlive-mfirstuc  noarch 9:svn45803-36.fc34 fedora 23 k texlive-mflogo  noarch 9:svn42428-36.fc34 fedora 18 k texlive-mflogo-font  noarch 9:svn54512-36.fc34 fedora 33 k texlive-mfnfss  noarch 9:svn46036-36.fc34 fedora 19 k texlive-mftinc  noarch 9:svn15878.1.0a-36.fc34 fedora 19 k texlive-mfware  x86_64 9:20200327-25.fc34 fedora 108 k texlive-mgltex  noarch 9:svn41676-36.fc34 fedora 1.7 M texlive-mhchem  noarch 9:svn52662-36.fc34 fedora 72 k texlive-mhequ  noarch 9:svn38224.1.7-36.fc34 fedora 17 k texlive-mi-solns  noarch 9:svn49651-36.fc34 fedora 470 k texlive-miama  noarch 9:svn54512-36.fc34 fedora 559 k texlive-microtype  noarch 9:svn52853-36.fc34 fedora 67 k texlive-midpage  noarch 9:svn17484.1.1a-36.fc34 fedora 17 k texlive-miller  noarch 9:svn18789.1.2-36.fc34 fedora 17 k texlive-milsymb  noarch 9:svn54361-36.fc34 fedora 1.5 M texlive-minibox  noarch 9:svn30914.0.2a-36.fc34 fedora 17 k texlive-minidocument  noarch 9:svn43752-36.fc34 fedora 203 k texlive-minifp  noarch 9:svn32559.0.96-36.fc34 fedora 29 k texlive-minipage-marginpar  noarch 9:svn15878.v0.2-36.fc34 fedora 18 k texlive-miniplot  noarch 9:svn17483.0-36.fc34 fedora 22 k texlive-minitoc  noarch 9:svn48196-36.fc34 fedora 88 k texlive-minorrevision  noarch 9:svn32165.1.1-36.fc34 fedora 19 k texlive-minted  noarch 9:svn44855-36.fc34 fedora 28 k texlive-mintspirit  noarch 9:svn32069.0-36.fc34 fedora 1.2 M texlive-minutes  noarch 9:svn42186-36.fc34 fedora 22 k texlive-mismath  noarch 9:svn53245-36.fc34 fedora 536 k texlive-missaali  noarch 9:svn54512-36.fc34 fedora 7.6 M texlive-mkpic  noarch 9:20200327-25.fc34 fedora 217 k texlive-mla-paper  noarch 9:svn54080-36.fc34 fedora 18 k texlive-mlacls  noarch 9:svn51865-36.fc34 fedora 2.8 M texlive-mleftright  noarch 9:svn53021-36.fc34 fedora 321 k texlive-mlist  noarch 9:svn15878.0.6a-36.fc34 fedora 19 k texlive-mmap  noarch 9:svn15878.1.03-36.fc34 fedora 43 k texlive-mnotes  noarch 9:svn35521.0.8-36.fc34 fedora 21 k texlive-mnras  noarch 9:svn37579.3.0-36.fc34 fedora 44 k texlive-mnsymbol  noarch 9:svn18651.1.4-36.fc34 fedora 4.4 M texlive-modeles-factures-belges-assocs  noarch 9:svn50010-36.fc34 fedora 275 k texlive-moderncv  noarch 9:svn52669-36.fc34 fedora 46 k texlive-modernposter  noarch 9:svn47269-36.fc34 fedora 395 k texlive-moderntimeline  noarch 9:svn50228-36.fc34 fedora 21 k texlive-modes  noarch 9:svn53604-36.fc34 fedora 307 k texlive-modiagram  noarch 9:svn52589-36.fc34 fedora 28 k texlive-modref  noarch 9:svn15878.1.0-36.fc34 fedora 18 k texlive-modroman  noarch 9:svn29803.1-36.fc34 fedora 18 k texlive-modular  noarch 9:svn44142-36.fc34 fedora 162 k texlive-monofill  noarch 9:svn28140.0.2-36.fc34 fedora 23 k texlive-montserrat  noarch 9:svn54512-36.fc34 fedora 14 M texlive-moodle  noarch 9:svn39367-36.fc34 fedora 35 k texlive-moreenum  noarch 9:svn24479.1.03-36.fc34 fedora 22 k texlive-morefloats  noarch 9:svn37927.1.0h-36.fc34 fedora 24 k texlive-morehype  noarch 9:svn38815-36.fc34 fedora 82 k texlive-moresize  noarch 9:svn17513.1.9-36.fc34 fedora 17 k texlive-moreverb  noarch 9:svn22126.2.3a-36.fc34 fedora 18 k texlive-morewrites  noarch 9:svn49531-36.fc34 fedora 24 k texlive-mparhack  noarch 9:svn15878.1.4-36.fc34 fedora 20 k texlive-mpfonts  noarch 9:svn54512-36.fc34 fedora 5.9 M texlive-mpostinl  noarch 9:svn49559-36.fc34 fedora 345 k texlive-mptopdf  noarch 9:20200327-25.fc34 fedora 53 k texlive-ms  noarch 9:svn51784-36.fc34 fedora 21 k texlive-msc  noarch 9:svn15878.1.16-36.fc34 fedora 34 k texlive-msg  noarch 9:svn49578-36.fc34 fedora 24 k texlive-mslapa  noarch 9:svn54080-36.fc34 fedora 22 k texlive-msu-thesis  noarch 9:svn46106-36.fc34 fedora 27 k texlive-mtgreek  noarch 9:svn17967.1.1+-36.fc34 fedora 18 k texlive-mucproc  noarch 9:svn43445-36.fc34 fedora 349 k texlive-mugsthesis  noarch 9:svn34878.0-36.fc34 fedora 22 k texlive-multenum  noarch 9:svn21775.0-36.fc34 fedora 18 k texlive-multiaudience  noarch 9:svn38035.1.03-36.fc34 fedora 20 k texlive-multibbl  noarch 9:svn15878.v1.1-36.fc34 fedora 18 k texlive-multibib  noarch 9:svn15878.1.4-36.fc34 fedora 33 k texlive-multibibliography  noarch 9:20200327-25.fc34 fedora 468 k texlive-multicap  noarch 9:svn15878.0-36.fc34 fedora 18 k texlive-multicolrule  noarch 9:svn52283-36.fc34 fedora 617 k texlive-multidef  noarch 9:svn40637-36.fc34 fedora 21 k texlive-multido  noarch 9:svn18302.1.42-36.fc34 fedora 20 k texlive-multienv  noarch 9:svn26544.1.0-36.fc34 fedora 20 k texlive-multiexpand  noarch 9:svn45943-36.fc34 fedora 20 k texlive-multilang  noarch 9:svn49065-36.fc34 fedora 422 k texlive-multiobjective  noarch 9:svn15878.1.0-36.fc34 fedora 17 k texlive-multirow  noarch 9:svn51278-36.fc34 fedora 19 k texlive-munich  noarch 9:svn15878.0-36.fc34 fedora 23 k texlive-musuos  noarch 9:svn24857.1.1d-36.fc34 fedora 20 k texlive-muthesis  noarch 9:svn23861.0-36.fc34 fedora 24 k texlive-mversion  noarch 9:svn29370.1.0.1-36.fc34 fedora 18 k texlive-mwe  noarch 9:svn47194-36.fc34 fedora 833 k texlive-mweights  noarch 9:svn53520-36.fc34 fedora 17 k texlive-mycv  noarch 9:svn26807.1.5.6-36.fc34 fedora 28 k texlive-mylatexformat  noarch 9:svn21392.3.4-36.fc34 fedora 23 k texlive-mynsfc  noarch 9:svn41996-36.fc34 fedora 22 k texlive-nag  noarch 9:svn24741.0.7-36.fc34 fedora 24 k texlive-nameauth  noarch 9:svn53940-36.fc34 fedora 25 k texlive-namespc  noarch 9:svn15878.0-36.fc34 fedora 17 k texlive-nar  noarch 9:svn38100.3.19-36.fc34 fedora 19 k texlive-natbib  noarch 9:svn20668.8.31b-36.fc34 fedora 33 k texlive-natded  noarch 9:svn32693.0.1-36.fc34 fedora 22 k texlive-nath  noarch 9:svn15878.0-36.fc34 fedora 39 k texlive-nature  noarch 9:svn21819.1.0-36.fc34 fedora 22 k texlive-navydocs  noarch 9:svn41643-36.fc34 fedora 1.0 M texlive-ncclatex  noarch 9:svn15878.1.5-36.fc34 fedora 38 k texlive-ncctools  noarch 9:svn51810-36.fc34 fedora 44 k texlive-ncntrsbk  noarch 9:svn31835.0-36.fc34 fedora 347 k texlive-nddiss  noarch 9:svn45107-36.fc34 fedora 28 k texlive-ndsu-thesis  noarch 9:svn46639-36.fc34 fedora 22 k texlive-needspace  noarch 9:svn29601.1.3d-36.fc34 fedora 17 k texlive-nestquot  noarch 9:svn27323.0-36.fc34 fedora 15 k texlive-neuralnetwork  noarch 9:svn31500.1.0-36.fc34 fedora 21 k texlive-newcommand-doc  noarch 9:svn18704.2.0-36.fc34 fedora 412 k texlive-newcomputermodern  noarch 9:svn52877-36.fc34 fedora 2.4 M texlive-newenviron  noarch 9:svn29331.1.0-36.fc34 fedora 21 k texlive-newfile  noarch 9:svn15878.1.0c-36.fc34 fedora 18 k texlive-newfloat  noarch 9:svn52906-36.fc34 fedora 122 k texlive-newlfm  noarch 9:svn15878.9.4-36.fc34 fedora 39 k texlive-newpx  noarch 9:svn53792-36.fc34 fedora 1.6 M texlive-newspaper  noarch 9:svn15878.1.0-36.fc34 fedora 18 k texlive-newtx  noarch 9:svn56384-36.fc34 fedora 5.6 M texlive-newtxsf  noarch 9:svn56527-36.fc34 fedora 124 k texlive-newtxtt  noarch 9:svn54512-36.fc34 fedora 158 k texlive-newunicodechar  noarch 9:svn47382-36.fc34 fedora 21 k texlive-newvbtm  noarch 9:svn23996.1.1-36.fc34 fedora 19 k texlive-newverbs  noarch 9:svn52074-36.fc34 fedora 21 k texlive-nextpage  noarch 9:svn15878.1.1a-36.fc34 fedora 17 k texlive-nfssext-cfr  noarch 9:svn43640-36.fc34 fedora 24 k texlive-nicefilelist  noarch 9:svn28527.0.7a-36.fc34 fedora 25 k texlive-niceframe  noarch 9:svn36086.1.1c-36.fc34 fedora 55 k texlive-niceframe-type1  noarch 9:svn44671-36.fc34 fedora 290 k texlive-nicematrix  noarch 9:svn54758-36.fc34 fedora 1.1 M texlive-nicetext  noarch 9:svn38914-36.fc34 fedora 73 k texlive-nidanfloat  noarch 9:svn48295-36.fc34 fedora 237 k texlive-nih  noarch 9:svn15878.0-36.fc34 fedora 19 k texlive-nihbiosketch  noarch 9:svn54191-36.fc34 fedora 21 k texlive-nimbus15  noarch 9:svn54512-36.fc34 fedora 3.8 M texlive-nkarta  noarch 9:svn16437.0.2-36.fc34 fedora 43 k texlive-nlctdoc  noarch 9:svn53768-36.fc34 fedora 22 k texlive-nmbib  noarch 9:svn37984.1.04-36.fc34 fedora 28 k texlive-noconflict  noarch 9:svn30140.1.0-36.fc34 fedora 20 k texlive-noindentafter  noarch 9:svn35709.0.2.2-36.fc34 fedora 21 k texlive-noitcrul  noarch 9:svn15878.0.2-36.fc34 fedora 17 k texlive-nolbreaks  noarch 9:svn26786.1.2-36.fc34 fedora 16 k texlive-nomencl  noarch 9:svn54080-36.fc34 fedora 20 k texlive-nomentbl  noarch 9:svn16549.0.4-36.fc34 fedora 19 k texlive-nonfloat  noarch 9:svn17598.1.0-36.fc34 fedora 15 k texlive-nonumonpart  noarch 9:svn22114.1-36.fc34 fedora 18 k texlive-nopageno  noarch 9:svn18128.0-36.fc34 fedora 17 k texlive-norasi-c90  noarch 9:svn37675.0-36.fc34 fedora 24 k texlive-normalcolor  noarch 9:svn40125-36.fc34 fedora 20 k texlive-nostarch  noarch 9:svn15878.1.3-36.fc34 fedora 25 k texlive-notes  noarch 9:svn42428-36.fc34 fedora 24 k texlive-notes2bib  noarch 9:svn52231-36.fc34 fedora 20 k texlive-notespages  noarch 9:svn41906-36.fc34 fedora 890 k texlive-notestex  noarch 9:svn45396-36.fc34 fedora 549 k texlive-notex-bst  noarch 9:svn42361-36.fc34 fedora 17 k texlive-noto  noarch 9:svn54512-36.fc34 fedora 65 M texlive-noto-emoji  noarch 9:svn53968-36.fc34 fedora 8.6 M texlive-notoccite  noarch 9:svn18129.0-36.fc34 fedora 15 k texlive-novel  noarch 9:svn54512-36.fc34 fedora 4.6 M texlive-nowidow  noarch 9:svn24066.1.0-36.fc34 fedora 20 k texlive-nox  noarch 9:svn30991.1.0-36.fc34 fedora 20 k texlive-nrc  noarch 9:svn29027.2.01a-36.fc34 fedora 31 k texlive-ntgclass  noarch 9:svn53882-36.fc34 fedora 36 k texlive-nth  noarch 9:svn54252-36.fc34 fedora 14 k texlive-ntheorem  noarch 9:svn27609.1.33-36.fc34 fedora 26 k texlive-nuc  noarch 9:svn22256.0.1-36.fc34 fedora 18 k texlive-nucleardata  noarch 9:svn47307-36.fc34 fedora 155 k texlive-numberedblock  noarch 9:svn33109.1.10-36.fc34 fedora 21 k texlive-numericplots  noarch 9:svn31729.2.0.2-36.fc34 fedora 34 k texlive-numname  noarch 9:svn18130.0-36.fc34 fedora 19 k texlive-numprint  noarch 9:svn27498.1.39-36.fc34 fedora 27 k texlive-numspell  noarch 9:svn45441-36.fc34 fedora 247 k texlive-nwejm  noarch 9:svn54392-36.fc34 fedora 3.9 M texlive-oberdiek  noarch 9:20200327-25.fc34 fedora 9.0 M texlive-objectz  noarch 9:svn19389.0-36.fc34 fedora 26 k texlive-obnov  noarch 9:svn33355.0.11-36.fc34 fedora 81 k texlive-ocg-p  noarch 9:svn28803.0.4-36.fc34 fedora 20 k texlive-ocgx  noarch 9:svn54512-36.fc34 fedora 18 k texlive-ocgx2  noarch 9:svn52730-36.fc34 fedora 32 k texlive-ocherokee  noarch 9:svn25689.0-36.fc34 fedora 91 k texlive-ocr-b  noarch 9:svn20852.0-36.fc34 fedora 26 k texlive-ocr-b-outline  noarch 9:svn20969.0-36.fc34 fedora 251 k texlive-ocr-latex  noarch 9:svn15878.0-36.fc34 fedora 20 k texlive-octavo  noarch 9:svn15878.1.2-36.fc34 fedora 23 k texlive-ogham  noarch 9:svn24876.0-36.fc34 fedora 15 k texlive-oinuit  noarch 9:svn28668.0-36.fc34 fedora 165 k texlive-old-arrows  noarch 9:svn42872-36.fc34 fedora 58 k texlive-oldlatin  noarch 9:svn17932.1.00-36.fc34 fedora 65 k texlive-oldstandard  noarch 9:svn54512-36.fc34 fedora 1.7 M texlive-oldstyle  noarch 9:svn15878.0.2-36.fc34 fedora 18 k texlive-onlyamsmath  noarch 9:svn42927-36.fc34 fedora 17 k texlive-onrannual  noarch 9:svn17474.1.1-36.fc34 fedora 22 k texlive-opcit  noarch 9:svn15878.1.1-36.fc34 fedora 23 k texlive-opensans  noarch 9:svn54512-36.fc34 fedora 2.2 M texlive-oplotsymbl  noarch 9:svn44951-36.fc34 fedora 247 k texlive-opteng  noarch 9:svn27331.1.0-36.fc34 fedora 22 k texlive-optidef  noarch 9:svn50941-36.fc34 fedora 24 k texlive-optional  noarch 9:svn18131.2.2b-36.fc34 fedora 19 k texlive-options  noarch 9:svn39030-36.fc34 fedora 30 k texlive-orkhun  noarch 9:svn15878.0-36.fc34 fedora 20 k texlive-oscola  noarch 9:svn54328-36.fc34 fedora 42 k texlive-ot-tableau  noarch 9:svn44889-36.fc34 fedora 18 k texlive-oubraces  noarch 9:svn21833.0-36.fc34 fedora 14 k texlive-outline  noarch 9:svn18360.0-36.fc34 fedora 17 k texlive-outliner  noarch 9:svn21095.0.94-36.fc34 fedora 20 k texlive-outlines  noarch 9:svn25192.1.1-36.fc34 fedora 18 k texlive-outlining  noarch 9:svn45601-36.fc34 fedora 155 k texlive-overlays  noarch 9:svn46122-36.fc34 fedora 118 k texlive-overlock  noarch 9:svn56079-36.fc34 fedora 646 k texlive-overpic  noarch 9:svn53889-36.fc34 fedora 18 k texlive-pacioli  noarch 9:svn24947.0-36.fc34 fedora 33 k texlive-padcount  noarch 9:svn47621-36.fc34 fedora 158 k texlive-pagecolor  noarch 9:svn44487-36.fc34 fedora 22 k texlive-pagecont  noarch 9:svn15878.1.0-36.fc34 fedora 17 k texlive-pagenote  noarch 9:svn15878.1.1a-36.fc34 fedora 21 k texlive-pagerange  noarch 9:svn16915.0.5-36.fc34 fedora 20 k texlive-pageslts  noarch 9:svn39164-36.fc34 fedora 28 k texlive-palatino  noarch 9:svn31835.0-36.fc34 fedora 396 k texlive-paper  noarch 9:svn34521.1.0l-36.fc34 fedora 26 k texlive-papercdcase  noarch 9:svn15878.0-36.fc34 fedora 20 k texlive-papermas  noarch 9:svn23667.1.0h-36.fc34 fedora 22 k texlive-papertex  noarch 9:svn19230.1.2b-36.fc34 fedora 22 k texlive-paracol  noarch 9:svn49560-36.fc34 fedora 35 k texlive-parades  noarch 9:svn40042-36.fc34 fedora 20 k texlive-paralist  noarch 9:svn43021-36.fc34 fedora 19 k texlive-parallel  noarch 9:svn15878.0-36.fc34 fedora 20 k texlive-paratype  noarch 9:svn32859.0-36.fc34 fedora 4.6 M texlive-paresse  noarch 9:svn29803.4.1-36.fc34 fedora 19 k texlive-parnotes  noarch 9:svn51720-36.fc34 fedora 22 k texlive-parselines  noarch 9:svn21475.1.4-36.fc34 fedora 20 k texlive-parskip  noarch 9:svn53503-36.fc34 fedora 19 k texlive-pas-cours  noarch 9:svn42036-36.fc34 fedora 18 k texlive-pas-cv  noarch 9:svn32263.2.01-36.fc34 fedora 27 k texlive-pas-tableur  noarch 9:svn39542-36.fc34 fedora 19 k texlive-patchcmd  noarch 9:svn41379-36.fc34 fedora 15 k texlive-path  noarch 9:svn22045.3.05-36.fc34 fedora 18 k texlive-pauldoc  noarch 9:svn16005.0.5-36.fc34 fedora 18 k texlive-pawpict  noarch 9:svn21629.1.0-36.fc34 fedora 18 k texlive-pax  noarch 9:20200327-25.fc34 fedora 35 k texlive-pb-diagram  noarch 9:svn15878.5.0-36.fc34 fedora 29 k texlive-pbox  noarch 9:svn24807.1.2-36.fc34 fedora 20 k texlive-pbsheet  noarch 9:svn24830.0.1-36.fc34 fedora 21 k texlive-pdf14  noarch 9:svn17583.0.1-36.fc34 fedora 20 k texlive-pdfcolmk  noarch 9:svn52912-36.fc34 fedora 20 k texlive-pdfcomment  noarch 9:svn49047-36.fc34 fedora 30 k texlive-pdfcprot  noarch 9:svn18735.1.7a-36.fc34 fedora 23 k texlive-pdfescape  noarch 9:svn53082-36.fc34 fedora 356 k texlive-pdflscape  noarch 9:svn53047-36.fc34 fedora 310 k texlive-pdfmarginpar  noarch 9:svn23492.0.92-36.fc34 fedora 20 k texlive-pdfoverlay  noarch 9:svn52627-36.fc34 fedora 550 k texlive-pdfpagediff  noarch 9:svn37946.1.4-36.fc34 fedora 19 k texlive-pdfpages  noarch 9:svn53583-36.fc34 fedora 34 k texlive-pdfpc  noarch 9:svn54160-36.fc34 fedora 192 k texlive-pdfpc-movie  noarch 9:svn48245-36.fc34 fedora 94 k texlive-pdfprivacy  noarch 9:svn45985-36.fc34 fedora 272 k texlive-pdfreview  noarch 9:svn50100-36.fc34 fedora 587 k texlive-pdfscreen  noarch 9:svn42428-36.fc34 fedora 102 k texlive-pdfslide  noarch 9:svn15878.0-36.fc34 fedora 61 k texlive-pdfsync  noarch 9:svn20373.0-36.fc34 fedora 20 k texlive-pdftex  x86_64 9:20200327-25.fc34 fedora 2.0 M texlive-pdftexcmds  noarch 9:svn52913-36.fc34 fedora 417 k texlive-pdfwin  noarch 9:svn54074-36.fc34 fedora 29 k texlive-pdfx  noarch 9:svn50338-36.fc34 fedora 118 k texlive-pecha  noarch 9:svn15878.0.1-36.fc34 fedora 28 k texlive-penrose  noarch 9:svn48202-36.fc34 fedora 892 k texlive-perception  noarch 9:svn48861-36.fc34 fedora 22 k texlive-perfectcut  noarch 9:svn54080-36.fc34 fedora 23 k texlive-perltex  noarch 9:20200327-25.fc34 fedora 289 k texlive-permute  noarch 9:svn15878.0-36.fc34 fedora 18 k texlive-petiteannonce  noarch 9:svn25915.1.0001-36.fc34 fedora 19 k texlive-petri-nets  noarch 9:20200327-25.fc34 fedora 210 k texlive-pgf  noarch 9:svn54512-36.fc34 fedora 812 k texlive-pgf-blur  noarch 9:svn54512-36.fc34 fedora 18 k texlive-pgf-cmykshadings  noarch 9:svn52635-36.fc34 fedora 533 k texlive-pgf-soroban  noarch 9:svn32269.1.1-36.fc34 fedora 18 k texlive-pgf-spectra  noarch 9:svn42986-36.fc34 fedora 101 k texlive-pgf-umlcd  noarch 9:svn33307.0.2.1.1-36.fc34 fedora 20 k texlive-pgf-umlsd  noarch 9:svn33045.0.7-36.fc34 fedora 21 k texlive-pgfgantt  noarch 9:svn52662-36.fc34 fedora 26 k texlive-pgfkeyx  noarch 9:svn26093.0.0.1-36.fc34 fedora 26 k texlive-pgfmolbio  noarch 9:svn35152.0.21-36.fc34 fedora 34 k texlive-pgfmorepages  noarch 9:svn54770-36.fc34 fedora 86 k texlive-pgfopts  noarch 9:svn34573.2.1a-36.fc34 fedora 21 k texlive-pgfornament  noarch 9:svn54670-36.fc34 fedora 595 k texlive-pgfplots  noarch 9:svn54080-36.fc34 fedora 575 k texlive-phaistos  noarch 9:svn18651.1.0-36.fc34 fedora 201 k texlive-phffullpagefigure  noarch 9:svn41857-36.fc34 fedora 258 k texlive-phfnote  noarch 9:svn41858-36.fc34 fedora 655 k texlive-phfparen  noarch 9:svn41859-36.fc34 fedora 319 k texlive-phfqit  noarch 9:svn45084-36.fc34 fedora 407 k texlive-phfquotetext  noarch 9:svn41869-36.fc34 fedora 214 k texlive-phfsvnwatermark  noarch 9:svn41870-36.fc34 fedora 220 k texlive-phfthm  noarch 9:svn41871-36.fc34 fedora 390 k texlive-philex  noarch 9:svn36396.1.3-36.fc34 fedora 21 k texlive-philosophersimprint  noarch 9:svn41788-36.fc34 fedora 21 k texlive-phonenumbers  noarch 9:svn51933-36.fc34 fedora 1.2 M texlive-phonetic  noarch 9:svn21871.0-36.fc34 fedora 44 k texlive-photo  noarch 9:svn18739.0-36.fc34 fedora 19 k texlive-physconst  noarch 9:svn54587-36.fc34 fedora 227 k texlive-physics  noarch 9:svn28590.1.3-36.fc34 fedora 22 k texlive-physunits  noarch 9:svn54588-36.fc34 fedora 121 k texlive-picinpar  noarch 9:svn20374.1.2a-36.fc34 fedora 22 k texlive-pict2e  noarch 9:svn51918-36.fc34 fedora 25 k texlive-pictex  noarch 9:svn21943.1.1-36.fc34 fedora 59 k texlive-pictex2  noarch 9:svn15878.0-36.fc34 fedora 20 k texlive-picture  noarch 9:svn54867-36.fc34 fedora 315 k texlive-piff  noarch 9:svn21894.0-36.fc34 fedora 16 k texlive-pigpen  noarch 9:svn15878.0.2-36.fc34 fedora 25 k texlive-pinlabel  noarch 9:svn24769.1.2-36.fc34 fedora 27 k texlive-pinoutikz  noarch 9:svn52999-36.fc34 fedora 89 k texlive-pittetd  noarch 9:svn15878.1.618-36.fc34 fedora 25 k texlive-pixelart  noarch 9:svn46740-36.fc34 fedora 164 k texlive-pkgloader  noarch 9:svn47486-36.fc34 fedora 30 k texlive-pkuthss  noarch 9:svn54512-36.fc34 fedora 31 k texlive-pl  noarch 9:svn36012.1.09-36.fc34 fedora 1.9 M texlive-placeins  noarch 9:svn19848.2.2-36.fc34 fedora 15 k texlive-plain  noarch 9:svn43076-36.fc34 fedora 117 k texlive-plainpkg  noarch 9:svn27765.0.4a-36.fc34 fedora 22 k texlive-plainyr  noarch 9:svn52783-36.fc34 fedora 17 k texlive-plantslabels  noarch 9:svn29803.1.0-36.fc34 fedora 17 k texlive-plates  noarch 9:svn15878.0.1-36.fc34 fedora 22 k texlive-platex  noarch 9:svn54758-36.fc34 fedora 60 k texlive-platex-tools  noarch 9:svn52049-36.fc34 fedora 551 k texlive-playfair  noarch 9:svn56005-36.fc34 fedora 1.8 M texlive-plex  noarch 9:svn54512-36.fc34 fedora 11 M texlive-plex-otf  noarch 9:svn47562-36.fc34 fedora 555 k texlive-plweb  noarch 9:svn15878.3.0-36.fc34 fedora 17 k texlive-pm-isomath  noarch 9:svn54799-36.fc34 fedora 598 k texlive-pmboxdraw  noarch 9:svn53046-36.fc34 fedora 605 k texlive-pmgraph  noarch 9:svn15878.1.0-36.fc34 fedora 27 k texlive-pnas2009  noarch 9:svn16287.1.0-36.fc34 fedora 16 k texlive-poiretone  noarch 9:svn54512-36.fc34 fedora 215 k texlive-polexpr  noarch 9:svn53633-36.fc34 fedora 85 k texlive-polski  noarch 9:svn44213-36.fc34 fedora 28 k texlive-poltawski  noarch 9:svn20075.1.101-36.fc34 fedora 6.9 M texlive-polyglossia  noarch 9:svn54758-36.fc34 fedora 167 k texlive-polynom  noarch 9:svn44832-36.fc34 fedora 27 k texlive-polynomial  noarch 9:svn15878.1.0-36.fc34 fedora 18 k texlive-polytable  noarch 9:svn31235.0.8.2-36.fc34 fedora 22 k texlive-postage  noarch 9:svn47893-36.fc34 fedora 321 k texlive-postcards  noarch 9:svn21641.0-36.fc34 fedora 18 k texlive-poster-mac  noarch 9:svn18305.1.1-36.fc34 fedora 19 k texlive-powerdot  noarch 9:svn45165-36.fc34 fedora 48 k texlive-powerdot-fuberlin  noarch 9:svn52922-36.fc34 fedora 293 k texlive-powerdot-tuliplab  noarch 9:svn47963-36.fc34 fedora 1.3 M texlive-ppr-prv  noarch 9:svn15878.0.13c-36.fc34 fedora 22 k texlive-pracjourn  noarch 9:svn15878.0.4n-36.fc34 fedora 22 k texlive-practicalreports  noarch 9:svn52312-36.fc34 fedora 203 k texlive-preprint  noarch 9:svn30447.2011-36.fc34 fedora 20 k texlive-prerex  noarch 9:svn54512-36.fc34 fedora 26 k texlive-pressrelease  noarch 9:svn35147.1.0-36.fc34 fedora 23 k texlive-prettyref  noarch 9:svn15878.3.0-36.fc34 fedora 14 k texlive-prftree  noarch 9:svn54080-36.fc34 fedora 29 k texlive-printlen  noarch 9:svn19847.1.1a-36.fc34 fedora 18 k texlive-proba  noarch 9:svn15878.0-36.fc34 fedora 17 k texlive-probsoln  noarch 9:svn44783-36.fc34 fedora 23 k texlive-procIAGssymp  noarch 9:svn51771-36.fc34 fedora 18 k texlive-prodint  noarch 9:svn21893.0-36.fc34 fedora 18 k texlive-productbox  noarch 9:svn20886.1.1-36.fc34 fedora 18 k texlive-program  noarch 9:svn44214-36.fc34 fedora 35 k texlive-progress  noarch 9:svn19519.1.10-36.fc34 fedora 20 k texlive-progressbar  noarch 9:svn33822.v1.0b_4-36.fc34 fedora 18 k texlive-proof-at-the-end  noarch 9:svn51194-36.fc34 fedora 385 k texlive-proofread  noarch 9:svn50938-36.fc34 fedora 21 k texlive-prooftrees  noarch 9:svn52221-36.fc34 fedora 29 k texlive-properties  noarch 9:svn15878.0.2-36.fc34 fedora 17 k texlive-proposal  noarch 9:svn40538-36.fc34 fedora 35 k texlive-prosper  noarch 9:svn33033.1.0h-36.fc34 fedora 125 k texlive-protex  noarch 9:svn41633-36.fc34 fedora 30 k texlive-protocol  noarch 9:svn25562.1.13-36.fc34 fedora 21 k texlive-prtec  noarch 9:svn51919-36.fc34 fedora 376 k texlive-pseudo  noarch 9:svn52582-36.fc34 fedora 614 k texlive-pseudocode  noarch 9:svn54080-36.fc34 fedora 18 k texlive-psfrag  noarch 9:svn15878.3.04-36.fc34 fedora 17 k texlive-psfragx  noarch 9:svn26243.1.1-36.fc34 fedora 20 k texlive-pslatex  noarch 9:svn16416.0-36.fc34 fedora 24 k texlive-psnfss  noarch 9:svn54694-36.fc34 fedora 44 k texlive-pspicture  noarch 9:svn15878.0-36.fc34 fedora 18 k texlive-pst-3d  noarch 9:svn17257.1.10-36.fc34 fedora 20 k texlive-pst-blur  noarch 9:svn15878.2.0-36.fc34 fedora 19 k texlive-pst-coil  noarch 9:svn37377.1.07-36.fc34 fedora 20 k texlive-pst-eps  noarch 9:svn15878.1.0-36.fc34 fedora 19 k texlive-pst-fill  noarch 9:svn15878.1.01-36.fc34 fedora 20 k texlive-pst-grad  noarch 9:svn15878.1.06-36.fc34 fedora 20 k texlive-pst-math  noarch 9:svn49425-36.fc34 fedora 22 k texlive-pst-node  noarch 9:svn54687-36.fc34 fedora 42 k texlive-pst-ovl  noarch 9:svn45506-36.fc34 fedora 18 k texlive-pst-plot  noarch 9:svn54080-36.fc34 fedora 39 k texlive-pst-slpe  noarch 9:svn24391.1.31-36.fc34 fedora 20 k texlive-pst-text  noarch 9:svn49542-36.fc34 fedora 21 k texlive-pst-tools  noarch 9:svn54518-36.fc34 fedora 23 k texlive-pst-tree  noarch 9:svn43272-36.fc34 fedora 23 k texlive-pstool  noarch 9:svn46393-36.fc34 fedora 23 k texlive-pstricks  noarch 9:svn54080-36.fc34 fedora 102 k texlive-pstricks-add  noarch 9:svn53763-36.fc34 fedora 38 k texlive-pstring  noarch 9:svn42857-36.fc34 fedora 141 k texlive-ptex  x86_64 9:20200327-25.fc34 fedora 921 k texlive-ptex-base  noarch 9:svn54153-36.fc34 fedora 25 k texlive-ptex-fonts  noarch 9:svn46940-36.fc34 fedora 60 k texlive-ptolemaicastronomy  noarch 9:svn50810-36.fc34 fedora 259 k texlive-ptptex  noarch 9:svn19440.0.91-36.fc34 fedora 26 k texlive-punk  noarch 9:svn27388.0-36.fc34 fedora 22 k texlive-punk-latex  noarch 9:svn27389.1.1-36.fc34 fedora 18 k texlive-punknova  noarch 9:svn24649.1.003-36.fc34 fedora 914 k texlive-pxfonts  noarch 9:svn15878.0-36.fc34 fedora 498 k texlive-pxgreeks  noarch 9:svn21838.1.0-36.fc34 fedora 21 k texlive-pxpgfmark  noarch 9:svn30212.0.2-36.fc34 fedora 14 k texlive-pxtxalfa  noarch 9:svn54080-36.fc34 fedora 30 k texlive-pygmentex  noarch 9:20200327-25.fc34 fedora 599 k texlive-python  noarch 9:svn27064.0.21-36.fc34 fedora 19 k texlive-pythonhighlight  noarch 9:svn43191-36.fc34 fedora 17 k texlive-qcircuit  noarch 9:svn48400-36.fc34 fedora 23 k texlive-qcm  noarch 9:svn15878.2.1-36.fc34 fedora 20 k texlive-qrcode  noarch 9:svn36065.1.51-36.fc34 fedora 38 k texlive-qsharp  noarch 9:svn49722-36.fc34 fedora 21 k texlive-qstest  noarch 9:svn15878.0-36.fc34 fedora 21 k texlive-qsymbols  noarch 9:svn15878.0-36.fc34 fedora 25 k texlive-qtree  noarch 9:svn15878.3.1b-36.fc34 fedora 24 k texlive-qualitype  noarch 9:svn54512-36.fc34 fedora 2.5 M texlive-quantikz  noarch 9:svn54911-36.fc34 fedora 469 k texlive-quantumarticle  noarch 9:svn54080-36.fc34 fedora 720 k texlive-quattrocento  noarch 9:svn56020-36.fc34 fedora 794 k texlive-quicktype  noarch 9:svn42183-36.fc34 fedora 197 k texlive-quiz2socrative  noarch 9:svn52276-36.fc34 fedora 229 k texlive-quotchap  noarch 9:svn51591-36.fc34 fedora 20 k texlive-quoting  noarch 9:svn32818.v0.1c-36.fc34 fedora 21 k texlive-quotmark  noarch 9:svn15878.1.0-36.fc34 fedora 27 k texlive-ragged2e  noarch 9:svn51780-36.fc34 fedora 640 k texlive-raleway  noarch 9:svn42629-36.fc34 fedora 2.5 M texlive-ran_toks  noarch 9:svn53271-36.fc34 fedora 20 k texlive-randbild  noarch 9:svn15878.0.2-36.fc34 fedora 17 k texlive-random  noarch 9:svn54723-36.fc34 fedora 91 k texlive-randomwalk  noarch 9:svn49513-36.fc34 fedora 18 k texlive-randtext  noarch 9:svn15878.0-36.fc34 fedora 19 k texlive-rank-2-roots  noarch 9:svn48515-36.fc34 fedora 413 k texlive-rccol  noarch 9:svn15878.1.2c-36.fc34 fedora 15 k texlive-rcs  noarch 9:svn15878.0-36.fc34 fedora 30 k texlive-rcs-multi  noarch 9:svn21939.0.1a-36.fc34 fedora 19 k texlive-rcsinfo  noarch 9:svn15878.1.11-36.fc34 fedora 19 k texlive-readarray  noarch 9:svn42467-36.fc34 fedora 23 k texlive-realboxes  noarch 9:svn23581.0.2-36.fc34 fedora 22 k texlive-realhats  noarch 9:svn52865-36.fc34 fedora 139 k texlive-realscripts  noarch 9:svn39706-36.fc34 fedora 21 k texlive-rec-thy  noarch 9:svn50047-36.fc34 fedora 24 k texlive-recipe  noarch 9:svn54080-36.fc34 fedora 15 k texlive-recipebook  noarch 9:svn37026.0-36.fc34 fedora 23 k texlive-recipecard  noarch 9:svn15878.2.0-36.fc34 fedora 18 k texlive-rectopma  noarch 9:svn19980.0-36.fc34 fedora 17 k texlive-recycle  noarch 9:svn15878.0-36.fc34 fedora 28 k texlive-refcheck  noarch 9:svn29128.1.9.1-36.fc34 fedora 21 k texlive-refcount  noarch 9:svn53164-36.fc34 fedora 338 k texlive-refenums  noarch 9:svn44131-36.fc34 fedora 21 k texlive-reflectgraphics  noarch 9:svn40612-36.fc34 fedora 21 k texlive-refman  noarch 9:svn15878.2.0e-36.fc34 fedora 25 k texlive-refstyle  noarch 9:svn20318.0.5-36.fc34 fedora 22 k texlive-regcount  noarch 9:svn19979.1.0-36.fc34 fedora 18 k texlive-regexpatch  noarch 9:svn47601-36.fc34 fedora 22 k texlive-register  noarch 9:svn54485-36.fc34 fedora 21 k texlive-regstats  noarch 9:svn25050.1.0h-36.fc34 fedora 22 k texlive-relenc  noarch 9:svn22050.0-36.fc34 fedora 30 k texlive-relsize  noarch 9:svn30707.4.1-36.fc34 fedora 19 k texlive-reotex  noarch 9:svn34924.1.1-36.fc34 fedora 20 k texlive-repeatindex  noarch 9:svn24305.0.01-36.fc34 fedora 18 k texlive-repltext  noarch 9:svn33442.1.0-36.fc34 fedora 20 k texlive-rerunfilecheck  noarch 9:svn54841-36.fc34 fedora 326 k texlive-resphilosophica  noarch 9:svn50935-36.fc34 fedora 31 k texlive-rest-api  noarch 9:svn54486-36.fc34 fedora 178 k texlive-resumecls  noarch 9:svn54815-36.fc34 fedora 20 k texlive-returntogrid  noarch 9:svn48485-36.fc34 fedora 168 k texlive-revquantum  noarch 9:svn43505-36.fc34 fedora 268 k texlive-revtex  noarch 9:svn49751-36.fc34 fedora 98 k texlive-revtex4  noarch 9:svn45873-36.fc34 fedora 61 k texlive-rgltxdoc  noarch 9:svn53858-36.fc34 fedora 177 k texlive-ribbonproofs  noarch 9:svn31137.1.0-36.fc34 fedora 30 k texlive-rjlparshap  noarch 9:svn15878.1.0-36.fc34 fedora 19 k texlive-rlepsf  noarch 9:svn19082.0-36.fc34 fedora 19 k texlive-rmathbr  noarch 9:svn40415-36.fc34 fedora 26 k texlive-rmpage  noarch 9:svn54080-36.fc34 fedora 80 k texlive-roboto  noarch 9:svn54512-36.fc34 fedora 10 M texlive-robustcommand  noarch 9:svn15878.0.1-36.fc34 fedora 17 k texlive-robustindex  noarch 9:svn49877-36.fc34 fedora 21 k texlive-romanbar  noarch 9:svn25005.1.0f-36.fc34 fedora 21 k texlive-romanbarpagenumber  noarch 9:svn36236.1.0-36.fc34 fedora 20 k texlive-romande  noarch 9:svn19537.1.008_v7_sc-36.fc34 fedora 506 k texlive-romanneg  noarch 9:svn20087.0-36.fc34 fedora 14 k texlive-romannum  noarch 9:svn15878.1.0b-36.fc34 fedora 18 k texlive-rosario  noarch 9:svn51688-36.fc34 fedora 1.5 M texlive-rotfloat  noarch 9:svn18292.1.2-36.fc34 fedora 18 k texlive-rotpages  noarch 9:svn18740.3.0-36.fc34 fedora 18 k texlive-roundbox  noarch 9:svn29675.0.2-36.fc34 fedora 20 k texlive-rsc  noarch 9:svn41923-36.fc34 fedora 25 k texlive-rsfs  noarch 9:svn15878.0-36.fc34 fedora 72 k texlive-rsfso  noarch 9:svn37965.1.02-36.fc34 fedora 22 k texlive-rterface  noarch 9:svn30084.0-36.fc34 fedora 19 k texlive-rtkinenc  noarch 9:svn20003.1.0-36.fc34 fedora 19 k texlive-rulerbox  noarch 9:svn50984-36.fc34 fedora 78 k texlive-rulercompass  noarch 9:svn32392.1-36.fc34 fedora 22 k texlive-rutitlepage  noarch 9:svn51073-36.fc34 fedora 2.7 M texlive-rviewport  noarch 9:svn23739.v1.0-36.fc34 fedora 18 k texlive-rvwrite  noarch 9:svn19614.1.2-36.fc34 fedora 18 k texlive-ryersonsgsthesis  noarch 9:svn50119-36.fc34 fedora 84 k texlive-ryethesis  noarch 9:svn33945.1.36-36.fc34 fedora 23 k texlive-sa-tikz  noarch 9:svn32815.0.7a-36.fc34 fedora 24 k texlive-sageep  noarch 9:svn15878.1.0-36.fc34 fedora 24 k texlive-sanitize-umlaut  noarch 9:svn53292-36.fc34 fedora 20 k texlive-sansmath  noarch 9:svn17997.1.1-36.fc34 fedora 16 k texlive-sansmathaccent  noarch 9:svn53628-36.fc34 fedora 34 k texlive-sansmathfonts  noarch 9:svn51356-36.fc34 fedora 4.1 M texlive-sapthesis  noarch 9:svn48365-36.fc34 fedora 66 k texlive-sasnrdisplay  noarch 9:svn45963-36.fc34 fedora 26 k texlive-sauerj  noarch 9:svn15878.0-36.fc34 fedora 22 k texlive-sauter  noarch 9:svn13293.2.4-36.fc34 fedora 35 k texlive-sauterfonts  noarch 9:svn15878.0-36.fc34 fedora 25 k texlive-savefnmark  noarch 9:svn15878.1.0-36.fc34 fedora 19 k texlive-savesym  noarch 9:svn31565.1.2-36.fc34 fedora 17 k texlive-savetrees  noarch 9:svn40525-36.fc34 fedora 28 k texlive-scale  noarch 9:svn15878.1.1.2-36.fc34 fedora 19 k texlive-scalebar  noarch 9:svn15878.1.0-36.fc34 fedora 17 k texlive-scalerel  noarch 9:svn42809-36.fc34 fedora 22 k texlive-scanpages  noarch 9:svn42633-36.fc34 fedora 25 k texlive-schedule  noarch 9:svn51805-36.fc34 fedora 260 k texlive-schemabloc  noarch 9:svn15878.1.5-36.fc34 fedora 18 k texlive-scholax  noarch 9:svn55400-36.fc34 fedora 2.2 M texlive-schule  noarch 9:svn48471-36.fc34 fedora 2.2 M texlive-schulschriften  noarch 9:svn35730.4-36.fc34 fedora 89 k texlive-scientific-thesis-cover  noarch 9:svn47923-36.fc34 fedora 152 k texlive-sciposter  noarch 9:svn15878.1.18-36.fc34 fedora 24 k texlive-sclang-prettifier  noarch 9:svn35087.0.1-36.fc34 fedora 21 k texlive-scontents  noarch 9:svn53504-36.fc34 fedora 376 k texlive-scratch  noarch 9:svn50073-36.fc34 fedora 521 k texlive-scratch3  noarch 9:svn54916-36.fc34 fedora 533 k texlive-scratchx  noarch 9:svn44906-36.fc34 fedora 443 k texlive-scrjrnl  noarch 9:svn27810.0.1-36.fc34 fedora 21 k texlive-scrlttr2copy  noarch 9:svn39734-36.fc34 fedora 20 k texlive-scsnowman  noarch 9:svn54080-36.fc34 fedora 492 k texlive-sdaps  noarch 9:svn54678-36.fc34 fedora 809 k texlive-sdrt  noarch 9:svn15878.1.0-36.fc34 fedora 19 k texlive-sduthesis  noarch 9:svn41401-36.fc34 fedora 1.2 M texlive-secdot  noarch 9:svn20208.1.0-36.fc34 fedora 17 k texlive-secnum  noarch 9:svn53657-36.fc34 fedora 392 k texlive-section  noarch 9:svn20180.0-36.fc34 fedora 25 k texlive-sectionbox  noarch 9:svn37749.1.01-36.fc34 fedora 18 k texlive-sectionbreak  noarch 9:svn50339-36.fc34 fedora 70 k texlive-sectsty  noarch 9:svn15878.2.0.2-36.fc34 fedora 21 k texlive-seealso  noarch 9:svn43595-36.fc34 fedora 21 k texlive-selectp  noarch 9:svn20185.1.0-36.fc34 fedora 17 k texlive-selinput  noarch 9:svn53098-36.fc34 fedora 543 k texlive-semantic  noarch 9:svn15878.2.0-36.fc34 fedora 23 k texlive-semantic-markup  noarch 9:svn53607-36.fc34 fedora 284 k texlive-semaphor  noarch 9:svn18651.0-36.fc34 fedora 281 k texlive-seminar  noarch 9:svn34011.1.62-36.fc34 fedora 49 k texlive-semioneside  noarch 9:svn15878.v0.41-36.fc34 fedora 17 k texlive-semproc  noarch 9:svn37568.0.1-36.fc34 fedora 21 k texlive-sepfootnotes  noarch 9:svn41732-36.fc34 fedora 21 k texlive-sepnum  noarch 9:svn20186.2.0-36.fc34 fedora 19 k texlive-seqsplit  noarch 9:svn15878.0.1-36.fc34 fedora 17 k texlive-sesamanuel  noarch 9:svn36613.0.6-36.fc34 fedora 49 k texlive-sesstime  noarch 9:svn49750-36.fc34 fedora 327 k texlive-setdeck  noarch 9:svn40613-36.fc34 fedora 26 k texlive-setspace  noarch 9:svn24881.6.7a-36.fc34 fedora 20 k texlive-seuthesis  noarch 9:svn33042.2.1.2-36.fc34 fedora 34 k texlive-seuthesix  noarch 9:svn40088-36.fc34 fedora 34 k texlive-sf298  noarch 9:svn41653-36.fc34 fedora 23 k texlive-sffms  noarch 9:svn15878.2.0-36.fc34 fedora 19 k texlive-sfg  noarch 9:svn20209.0.91-36.fc34 fedora 22 k texlive-sfmath  noarch 9:svn15878.0.8-36.fc34 fedora 20 k texlive-shadethm  noarch 9:svn53350-36.fc34 fedora 24 k texlive-shadow  noarch 9:svn20312.0-36.fc34 fedora 18 k texlive-shadowtext  noarch 9:svn26522.0.3-36.fc34 fedora 17 k texlive-shapepar  noarch 9:svn30708.2.2-36.fc34 fedora 30 k texlive-shdoc  noarch 9:svn41991-36.fc34 fedora 22 k texlive-shipunov  noarch 9:svn52334-36.fc34 fedora 40 k texlive-shobhika  noarch 9:svn50555-36.fc34 fedora 498 k texlive-shortmathj  noarch 9:svn54407-36.fc34 fedora 182 k texlive-shorttoc  noarch 9:svn15878.1.3-36.fc34 fedora 17 k texlive-show2e  noarch 9:svn15878.1.0-36.fc34 fedora 17 k texlive-showcharinbox  noarch 9:svn29803.0.1-36.fc34 fedora 21 k texlive-showdim  noarch 9:svn28918.1.2-36.fc34 fedora 17 k texlive-showexpl  noarch 9:svn42677-36.fc34 fedora 20 k texlive-showhyphens  noarch 9:svn39787-36.fc34 fedora 14 k texlive-showlabels  noarch 9:svn41322-36.fc34 fedora 21 k texlive-showtags  noarch 9:svn20336.1.05-36.fc34 fedora 15 k texlive-shuffle  noarch 9:svn15878.1.0-36.fc34 fedora 18 k texlive-sidecap  noarch 9:svn15878.1.6f-36.fc34 fedora 19 k texlive-sidenotes  noarch 9:svn54524-36.fc34 fedora 24 k texlive-signchart  noarch 9:svn39707-36.fc34 fedora 20 k texlive-silence  noarch 9:svn27028.1.5b-36.fc34 fedora 20 k texlive-simplebnf  noarch 9:svn53370-36.fc34 fedora 53 k texlive-simplecd  noarch 9:svn29260.1.4-36.fc34 fedora 24 k texlive-simplecv  noarch 9:svn35537.1.6a-36.fc34 fedora 18 k texlive-simpleinvoice  noarch 9:svn45673-36.fc34 fedora 235 k texlive-simplekv  noarch 9:svn54915-36.fc34 fedora 347 k texlive-simpleoptics  noarch 9:svn54080-36.fc34 fedora 102 k texlive-simpler-wick  noarch 9:svn39074-36.fc34 fedora 21 k texlive-simplewick  noarch 9:svn15878.1.2a-36.fc34 fedora 19 k texlive-sitem  noarch 9:svn22136.1.0-36.fc34 fedora 19 k texlive-siunitx  noarch 9:svn53914-36.fc34 fedora 54 k texlive-skak  noarch 9:svn46259-36.fc34 fedora 40 k texlive-skb  noarch 9:svn22781.0.52-36.fc34 fedora 27 k texlive-skdoc  noarch 9:svn52211-36.fc34 fedora 29 k texlive-skeycommand  noarch 9:svn24652.0.4-36.fc34 fedora 23 k texlive-skeyval  noarch 9:svn30560.1.3-36.fc34 fedora 118 k texlive-skmath  noarch 9:svn52411-36.fc34 fedora 22 k texlive-skrapport  noarch 9:svn52412-36.fc34 fedora 33 k texlive-skull  noarch 9:svn51907-36.fc34 fedora 20 k texlive-slantsc  noarch 9:svn25007.2.11-36.fc34 fedora 17 k texlive-smalltableof  noarch 9:svn20333.0-36.fc34 fedora 17 k texlive-smartdiagram  noarch 9:svn42781-36.fc34 fedora 26 k texlive-smartref  noarch 9:svn20311.1.9-36.fc34 fedora 19 k texlive-smartunits  noarch 9:svn39592-36.fc34 fedora 22 k texlive-snapshot  noarch 9:svn52115-36.fc34 fedora 21 k texlive-snotez  noarch 9:svn30355.0.3-36.fc34 fedora 21 k texlive-songbook  noarch 9:svn18136.4.5-36.fc34 fedora 31 k texlive-sort-by-letters  noarch 9:svn27128.0-36.fc34 fedora 25 k texlive-soton  noarch 9:svn16215.0.1-36.fc34 fedora 17 k texlive-soul  noarch 9:svn15878.2.4-36.fc34 fedora 22 k texlive-soulpos  noarch 9:svn52663-36.fc34 fedora 174 k texlive-soulutf8  noarch 9:svn53163-36.fc34 fedora 360 k texlive-sourcecodepro  noarch 9:svn54512-36.fc34 fedora 3.7 M texlive-sourcesanspro  noarch 9:svn54892-36.fc34 fedora 5.4 M texlive-sourceserifpro  noarch 9:svn54512-36.fc34 fedora 3.9 M texlive-spacingtricks  noarch 9:svn52063-36.fc34 fedora 117 k texlive-spalign  noarch 9:svn42225-36.fc34 fedora 139 k texlive-spark-otf  noarch 9:svn51005-36.fc34 fedora 123 k texlive-sparklines  noarch 9:svn42821-36.fc34 fedora 19 k texlive-spath3  noarch 9:svn50018-36.fc34 fedora 31 k texlive-spectralsequences  noarch 9:svn50072-36.fc34 fedora 2.6 M texlive-sphack  noarch 9:svn20842.0-36.fc34 fedora 15 k texlive-sphdthesis  noarch 9:svn34374.1.0-36.fc34 fedora 20 k texlive-spie  noarch 9:svn15878.3.25-36.fc34 fedora 26 k texlive-splitbib  noarch 9:svn15878.1.17-36.fc34 fedora 21 k texlive-splitindex  noarch 9:20200327-25.fc34 fedora 436 k texlive-spot  noarch 9:svn22408.1.1-36.fc34 fedora 21 k texlive-spotcolor  noarch 9:svn15878.1.2-36.fc34 fedora 27 k texlive-spreadtab  noarch 9:svn50147-36.fc34 fedora 41 k texlive-spverbatim  noarch 9:svn15878.v1.0-36.fc34 fedora 17 k texlive-sr-vorl  noarch 9:svn39529-36.fc34 fedora 23 k texlive-srbook-mem  noarch 9:svn45818-36.fc34 fedora 13 k texlive-srcltx  noarch 9:svn15878.1.6-36.fc34 fedora 15 k texlive-srdp-mathematik  noarch 9:svn54685-36.fc34 fedora 165 k texlive-sseq  noarch 9:svn31585.2.01-36.fc34 fedora 24 k texlive-sslides  noarch 9:svn32293.0-36.fc34 fedora 20 k texlive-stack  noarch 9:svn15878.1.00-36.fc34 fedora 18 k texlive-stackengine  noarch 9:svn53843-36.fc34 fedora 24 k texlive-standalone  noarch 9:svn47136-36.fc34 fedora 31 k texlive-stanli  noarch 9:svn54512-36.fc34 fedora 480 k texlive-starfont  noarch 9:svn19982.1.2-36.fc34 fedora 190 k texlive-statex  noarch 9:svn20306.1.6-36.fc34 fedora 21 k texlive-statex2  noarch 9:svn23961.2.1-36.fc34 fedora 21 k texlive-statistics  noarch 9:svn52212-36.fc34 fedora 448 k texlive-statistik  noarch 9:svn20334.0.03-36.fc34 fedora 19 k texlive-statmath  noarch 9:svn46925-36.fc34 fedora 220 k texlive-staves  noarch 9:svn15878.0-36.fc34 fedora 135 k texlive-stdclsdv  noarch 9:svn15878.1.1a-36.fc34 fedora 17 k texlive-stdpage  noarch 9:svn15878.0.6-36.fc34 fedora 19 k texlive-stealcaps  noarch 9:svn46434-36.fc34 fedora 2.9 M texlive-steinmetz  noarch 9:svn15878.1.0-36.fc34 fedora 17 k texlive-stellenbosch  noarch 9:svn36696.11a-36.fc34 fedora 744 k texlive-step  noarch 9:svn53731-36.fc34 fedora 1.7 M texlive-stex  noarch 9:svn50489-36.fc34 fedora 64 k texlive-stickstoo  noarch 9:svn54512-36.fc34 fedora 3.3 M texlive-stix  noarch 9:svn54512-36.fc34 fedora 2.6 M texlive-stix2-otf  noarch 9:svn54080-36.fc34 fedora 2.5 M texlive-stix2-type1  noarch 9:svn54512-36.fc34 fedora 4.3 M texlive-stmaryrd  noarch 9:svn22027.0-36.fc34 fedora 185 k texlive-storebox  noarch 9:svn24895.1.3a-36.fc34 fedora 22 k texlive-storecmd  noarch 9:svn24431.0.0.2-36.fc34 fedora 22 k texlive-stringenc  noarch 9:svn52982-36.fc34 fedora 697 k texlive-stringstrings  noarch 9:svn36203.1.23-36.fc34 fedora 28 k texlive-structmech  noarch 9:svn47859-36.fc34 fedora 172 k texlive-struktex  noarch 9:svn47931-36.fc34 fedora 28 k texlive-sttools  noarch 9:svn43684-36.fc34 fedora 33 k texlive-stubs  noarch 9:svn19440.0.1.1-36.fc34 fedora 19 k texlive-studenthandouts  noarch 9:svn43516-36.fc34 fedora 335 k texlive-subdepth  noarch 9:svn15878.0.1-36.fc34 fedora 17 k texlive-subdocs  noarch 9:svn51480-36.fc34 fedora 16 k texlive-subeqn  noarch 9:svn15878.2.0b-36.fc34 fedora 17 k texlive-subeqnarray  noarch 9:svn15878.2.1c-36.fc34 fedora 18 k texlive-subfig  noarch 9:svn15878.1.3-36.fc34 fedora 23 k texlive-subfigmat  noarch 9:svn20308.1.0-36.fc34 fedora 18 k texlive-subfigure  noarch 9:svn15878.2.1.5-36.fc34 fedora 21 k texlive-subfiles  noarch 9:svn53782-36.fc34 fedora 20 k texlive-subfloat  noarch 9:svn29349.2.14-36.fc34 fedora 18 k texlive-substances  noarch 9:svn40989-36.fc34 fedora 23 k texlive-substitutefont  noarch 9:svn32066.0.1.4-36.fc34 fedora 20 k texlive-substr  noarch 9:svn16117.1.2-36.fc34 fedora 18 k texlive-subsupscripts  noarch 9:svn16080.1.0-36.fc34 fedora 13 k texlive-subtext  noarch 9:svn51273-36.fc34 fedora 57 k texlive-suftesi  noarch 9:svn53903-36.fc34 fedora 33 k texlive-sugconf  noarch 9:svn15878.0-36.fc34 fedora 19 k texlive-superiors  noarch 9:svn51909-36.fc34 fedora 28 k texlive-supertabular  noarch 9:svn53658-36.fc34 fedora 22 k texlive-susy  noarch 9:svn19440.0-36.fc34 fedora 17 k texlive-svg  noarch 9:svn55643-36.fc34 fedora 33 k texlive-svgcolor  noarch 9:svn15878.1.0-36.fc34 fedora 19 k texlive-svn  noarch 9:svn15878.43-36.fc34 fedora 20 k texlive-svn-multi  noarch 9:20200327-25.fc34 fedora 417 k texlive-svn-prov  noarch 9:svn18017.3.1862-36.fc34 fedora 18 k texlive-svninfo  noarch 9:svn17554.0.7.4-36.fc34 fedora 20 k texlive-svrsymbols  noarch 9:svn50019-36.fc34 fedora 90 k texlive-swimgraf  noarch 9:svn25446.0-36.fc34 fedora 28 k texlive-syllogism  noarch 9:svn15878.1.2-36.fc34 fedora 19 k texlive-symbol  noarch 9:svn31835.0-36.fc34 fedora 57 k texlive-sympytexpackage  noarch 9:svn45818-36.fc34 fedora 19 k texlive-synproof  noarch 9:svn15878.1.0-36.fc34 fedora 18 k texlive-syntax  noarch 9:svn15878.0-36.fc34 fedora 20 k texlive-syntrace  noarch 9:svn15878.1.1-36.fc34 fedora 17 k texlive-synttree  noarch 9:svn16252.1.4.2-36.fc34 fedora 19 k texlive-t-angles  noarch 9:svn15878.0-36.fc34 fedora 23 k texlive-tabfigures  noarch 9:svn25202.1.1-36.fc34 fedora 21 k texlive-table-fct  noarch 9:svn41849-36.fc34 fedora 22 k texlive-tableaux  noarch 9:svn42413-36.fc34 fedora 19 k texlive-tablefootnote  noarch 9:svn32804.1.1c-36.fc34 fedora 23 k texlive-tableof  noarch 9:svn48815-36.fc34 fedora 21 k texlive-tablestyles  noarch 9:svn34495.0-36.fc34 fedora 14 k texlive-tablists  noarch 9:svn15878.0.0e-36.fc34 fedora 19 k texlive-tablor  noarch 9:svn31855.4.07_g-36.fc34 fedora 29 k texlive-tabls  noarch 9:svn17255.3.5-36.fc34 fedora 17 k texlive-tablvar  noarch 9:svn51543-36.fc34 fedora 192 k texlive-tabriz-thesis  noarch 9:svn51729-36.fc34 fedora 23 k texlive-tabstackengine  noarch 9:svn46848-36.fc34 fedora 21 k texlive-tabto-ltx  noarch 9:svn54080-36.fc34 fedora 19 k texlive-tabu  noarch 9:svn49707-36.fc34 fedora 43 k texlive-tabularborder  noarch 9:svn17885.1.0a-36.fc34 fedora 19 k texlive-tabularcalc  noarch 9:svn15878.0.2-36.fc34 fedora 21 k texlive-tabularew  noarch 9:svn15878.0.1-36.fc34 fedora 18 k texlive-tabulary  noarch 9:svn34368.0.10-36.fc34 fedora 20 k texlive-tagging  noarch 9:svn52064-36.fc34 fedora 20 k texlive-tagpair  noarch 9:svn42138-36.fc34 fedora 20 k texlive-tagpdf  noarch 9:svn51535-36.fc34 fedora 636 k texlive-talk  noarch 9:svn42428-36.fc34 fedora 21 k texlive-tamefloats  noarch 9:svn27345.v0.42-36.fc34 fedora 23 k texlive-tapir  noarch 9:svn20484.0.2-36.fc34 fedora 70 k texlive-tasks  noarch 9:svn54814-36.fc34 fedora 26 k texlive-tcldoc  noarch 9:svn22018.2.40-36.fc34 fedora 23 k texlive-tcolorbox  noarch 9:svn54928-36.fc34 fedora 247 k texlive-tdclock  noarch 9:svn33043.v2.5-36.fc34 fedora 23 k texlive-technics  noarch 9:svn29349.1.0-36.fc34 fedora 18 k texlive-technion-thesis-template  noarch 9:svn49889-36.fc34 fedora 138 k texlive-ted  noarch 9:svn15878.1.06-36.fc34 fedora 19 k texlive-templatetools  noarch 9:svn34495.0-36.fc34 fedora 21 k texlive-tempora  noarch 9:svn39596-36.fc34 fedora 1.3 M texlive-tengwarscript  noarch 9:svn34594.1.3.1-36.fc34 fedora 63 k texlive-tensind  noarch 9:svn51481-36.fc34 fedora 227 k texlive-tensor  noarch 9:svn15878.2.1-36.fc34 fedora 18 k texlive-termcal  noarch 9:svn22514.1.8-36.fc34 fedora 19 k texlive-termlist  noarch 9:svn18923.1.1-36.fc34 fedora 17 k texlive-testhyphens  noarch 9:svn38928-36.fc34 fedora 21 k texlive-testidx  noarch 9:svn52213-36.fc34 fedora 3.2 M texlive-tex  x86_64 9:20200327-25.fc34 fedora 217 k texlive-tex-ewd  noarch 9:svn15878.0-36.fc34 fedora 17 k texlive-tex-gyre  noarch 9:svn48058-36.fc34 fedora 7.9 M texlive-tex-gyre-math  noarch 9:svn41264-36.fc34 fedora 1.4 M texlive-tex-ini-files  noarch 9:svn40533-36.fc34 fedora 17 k texlive-tex-label  noarch 9:svn16372.0-36.fc34 fedora 19 k texlive-tex-locale  noarch 9:svn48500-36.fc34 fedora 946 k texlive-tex4ht  x86_64 9:20200327-25.fc34 fedora 2.9 M texlive-texdraw  noarch 9:svn51030-36.fc34 fedora 56 k texlive-texilikechaps  noarch 9:svn28553.1.0a-36.fc34 fedora 18 k texlive-texilikecover  noarch 9:svn15878.0.1-36.fc34 fedora 17 k texlive-texlive-common-doc  noarch 9:svn54176-36.fc34 fedora 108 k texlive-texlive-docindex  noarch 9:svn54903-36.fc34 fedora 12 k texlive-texlive-en  noarch 9:20200327-25.fc34 fedora 1.9 M texlive-texlive-msg-translations  noarch 9:svn54919-36.fc34 fedora 153 k texlive-texlive-scripts  noarch 9:20200327-25.fc34 fedora 118 k texlive-texlive.infra  noarch 9:20200327-25.fc34 fedora 288 k texlive-texlogos  noarch 9:svn19083.1.3.1-36.fc34 fedora 18 k texlive-texmate  noarch 9:svn15878.2-36.fc34 fedora 22 k texlive-texments  noarch 9:svn15878.0.2.0-36.fc34 fedora 17 k texlive-texpower  noarch 9:svn29349.0.2-36.fc34 fedora 59 k texlive-texshade  noarch 9:svn46559-36.fc34 fedora 82 k texlive-textcase  noarch 9:svn52092-36.fc34 fedora 17 k texlive-textfit  noarch 9:svn20591.5-36.fc34 fedora 21 k texlive-textgreek  noarch 9:svn44192-36.fc34 fedora 18 k texlive-textmerg  noarch 9:svn20677.2.01-36.fc34 fedora 15 k texlive-textopo  noarch 9:svn23796.1.5-36.fc34 fedora 50 k texlive-textpos  noarch 9:svn50988-36.fc34 fedora 21 k texlive-textualicomma  noarch 9:svn48474-36.fc34 fedora 135 k texlive-texvc  noarch 9:svn46844-36.fc34 fedora 20 k texlive-tfrupee  noarch 9:svn20770.1.02-36.fc34 fedora 617 k texlive-theanodidot  noarch 9:svn54512-36.fc34 fedora 458 k texlive-theanomodern  noarch 9:svn54512-36.fc34 fedora 472 k texlive-theanooldstyle  noarch 9:svn54512-36.fc34 fedora 565 k texlive-theoremref  noarch 9:svn54512-36.fc34 fedora 20 k texlive-thesis-ekf  noarch 9:svn53685-36.fc34 fedora 79 k texlive-thesis-gwu  noarch 9:svn54287-36.fc34 fedora 424 k texlive-thesis-qom  noarch 9:svn49124-36.fc34 fedora 1.2 M texlive-thesis-titlepage-fhac  noarch 9:svn15878.0.1-36.fc34 fedora 20 k texlive-thinsp  noarch 9:svn39669-36.fc34 fedora 18 k texlive-thmbox  noarch 9:svn15878.0-36.fc34 fedora 18 k texlive-thmtools  noarch 9:svn53219-36.fc34 fedora 33 k texlive-threadcol  noarch 9:svn28754.1.0-36.fc34 fedora 21 k texlive-threeparttable  noarch 9:svn17383.0-36.fc34 fedora 18 k texlive-threeparttablex  noarch 9:svn34206.0.3-36.fc34 fedora 18 k texlive-thuaslogos  noarch 9:svn51347-36.fc34 fedora 791 k texlive-thucoursework  noarch 9:svn53891-36.fc34 fedora 500 k texlive-thumb  noarch 9:svn16549.1.0-36.fc34 fedora 21 k texlive-thumbpdf  noarch 9:20200327-25.fc34 fedora 42 k texlive-thumbs  noarch 9:svn33134.1.0q-36.fc34 fedora 30 k texlive-thumby  noarch 9:svn16736.0.1-36.fc34 fedora 27 k texlive-thuthesis  noarch 9:svn53892-36.fc34 fedora 40 k texlive-ticket  noarch 9:svn42280-36.fc34 fedora 22 k texlive-ticollege  noarch 9:svn36306.1.0-36.fc34 fedora 21 k texlive-tikz-3dplot  noarch 9:svn25087.0-36.fc34 fedora 27 k texlive-tikz-bayesnet  noarch 9:svn38295.0.1-36.fc34 fedora 20 k texlive-tikz-cd  noarch 9:svn49201-36.fc34 fedora 31 k texlive-tikz-dependency  noarch 9:svn54512-36.fc34 fedora 29 k texlive-tikz-dimline  noarch 9:svn35805.1.0-36.fc34 fedora 15 k texlive-tikz-feynhand  noarch 9:svn51915-36.fc34 fedora 339 k texlive-tikz-feynman  noarch 9:svn39582-36.fc34 fedora 34 k texlive-tikz-imagelabels  noarch 9:svn51490-36.fc34 fedora 968 k texlive-tikz-inet  noarch 9:svn15878.0.1-36.fc34 fedora 19 k texlive-tikz-kalender  noarch 9:svn52890-36.fc34 fedora 133 k texlive-tikz-karnaugh  noarch 9:svn47026-36.fc34 fedora 290 k texlive-tikz-ladder  noarch 9:svn46555-36.fc34 fedora 259 k texlive-tikz-layers  noarch 9:svn46660-36.fc34 fedora 20 k texlive-tikz-nef  noarch 9:svn48240-36.fc34 fedora 109 k texlive-tikz-network  noarch 9:svn51884-36.fc34 fedora 837 k texlive-tikz-opm  noarch 9:svn32769.0.1.1-36.fc34 fedora 21 k texlive-tikz-optics  noarch 9:svn43466-36.fc34 fedora 413 k texlive-tikz-page  noarch 9:svn42039-36.fc34 fedora 572 k texlive-tikz-palattice  noarch 9:svn43442-36.fc34 fedora 24 k texlive-tikz-planets  noarch 9:svn54857-36.fc34 fedora 182 k texlive-tikz-qtree  noarch 9:svn26108.1.2-36.fc34 fedora 25 k texlive-tikz-relay  noarch 9:svn51355-36.fc34 fedora 501 k texlive-tikz-sfc  noarch 9:svn49424-36.fc34 fedora 352 k texlive-tikz-timing  noarch 9:svn46111-36.fc34 fedora 37 k texlive-tikz-trackschematic  noarch 9:svn53754-36.fc34 fedora 541 k texlive-tikz-truchet  noarch 9:svn50020-36.fc34 fedora 131 k texlive-tikzcodeblocks  noarch 9:svn54758-36.fc34 fedora 495 k texlive-tikzducks  noarch 9:svn54837-36.fc34 fedora 470 k texlive-tikzinclude  noarch 9:svn28715.1.0-36.fc34 fedora 20 k texlive-tikzlings  noarch 9:svn54838-36.fc34 fedora 561 k texlive-tikzmark  noarch 9:svn52293-36.fc34 fedora 24 k texlive-tikzmarmots  noarch 9:svn54080-36.fc34 fedora 240 k texlive-tikzorbital  noarch 9:svn36439.0-36.fc34 fedora 19 k texlive-tikzpagenodes  noarch 9:svn27723.1.1-36.fc34 fedora 17 k texlive-tikzpeople  noarch 9:svn43978-36.fc34 fedora 516 k texlive-tikzpfeile  noarch 9:svn25777.1.0-36.fc34 fedora 17 k texlive-tikzposter  noarch 9:svn32732.2.0-36.fc34 fedora 30 k texlive-tikzscale  noarch 9:svn30637.0.2.6-36.fc34 fedora 23 k texlive-tikzsymbols  noarch 9:svn49975-36.fc34 fedora 33 k texlive-timbreicmc  noarch 9:svn49740-36.fc34 fedora 728 k texlive-times  noarch 9:svn35058.0-36.fc34 fedora 347 k texlive-timing-diagrams  noarch 9:svn31491.0-36.fc34 fedora 21 k texlive-tinos  noarch 9:svn42882-36.fc34 fedora 3.2 M texlive-tipa  noarch 9:svn29349.1.3-36.fc34 fedora 2.8 M texlive-tipfr-doc  noarch 9:svn38646-36.fc34 fedora 387 k texlive-titlecaps  noarch 9:svn36170.1.2-36.fc34 fedora 23 k texlive-titlefoot  noarch 9:svn15878.0-36.fc34 fedora 19 k texlive-titlepic  noarch 9:svn43497-36.fc34 fedora 15 k texlive-titleref  noarch 9:svn18729.3.1-36.fc34 fedora 16 k texlive-titlesec  noarch 9:svn52413-36.fc34 fedora 36 k texlive-titling  noarch 9:svn15878.2.1d-36.fc34 fedora 18 k texlive-tkz-base  noarch 9:svn54758-36.fc34 fedora 33 k texlive-tkz-doc  noarch 9:svn54758-36.fc34 fedora 26 k texlive-tkz-euclide  noarch 9:svn54758-36.fc34 fedora 46 k texlive-tkz-fct  noarch 9:svn54703-36.fc34 fedora 21 k texlive-tkz-orm  noarch 9:svn54512-36.fc34 fedora 25 k texlive-tkz-tab  noarch 9:svn54662-36.fc34 fedora 22 k texlive-tlc-article  noarch 9:svn51431-36.fc34 fedora 446 k texlive-tocbibind  noarch 9:svn20085.1.5k-36.fc34 fedora 19 k texlive-tocdata  noarch 9:svn51654-36.fc34 fedora 911 k texlive-tocloft  noarch 9:svn53364-36.fc34 fedora 25 k texlive-tocvsec2  noarch 9:svn33146.1.3a-36.fc34 fedora 20 k texlive-todo  noarch 9:svn17746.2.142-36.fc34 fedora 18 k texlive-todonotes  noarch 9:svn52662-36.fc34 fedora 22 k texlive-tokcycle  noarch 9:svn53755-36.fc34 fedora 302 k texlive-tokenizer  noarch 9:svn15878.1.1.0-36.fc34 fedora 18 k texlive-toolbox  noarch 9:svn32260.5.1-36.fc34 fedora 19 k texlive-tools  noarch 9:svn53640-36.fc34 fedora 65 k texlive-topfloat  noarch 9:svn19084.0-36.fc34 fedora 19 k texlive-topiclongtable  noarch 9:svn54758-36.fc34 fedora 386 k texlive-topletter  noarch 9:svn48182-36.fc34 fedora 368 k texlive-toptesi  noarch 9:svn51743-36.fc34 fedora 40 k texlive-totcount  noarch 9:svn21178.1.2-36.fc34 fedora 18 k texlive-totpages  noarch 9:svn15878.2.00-36.fc34 fedora 19 k texlive-tpslifonts  noarch 9:svn42428-36.fc34 fedora 23 k texlive-tqft  noarch 9:svn44455-36.fc34 fedora 26 k texlive-tracklang  noarch 9:svn52991-36.fc34 fedora 40 k texlive-trajan  noarch 9:svn15878.1.1-36.fc34 fedora 64 k texlive-translations  noarch 9:svn54929-36.fc34 fedora 32 k texlive-translator  noarch 9:svn54512-36.fc34 fedora 268 k texlive-transparent  noarch 9:svn52981-36.fc34 fedora 279 k texlive-tree-dvips  noarch 9:svn21751.91-36.fc34 fedora 24 k texlive-trfsigns  noarch 9:svn15878.1.01-36.fc34 fedora 19 k texlive-trimspaces  noarch 9:svn15878.1.1-36.fc34 fedora 17 k texlive-trivfloat  noarch 9:svn15878.1.3b-36.fc34 fedora 18 k texlive-trsym  noarch 9:svn18732.1.0-36.fc34 fedora 20 k texlive-truncate  noarch 9:svn18921.3.6-36.fc34 fedora 16 k texlive-tsemlines  noarch 9:svn23440.1.0-36.fc34 fedora 14 k texlive-ttfutils  x86_64 9:20200327-25.fc34 fedora 315 k texlive-tucv  noarch 9:svn20680.1.0-36.fc34 fedora 15 k texlive-tuda-ci  noarch 9:svn54878-36.fc34 fedora 1.5 M texlive-tudscr  noarch 9:LPPL-36.fc34 fedora 188 k texlive-tufte-latex  noarch 9:svn37649.3.5.2-36.fc34 fedora 38 k texlive-tugboat  noarch 9:svn54261-36.fc34 fedora 46 k texlive-tugboat-plain  noarch 9:svn51373-36.fc34 fedora 45 k texlive-tui  noarch 9:svn27253.1.9-36.fc34 fedora 20 k texlive-turabian  noarch 9:svn36298.0.1.0-36.fc34 fedora 18 k texlive-turabian-formatting  noarch 9:svn54436-36.fc34 fedora 27 k texlive-turnstile  noarch 9:svn15878.1.0-36.fc34 fedora 18 k texlive-turnthepage  noarch 9:svn29803.1.3a-36.fc34 fedora 18 k texlive-twemoji-colr  noarch 9:svn54512-36.fc34 fedora 569 k texlive-twoinone  noarch 9:svn17024.0-36.fc34 fedora 14 k texlive-twoup  noarch 9:svn15878.1.3-36.fc34 fedora 18 k texlive-txfonts  noarch 9:svn15878.0-36.fc34 fedora 768 k texlive-txfontsb  noarch 9:svn54512-36.fc34 fedora 3.5 M texlive-txgreeks  noarch 9:svn21839.1.0-36.fc34 fedora 21 k texlive-txuprcal  noarch 9:svn43327-36.fc34 fedora 160 k texlive-type1cm  noarch 9:svn21820.0-36.fc34 fedora 18 k texlive-typed-checklist  noarch 9:svn49731-36.fc34 fedora 23 k texlive-typeface  noarch 9:svn27046.0.1-36.fc34 fedora 63 k texlive-typehtml  noarch 9:svn17134.0-36.fc34 fedora 23 k texlive-typicons  noarch 9:svn37623.2.0.7-36.fc34 fedora 82 k texlive-typoaid  noarch 9:svn44238-36.fc34 fedora 349 k texlive-typogrid  noarch 9:svn24994.0.21-36.fc34 fedora 18 k texlive-uaclasses  noarch 9:svn15878.0-36.fc34 fedora 19 k texlive-uafthesis  noarch 9:svn29349.12.12-36.fc34 fedora 23 k texlive-uantwerpendocs  noarch 9:svn51007-36.fc34 fedora 1.4 M texlive-uassign  noarch 9:svn38459-36.fc34 fedora 21 k texlive-ucalgmthesis  noarch 9:svn52527-36.fc34 fedora 137 k texlive-ucbthesis  noarch 9:svn51690-36.fc34 fedora 26 k texlive-ucdavisthesis  noarch 9:svn40772-36.fc34 fedora 27 k texlive-ucharcat  noarch 9:svn38907-36.fc34 fedora 17 k texlive-ucs  noarch 9:svn35853.2.2-36.fc34 fedora 355 k texlive-ucsmonograph  noarch 9:svn52698-36.fc34 fedora 439 k texlive-ucthesis  noarch 9:svn15878.3.2-36.fc34 fedora 38 k texlive-uebungsblatt  noarch 9:svn15878.1.5.0-36.fc34 fedora 20 k texlive-uestcthesis  noarch 9:svn36371.1.1.0-36.fc34 fedora 37 k texlive-uhc  noarch 9:svn16791.0-36.fc34 fedora 3.9 M texlive-uhhassignment  noarch 9:svn44026-36.fc34 fedora 391 k texlive-uiucredborder  noarch 9:svn29974.1.00-36.fc34 fedora 19 k texlive-uiucthesis  noarch 9:svn15878.2.25-36.fc34 fedora 21 k texlive-ulem  noarch 9:svn53365-36.fc34 fedora 19 k texlive-ulqda  noarch 9:20200327-25.fc34 fedora 266 k texlive-ulthese  noarch 9:svn52972-36.fc34 fedora 81 k texlive-umbclegislation  noarch 9:svn41348-36.fc34 fedora 26 k texlive-umich-thesis  noarch 9:svn15878.1.20-36.fc34 fedora 21 k texlive-umoline  noarch 9:svn19085.0-36.fc34 fedora 19 k texlive-umthesis  noarch 9:svn15878.0.2-36.fc34 fedora 22 k texlive-umtypewriter  noarch 9:svn18651.001.002-36.fc34 fedora 382 k texlive-unam-thesis  noarch 9:svn51207-36.fc34 fedora 28 k texlive-unamth-template-doc  noarch 9:svn33625.2.0-36.fc34 fedora 4.8 M texlive-unamthesis  noarch 9:svn43639-36.fc34 fedora 29 k texlive-underlin  noarch 9:svn15878.1.01-36.fc34 fedora 17 k texlive-underoverlap  noarch 9:svn29019.0.0.1_r1-36.fc34 fedora 22 k texlive-underscore  noarch 9:svn18261.0-36.fc34 fedora 21 k texlive-undolabl  noarch 9:svn36681.1.0l-36.fc34 fedora 21 k texlive-uni-wtal-ger  noarch 9:svn31541.0.2-36.fc34 fedora 21 k texlive-uni-wtal-lin  noarch 9:svn31409.0.2-36.fc34 fedora 22 k texlive-unicode-data  noarch 9:svn54758-36.fc34 fedora 357 k texlive-unicode-math  noarch 9:svn53609-36.fc34 fedora 66 k texlive-unifith  noarch 9:svn51968-36.fc34 fedora 871 k texlive-uniquecounter  noarch 9:svn53162-36.fc34 fedora 303 k texlive-unitn-bimrep  noarch 9:svn45581-36.fc34 fedora 819 k texlive-units  noarch 9:svn42428-36.fc34 fedora 19 k texlive-unitsdef  noarch 9:svn15878.0.2-36.fc34 fedora 22 k texlive-universa  noarch 9:svn51984-36.fc34 fedora 47 k texlive-universalis  noarch 9:svn33860.0-36.fc34 fedora 592 k texlive-univie-ling  noarch 9:svn49785-36.fc34 fedora 2.0 M texlive-unravel  noarch 9:svn52822-36.fc34 fedora 45 k texlive-unswcover  noarch 9:svn29476.1.0-36.fc34 fedora 21 k texlive-uothesis  noarch 9:svn25355.2.5.6-36.fc34 fedora 28 k texlive-uowthesis  noarch 9:svn19700.1.0a-36.fc34 fedora 62 k texlive-uowthesistitlepage  noarch 9:svn54512-36.fc34 fedora 24 k texlive-updmap-map  noarch 9:svn56618-36.fc34 fedora 348 k texlive-uplatex  noarch 9:svn54276-36.fc34 fedora 33 k texlive-upmethodology  noarch 9:svn54758-36.fc34 fedora 53 k texlive-uppunctlm  noarch 9:svn42334-36.fc34 fedora 191 k texlive-upquote  noarch 9:svn26059.v1.3-36.fc34 fedora 19 k texlive-uptex-fonts  noarch 9:svn54045-36.fc34 fedora 458 k texlive-urcls  noarch 9:svn49903-36.fc34 fedora 30 k texlive-uri  noarch 9:svn48602-36.fc34 fedora 22 k texlive-url  noarch 9:svn32528.3.4-36.fc34 fedora 21 k texlive-urlbst  noarch 9:20200327-25.fc34 fedora 254 k texlive-urwchancal  noarch 9:svn21701.1-36.fc34 fedora 19 k texlive-usebib  noarch 9:svn25969.1.0a-36.fc34 fedora 20 k texlive-ushort  noarch 9:svn32261.2.2-36.fc34 fedora 18 k texlive-uspace  noarch 9:svn42456-36.fc34 fedora 276 k texlive-uspatent  noarch 9:svn27744.1.0-36.fc34 fedora 23 k texlive-ut-thesis  noarch 9:svn38269.2.1-36.fc34 fedora 24 k texlive-utexasthesis  noarch 9:svn48648-36.fc34 fedora 18 k texlive-utopia  noarch 9:svn15878.0-36.fc34 fedora 233 k texlive-uwthesis  noarch 9:svn15878.6.13-36.fc34 fedora 24 k texlive-vak  noarch 9:svn23431.0-36.fc34 fedora 22 k texlive-vancouver  noarch 9:svn34470.0-36.fc34 fedora 27 k texlive-variablelm  noarch 9:svn46611-36.fc34 fedora 154 k texlive-varindex  noarch 9:svn32262.2.3-36.fc34 fedora 22 k texlive-varsfromjobname  noarch 9:svn44154-36.fc34 fedora 17 k texlive-varwidth  noarch 9:svn24104.0.92-36.fc34 fedora 20 k texlive-vdmlisting  noarch 9:svn29944.1.0-36.fc34 fedora 18 k texlive-venn  noarch 9:svn15878.0-36.fc34 fedora 18 k texlive-venndiagram  noarch 9:svn47952-36.fc34 fedora 20 k texlive-venturisadf  noarch 9:svn19444.1.005-36.fc34 fedora 3.9 M texlive-verbasef  noarch 9:svn21922.1.1-36.fc34 fedora 22 k texlive-verbatimbox  noarch 9:svn33197.3.13-36.fc34 fedora 19 k texlive-verbatimcopy  noarch 9:svn15878.0.06-36.fc34 fedora 18 k texlive-verbdef  noarch 9:svn17177.0.2-36.fc34 fedora 18 k texlive-verbments  noarch 9:svn23670.1.2-36.fc34 fedora 19 k texlive-verse  noarch 9:svn34017.2.4b-36.fc34 fedora 19 k texlive-version  noarch 9:svn21920.2.0-36.fc34 fedora 15 k texlive-versions  noarch 9:svn21921.0.55-36.fc34 fedora 24 k texlive-versonotes  noarch 9:svn51568-36.fc34 fedora 21 k texlive-vertbars  noarch 9:svn49429-36.fc34 fedora 20 k texlive-vgrid  noarch 9:svn32457.0.1-36.fc34 fedora 20 k texlive-vhistory  noarch 9:svn30080.1.6.1-36.fc34 fedora 25 k texlive-visualpstricks-doc  noarch 9:svn39799-36.fc34 fedora 13 M texlive-vmargin  noarch 9:svn15878.2.5-36.fc34 fedora 19 k texlive-volumes  noarch 9:svn15878.1.0-36.fc34 fedora 19 k texlive-vpe  noarch 9:20200327-25.fc34 fedora 28 k texlive-vruler  noarch 9:svn21598.2.3-36.fc34 fedora 22 k texlive-vtable  noarch 9:svn51126-36.fc34 fedora 74 k texlive-vwcol  noarch 9:svn36254.0.2-36.fc34 fedora 22 k texlive-wadalab  noarch 9:svn42428-36.fc34 fedora 17 M texlive-wallcalendar  noarch 9:svn45568-36.fc34 fedora 2.6 M texlive-wallpaper  noarch 9:svn15878.1.10-36.fc34 fedora 18 k texlive-warning  noarch 9:svn22028.0.01-36.fc34 fedora 17 k texlive-warpcol  noarch 9:svn15878.1.0c-36.fc34 fedora 17 k texlive-was  noarch 9:svn21439.0-36.fc34 fedora 16 k texlive-wasy  noarch 9:svn53533-36.fc34 fedora 41 k texlive-wasy-type1  noarch 9:svn53534-36.fc34 fedora 272 k texlive-wasysym  noarch 9:svn54080-36.fc34 fedora 20 k texlive-webquiz  noarch 9:20200327-25.fc34 fedora 6.6 M texlive-widetable  noarch 9:svn53409-36.fc34 fedora 20 k texlive-widows-and-orphans  noarch 9:svn53505-36.fc34 fedora 799 k texlive-williams  noarch 9:svn15878.0-36.fc34 fedora 18 k texlive-willowtreebook  noarch 9:svn54866-36.fc34 fedora 23 M texlive-windycity  noarch 9:svn51668-36.fc34 fedora 468 k texlive-withargs  noarch 9:svn52641-36.fc34 fedora 25 k texlive-witharrows  noarch 9:svn54707-36.fc34 fedora 876 k texlive-wordcount  noarch 9:20200327-25.fc34 fedora 19 k texlive-wordlike  noarch 9:svn15878.1.2b-36.fc34 fedora 18 k texlive-worksheet  noarch 9:svn48423-36.fc34 fedora 116 k texlive-wrapfig  noarch 9:svn22048.3.6-36.fc34 fedora 25 k texlive-wsemclassic  noarch 9:svn31532.1.0.1-36.fc34 fedora 18 k texlive-wsuipa  noarch 9:svn25469.0-36.fc34 fedora 57 k texlive-wtref  noarch 9:svn42981-36.fc34 fedora 350 k texlive-xargs  noarch 9:svn15878.1.1-36.fc34 fedora 19 k texlive-xassoccnt  noarch 9:svn54080-36.fc34 fedora 38 k texlive-xbmks  noarch 9:svn53448-36.fc34 fedora 382 k texlive-xcharter  noarch 9:svn56692-36.fc34 fedora 2.3 M texlive-xcite  noarch 9:svn53486-36.fc34 fedora 19 k texlive-xcjk2uni  noarch 9:svn50848-36.fc34 fedora 332 k texlive-xcntperchap  noarch 9:svn54080-36.fc34 fedora 22 k texlive-xcolor  noarch 9:svn41044-36.fc34 fedora 34 k texlive-xcolor-material  noarch 9:svn42289-36.fc34 fedora 585 k texlive-xcolor-solarized  noarch 9:svn41809-36.fc34 fedora 20 k texlive-xcomment  noarch 9:svn20031.1.3-36.fc34 fedora 19 k texlive-xcookybooky  noarch 9:svn36435.1.5-36.fc34 fedora 25 k texlive-xcpdftips  noarch 9:svn50449-36.fc34 fedora 165 k texlive-xdoc  noarch 9:svn15878.prot2.5-36.fc34 fedora 29 k texlive-xduthesis  noarch 9:svn39694-36.fc34 fedora 130 k texlive-xdvi  x86_64 9:20200327-25.fc34 fedora 364 k texlive-xecjk  noarch 9:svn53835-36.fc34 fedora 161 k texlive-xellipsis  noarch 9:svn47546-36.fc34 fedora 20 k texlive-xetex  x86_64 9:20200327-25.fc34 fedora 1.2 M texlive-xetexconfig  noarch 9:svn45845-36.fc34 fedora 13 k texlive-xfakebold  noarch 9:svn54779-36.fc34 fedora 115 k texlive-xfor  noarch 9:svn15878.1.05-36.fc34 fedora 18 k texlive-xhfill  noarch 9:svn22575.1.01-36.fc34 fedora 17 k texlive-xifthen  noarch 9:svn38929-36.fc34 fedora 18 k texlive-xint  noarch 9:svn53930-36.fc34 fedora 92 k texlive-xits  noarch 9:svn52046-36.fc34 fedora 612 k texlive-xkcdcolors  noarch 9:svn54512-36.fc34 fedora 234 k texlive-xkeyval  noarch 9:svn35741.2.7a-36.fc34 fedora 34 k texlive-xltabular  noarch 9:svn54437-36.fc34 fedora 93 k texlive-xltxtra  noarch 9:svn49555-36.fc34 fedora 19 k texlive-xmpincl  noarch 9:svn15878.2.2-36.fc34 fedora 19 k texlive-xnewcommand  noarch 9:svn15878.1.2-36.fc34 fedora 17 k texlive-xoptarg  noarch 9:svn15878.1.0-36.fc34 fedora 18 k texlive-xpatch  noarch 9:svn54563-36.fc34 fedora 20 k texlive-xpeek  noarch 9:svn27442.0.2-36.fc34 fedora 20 k texlive-xpicture  noarch 9:svn28770.1.2a-36.fc34 fedora 28 k texlive-xpinyin  noarch 9:svn50849-36.fc34 fedora 285 k texlive-xprintlen  noarch 9:svn35928.1.0-36.fc34 fedora 20 k texlive-xpunctuate  noarch 9:svn26641.1.0-36.fc34 fedora 19 k texlive-xsavebox  noarch 9:svn54097-36.fc34 fedora 23 k texlive-xsim  noarch 9:svn54681-36.fc34 fedora 2.6 M texlive-xstring  noarch 9:svn49946-36.fc34 fedora 27 k texlive-xtab  noarch 9:svn23347.2.3f-36.fc34 fedora 20 k texlive-xunicode  noarch 9:svn30466.0.981-36.fc34 fedora 46 k texlive-xurl  noarch 9:svn53538-36.fc34 fedora 77 k texlive-xwatermark  noarch 9:svn28090.1.5.2d-36.fc34 fedora 35 k texlive-xyling  noarch 9:svn15878.1.1-36.fc34 fedora 26 k texlive-xymtex  noarch 9:svn32182.5.06-36.fc34 fedora 112 k texlive-xypic  noarch 9:svn31859.3.8.9-36.fc34 fedora 741 k texlive-xytree  noarch 9:svn15878.1.5-36.fc34 fedora 19 k texlive-yafoot  noarch 9:svn48568-36.fc34 fedora 20 k texlive-yagusylo  noarch 9:svn29803.1.2-36.fc34 fedora 22 k texlive-yaletter  noarch 9:svn42830-36.fc34 fedora 497 k texlive-yathesis  noarch 9:svn54740-36.fc34 fedora 43 k texlive-yazd-thesis  noarch 9:svn51725-36.fc34 fedora 2.1 M texlive-ycbook  noarch 9:svn46201-36.fc34 fedora 23 k texlive-ydoc  noarch 9:svn26202.0.6alpha-36.fc34 fedora 29 k texlive-yfonts  noarch 9:svn50755-36.fc34 fedora 18 k texlive-yfonts-t1  noarch 9:svn36013-36.fc34 fedora 191 k texlive-yhmath  noarch 9:svn54377-36.fc34 fedora 57 k texlive-yinit-otf  noarch 9:svn40207-36.fc34 fedora 274 k texlive-york-thesis  noarch 9:svn23348.3.6-36.fc34 fedora 23 k texlive-youngtab  noarch 9:svn17635.1.1-36.fc34 fedora 18 k texlive-yplan  noarch 9:20200327-25.fc34 fedora 24 k texlive-yquant  noarch 9:svn54737-36.fc34 fedora 450 k texlive-ytableau  noarch 9:svn27430.1.3-36.fc34 fedora 20 k texlive-zapfchan  noarch 9:svn31835.0-36.fc34 fedora 108 k texlive-zapfding  noarch 9:svn31835.0-36.fc34 fedora 67 k texlive-zebra-goodies  noarch 9:svn51554-36.fc34 fedora 130 k texlive-zed-csp  noarch 9:svn17258.0-36.fc34 fedora 20 k texlive-zhmetrics  noarch 9:svn22207.r206-36.fc34 fedora 816 k texlive-zhmetrics-uptex  noarch 9:svn40728-36.fc34 fedora 119 k texlive-zhnumber  noarch 9:svn50850-36.fc34 fedora 27 k texlive-ziffer  noarch 9:svn32279.2.1-36.fc34 fedora 18 k texlive-zlmtt  noarch 9:svn51368-36.fc34 fedora 24 k texlive-zootaxa-bst  noarch 9:svn50619-36.fc34 fedora 153 k texlive-zref  noarch 9:svn54098-36.fc34 fedora 666 k texlive-zwgetfdate  noarch 9:svn15878.0-36.fc34 fedora 20 k texlive-zwpagelayout  noarch 9:svn53965-36.fc34 fedora 25 k tk  x86_64 1:8.6.10-5.fc33 fedora 1.6 M tre  x86_64 0.8.0-31.20140228gitc2f5d13.fc33 fedora 42 k tre-common  noarch 0.8.0-31.20140228gitc2f5d13.fc33 fedora 31 k urw-base35-bookman-fonts  noarch 20200910-2.fc34 fedora 856 k urw-base35-c059-fonts  noarch 20200910-2.fc34 fedora 884 k urw-base35-d050000l-fonts  noarch 20200910-2.fc34 fedora 77 k urw-base35-fonts  noarch 20200910-2.fc34 fedora 9.7 k urw-base35-fonts-common  noarch 20200910-2.fc34 fedora 20 k urw-base35-gothic-fonts  noarch 20200910-2.fc34 fedora 651 k urw-base35-nimbus-mono-ps-fonts  noarch 20200910-2.fc34 fedora 801 k urw-base35-nimbus-roman-fonts  noarch 20200910-2.fc34 fedora 865 k urw-base35-nimbus-sans-fonts  noarch 20200910-2.fc34 fedora 1.3 M urw-base35-p052-fonts  noarch 20200910-2.fc34 fedora 983 k urw-base35-standard-symbols-ps-fonts  noarch 20200910-2.fc34 fedora 42 k urw-base35-z003-fonts  noarch 20200910-2.fc34 fedora 278 k vim-minimal  x86_64 2:8.2.2311-1.fc34 fedora 686 k webkit2gtk3  x86_64 2.31.1-3.fc34 fedora 17 M webkit2gtk3-jsc  x86_64 2.31.1-3.fc34 fedora 6.4 M woff2  x86_64 1.0.2-9.fc33 fedora 60 k wpebackend-fdo  x86_64 1.8.0-1.fc34 fedora 41 k xdg-dbus-proxy  x86_64 0.1.2-3.fc33 fedora 43 k xdg-utils  noarch 1.1.3-7.fc33 fedora 72 k xkeyboard-config  noarch 2.31-3.fc34 fedora 750 k xml-common  noarch 0.6.3-55.fc33 fedora 31 k xorg-x11-font-utils  x86_64 1:7.5-47.fc34 fedora 101 k xorg-x11-fonts-ISO8859-1-100dpi  noarch 7.5-26.fc34 fedora 1.0 M zlib-devel  x86_64 1.2.11-23.fc34 fedora 44 k zziplib  x86_64 0.13.71-1.fc34 fedora 86 k Transaction Summary ==================================================================================================== Install 3201 Packages Total size: 1.6 G Total download size: 1.4 G Installed size: 3.8 G Downloading Packages: [SKIPPED] at-spi2-core-2.38.0-2.fc34.x86_64.rpm: Already downloaded [SKIPPED] atk-2.36.0-2.fc34.x86_64.rpm: Already downloaded [SKIPPED] colord-libs-1.4.5-1.fc34.x86_64.rpm: Already downloaded [SKIPPED] gdk-pixbuf2-2.40.0-3.fc34.x86_64.rpm: Already downloaded [SKIPPED] gdk-pixbuf2-modules-2.40.0-3.fc34.x86_64.rpm: Already downloaded [SKIPPED] glib2-2.67.1-3.fc34.x86_64.rpm: Already downloaded [SKIPPED] gtk-update-icon-cache-3.24.24-2.fc34.x86_64.rpm: Already downloaded [SKIPPED] gtk2-2.24.33-3.fc34.x86_64.rpm: Already downloaded [SKIPPED] gtk3-3.24.24-2.fc34.x86_64.rpm: Already downloaded [SKIPPED] harfbuzz-2.7.4-1.fc34.x86_64.rpm: Already downloaded [SKIPPED] harfbuzz-icu-2.7.4-1.fc34.x86_64.rpm: Already downloaded [SKIPPED] json-glib-1.6.0-1.fc34.x86_64.rpm: Already downloaded [SKIPPED] libcbor-0.7.0-2.fc34.x86_64.rpm: Already downloaded [SKIPPED] libgusb-0.3.5-1.fc34.x86_64.rpm: Already downloaded [SKIPPED] libnotify-0.7.9-3.fc34.x86_64.rpm: Already downloaded [SKIPPED] libsoup-2.72.0-3.fc34.x86_64.rpm: Already downloaded [SKIPPED] pango-1.48.1-1.fc34.x86_64.rpm: Already downloaded [SKIPPED] poppler-21.01.0-1.fc34.x86_64.rpm: Already downloaded [SKIPPED] python-pip-wheel-21.0-1.fc34.noarch.rpm: Already downloaded [SKIPPED] python3-pygments-2.7.4-1.fc34.noarch.rpm: Already downloaded [SKIPPED] rest-0.8.1-8.fc34.x86_64.rpm: Already downloaded [SKIPPED] acl-2.2.53-9.fc34.x86_64.rpm: Already downloaded [SKIPPED] adwaita-cursor-theme-3.38.0-1.fc34.noarch.rpm: Already downloaded [SKIPPED] adwaita-icon-theme-3.38.0-1.fc34.noarch.rpm: Already downloaded [SKIPPED] annobin-9.58-1.fc34.x86_64.rpm: Already downloaded [SKIPPED] at-spi2-atk-2.38.0-1.fc34.x86_64.rpm: Already downloaded [SKIPPED] avahi-libs-0.8-6.fc34.x86_64.rpm: Already downloaded [SKIPPED] cairo-1.17.4-1.fc34.x86_64.rpm: Already downloaded [SKIPPED] cairo-gobject-1.17.4-1.fc34.x86_64.rpm: Already downloaded [SKIPPED] cpp-11.0.0-0.17.fc34.x86_64.rpm: Already downloaded [SKIPPED] crypto-policies-scripts-20210127-2.gitb21c811.fc34.noarch.rpm: Already downloaded [SKIPPED] cryptsetup-libs-2.3.4-1.fc34.x86_64.rpm: Already downloaded [SKIPPED] cups-libs-2.3.3op1-3.fc34.x86_64.rpm: Already downloaded [SKIPPED] dbus-1.12.20-2.fc33.x86_64.rpm: Already downloaded [SKIPPED] dbus-broker-26-1.fc34.x86_64.rpm: Already downloaded [SKIPPED] dbus-common-1.12.20-2.fc33.noarch.rpm: Already downloaded [SKIPPED] dbus-libs-1.12.20-2.fc33.x86_64.rpm: Already downloaded [SKIPPED] dejavu-sans-fonts-2.37-15.fc34.noarch.rpm: Already downloaded [SKIPPED] desktop-file-utils-0.26-2.fc33.x86_64.rpm: Already downloaded [SKIPPED] device-mapper-1.02.173-1.fc33.x86_64.rpm: Already downloaded [SKIPPED] device-mapper-libs-1.02.173-1.fc33.x86_64.rpm: Already downloaded [SKIPPED] emacs-filesystem-27.1-2.fc34.noarch.rpm: Already downloaded [SKIPPED] flexiblas-3.0.4-2.fc34.x86_64.rpm: Already downloaded [SKIPPED] flexiblas-netlib-3.0.4-2.fc34.x86_64.rpm: Already downloaded [SKIPPED] flexiblas-openblas-openmp-3.0.4-2.fc34.x86_64.rpm: Already downloaded [SKIPPED] fontconfig-2.13.93-4.fc34.x86_64.rpm: Already downloaded [SKIPPED] fonts-filesystem-2.0.5-4.fc33.noarch.rpm: Already downloaded [SKIPPED] freetype-2.10.4-1.fc34.x86_64.rpm: Already downloaded [SKIPPED] fribidi-1.0.10-3.fc33.x86_64.rpm: Already downloaded [SKIPPED] gcc-11.0.0-0.17.fc34.x86_64.rpm: Already downloaded [SKIPPED] gcc-c++-11.0.0-0.17.fc34.x86_64.rpm: Already downloaded [SKIPPED] gdbm-libs-1.19-1.fc34.x86_64.rpm: Already downloaded [SKIPPED] glib-networking-2.66.0-1.fc34.x86_64.rpm: Already downloaded [SKIPPED] glibc-devel-2.32.9000-26.fc34.x86_64.rpm: Already downloaded [SKIPPED] glibc-headers-x86-2.32.9000-26.fc34.noarch.rpm: Already downloaded [SKIPPED] gnutls-3.6.15-2.fc34.x86_64.rpm: Already downloaded [SKIPPED] graphite2-1.3.14-6.fc34.x86_64.rpm: Already downloaded [SKIPPED] groff-base-1.22.4-4.fc34.x86_64.rpm: Already downloaded [SKIPPED] gsettings-desktop-schemas-40~alpha-1.fc34.x86_64.rpm: Already downloaded [SKIPPED] hicolor-icon-theme-0.17-9.fc33.noarch.rpm: Already downloaded [SKIPPED] hwdata-0.343-1.fc34.noarch.rpm: Already downloaded [SKIPPED] iptables-libs-1.8.7-3.fc34.x86_64.rpm: Already downloaded [SKIPPED] isl-0.16.1-12.fc33.x86_64.rpm: Already downloaded [SKIPPED] iso-codes-4.5.0-2.fc33.noarch.rpm: Already downloaded [SKIPPED] jasper-libs-2.0.24-3.fc34.x86_64.rpm: Already downloaded [SKIPPED] jbigkit-libs-2.1-20.fc34.x86_64.rpm: Already downloaded [SKIPPED] json-c-0.14-7.fc33.x86_64.rpm: Already downloaded [SKIPPED] kernel-headers-5.11.0-0.rc5.git0.1.fc34.x86_64.rpm: Already downloaded [SKIPPED] kmod-libs-28-1.fc34.x86_64.rpm: Already downloaded [SKIPPED] langpacks-core-font-en-3.0-6.fc34.noarch.rpm: Already downloaded [SKIPPED] lcms2-2.11-2.fc33.x86_64.rpm: Already downloaded [SKIPPED] less-575-1.fc34.x86_64.rpm: Already downloaded [SKIPPED] libX11-1.7.0-2.fc34.x86_64.rpm: Already downloaded [SKIPPED] libX11-common-1.7.0-2.fc34.noarch.rpm: Already downloaded [SKIPPED] libX11-xcb-1.7.0-2.fc34.x86_64.rpm: Already downloaded [SKIPPED] libXau-1.0.9-5.fc34.x86_64.rpm: Already downloaded [SKIPPED] libXcomposite-0.4.5-4.fc34.x86_64.rpm: Already downloaded [SKIPPED] libXcursor-1.2.0-4.fc34.x86_64.rpm: Already downloaded [SKIPPED] libXdamage-1.1.5-4.fc34.x86_64.rpm: Already downloaded [SKIPPED] libXext-1.3.4-5.fc34.x86_64.rpm: Already downloaded [SKIPPED] libXfixes-5.0.3-13.fc34.x86_64.rpm: Already downloaded [SKIPPED] libXft-2.3.3-5.fc34.x86_64.rpm: Already downloaded [SKIPPED] libXi-1.7.10-5.fc34.x86_64.rpm: Already downloaded [SKIPPED] libXinerama-1.1.4-7.fc34.x86_64.rpm: Already downloaded [SKIPPED] libXrandr-1.5.2-5.fc34.x86_64.rpm: Already downloaded [SKIPPED] libXrender-0.9.10-13.fc34.x86_64.rpm: Already downloaded [SKIPPED] libXtst-1.2.3-13.fc34.x86_64.rpm: Already downloaded [SKIPPED] libXxf86vm-1.1.4-15.fc34.x86_64.rpm: Already downloaded [SKIPPED] libargon2-20171227-5.fc33.x86_64.rpm: Already downloaded [SKIPPED] libdatrie-0.2.9-13.fc34.x86_64.rpm: Already downloaded [SKIPPED] libdrm-2.4.103-1.fc34.x86_64.rpm: Already downloaded [SKIPPED] libedit-3.1-33.20191231cvs.fc33.x86_64.rpm: Already downloaded [SKIPPED] libepoxy-1.5.5-1.fc34.x86_64.rpm: Already downloaded [SKIPPED] libevent-2.1.12-2.fc34.x86_64.rpm: Already downloaded [SKIPPED] libffi-devel-3.1-27.fc34.x86_64.rpm: Already downloaded [SKIPPED] libfido2-1.6.0-1.fc34.x86_64.rpm: Already downloaded [SKIPPED] libgfortran-11.0.0-0.17.fc34.x86_64.rpm: Already downloaded [SKIPPED] libglvnd-1.3.2-2.fc33.x86_64.rpm: Already downloaded [SKIPPED] libglvnd-egl-1.3.2-2.fc33.x86_64.rpm: Already downloaded [SKIPPED] libglvnd-glx-1.3.2-2.fc33.x86_64.rpm: Already downloaded [SKIPPED] libibverbs-33.0-3.fc34.x86_64.rpm: Already downloaded [SKIPPED] libicu-67.1-4.fc33.x86_64.rpm: Already downloaded [SKIPPED] libjpeg-turbo-2.0.90-1.fc34.x86_64.rpm: Already downloaded [SKIPPED] libmnl-1.0.4-12.fc33.x86_64.rpm: Already downloaded [SKIPPED] libmpc-1.2.1-1.fc34.x86_64.rpm: Already downloaded [SKIPPED] libnetfilter_conntrack-1.0.8-1.fc34.x86_64.rpm: Already downloaded [SKIPPED] libnfnetlink-1.0.1-18.fc33.x86_64.rpm: Already downloaded [SKIPPED] libnl3-3.5.0-5.fc33.x86_64.rpm: Already downloaded [SKIPPED] libpcap-1.9.1-6.fc34.x86_64.rpm: Already downloaded [SKIPPED] libpciaccess-0.16-3.fc33.x86_64.rpm: Already downloaded [SKIPPED] libpng-1.6.37-6.fc34.x86_64.rpm: Already downloaded [SKIPPED] libproxy-0.4.15-29.fc34.x86_64.rpm: Already downloaded [SKIPPED] libquadmath-11.0.0-0.17.fc34.x86_64.rpm: Already downloaded [SKIPPED] libseccomp-2.5.0-3.fc33.x86_64.rpm: Already downloaded [SKIPPED] libstdc++-devel-11.0.0-0.17.fc34.x86_64.rpm: Already downloaded [SKIPPED] libthai-0.1.28-5.fc33.x86_64.rpm: Already downloaded [SKIPPED] libtiff-4.1.0-6.fc34.x86_64.rpm: Already downloaded [SKIPPED] libusbx-1.0.23-2.fc33.x86_64.rpm: Already downloaded [SKIPPED] libwayland-client-1.19.0-1.fc34.x86_64.rpm: Already downloaded [SKIPPED] libwayland-cursor-1.19.0-1.fc34.x86_64.rpm: Already downloaded [SKIPPED] libwayland-egl-1.19.0-1.fc34.x86_64.rpm: Already downloaded [SKIPPED] libwayland-server-1.19.0-1.fc34.x86_64.rpm: Already downloaded [SKIPPED] libwebp-1.1.0-6.fc34.x86_64.rpm: Already downloaded [SKIPPED] libxcb-1.13.1-6.fc34.x86_64.rpm: Already downloaded [SKIPPED] libxcrypt-devel-4.4.17-1.fc34.x86_64.rpm: Already downloaded [SKIPPED] libxkbcommon-1.0.3-1.fc34.x86_64.rpm: Already downloaded [SKIPPED] libxshmfence-1.3-7.fc33.x86_64.rpm: Already downloaded [SKIPPED] libxslt-1.1.34-4.fc34.x86_64.rpm: Already downloaded [SKIPPED] llvm-libs-11.1.0-0.2.rc2.fc34.x86_64.rpm: Already downloaded [SKIPPED] m4-1.4.18-16.fc34.x86_64.rpm: Already downloaded [SKIPPED] mesa-libEGL-20.3.3-5.fc34.x86_64.rpm: Already downloaded [SKIPPED] mesa-libGL-20.3.3-5.fc34.x86_64.rpm: Already downloaded [SKIPPED] mesa-libgbm-20.3.3-5.fc34.x86_64.rpm: Already downloaded [SKIPPED] mesa-libglapi-20.3.3-5.fc34.x86_64.rpm: Already downloaded [SKIPPED] ncurses-6.2-3.20200222.fc33.x86_64.rpm: Already downloaded [SKIPPED] nettle-3.7-1.fc34.x86_64.rpm: Already downloaded [SKIPPED] nspr-4.29.0-11.fc34.x86_64.rpm: Already downloaded [SKIPPED] nss-3.60.1-3.fc34.x86_64.rpm: Already downloaded [SKIPPED] nss-softokn-3.60.1-3.fc34.x86_64.rpm: Already downloaded [SKIPPED] nss-softokn-freebl-3.60.1-3.fc34.x86_64.rpm: Already downloaded [SKIPPED] nss-sysinit-3.60.1-3.fc34.x86_64.rpm: Already downloaded [SKIPPED] nss-util-3.60.1-3.fc34.x86_64.rpm: Already downloaded [SKIPPED] openblas-0.3.12-1.fc34.x86_64.rpm: Already downloaded [SKIPPED] openblas-openmp-0.3.12-1.fc34.x86_64.rpm: Already downloaded [SKIPPED] openjpeg2-2.4.0-1.fc34.x86_64.rpm: Already downloaded [SKIPPED] perl-B-1.80-469.fc34.x86_64.rpm: Already downloaded [SKIPPED] perl-Carp-1.50-457.fc33.noarch.rpm: Already downloaded [SKIPPED] perl-Class-Struct-0.66-469.fc34.noarch.rpm: Already downloaded [SKIPPED] perl-Data-Dumper-2.174-459.fc34.x86_64.rpm: Already downloaded [SKIPPED] perl-DynaLoader-1.47-469.fc34.x86_64.rpm: Already downloaded [SKIPPED] perl-Encode-3.08-458.fc34.x86_64.rpm: Already downloaded [SKIPPED] perl-Errno-1.30-469.fc34.x86_64.rpm: Already downloaded [SKIPPED] perl-Exporter-5.74-458.fc33.noarch.rpm: Already downloaded [SKIPPED] perl-Fcntl-1.13-469.fc34.x86_64.rpm: Already downloaded [SKIPPED] perl-File-Basename-2.85-469.fc34.noarch.rpm: Already downloaded [SKIPPED] perl-File-Compare-1.100.600-469.fc34.noarch.rpm: Already downloaded [SKIPPED] perl-File-Copy-2.34-469.fc34.noarch.rpm: Already downloaded [SKIPPED] perl-File-Find-1.37-469.fc34.noarch.rpm: Already downloaded [SKIPPED] perl-File-Path-2.18-1.fc34.noarch.rpm: Already downloaded [SKIPPED] perl-File-Temp-0.231.100-1.fc34.noarch.rpm: Already downloaded [SKIPPED] perl-File-stat-1.09-469.fc34.noarch.rpm: Already downloaded [SKIPPED] perl-Getopt-Long-2.52-1.fc34.noarch.rpm: Already downloaded [SKIPPED] perl-Getopt-Std-1.12-469.fc34.noarch.rpm: Already downloaded [SKIPPED] perl-HTTP-Tiny-0.076-457.fc33.noarch.rpm: Already downloaded [SKIPPED] perl-IO-1.43-469.fc34.x86_64.rpm: Already downloaded [SKIPPED] perl-IPC-Open3-1.21-469.fc34.noarch.rpm: Already downloaded [SKIPPED] perl-MIME-Base64-3.16-1.fc34.x86_64.rpm: Already downloaded [SKIPPED] perl-POSIX-1.94-469.fc34.x86_64.rpm: Already downloaded [SKIPPED] perl-PathTools-3.78-458.fc34.x86_64.rpm: Already downloaded [SKIPPED] perl-Pod-Escapes-1.07-457.fc33.noarch.rpm: Already downloaded [SKIPPED] perl-Pod-Perldoc-3.28.01-458.fc33.noarch.rpm: Already downloaded [SKIPPED] perl-Pod-Simple-3.42-1.fc34.noarch.rpm: Already downloaded [SKIPPED] perl-Pod-Usage-2.01-1.fc34.noarch.rpm: Already downloaded [SKIPPED] perl-Scalar-List-Utils-1.55-457.fc33.x86_64.rpm: Already downloaded [SKIPPED] perl-SelectSaver-1.02-469.fc34.noarch.rpm: Already downloaded [SKIPPED] perl-Socket-2.031-1.fc34.x86_64.rpm: Already downloaded [SKIPPED] perl-Storable-3.21-457.fc33.x86_64.rpm: Already downloaded [SKIPPED] perl-Symbol-1.08-469.fc34.noarch.rpm: Already downloaded [SKIPPED] perl-Term-ANSIColor-5.01-458.fc33.noarch.rpm: Already downloaded [SKIPPED] perl-Term-Cap-1.17-457.fc33.noarch.rpm: Already downloaded [SKIPPED] perl-Text-ParseWords-3.30-457.fc33.noarch.rpm: Already downloaded [SKIPPED] perl-Text-Tabs+Wrap-2013.0523-457.fc33.noarch.rpm: Already downloaded [SKIPPED] perl-Time-Local-1.300-4.fc33.noarch.rpm: Already downloaded [SKIPPED] perl-XML-Parser-2.46-6.fc33.x86_64.rpm: Already downloaded [SKIPPED] perl-constant-1.33-458.fc33.noarch.rpm: Already downloaded [SKIPPED] perl-if-0.60.800-469.fc34.noarch.rpm: Already downloaded [SKIPPED] perl-interpreter-5.32.1-469.fc34.x86_64.rpm: Already downloaded [SKIPPED] perl-libs-5.32.1-469.fc34.x86_64.rpm: Already downloaded [SKIPPED] perl-mro-1.23-469.fc34.x86_64.rpm: Already downloaded [SKIPPED] perl-overload-1.31-469.fc34.noarch.rpm: Already downloaded [SKIPPED] perl-overloading-0.02-469.fc34.noarch.rpm: Already downloaded [SKIPPED] perl-parent-0.238-457.fc33.noarch.rpm: Already downloaded [SKIPPED] perl-podlators-4.14-457.fc33.noarch.rpm: Already downloaded [SKIPPED] perl-subs-1.03-469.fc34.noarch.rpm: Already downloaded [SKIPPED] perl-vars-1.05-469.fc34.noarch.rpm: Already downloaded [SKIPPED] pixman-0.40.0-2.fc33.x86_64.rpm: Already downloaded [SKIPPED] poppler-data-0.4.9-6.fc33.noarch.rpm: Already downloaded [SKIPPED] python-setuptools-wheel-52.0.0-1.fc34.noarch.rpm: Already downloaded [SKIPPED] python-unversioned-command-3.9.1-4.fc34.noarch.rpm: Already downloaded [SKIPPED] python3-3.9.1-4.fc34.x86_64.rpm: Already downloaded [SKIPPED] python3-libs-3.9.1-4.fc34.x86_64.rpm: Already downloaded [SKIPPED] shared-mime-info-2.1-1.fc34.x86_64.rpm: Already downloaded [SKIPPED] systemd-247.2-1.fc34.x86_64.rpm: Already downloaded [SKIPPED] systemd-pam-247.2-1.fc34.x86_64.rpm: Already downloaded [SKIPPED] systemd-rpm-macros-247.2-1.fc34.noarch.rpm: Already downloaded [SKIPPED] xkeyboard-config-2.31-3.fc34.noarch.rpm: Already downloaded [SKIPPED] xml-common-0.6.3-55.fc33.noarch.rpm: Already downloaded [SKIPPED] zlib-devel-1.2.11-23.fc34.x86_64.rpm: Already downloaded (209/3201): libraqm-0.7.0-6.fc34.x86_64.rpm 721 kB/s | 19 kB 00:00 (210/3201): graphene-1.10.2-5.fc34.x86_64.rpm 2.2 MB/s | 65 kB 00:00 (211/3201): orc-0.4.31-3.fc34.x86_64.rpm 38 MB/s | 186 kB 00:00 (212/3201): poppler-glib-21.01.0-1.fc34.x86_64. 32 MB/s | 162 kB 00:00 (213/3201): libsecret-0.20.4-1.fc34.x86_64.rpm 4.4 MB/s | 162 kB 00:00 (214/3201): ImageMagick-6.9.11.27-2.fc34.x86_64 856 kB/s | 163 kB 00:00 (215/3201): LibRaw-0.20.2-1.fc34.x86_64.rpm 1.7 MB/s | 381 kB 00:00 (216/3201): R-evaluate-0.14-6.fc33.noarch.rpm 1.8 MB/s | 88 kB 00:00 (217/3201): ImageMagick-libs-6.9.11.27-2.fc34.x 7.1 MB/s | 2.3 MB 00:00 (218/3201): R-glue-1.4.2-1.fc34.x86_64.rpm 2.6 MB/s | 148 kB 00:00 (219/3201): R-highr-0.8-6.fc33.noarch.rpm 796 kB/s | 52 kB 00:00 (220/3201): R-knitr-1.30-1.fc34.noarch.rpm 10 MB/s | 1.2 MB 00:00 (221/3201): R-magrittr-1.5-11.fc33.noarch.rpm 2.1 MB/s | 174 kB 00:00 (222/3201): R-markdown-1.1-5.fc33.x86_64.rpm 3.0 MB/s | 139 kB 00:00 (223/3201): R-mime-0.9-4.fc33.x86_64.rpm 1.2 MB/s | 46 kB 00:00 (224/3201): R-stringi-1.5.3-1.fc34.x86_64.rpm 12 MB/s | 807 kB 00:00 (225/3201): R-stringr-1.4.0-7.fc33.noarch.rpm 3.9 MB/s | 217 kB 00:00 (226/3201): R-xfun-0.20-1.fc34.x86_64.rpm 4.6 MB/s | 319 kB 00:00 (227/3201): R-yaml-2.2.1-4.fc33.x86_64.rpm 1.8 MB/s | 119 kB 00:00 (228/3201): abc-1.01-28.git20201126.fc34.x86_64 566 kB/s | 19 kB 00:00 (229/3201): adobe-mappings-cmap-20171205-9.fc33 16 MB/s | 1.9 MB 00:00 (230/3201): adobe-mappings-cmap-deprecated-2017 3.1 MB/s | 109 kB 00:00 (231/3201): abc-libs-1.01-28.git20201126.fc34.x 21 MB/s | 4.8 MB 00:00 (232/3201): adobe-mappings-pdf-20180407-7.fc33. 13 MB/s | 668 kB 00:00 (233/3201): alsa-lib-1.2.4-4.fc34.x86_64.rpm 11 MB/s | 475 kB 00:00 (234/3201): biber-2.14-4.fc33.noarch.rpm 7.6 MB/s | 299 kB 00:00 (235/3201): bubblewrap-0.4.1-2.fc33.x86_64.rpm 1.4 MB/s | 50 kB 00:00 (236/3201): bison-3.7.4-1.fc34.x86_64.rpm 18 MB/s | 925 kB 00:00 (237/3201): cdparanoia-libs-10.2-35.fc34.x86_64 1.6 MB/s | 54 kB 00:00 (238/3201): enchant2-2.2.15-1.fc34.x86_64.rpm 1.1 MB/s | 63 kB 00:00 (239/3201): fftw-libs-double-3.3.8-9.fc34.x86_6 18 MB/s | 940 kB 00:00 (240/3201): flex-2.6.4-6.fc34.x86_64.rpm 8.1 MB/s | 312 kB 00:00 (241/3201): fontawesome-fonts-4.7.0-10.fc33.noa 5.5 MB/s | 206 kB 00:00 (242/3201): gd-2.3.0-3.fc33.x86_64.rpm 4.0 MB/s | 137 kB 00:00 (243/3201): ghostscript-9.53.3-4.fc34.x86_64.rp 519 kB/s | 38 kB 00:00 (244/3201): google-droid-sans-fonts-20200215-8. 29 MB/s | 2.7 MB 00:00 (245/3201): gstreamer1-1.18.2-1.fc34.x86_64.rpm 13 MB/s | 1.4 MB 00:00 (246/3201): graphviz-2.44.0-16.fc34.x86_64.rpm 22 MB/s | 3.4 MB 00:00 (247/3201): gts-0.7.6-38.20121130.fc33.x86_64.r 6.5 MB/s | 237 kB 00:00 (248/3201): hunspell-1.7.0-7.fc33.x86_64.rpm 7.6 MB/s | 329 kB 00:00 (249/3201): gstreamer1-plugins-base-1.18.2-1.fc 19 MB/s | 2.1 MB 00:00 (250/3201): hunspell-en-US-0.20140811.1-17.fc33 4.4 MB/s | 176 kB 00:00 (251/3201): hyphen-2.8.8-14.fc33.x86_64.rpm 904 kB/s | 29 kB 00:00 (252/3201): jbig2dec-libs-0.19-3.fc34.x86_64.rp 2.2 MB/s | 74 kB 00:00 (253/3201): lasi-1.1.3-4.fc33.x86_64.rpm 1.7 MB/s | 56 kB 00:00 (254/3201): libICE-1.0.10-5.fc34.x86_64.rpm 2.1 MB/s | 71 kB 00:00 (255/3201): iverilog-11.0-1.fc34.x86_64.rpm 16 MB/s | 2.2 MB 00:00 (256/3201): libRmath-4.0.3-1.fc34.x86_64.rpm 2.2 MB/s | 121 kB 00:00 (257/3201): libSM-1.2.3-7.fc34.x86_64.rpm 1.3 MB/s | 42 kB 00:00 (258/3201): libXaw-1.0.13-16.fc34.x86_64.rpm 5.7 MB/s | 202 kB 00:00 (259/3201): libXmu-1.1.3-5.fc34.x86_64.rpm 2.2 MB/s | 76 kB 00:00 (260/3201): libXpm-3.5.13-4.fc34.x86_64.rpm 1.8 MB/s | 59 kB 00:00 (261/3201): libXt-1.2.0-3.fc34.x86_64.rpm 5.2 MB/s | 183 kB 00:00 (262/3201): libXv-1.0.11-13.fc34.x86_64.rpm 569 kB/s | 18 kB 00:00 (263/3201): libfontenc-1.1.3-14.fc34.x86_64.rpm 960 kB/s | 31 kB 00:00 (264/3201): libgee-0.20.3-2.fc33.x86_64.rpm 6.4 MB/s | 279 kB 00:00 (265/3201): libijs-0.35-12.fc33.x86_64.rpm 857 kB/s | 30 kB 00:00 (266/3201): libimagequant-2.14.0-1.fc34.x86_64. 1.8 MB/s | 63 kB 00:00 (267/3201): liblqr-1-0.4.2-15.fc33.x86_64.rpm 1.4 MB/s | 49 kB 00:00 (268/3201): libgs-9.53.3-4.fc34.x86_64.rpm 20 MB/s | 3.1 MB 00:00 (269/3201): libmarkdown-2.2.4-5.fc33.x86_64.rpm 1.3 MB/s | 46 kB 00:00 (270/3201): libogg-1.3.4-3.fc33.x86_64.rpm 1.0 MB/s | 33 kB 00:00 (271/3201): libpaper-1.1.28-1.fc34.x86_64.rpm 1.2 MB/s | 41 kB 00:00 (272/3201): libtheora-1.1.1-28.fc33.x86_64.rpm 3.1 MB/s | 162 kB 00:00 (273/3201): libunwind-1.4.0-4.fc34.x86_64.rpm 1.9 MB/s | 65 kB 00:00 (274/3201): librsvg2-2.50.3-1.fc34.x86_64.rpm 23 MB/s | 3.4 MB 00:00 (275/3201): libvisual-0.4.0-31.fc34.x86_64.rpm 3.1 MB/s | 147 kB 00:00 (276/3201): libvorbis-1.3.7-2.fc33.x86_64.rpm 5.5 MB/s | 199 kB 00:00 (277/3201): libwmf-lite-0.2.12-4.fc33.x86_64.rp 2.1 MB/s | 73 kB 00:00 (278/3201): libwpe-1.9.1-1.fc34.x86_64.rpm 535 kB/s | 27 kB 00:00 (279/3201): mailcap-2.1.49-2.fc33.noarch.rpm 605 kB/s | 33 kB 00:00 (280/3201): ncurses-c++-libs-6.2-3.20200222.fc3 1.1 MB/s | 37 kB 00:00 (281/3201): ncurses-devel-6.2-3.20200222.fc33.x 13 MB/s | 510 kB 00:00 (282/3201): netpbm-10.93.00-1.fc34.x86_64.rpm 5.4 MB/s | 188 kB 00:00 (283/3201): openexr-libs-2.5.4-3.fc34.x86_64.rp 22 MB/s | 1.0 MB 00:00 (284/3201): opus-1.3.1-7.fc34.x86_64.rpm 5.4 MB/s | 203 kB 00:00 (285/3201): oldstandard-sfd-fonts-2.0.2-28.fc33 11 MB/s | 1.7 MB 00:00 (286/3201): pdfpc-4.5.0-2.fc34.x86_64.rpm 3.7 MB/s | 212 kB 00:00 (287/3201): perl-Authen-SASL-2.16-22.fc33.noarc 1.5 MB/s | 53 kB 00:00 (288/3201): perl-AutoLoader-5.74-469.fc34.noarc 1.0 MB/s | 32 kB 00:00 (289/3201): perl-B-Hooks-EndOfScope-0.24-12.fc3 1.1 MB/s | 38 kB 00:00 (290/3201): perl-BibTeX-Parser-1.02-10.fc34.noa 633 kB/s | 31 kB 00:00 (291/3201): perl-Bit-Vector-7.4-21.fc33.x86_64. 4.7 MB/s | 167 kB 00:00 (292/3201): perl-Business-ISBN-3.006-1.fc34.noa 998 kB/s | 33 kB 00:00 (293/3201): perl-Business-ISBN-Data-20210112.00 1.0 MB/s | 34 kB 00:00 (294/3201): perl-Business-ISMN-1.201-7.fc33.noa 782 kB/s | 25 kB 00:00 (295/3201): perl-Business-ISSN-1.004-3.fc33.noa 557 kB/s | 18 kB 00:00 (296/3201): perl-Carp-Clan-6.08-5.fc33.noarch.r 767 kB/s | 25 kB 00:00 (297/3201): perl-Class-Accessor-0.51-10.fc33.no 536 kB/s | 29 kB 00:00 (298/3201): perl-Class-Data-Inheritable-0.08-36 265 kB/s | 13 kB 00:00 (299/3201): perl-Class-Inspector-1.36-4.fc33.no 954 kB/s | 31 kB 00:00 (300/3201): perl-Class-Method-Modifiers-2.13-5. 1.3 MB/s | 44 kB 00:00 (301/3201): perl-Class-Singleton-1.6-1.fc34.noa 729 kB/s | 27 kB 00:00 (302/3201): perl-Clone-0.45-3.fc33.x86_64.rpm 688 kB/s | 22 kB 00:00 (303/3201): perl-Compress-Raw-Bzip2-2.100-1.fc3 1.0 MB/s | 34 kB 00:00 (304/3201): perl-Compress-Raw-Zlib-2.100-1.fc34 1.8 MB/s | 61 kB 00:00 (305/3201): perl-Convert-ASN1-0.27-21.fc34.noar 1.6 MB/s | 55 kB 00:00 (306/3201): perl-Crypt-RC4-2.02-26.fc33.noarch. 436 kB/s | 14 kB 00:00 (307/3201): perl-Data-Compare-1.27-4.fc33.noarc 1.1 MB/s | 36 kB 00:00 (308/3201): perl-Data-Dump-1.23-15.fc33.noarch. 1.0 MB/s | 33 kB 00:00 (309/3201): perl-Data-OptList-0.110-14.fc33.noa 821 kB/s | 26 kB 00:00 (310/3201): perl-Data-Uniqid-0.12-23.fc33.noarc 378 kB/s | 12 kB 00:00 (311/3201): perl-Date-Calc-6.4-17.fc33.noarch.r 5.5 MB/s | 202 kB 00:00 (312/3201): perl-Date-ISO8601-0.005-10.fc33.noa 630 kB/s | 20 kB 00:00 (313/3201): perl-DateTime-1.54-1.fc34.x86_64.rp 3.5 MB/s | 129 kB 00:00 (314/3201): perl-Date-Manip-6.83-2.fc34.noarch. 14 MB/s | 1.0 MB 00:00 (315/3201): perl-DateTime-Calendar-Julian-0.103 689 kB/s | 22 kB 00:00 (316/3201): perl-DateTime-Format-Builder-0.8300 2.5 MB/s | 87 kB 00:00 (317/3201): perl-DateTime-Format-Strptime-1.78- 801 kB/s | 43 kB 00:00 (318/3201): perl-DateTime-TimeZone-2.47-1.fc34. 6.5 MB/s | 365 kB 00:00 (319/3201): perl-DateTime-TimeZone-SystemV-0.01 698 kB/s | 24 kB 00:00 (320/3201): perl-DateTime-Locale-1.31-1.fc34.no 20 MB/s | 2.8 MB 00:00 (321/3201): perl-DateTime-TimeZone-Tzfile-0.011 638 kB/s | 20 kB 00:00 (322/3201): perl-Devel-CallChecker-0.008-11.fc3 733 kB/s | 24 kB 00:00 (323/3201): perl-Devel-Caller-2.06-23.fc33.x86_ 580 kB/s | 19 kB 00:00 (324/3201): perl-Devel-GlobalDestruction-0.14-1 531 kB/s | 17 kB 00:00 (325/3201): perl-Devel-StackTrace-2.04-7.fc33.n 962 kB/s | 31 kB 00:00 (326/3201): perl-Devel-LexAlias-0.05-24.fc33.x8 279 kB/s | 16 kB 00:00 (327/3201): perl-Digest-1.19-1.fc34.noarch.rpm 804 kB/s | 26 kB 00:00 (328/3201): perl-Digest-HMAC-1.03-26.fc33.noarc 501 kB/s | 16 kB 00:00 (329/3201): perl-Digest-MD4-1.9-26.fc33.x86_64. 1.0 MB/s | 35 kB 00:00 (330/3201): perl-Digest-MD5-2.58-1.fc34.x86_64. 1.1 MB/s | 36 kB 00:00 (331/3201): perl-Digest-Perl-MD5-1.9-21.fc33.no 557 kB/s | 18 kB 00:00 (332/3201): perl-Digest-SHA-6.02-458.fc33.x86_6 1.9 MB/s | 64 kB 00:00 (333/3201): perl-Digest-SHA1-2.13-31.fc33.x86_6 1.6 MB/s | 54 kB 00:00 (334/3201): perl-Dist-CheckConflicts-0.11-20.fc 727 kB/s | 24 kB 00:00 (335/3201): perl-Email-Date-Format-1.005-17.fc3 579 kB/s | 18 kB 00:00 (336/3201): perl-DynaLoader-Functions-0.003-10. 354 kB/s | 19 kB 00:00 (337/3201): perl-Encode-EUCJPASCII-0.03-31.fc33 4.6 MB/s | 164 kB 00:00 (338/3201): perl-Encode-JIS2K-0.03-16.fc33.x86_ 9.9 MB/s | 406 kB 00:00 (339/3201): perl-Encode-Locale-1.05-18.fc33.noa 568 kB/s | 19 kB 00:00 (340/3201): perl-Encode-HanExtra-0.23-31.fc33.x 16 MB/s | 2.1 MB 00:00 (341/3201): perl-Eval-Closure-0.14-13.fc33.noar 632 kB/s | 24 kB 00:00 (342/3201): perl-Exporter-Tiny-1.002002-3.fc33. 1.5 MB/s | 52 kB 00:00 (343/3201): perl-Exception-Class-1.44-10.fc33.n 808 kB/s | 43 kB 00:00 (344/3201): perl-ExtUtils-MM-Utils-7.58-1.fc34. 378 kB/s | 12 kB 00:00 (345/3201): perl-File-Find-Rule-0.34-16.fc33.no 1.0 MB/s | 33 kB 00:00 (346/3201): perl-File-Listing-6.14-1.fc34.noarc 775 kB/s | 25 kB 00:00 (347/3201): perl-File-ShareDir-1.118-1.fc34.noa 888 kB/s | 30 kB 00:00 (348/3201): perl-File-Slurper-0.012-9.fc33.noar 661 kB/s | 21 kB 00:00 (349/3201): perl-File-Which-1.23-7.fc33.noarch. 684 kB/s | 22 kB 00:00 (350/3201): perl-FileHandle-2.03-469.fc34.noarc 839 kB/s | 27 kB 00:00 (351/3201): perl-Filter-1.60-1.fc33.x86_64.rpm 2.4 MB/s | 82 kB 00:00 (352/3201): perl-FindBin-1.51-469.fc34.noarch.r 789 kB/s | 25 kB 00:00 (353/3201): perl-GSSAPI-0.28-32.fc33.x86_64.rpm 1.7 MB/s | 60 kB 00:00 (354/3201): perl-HTML-Parser-3.75-2.fc34.x86_64 3.4 MB/s | 121 kB 00:00 (355/3201): perl-HTML-Tagset-3.20-44.fc33.noarc 578 kB/s | 18 kB 00:00 (356/3201): perl-HTTP-Cookies-6.10-1.fc34.noarc 1.2 MB/s | 38 kB 00:00 (357/3201): perl-HTTP-Date-6.05-4.fc33.noarch.r 752 kB/s | 24 kB 00:00 (358/3201): perl-HTTP-Message-6.27-1.fc34.noarc 2.8 MB/s | 97 kB 00:00 (359/3201): perl-HTTP-Negotiate-6.01-27.fc33.no 614 kB/s | 20 kB 00:00 (360/3201): perl-Hash-Util-FieldHash-1.20-469.f 1.5 MB/s | 50 kB 00:00 (361/3201): perl-I18N-LangTags-0.44-469.fc34.no 2.0 MB/s | 66 kB 00:00 (362/3201): perl-I18N-Langinfo-0.19-469.fc34.x8 1.0 MB/s | 34 kB 00:00 (363/3201): perl-IO-Compress-2.100-1.fc34.noarc 6.9 MB/s | 255 kB 00:00 (364/3201): perl-IO-HTML-1.004-1.fc34.noarch.rp 829 kB/s | 28 kB 00:00 (365/3201): perl-IO-Socket-IP-0.41-2.fc34.noarc 1.3 MB/s | 43 kB 00:00 (366/3201): perl-IO-Socket-SSL-2.069-1.fc34.noa 6.0 MB/s | 216 kB 00:00 (367/3201): perl-IO-String-1.08-40.fc33.noarch. 535 kB/s | 17 kB 00:00 (368/3201): perl-IO-stringy-2.113-4.fc33.noarch 1.9 MB/s | 66 kB 00:00 (369/3201): perl-IPC-Cmd-1.04-458.fc33.noarch.r 1.2 MB/s | 40 kB 00:00 (370/3201): perl-IPC-Run3-0.048-20.fc33.noarch. 1.2 MB/s | 40 kB 00:00 (371/3201): R-core-4.0.3-1.fc34.x86_64.rpm 14 MB/s | 57 MB 00:03 (372/3201): perl-IPC-SysV-2.09-1.fc34.x86_64.rp 187 kB/s | 43 kB 00:00 (373/3201): perl-JSON-4.03-1.fc34.noarch.rpm 446 kB/s | 96 kB 00:00 (374/3201): perl-Jcode-2.07-33.fc33.noarch.rpm 1.1 MB/s | 39 kB 00:00 (375/3201): perl-LWP-MediaTypes-6.04-6.fc33.noa 1.0 MB/s | 34 kB 00:00 (376/3201): perl-LDAP-0.68-1.fc34.noarch.rpm 9.3 MB/s | 378 kB 00:00 (377/3201): perl-LWP-Protocol-https-6.10-1.fc34 664 kB/s | 21 kB 00:00 (378/3201): perl-List-AllUtils-0.18-1.fc34.noar 1.5 MB/s | 50 kB 00:00 (379/3201): perl-LaTeX-ToUnicode-0.11-1.fc34.no 486 kB/s | 26 kB 00:00 (380/3201): perl-List-MoreUtils-0.430-1.fc34.no 1.9 MB/s | 64 kB 00:00 (381/3201): perl-List-MoreUtils-XS-0.430-1.fc34 1.9 MB/s | 63 kB 00:00 (382/3201): perl-Locale-Maketext-1.29-458.fc33. 2.8 MB/s | 95 kB 00:00 (383/3201): perl-List-SomeUtils-0.58-4.fc33.noa 768 kB/s | 47 kB 00:00 (384/3201): perl-List-UtilsBy-0.11-10.fc33.noar 553 kB/s | 29 kB 00:00 (385/3201): perl-Locale-Maketext-Simple-0.21-46 850 kB/s | 29 kB 00:00 (386/3201): perl-Log-Dispatch-2.70-2.fc34.noarc 2.2 MB/s | 83 kB 00:00 (387/3201): perl-Log-Dispatch-FileRotate-1.36-7 921 kB/s | 33 kB 00:00 (388/3201): perl-Log-Log4perl-1.53-1.fc34.noarc 9.2 MB/s | 356 kB 00:00 (389/3201): perl-MIME-Charset-1.012.2-12.fc33.n 1.5 MB/s | 49 kB 00:00 (390/3201): perl-MIME-Lite-3.031-4.fc33.noarch. 1.9 MB/s | 96 kB 00:00 (391/3201): perl-MIME-Types-2.18-1.fc34.noarch. 2.1 MB/s | 70 kB 00:00 (392/3201): perl-MRO-Compat-0.13-12.fc33.noarch 608 kB/s | 19 kB 00:00 (393/3201): perl-Mail-Sender-0.903-13.fc33.noar 1.5 MB/s | 52 kB 00:00 (394/3201): perl-Mail-Sendmail-0.80-10.fc33.noa 1.1 MB/s | 37 kB 00:00 (395/3201): perl-MailTools-2.21-6.fc33.noarch.r 3.0 MB/s | 103 kB 00:00 (396/3201): perl-Math-BigInt-1.9998.18-457.fc33 5.4 MB/s | 190 kB 00:00 (397/3201): perl-Math-Complex-1.59-469.fc34.noa 1.8 MB/s | 58 kB 00:00 (398/3201): perl-Module-CoreList-5.20210123-1.f 2.5 MB/s | 83 kB 00:00 (399/3201): perl-Module-Implementation-0.09-27. 605 kB/s | 20 kB 00:00 (400/3201): perl-Module-Load-0.36-1.fc34.noarch 546 kB/s | 17 kB 00:00 (401/3201): perl-Module-Load-Conditional-0.74-1 706 kB/s | 23 kB 00:00 (402/3201): perl-Module-Metadata-1.000037-457.f 1.1 MB/s | 36 kB 00:00 (403/3201): perl-Module-Runtime-0.016-10.fc33.n 713 kB/s | 23 kB 00:00 (404/3201): perl-Mozilla-CA-20200520-3.fc33.noa 384 kB/s | 12 kB 00:00 (405/3201): perl-NTLM-1.09-27.fc33.noarch.rpm 681 kB/s | 22 kB 00:00 (406/3201): perl-Net-HTTP-6.20-1.fc34.noarch.rp 1.2 MB/s | 40 kB 00:00 (407/3201): perl-Net-SMTP-SSL-1.04-13.fc33.noar 363 kB/s | 12 kB 00:00 (408/3201): perl-Number-Compare-0.03-27.fc33.no 356 kB/s | 12 kB 00:00 (409/3201): perl-OLE-Storage_Lite-0.20-4.fc33.n 926 kB/s | 30 kB 00:00 (410/3201): perl-Net-SSLeay-1.90-1.fc34.x86_64. 5.8 MB/s | 375 kB 00:00 (411/3201): perl-Opcode-1.48-469.fc34.x86_64.rp 1.5 MB/s | 48 kB 00:00 (412/3201): perl-Package-Generator-1.106-20.fc3 731 kB/s | 23 kB 00:00 (413/3201): perl-Package-Stash-0.39-1.fc34.noar 1.0 MB/s | 34 kB 00:00 (414/3201): perl-PadWalker-2.5-1.fc34.x86_64.rp 818 kB/s | 26 kB 00:00 (415/3201): perl-Package-Stash-XS-0.29-8.fc33.x 749 kB/s | 36 kB 00:00 (416/3201): perl-Params-Check-0.38-458.fc34.noa 691 kB/s | 22 kB 00:00 (417/3201): perl-Params-Classify-0.015-11.fc33. 978 kB/s | 32 kB 00:00 (418/3201): perl-Params-Util-1.102-2.fc34.x86_6 1.0 MB/s | 33 kB 00:00 (419/3201): perl-Params-ValidationCompiler-0.30 1.2 MB/s | 39 kB 00:00 (420/3201): perl-Parse-RecDescent-1.967015-12.f 5.5 MB/s | 197 kB 00:00 (421/3201): perl-Params-Validate-1.30-1.fc34.x8 961 kB/s | 70 kB 00:00 (422/3201): perl-Pod-Html-1.25-469.fc34.noarch. 1.2 MB/s | 38 kB 00:00 (423/3201): perl-Ref-Util-0.204-9.fc33.noarch.r 736 kB/s | 24 kB 00:00 (424/3201): perl-PerlIO-utf8_strict-0.008-1.fc3 273 kB/s | 26 kB 00:00 (425/3201): perl-Regexp-Common-2017060201-13.fc 5.0 MB/s | 182 kB 00:00 (426/3201): perl-Ref-Util-XS-0.117-10.fc33.x86_ 425 kB/s | 23 kB 00:00 (427/3201): perl-Role-Tiny-2.002004-1.fc34.noar 1.0 MB/s | 34 kB 00:00 (428/3201): perl-Safe-2.41-469.fc34.noarch.rpm 1.1 MB/s | 36 kB 00:00 (429/3201): perl-Sort-Key-1.33-19.fc33.x86_64.r 1.4 MB/s | 47 kB 00:00 (430/3201): perl-Spreadsheet-ParseExcel-0.6500- 4.3 MB/s | 149 kB 00:00 (431/3201): perl-Spreadsheet-WriteExcel-2.40-20 12 MB/s | 515 kB 00:00 (432/3201): perl-Sub-Exporter-0.987-24.fc33.noa 2.0 MB/s | 67 kB 00:00 (433/3201): perl-Sub-Exporter-Progressive-0.001 654 kB/s | 21 kB 00:00 (434/3201): perl-Specio-0.46-4.fc33.noarch.rpm 1.6 MB/s | 154 kB 00:00 (435/3201): perl-Sub-Identify-0.14-14.fc33.x86_ 697 kB/s | 23 kB 00:00 (436/3201): perl-Sub-Install-0.928-25.fc33.noar 709 kB/s | 22 kB 00:00 (437/3201): perl-Sys-Hostname-1.23-469.fc34.x86 897 kB/s | 29 kB 00:00 (438/3201): perl-Sys-Syslog-0.36-458.fc33.x86_6 1.5 MB/s | 48 kB 00:00 (439/3201): perl-Text-Balanced-2.04-1.fc34.noar 1.5 MB/s | 48 kB 00:00 (440/3201): perl-Text-CSV_XS-1.45-1.fc34.x86_64 3.9 MB/s | 134 kB 00:00 (441/3201): perl-Text-CSV-2.00-5.fc33.noarch.rp 1.9 MB/s | 110 kB 00:00 (442/3201): perl-Text-Glob-0.11-12.fc33.noarch. 425 kB/s | 13 kB 00:00 (443/3201): perl-Text-BibTeX-0.88-6.fc33.x86_64 2.6 MB/s | 266 kB 00:00 (444/3201): perl-Text-Roman-3.5-17.fc33.noarch. 526 kB/s | 23 kB 00:00 (445/3201): perl-Text-Soundex-3.05-17.fc33.x86_ 878 kB/s | 30 kB 00:00 (446/3201): perl-Text-Unidecode-1.30-13.fc33.no 4.0 MB/s | 142 kB 00:00 (447/3201): perl-Tie-4.6-469.fc34.noarch.rpm 1.3 MB/s | 43 kB 00:00 (448/3201): perl-Time-HiRes-1.9764-459.fc34.x86 1.8 MB/s | 58 kB 00:00 (449/3201): perl-Tie-Cycle-1.226-1.fc34.noarch. 346 kB/s | 19 kB 00:00 (450/3201): perl-TimeDate-2.33-3.fc33.noarch.rp 1.5 MB/s | 52 kB 00:00 (451/3201): perl-Try-Tiny-0.30-10.fc33.noarch.r 1.1 MB/s | 37 kB 00:00 (452/3201): perl-URI-5.06-1.fc34.noarch.rpm 3.2 MB/s | 108 kB 00:00 (453/3201): perl-Unicode-LineBreak-2019.001-8.f 3.5 MB/s | 121 kB 00:00 (454/3201): perl-Unicode-Collate-1.29-1.fc34.x8 11 MB/s | 754 kB 00:00 (455/3201): perl-Unicode-Map-0.112-52.fc33.x86_ 6.2 MB/s | 231 kB 00:00 (456/3201): perl-UNIVERSAL-isa-1.20171012-10.fc 200 kB/s | 22 kB 00:00 (457/3201): perl-Unicode-Normalize-1.27-458.fc3 2.8 MB/s | 96 kB 00:00 (458/3201): perl-Unicode-UCD-0.75-469.fc34.noar 2.7 MB/s | 90 kB 00:00 (459/3201): perl-WWW-RobotRules-6.02-27.fc34.no 610 kB/s | 20 kB 00:00 (460/3201): perl-XML-LibXML-2.0206-2.fc34.x86_6 6.1 MB/s | 359 kB 00:00 (461/3201): perl-XML-LibXML-Simple-1.01-4.fc33. 1.0 MB/s | 33 kB 00:00 (462/3201): perl-Variable-Magic-0.62-11.fc33.x8 586 kB/s | 54 kB 00:00 (463/3201): perl-XML-NamespaceSupport-1.12-12.f 812 kB/s | 26 kB 00:00 (464/3201): perl-XML-LibXSLT-1.99-4.fc33.x86_64 1.5 MB/s | 59 kB 00:00 (465/3201): perl-XML-SAX-Base-1.09-12.fc33.noar 1.0 MB/s | 33 kB 00:00 (466/3201): perl-XML-Writer-0.900-2.fc34.noarch 1.0 MB/s | 34 kB 00:00 (467/3201): perl-XML-SAX-1.02-5.fc33.noarch.rpm 834 kB/s | 59 kB 00:00 (468/3201): perl-XString-0.005-1.fc34.x86_64.rp 706 kB/s | 23 kB 00:00 (469/3201): perl-autouse-1.11-469.fc34.noarch.r 693 kB/s | 25 kB 00:00 (470/3201): perl-XML-XPath-1.44-8.fc34.noarch.r 1.4 MB/s | 81 kB 00:00 (471/3201): perl-autovivification-0.18-11.fc33. 1.0 MB/s | 33 kB 00:00 (472/3201): perl-base-2.27-469.fc34.noarch.rpm 762 kB/s | 27 kB 00:00 (473/3201): perl-deprecate-0.04-469.fc34.noarch 808 kB/s | 26 kB 00:00 (474/3201): perl-encoding-3.00-458.fc34.x86_64. 1.9 MB/s | 62 kB 00:00 (475/3201): perl-lib-0.65-469.fc34.x86_64.rpm 727 kB/s | 26 kB 00:00 (476/3201): perl-libnet-3.13-1.fc34.noarch.rpm 3.7 MB/s | 126 kB 00:00 (477/3201): perl-libwww-perl-6.52-1.fc34.noarch 5.4 MB/s | 203 kB 00:00 (478/3201): perl-locale-1.09-469.fc34.noarch.rp 689 kB/s | 25 kB 00:00 (479/3201): perl-meta-notation-5.32.1-469.fc34. 657 kB/s | 21 kB 00:00 (480/3201): perl-open-1.12-469.fc34.noarch.rpm 869 kB/s | 28 kB 00:00 (481/3201): perl-namespace-autoclean-0.29-5.fc3 373 kB/s | 26 kB 00:00 (482/3201): perl-namespace-clean-0.27-15.fc33.n 504 kB/s | 29 kB 00:00 (483/3201): perl-sigtrap-1.09-469.fc34.noarch.r 840 kB/s | 27 kB 00:00 (484/3201): perl-version-0.99.28-1.fc34.x86_64. 1.9 MB/s | 63 kB 00:00 (485/3201): readline-devel-8.1-1.fc34.x86_64.rp 5.3 MB/s | 195 kB 00:00 (486/3201): sombok-2.4.0-13.fc33.x86_64.rpm 1.4 MB/s | 47 kB 00:00 (487/3201): tcl-8.6.10-5.fc34.x86_64.rpm 15 MB/s | 1.1 MB 00:00 (488/3201): tcl-devel-8.6.10-5.fc34.x86_64.rpm 2.4 MB/s | 163 kB 00:00 (489/3201): perltidy-20210111-1.fc34.noarch.rpm 4.0 MB/s | 542 kB 00:00 (490/3201): teckit-2.5.9-5.fc33.x86_64.rpm 11 MB/s | 449 kB 00:00 (491/3201): texlive-12many-svn15878.0.3-36.fc34 416 kB/s | 18 kB 00:00 (492/3201): tex-preview-12.1-9.fc33.noarch.rpm 1.2 MB/s | 60 kB 00:00 (493/3201): texlive-2up-svn41578-36.fc34.noarch 510 kB/s | 17 kB 00:00 (494/3201): texlive-ESIEEcv-svn15878.0-36.fc34. 352 kB/s | 17 kB 00:00 (495/3201): texlive-HA-prosper-svn15878.4.21-36 1.2 MB/s | 48 kB 00:00 (496/3201): texlive-GS1-svn44822-36.fc34.noarch 434 kB/s | 22 kB 00:00 (497/3201): texlive-SIstyle-svn54080-36.fc34.no 541 kB/s | 19 kB 00:00 (498/3201): texlive-IEEEtran-svn51065-36.fc34.n 2.7 MB/s | 109 kB 00:00 (499/3201): texlive-IEEEconf-svn15878.1.4-36.fc 414 kB/s | 18 kB 00:00 (500/3201): texlive-SIunits-svn15878.1.36-36.fc 722 kB/s | 25 kB 00:00 (501/3201): texlive-Tabbing-svn17022.0-36.fc34. 453 kB/s | 17 kB 00:00 (502/3201): texlive-a0poster-svn54071-36.fc34.n 2.9 MB/s | 135 kB 00:00 (503/3201): texlive-a5comb-svn17020.4-36.fc34.n 347 kB/s | 14 kB 00:00 (504/3201): texlive-a4wide-svn20943.0-36.fc34.n 358 kB/s | 17 kB 00:00 (505/3201): texlive-aaai-named-svn52470-36.fc34 456 kB/s | 17 kB 00:00 (506/3201): texlive-aastex-svn51836-36.fc34.noa 1.5 MB/s | 65 kB 00:00 (507/3201): texlive-abnt-svn54927-36.fc34.noarc 2.6 MB/s | 139 kB 00:00 (508/3201): texlive-abntex2-svn49248-36.fc34.no 1.1 MB/s | 49 kB 00:00 (509/3201): texlive-abraces-svn27880.2-36.fc34. 506 kB/s | 18 kB 00:00 (510/3201): texlive-abstract-svn15878.1.2a-36.f 559 kB/s | 18 kB 00:00 (511/3201): texlive-academicons-svn54512-36.fc3 1.3 MB/s | 50 kB 00:00 (512/3201): texlive-accanthis-svn32089.0-36.fc3 8.5 MB/s | 417 kB 00:00 (513/3201): texlive-accents-svn51497-36.fc34.no 5.5 MB/s | 246 kB 00:00 (514/3201): texlive-achemso-svn50025-36.fc34.no 1.2 MB/s | 46 kB 00:00 (515/3201): texlive-accessibility-svn52650-36.f 6.6 MB/s | 540 kB 00:00 (516/3201): texlive-accsupp-svn53052-36.fc34.no 6.6 MB/s | 340 kB 00:00 (517/3201): texlive-acmart-svn53899-36.fc34.noa 1.6 MB/s | 56 kB 00:00 (518/3201): texlive-acro-svn54512-36.fc34.noarc 1.0 MB/s | 44 kB 00:00 (519/3201): texlive-acmconf-svn15878.1.3-36.fc3 450 kB/s | 20 kB 00:00 (520/3201): texlive-acronym-svn54758-36.fc34.no 640 kB/s | 24 kB 00:00 (521/3201): texlive-acroterm-svn20498.0.1-36.fc 607 kB/s | 20 kB 00:00 (522/3201): texlive-active-conf-svn15878.0.3a-3 561 kB/s | 21 kB 00:00 (523/3201): texlive-actuarialangle-svn51376-36. 468 kB/s | 15 kB 00:00 (524/3201): texlive-actuarialsymbol-svn54080-36 6.5 MB/s | 297 kB 00:00 (525/3201): texlive-addfont-svn41972-36.fc34.no 2.8 MB/s | 118 kB 00:00 (526/3201): texlive-addlines-svn49326-36.fc34.n 522 kB/s | 17 kB 00:00 (527/3201): texlive-adfathesis-svn26048.2.42-36 715 kB/s | 23 kB 00:00 (528/3201): texlive-adforn-svn54512-36.fc34.noa 1.2 MB/s | 54 kB 00:00 (529/3201): texlive-adfsymbols-svn54512-36.fc34 1.1 MB/s | 46 kB 00:00 (530/3201): texlive-adigraph-svn49862-36.fc34.n 10 MB/s | 491 kB 00:00 (531/3201): texlive-adjmulticol-svn54157-36.fc3 544 kB/s | 21 kB 00:00 (532/3201): texlive-adjustbox-svn49596-36.fc34. 844 kB/s | 33 kB 00:00 (533/3201): texlive-advdate-svn20538.0-36.fc34. 571 kB/s | 21 kB 00:00 (534/3201): texlive-adrconv-svn46817-36.fc34.no 436 kB/s | 19 kB 00:00 (535/3201): texlive-ae-svn15878.1.4-36.fc34.noa 2.0 MB/s | 99 kB 00:00 (536/3201): texlive-aeguill-svn15878.0-36.fc34. 397 kB/s | 18 kB 00:00 (537/3201): texlive-adobemapping-svn51787-36.fc 26 MB/s | 3.0 MB 00:00 (538/3201): texlive-afparticle-svn35900.1.3-36. 620 kB/s | 23 kB 00:00 (539/3201): texlive-afthesis-svn15878.2.7-36.fc 733 kB/s | 27 kB 00:00 (540/3201): texlive-aguplus-svn17156.1.6b-36.fc 1.0 MB/s | 45 kB 00:00 (541/3201): texlive-aiaa-svn15878.3.6-36.fc34.n 729 kB/s | 25 kB 00:00 (542/3201): texlive-aichej-svn15878.0-36.fc34.n 604 kB/s | 22 kB 00:00 (543/3201): texlive-ajl-svn34016.0-36.fc34.noar 493 kB/s | 22 kB 00:00 (544/3201): texlive-akktex-svn26055.0.3.2-36.fc 880 kB/s | 34 kB 00:00 (545/3201): texlive-akletter-svn15878.1.5i-36.f 599 kB/s | 26 kB 00:00 (546/3201): texlive-alertmessage-svn38055.1.1-3 740 kB/s | 26 kB 00:00 (547/3201): texlive-alg-svn15878.0-36.fc34.noar 505 kB/s | 18 kB 00:00 (548/3201): texlive-algolrevived-svn56788-36.fc 9.6 MB/s | 1.0 MB 00:00 (549/3201): texlive-algobox-svn52204-36.fc34.no 1.1 MB/s | 140 kB 00:00 (550/3201): texlive-algorithm2e-svn44846-36.fc3 1.3 MB/s | 49 kB 00:00 (551/3201): texlive-algorithmicx-svn15878.0-36. 759 kB/s | 24 kB 00:00 (552/3201): texlive-algorithms-svn42428-36.fc34 681 kB/s | 25 kB 00:00 (553/3201): texlive-algxpar-svn52758-36.fc34.no 3.7 MB/s | 187 kB 00:00 (554/3201): texlive-aligned-overset-svn47290-36 3.8 MB/s | 389 kB 00:00 (555/3201): texlive-almendra-svn56035-36.fc34.n 7.6 MB/s | 377 kB 00:00 (556/3201): texlive-almfixed-svn35065.0.92-36.f 2.4 MB/s | 295 kB 00:00 (557/3201): texlive-alnumsec-svn15878.v0.03-36. 506 kB/s | 18 kB 00:00 (558/3201): texlive-alphalph-svn53087-36.fc34.n 8.4 MB/s | 364 kB 00:00 (559/3201): texlive-altfont-svn15878.1.1-36.fc3 571 kB/s | 21 kB 00:00 (560/3201): texlive-ametsoc-svn36030.4.3.2-36.f 699 kB/s | 32 kB 00:00 (561/3201): texlive-amsaddr-svn29630.1.1-36.fc3 479 kB/s | 18 kB 00:00 (562/3201): texlive-amscdx-svn51532-36.fc34.noa 4.0 MB/s | 227 kB 00:00 (563/3201): texlive-alegreya-svn54512-36.fc34.n 26 MB/s | 24 MB 00:00 (564/3201): texlive-amscls-svn46099-36.fc34.noa 6.6 MB/s | 1.1 MB 00:00 (565/3201): texlive-amsmath-svn53640-36.fc34.no 1.3 MB/s | 47 kB 00:00 (566/3201): texlive-amsrefs-svn30646.2.14-36.fc 1.0 MB/s | 48 kB 00:00 (567/3201): texlive-amsfonts-svn29208.3.04-36.f 23 MB/s | 3.6 MB 00:00 (568/3201): texlive-amstex-20200327-25.fc34.noa 5.6 MB/s | 428 kB 00:00 (569/3201): texlive-animate-svn54874-36.fc34.no 1.2 MB/s | 39 kB 00:00 (570/3201): texlive-allrunes-svn42221-36.fc34.n 8.6 MB/s | 7.7 MB 00:00 (571/3201): texlive-annotate-svn52824-36.fc34.n 365 kB/s | 17 kB 00:00 (572/3201): texlive-anonchap-svn17049.1.1a-36.f 381 kB/s | 17 kB 00:00 (573/3201): texlive-answers-svn35032.2.16-36.fc 528 kB/s | 18 kB 00:00 (574/3201): texlive-antiqua-svn24266.001.003-36 2.0 MB/s | 89 kB 00:00 (575/3201): texlive-anonymouspro-svn51631-36.fc 5.2 MB/s | 454 kB 00:00 (576/3201): texlive-anufinalexam-doc-svn26053.0 472 kB/s | 19 kB 00:00 (577/3201): texlive-anyfontsize-svn17050.0-36.f 565 kB/s | 18 kB 00:00 (578/3201): texlive-anysize-svn15878.0-36.fc34. 391 kB/s | 14 kB 00:00 (579/3201): texlive-aobs-tikz-svn32662.1.0-36.f 630 kB/s | 20 kB 00:00 (580/3201): texlive-aomart-svn46091-36.fc34.noa 844 kB/s | 32 kB 00:00 (581/3201): texlive-apa-svn54080-36.fc34.noarch 908 kB/s | 29 kB 00:00 (582/3201): texlive-apa6-svn53406-36.fc34.noarc 928 kB/s | 34 kB 00:00 (583/3201): texlive-apa6e-svn23350.0.3-36.fc34. 481 kB/s | 16 kB 00:00 (584/3201): texlive-antt-svn18651.2.08-36.fc34. 23 MB/s | 4.8 MB 00:00 (585/3201): texlive-apalike2-svn54080-36.fc34.n 584 kB/s | 19 kB 00:00 (586/3201): texlive-apacite-svn54080-36.fc34.no 899 kB/s | 60 kB 00:00 (587/3201): texlive-appendix-svn53718-36.fc34.n 585 kB/s | 19 kB 00:00 (588/3201): texlive-apa7-svn54775-36.fc34.noarc 6.2 MB/s | 732 kB 00:00 (589/3201): texlive-appendixnumberbeamer-svn463 775 kB/s | 25 kB 00:00 (590/3201): texlive-apptools-svn28400.1.0-36.fc 615 kB/s | 20 kB 00:00 (591/3201): texlive-arabicfront-svn51474-36.fc3 457 kB/s | 15 kB 00:00 (592/3201): texlive-archaeologie-svn53813-36.fc 2.3 MB/s | 95 kB 00:00 (593/3201): texlive-apxproof-svn52324-36.fc34.n 6.7 MB/s | 567 kB 00:00 (594/3201): texlive-arcs-svn15878.1-36.fc34.noa 541 kB/s | 17 kB 00:00 (595/3201): texlive-archaic-svn38005.0-36.fc34. 5.3 MB/s | 398 kB 00:00 (596/3201): texlive-arev-svn15878.0-36.fc34.noa 7.9 MB/s | 978 kB 00:00 (597/3201): texlive-arimo-svn42880-36.fc34.noar 22 MB/s | 2.9 MB 00:00 (598/3201): texlive-arrayjobx-svn18125.1.04-36. 591 kB/s | 19 kB 00:00 (599/3201): texlive-arraycols-svn51491-36.fc34. 5.8 MB/s | 465 kB 00:00 (600/3201): texlive-arraysort-svn31576.1.0-36.f 601 kB/s | 19 kB 00:00 (601/3201): texlive-arsclassica-svn45656-36.fc3 501 kB/s | 18 kB 00:00 (602/3201): texlive-articleingud-svn38741-36.fc 586 kB/s | 22 kB 00:00 (603/3201): texlive-arydshln-svn50084-36.fc34.n 691 kB/s | 25 kB 00:00 (604/3201): texlive-asaetr-svn15878.1.0a-36.fc3 700 kB/s | 27 kB 00:00 (605/3201): texlive-asapsym-svn40201-36.fc34.no 1.3 MB/s | 71 kB 00:00 (606/3201): texlive-asana-math-svn50999-36.fc34 7.5 MB/s | 732 kB 00:00 (607/3201): texlive-ascelike-svn29129.2.3-36.fc 886 kB/s | 29 kB 00:00 (608/3201): texlive-ascii-font-svn29989.2.0-36. 1.6 MB/s | 65 kB 00:00 (609/3201): texlive-asciilist-svn49060-36.fc34. 618 kB/s | 20 kB 00:00 (610/3201): texlive-ascmac-svn53411-36.fc34.noa 3.4 MB/s | 188 kB 00:00 (611/3201): texlive-askinclude-svn54725-36.fc34 10 MB/s | 597 kB 00:00 (612/3201): texlive-askmaps-svn32320.0.1-36.fc3 501 kB/s | 19 kB 00:00 (613/3201): texlive-asmeconf-svn54758-36.fc34.n 9.0 MB/s | 421 kB 00:00 (614/3201): texlive-aspectratio-svn25243.2.0-36 2.0 MB/s | 89 kB 00:00 (615/3201): texlive-asmejour-svn54758-36.fc34.n 5.8 MB/s | 493 kB 00:00 (616/3201): texlive-assignment-svn20431.0-36.fc 548 kB/s | 18 kB 00:00 (617/3201): texlive-assoccnt-svn38497-36.fc34.n 618 kB/s | 23 kB 00:00 (618/3201): texlive-astro-svn15878.2.20-36.fc34 917 kB/s | 30 kB 00:00 (619/3201): texlive-asypictureb-svn33490.0.3-36 694 kB/s | 22 kB 00:00 (620/3201): texlive-asyfig-svn17512.0.1c-36.fc3 524 kB/s | 20 kB 00:00 (621/3201): texlive-atbegshi-svn53051-36.fc34.n 10 MB/s | 411 kB 00:00 (622/3201): texlive-atenddvi-svn53107-36.fc34.n 6.3 MB/s | 286 kB 00:00 (623/3201): texlive-attachfile-svn42099-36.fc34 677 kB/s | 24 kB 00:00 (624/3201): texlive-atveryend-svn53108-36.fc34. 9.9 MB/s | 388 kB 00:00 (625/3201): texlive-attachfile2-20200327-25.fc3 5.9 MB/s | 448 kB 00:00 (626/3201): texlive-aucklandthesis-svn51323-36. 753 kB/s | 24 kB 00:00 (627/3201): texlive-augie-svn18948.0-36.fc34.no 2.0 MB/s | 81 kB 00:00 (628/3201): texlive-auncial-new-svn15878.2.0-36 5.0 MB/s | 216 kB 00:00 (629/3201): texlive-aurl-svn41853-36.fc34.noarc 735 kB/s | 28 kB 00:00 (630/3201): texlive-authoraftertitle-svn24863.0 357 kB/s | 13 kB 00:00 (631/3201): texlive-authorarchive-svn54512-36.f 16 MB/s | 1.2 MB 00:00 (632/3201): texlive-authordate-svn52564-36.fc34 768 kB/s | 25 kB 00:00 (633/3201): texlive-authorindex-20200327-25.fc3 2.0 MB/s | 87 kB 00:00 (634/3201): texlive-aurical-svn15878.1.5-36.fc3 8.8 MB/s | 2.4 MB 00:00 (635/3201): texlive-auto-pst-pdf-svn52849-36.fc 575 kB/s | 19 kB 00:00 (636/3201): texlive-autoarea-svn15878.0.3a-36.f 548 kB/s | 20 kB 00:00 (637/3201): texlive-autobreak-svn43337-36.fc34. 10 MB/s | 551 kB 00:00 (638/3201): texlive-autofancyhdr-svn54049-36.fc 517 kB/s | 20 kB 00:00 (639/3201): texlive-autonum-svn36084.0.3.11-36. 556 kB/s | 22 kB 00:00 (640/3201): texlive-autopdf-svn32377.1.1-36.fc3 560 kB/s | 22 kB 00:00 (641/3201): texlive-auxhook-svn53173-36.fc34.no 7.8 MB/s | 297 kB 00:00 (642/3201): texlive-avantgar-svn31835.0-36.fc34 5.2 MB/s | 299 kB 00:00 (643/3201): texlive-avremu-svn35373.0.1-36.fc34 1.0 MB/s | 34 kB 00:00 (644/3201): texlive-axessibility-svn54080-36.fc 4.7 MB/s | 241 kB 00:00 (645/3201): texlive-axodraw2-20200327-25.fc34.x 7.9 MB/s | 530 kB 00:00 (646/3201): texlive-b1encoding-svn21271.1.0-36. 551 kB/s | 24 kB 00:00 (647/3201): texlive-babel-english-svn44495-36.f 688 kB/s | 22 kB 00:00 (648/3201): texlive-babel-svn54487-36.fc34.noar 6.4 MB/s | 321 kB 00:00 (649/3201): texlive-babelbib-svn50354-36.fc34.n 1.4 MB/s | 47 kB 00:00 (650/3201): texlive-backnaur-svn54080-36.fc34.n 614 kB/s | 20 kB 00:00 (651/3201): texlive-background-svn42428-36.fc34 480 kB/s | 18 kB 00:00 (652/3201): texlive-bangorcsthesis-svn48834-36. 2.1 MB/s | 76 kB 00:00 (653/3201): texlive-bangorexam-svn46626-36.fc34 3.7 MB/s | 256 kB 00:00 (654/3201): texlive-bankstatement-svn38857-36.f 400 kB/s | 21 kB 00:00 (655/3201): texlive-barcodes-svn15878.0-36.fc34 669 kB/s | 24 kB 00:00 (656/3201): texlive-bardiag-svn22013.0.4a-36.fc 833 kB/s | 29 kB 00:00 (657/3201): texlive-bashful-svn25597.0.93-36.fc 697 kB/s | 24 kB 00:00 (658/3201): texlive-basicarith-svn35460.1.1-36. 542 kB/s | 21 kB 00:00 (659/3201): texlive-baskervald-svn19490.1.016-3 9.8 MB/s | 461 kB 00:00 (660/3201): texlive-baskervaldx-svn54512-36.fc3 11 MB/s | 811 kB 00:00 (661/3201): texlive-base-20200327-25.fc34.x86_6 9.0 MB/s | 2.2 MB 00:00 (662/3201): texlive-baskervillef-svn55475-36.fc 14 MB/s | 1.4 MB 00:00 (663/3201): texlive-bbding-svn17186.1.01-36.fc3 721 kB/s | 29 kB 00:00 (664/3201): texlive-bath-bst-svn53422-36.fc34.n 6.7 MB/s | 835 kB 00:00 (665/3201): texlive-bbm-macros-svn17224.0-36.fc 564 kB/s | 18 kB 00:00 (666/3201): texlive-bbold-svn17187.1.01-36.fc34 1.0 MB/s | 34 kB 00:00 (667/3201): texlive-bbm-svn15878.0-36.fc34.noar 1.3 MB/s | 59 kB 00:00 (668/3201): texlive-bbold-type1-svn33143.0-36.f 1.9 MB/s | 82 kB 00:00 (669/3201): texlive-bchart-svn43928-36.fc34.noa 318 kB/s | 14 kB 00:00 (670/3201): texlive-beamer-FUBerlin-doc-svn3815 7.0 MB/s | 378 kB 00:00 (671/3201): texlive-beamer-rl-svn52284-36.fc34. 4.1 MB/s | 198 kB 00:00 (672/3201): texlive-beamer-svn54841-36.fc34.noa 5.7 MB/s | 209 kB 00:00 (673/3201): texlive-beamer-verona-svn39180-36.f 518 kB/s | 22 kB 00:00 (674/3201): texlive-beamer2thesis-svn27539.2.2- 6.8 MB/s | 304 kB 00:00 (675/3201): texlive-beameraudience-svn23427.0.1 477 kB/s | 17 kB 00:00 (676/3201): texlive-beamercolorthemeowl-svn4010 571 kB/s | 21 kB 00:00 (677/3201): texlive-beamerauxtheme-svn51053-36. 4.3 MB/s | 201 kB 00:00 (678/3201): texlive-beamerdarkthemes-svn35101.0 573 kB/s | 21 kB 00:00 (679/3201): texlive-beamerposter-svn54512-36.fc 618 kB/s | 24 kB 00:00 (680/3201): texlive-beamersubframe-svn23510.0.2 478 kB/s | 20 kB 00:00 (681/3201): texlive-beamertheme-cuerna-svn42161 6.7 MB/s | 346 kB 00:00 (682/3201): texlive-beamertheme-detlevcm-svn390 341 kB/s | 21 kB 00:00 (683/3201): texlive-beamertheme-epyt-svn41404-3 615 kB/s | 22 kB 00:00 (684/3201): texlive-beamertheme-focus-svn54772- 5.1 MB/s | 550 kB 00:00 (685/3201): texlive-beamerswitch-svn53467-36.fc 882 kB/s | 257 kB 00:00 (686/3201): texlive-arphic-svn15878.0-36.fc34.n 10 MB/s | 26 MB 00:02 (687/3201): texlive-beamertheme-metropolis-svn4 195 kB/s | 21 kB 00:00 (688/3201): texlive-beamertheme-light-svn49867- 1.1 MB/s | 122 kB 00:00 (689/3201): texlive-beamertheme-phnompenh-svn39 368 kB/s | 13 kB 00:00 (690/3201): texlive-beamertheme-saintpetersburg 3.8 MB/s | 269 kB 00:00 (691/3201): texlive-beamertheme-upenn-bc-svn299 426 kB/s | 18 kB 00:00 (692/3201): texlive-beamerthemejltree-svn21977. 507 kB/s | 19 kB 00:00 (693/3201): texlive-beamerthemenirma-svn20765.0 427 kB/s | 19 kB 00:00 (694/3201): texlive-beamertheme-npbt-svn54512-3 8.6 MB/s | 1.8 MB 00:00 (695/3201): texlive-bearwear-svn54826-36.fc34.n 7.2 MB/s | 792 kB 00:00 (696/3201): texlive-begriff-svn15878.1.6-36.fc3 589 kB/s | 19 kB 00:00 (697/3201): texlive-beebe-svn54760-36.fc34.noar 5.1 MB/s | 841 kB 00:00 (698/3201): texlive-belleek-svn18651.0-36.fc34. 1.8 MB/s | 100 kB 00:00 (699/3201): texlive-bera-svn20031.0-36.fc34.noa 7.9 MB/s | 342 kB 00:00 (700/3201): texlive-beilstein-svn53925-36.fc34. 5.8 MB/s | 682 kB 00:00 (701/3201): texlive-besjournals-svn45662-36.fc3 474 kB/s | 21 kB 00:00 (702/3201): texlive-bestpapers-svn38708-36.fc34 499 kB/s | 21 kB 00:00 (703/3201): texlive-beton-svn15878.0-36.fc34.no 488 kB/s | 18 kB 00:00 (704/3201): texlive-beuron-svn46374-36.fc34.noa 6.8 MB/s | 289 kB 00:00 (705/3201): texlive-berenisadf-svn32215.1.004-3 8.6 MB/s | 1.5 MB 00:00 (706/3201): texlive-bewerbung-svn37880.1.1-36.f 537 kB/s | 30 kB 00:00 (707/3201): texlive-bez123-svn15878.1.1b-36.fc3 427 kB/s | 21 kB 00:00 (708/3201): texlive-bgteubner-svn54080-36.fc34. 1.4 MB/s | 47 kB 00:00 (709/3201): texlive-bhcexam-svn39041-36.fc34.no 399 kB/s | 20 kB 00:00 (710/3201): texlive-bguq-svn27401.0.4-36.fc34.n 725 kB/s | 38 kB 00:00 (711/3201): texlive-bibarts-svn54080-36.fc34.no 1.1 MB/s | 45 kB 00:00 (712/3201): texlive-bibexport-20200327-25.fc34. 5.4 MB/s | 269 kB 00:00 (713/3201): texlive-biblatex-abnt-svn49179-36.f 1.0 MB/s | 38 kB 00:00 (714/3201): texlive-biblatex-ajc2020unofficial- 581 kB/s | 21 kB 00:00 (715/3201): texlive-bibhtml-svn31607.2.0.2-36.f 281 kB/s | 30 kB 00:00 (716/3201): texlive-biblatex-anonymous-svn48548 554 kB/s | 20 kB 00:00 (717/3201): texlive-biblatex-apa6-svn54995-36.f 4.5 MB/s | 265 kB 00:00 (718/3201): texlive-biblatex-apa-svn54896-36.fc 590 kB/s | 43 kB 00:00 (719/3201): texlive-biblatex-arthistory-bonn-sv 1.3 MB/s | 207 kB 00:00 (720/3201): texlive-biblatex-archaeology-svn532 19 MB/s | 4.7 MB 00:00 (721/3201): texlive-biblatex-bookinarticle-svn4 562 kB/s | 21 kB 00:00 (722/3201): texlive-biblatex-bookinother-svn540 376 kB/s | 22 kB 00:00 (723/3201): texlive-biblatex-bath-svn53424-36.f 2.0 MB/s | 504 kB 00:00 (724/3201): texlive-bib2gls-20200327-25.fc34.no 9.0 MB/s | 6.0 MB 00:00 (725/3201): texlive-biblatex-bwl-svn26556.0.02- 240 kB/s | 20 kB 00:00 (726/3201): texlive-biblatex-caspervector-svn52 632 kB/s | 24 kB 00:00 (727/3201): texlive-biblatex-chem-svn53012-36.f 775 kB/s | 25 kB 00:00 (728/3201): texlive-biblatex-chicago-svn54809-3 1.9 MB/s | 113 kB 00:00 (729/3201): texlive-biblatex-claves-svn43723-36 458 kB/s | 21 kB 00:00 (730/3201): texlive-biblatex-dw-svn42649-36.fc3 1.0 MB/s | 34 kB 00:00 (731/3201): texlive-biblatex-enc-svn44627-36.fc 760 kB/s | 29 kB 00:00 (732/3201): texlive-biblatex-fiwi-svn45876-36.f 743 kB/s | 36 kB 00:00 (733/3201): texlive-biblatex-german-legal-svn54 4.2 MB/s | 186 kB 00:00 (734/3201): texlive-biblatex-gb7714-2015-svn545 20 MB/s | 2.0 MB 00:00 (735/3201): texlive-biblatex-gost-svn53916-36.f 1.1 MB/s | 46 kB 00:00 (736/3201): texlive-biblatex-historian-svn19787 1.1 MB/s | 40 kB 00:00 (737/3201): texlive-biblatex-ieee-svn53931-36.f 446 kB/s | 24 kB 00:00 (738/3201): texlive-biblatex-ijsra-svn41634-36. 444 kB/s | 20 kB 00:00 (739/3201): texlive-biblatex-iso690-svn54561-36 1.1 MB/s | 38 kB 00:00 (740/3201): texlive-biblatex-juradiss-svn54515- 689 kB/s | 22 kB 00:00 (741/3201): texlive-biblatex-ext-svn54327-36.fc 2.2 MB/s | 621 kB 00:00 (742/3201): texlive-biblatex-jura2-svn53243-36. 5.8 MB/s | 385 kB 00:00 (743/3201): texlive-biblatex-lni-svn49935-36.fc 678 kB/s | 22 kB 00:00 (744/3201): texlive-biblatex-luh-ipw-svn32180.0 705 kB/s | 26 kB 00:00 (745/3201): texlive-biblatex-manuscripts-philol 621 kB/s | 25 kB 00:00 (746/3201): texlive-biblatex-mla-svn42445-36.fc 878 kB/s | 32 kB 00:00 (747/3201): texlive-biblatex-morenames-svn43049 586 kB/s | 21 kB 00:00 (748/3201): texlive-biblatex-multiple-dm-svn370 555 kB/s | 20 kB 00:00 (749/3201): texlive-biblatex-musuos-svn24097.1. 493 kB/s | 20 kB 00:00 (750/3201): texlive-biblatex-nature-svn48940-36 525 kB/s | 19 kB 00:00 (751/3201): texlive-biblatex-nejm-svn49839-36.f 513 kB/s | 21 kB 00:00 (752/3201): texlive-biblatex-nottsclassic-svn41 633 kB/s | 22 kB 00:00 (753/3201): texlive-biblatex-opcit-booktitle-sv 587 kB/s | 21 kB 00:00 (754/3201): texlive-biblatex-philosophy-svn4728 1.0 MB/s | 33 kB 00:00 (755/3201): texlive-biblatex-phys-svn53013-36.f 617 kB/s | 22 kB 00:00 (756/3201): texlive-biblatex-publist-svn50989-3 691 kB/s | 26 kB 00:00 (757/3201): texlive-biblatex-realauthor-svn4586 547 kB/s | 21 kB 00:00 (758/3201): texlive-biblatex-oxref-svn53571-36. 17 MB/s | 1.9 MB 00:00 (759/3201): texlive-biblatex-science-svn48945-3 522 kB/s | 19 kB 00:00 (760/3201): texlive-biblatex-shortfields-svn458 2.7 MB/s | 102 kB 00:00 (761/3201): texlive-biblatex-sbl-svn49426-36.fc 6.4 MB/s | 675 kB 00:00 (762/3201): texlive-biblatex-software-svn54950- 7.4 MB/s | 309 kB 00:00 (763/3201): texlive-biblatex-socialscienceshube 1.8 MB/s | 132 kB 00:00 (764/3201): texlive-biblatex-source-division-sv 325 kB/s | 21 kB 00:00 (765/3201): texlive-biblatex-subseries-svn43330 265 kB/s | 20 kB 00:00 (766/3201): texlive-biblatex-swiss-legal-svn327 1.1 MB/s | 39 kB 00:00 (767/3201): texlive-biblatex-svn53063-36.fc34.n 2.2 MB/s | 248 kB 00:00 (768/3201): texlive-biblatex-trad-svn46668-36.f 323 kB/s | 21 kB 00:00 (769/3201): texlive-bibletext-svn45196-36.fc34. 296 kB/s | 14 kB 00:00 (770/3201): texlive-biblatex-true-citepages-omi 188 kB/s | 20 kB 00:00 (771/3201): texlive-biblatex2bibitem-svn54030-3 1.6 MB/s | 141 kB 00:00 (772/3201): texlive-biblist-svn17116.0-36.fc34. 564 kB/s | 25 kB 00:00 (773/3201): texlive-bibtexperllibs-svn54512-36. 341 kB/s | 12 kB 00:00 (774/3201): texlive-bibtopic-svn15878.1.1a-36.f 707 kB/s | 26 kB 00:00 (775/3201): texlive-bibtopicprefix-svn15878.1.1 486 kB/s | 18 kB 00:00 (776/3201): texlive-bibunits-svn15878.2.2-36.fc 517 kB/s | 19 kB 00:00 (777/3201): texlive-bigfoot-svn38248.2.1-36.fc3 683 kB/s | 31 kB 00:00 (778/3201): texlive-bidi-svn53615-36.fc34.noarc 1.8 MB/s | 137 kB 00:00 (779/3201): texlive-bibtex-20200327-25.fc34.x86 2.3 MB/s | 457 kB 00:00 (780/3201): texlive-bigints-svn29803.0-36.fc34. 436 kB/s | 17 kB 00:00 (781/3201): texlive-bigintcalc-svn53172-36.fc34 10 MB/s | 469 kB 00:00 (782/3201): texlive-binomexp-svn15878.1.0-36.fc 354 kB/s | 18 kB 00:00 (783/3201): texlive-biochemistry-colors-svn5451 1.9 MB/s | 97 kB 00:00 (784/3201): texlive-binarytree-svn41777-36.fc34 5.0 MB/s | 460 kB 00:00 (785/3201): texlive-biocon-svn15878.0-36.fc34.n 579 kB/s | 21 kB 00:00 (786/3201): texlive-biolett-bst-svn42217-36.fc3 610 kB/s | 22 kB 00:00 (787/3201): texlive-bitpattern-svn39073-36.fc34 476 kB/s | 21 kB 00:00 (788/3201): texlive-bitter-svn56026-36.fc34.noa 4.0 MB/s | 241 kB 00:00 (789/3201): texlive-bizcard-svn15878.1.1-36.fc3 425 kB/s | 19 kB 00:00 (790/3201): texlive-blacklettert1-svn15878.0-36 638 kB/s | 28 kB 00:00 (791/3201): texlive-blindtext-svn25039.2.0-36.f 699 kB/s | 26 kB 00:00 (792/3201): texlive-blkarray-svn36406.0.07-36.f 930 kB/s | 35 kB 00:00 (793/3201): texlive-blochsphere-svn38388-36.fc3 616 kB/s | 22 kB 00:00 (794/3201): texlive-block-svn17209.0-36.fc34.no 221 kB/s | 14 kB 00:00 (795/3201): texlive-bitset-svn53837-36.fc34.noa 2.8 MB/s | 623 kB 00:00 (796/3201): texlive-bloques-svn22490.1.0-36.fc3 337 kB/s | 20 kB 00:00 (797/3201): texlive-blowup-svn46213-36.fc34.noa 5.1 MB/s | 331 kB 00:00 (798/3201): texlive-blox-svn35014.2.5-36.fc34.n 326 kB/s | 18 kB 00:00 (799/3201): texlive-bnumexpr-svn49643-36.fc34.n 408 kB/s | 22 kB 00:00 (800/3201): texlive-bodegraph-svn20047.1.4-36.f 926 kB/s | 34 kB 00:00 (801/3201): texlive-bohr-svn54512-36.fc34.noarc 615 kB/s | 22 kB 00:00 (802/3201): texlive-boisik-svn15878.0.5-36.fc34 4.0 MB/s | 256 kB 00:00 (803/3201): texlive-boites-svn32235.1.1-36.fc34 253 kB/s | 22 kB 00:00 (804/3201): texlive-boldtensors-svn15878.0-36.f 312 kB/s | 20 kB 00:00 (805/3201): texlive-bold-extra-svn17076.0.1-36. 185 kB/s | 17 kB 00:00 (806/3201): texlive-bondgraph-svn21670.1.0-36.f 490 kB/s | 20 kB 00:00 (807/3201): texlive-bookcover-svn46410-36.fc34. 491 kB/s | 22 kB 00:00 (808/3201): texlive-bondgraphs-svn36605.1.0.1-3 391 kB/s | 21 kB 00:00 (809/3201): texlive-bookdb-svn37536.0.2-36.fc34 640 kB/s | 26 kB 00:00 (810/3201): texlive-bookest-svn15878.1.1-36.fc3 355 kB/s | 20 kB 00:00 (811/3201): texlive-booklet-svn15878.0.7b-36.fc 570 kB/s | 22 kB 00:00 (812/3201): texlive-bookhands-svn46480-36.fc34. 2.5 MB/s | 194 kB 00:00 (813/3201): texlive-bookmark-svn53026-36.fc34.n 11 MB/s | 479 kB 00:00 (814/3201): texlive-booktabs-svn53402-36.fc34.n 542 kB/s | 20 kB 00:00 (815/3201): texlive-boolexpr-svn17830.3.14-36.f 479 kB/s | 19 kB 00:00 (816/3201): texlive-boondox-svn54512-36.fc34.no 5.3 MB/s | 225 kB 00:00 (817/3201): texlive-bophook-svn17062.0.02-36.fc 483 kB/s | 18 kB 00:00 (818/3201): texlive-bookman-svn31835.0-36.fc34. 2.3 MB/s | 341 kB 00:00 (819/3201): texlive-bosisio-svn16989.0-36.fc34. 558 kB/s | 24 kB 00:00 (820/3201): texlive-bpchem-svn45120-36.fc34.noa 522 kB/s | 19 kB 00:00 (821/3201): texlive-boxhandler-svn28031.1.30-36 470 kB/s | 22 kB 00:00 (822/3201): texlive-boxedminipage-svn54827-36.f 5.5 MB/s | 348 kB 00:00 (823/3201): texlive-br-lex-svn44939-36.fc34.noa 496 kB/s | 21 kB 00:00 (824/3201): texlive-bracketkey-svn17129.1.0-36. 519 kB/s | 20 kB 00:00 (825/3201): texlive-braids-svn54080-36.fc34.noa 689 kB/s | 26 kB 00:00 (826/3201): texlive-braille-svn20655.0-36.fc34. 428 kB/s | 18 kB 00:00 (827/3201): texlive-braket-svn17127.0-36.fc34.n 343 kB/s | 15 kB 00:00 (828/3201): texlive-brandeis-dissertation-svn54 426 kB/s | 19 kB 00:00 (829/3201): texlive-brandeis-problemset-svn5099 4.1 MB/s | 165 kB 00:00 (830/3201): texlive-brandeis-thesis-svn54758-36 4.1 MB/s | 166 kB 00:00 (831/3201): texlive-breakcites-svn21014-36.fc34 292 kB/s | 14 kB 00:00 (832/3201): texlive-breakurl-svn29901.1.40-36.f 522 kB/s | 19 kB 00:00 (833/3201): texlive-breqn-svn54801-36.fc34.noar 1.2 MB/s | 44 kB 00:00 (834/3201): texlive-bropd-svn35383.1.2-36.fc34. 444 kB/s | 20 kB 00:00 (835/3201): texlive-brushscr-svn28363.0-36.fc34 1.6 MB/s | 68 kB 00:00 (836/3201): texlive-bullcntr-svn15878.0.04-36.f 526 kB/s | 21 kB 00:00 (837/3201): texlive-bussproofs-svn54080-36.fc34 592 kB/s | 27 kB 00:00 (838/3201): texlive-bxcalc-svn52947-36.fc34.noa 6.5 MB/s | 312 kB 00:00 (839/3201): texlive-bussproofs-extra-svn51299-3 2.0 MB/s | 240 kB 00:00 (840/3201): texlive-bxdpx-beamer-svn41813-36.fc 220 kB/s | 15 kB 00:00 (841/3201): texlive-bxdvidriver-svn43219-36.fc3 266 kB/s | 16 kB 00:00 (842/3201): texlive-bxeepic-svn30559.0.2-36.fc3 461 kB/s | 20 kB 00:00 (843/3201): texlive-bxenclose-svn40213-36.fc34. 411 kB/s | 15 kB 00:00 (844/3201): texlive-bxnewfont-svn44173-36.fc34. 479 kB/s | 18 kB 00:00 (845/3201): texlive-bxpapersize-svn52304-36.fc3 406 kB/s | 18 kB 00:00 (846/3201): texlive-bxpdfver-svn54802-36.fc34.n 495 kB/s | 20 kB 00:00 (847/3201): texlive-bxtexlogo-svn47230-36.fc34. 8.5 MB/s | 434 kB 00:00 (848/3201): texlive-bytefield-svn45339-36.fc34. 521 kB/s | 21 kB 00:00 (849/3201): texlive-cachepic-20200327-25.fc34.n 3.8 MB/s | 175 kB 00:00 (850/3201): texlive-calcage-svn27725.0.90-36.fc 534 kB/s | 20 kB 00:00 (851/3201): texlive-cabin-svn55907-36.fc34.noar 23 MB/s | 3.0 MB 00:00 (852/3201): texlive-calctab-svn15878.v0.6.1-36. 567 kB/s | 21 kB 00:00 (853/3201): texlive-calculation-svn35973.1.0-36 523 kB/s | 22 kB 00:00 (854/3201): texlive-calculator-svn33041.2.0-36. 668 kB/s | 28 kB 00:00 (855/3201): texlive-caladea-svn34991.0-36.fc34. 2.5 MB/s | 379 kB 00:00 (856/3201): texlive-calligra-svn15878.0-36.fc34 1.5 MB/s | 59 kB 00:00 (857/3201): texlive-calligra-type1-svn24302.001 1.2 MB/s | 72 kB 00:00 (858/3201): texlive-calrsfs-svn17125.0-36.fc34. 385 kB/s | 14 kB 00:00 (859/3201): texlive-callouts-svn44899-36.fc34.n 2.5 MB/s | 216 kB 00:00 (860/3201): texlive-cals-svn43003-36.fc34.noarc 436 kB/s | 24 kB 00:00 (861/3201): texlive-calxxxx-yyyy-svn53290-36.fc 489 kB/s | 25 kB 00:00 (862/3201): texlive-cancel-svn32508.2.2-36.fc34 368 kB/s | 16 kB 00:00 (863/3201): texlive-canoniclayout-svn54758-36.f 545 kB/s | 20 kB 00:00 (864/3201): texlive-capt-of-svn29803.0-36.fc34. 434 kB/s | 17 kB 00:00 (865/3201): texlive-cantarell-svn54512-36.fc34. 19 MB/s | 1.3 MB 00:00 (866/3201): texlive-captcont-svn15878.2.0-36.fc 484 kB/s | 18 kB 00:00 (867/3201): texlive-captdef-svn17353.0-36.fc34. 463 kB/s | 17 kB 00:00 (868/3201): texlive-caption-svn53517-36.fc34.no 1.3 MB/s | 48 kB 00:00 (869/3201): texlive-carbohydrates-svn39000-36.f 643 kB/s | 24 kB 00:00 (870/3201): texlive-carlisle-svn47876-36.fc34.n 770 kB/s | 28 kB 00:00 (871/3201): texlive-carolmin-ps-svn15878.0-36.f 3.1 MB/s | 121 kB 00:00 (872/3201): texlive-cascade-svn48200-36.fc34.no 1.8 MB/s | 90 kB 00:00 (873/3201): texlive-cascadilla-svn25144.1.8.2-3 668 kB/s | 26 kB 00:00 (874/3201): texlive-carlito-svn35002.0-36.fc34. 23 MB/s | 2.8 MB 00:00 (875/3201): texlive-cases-svn54682-36.fc34.noar 387 kB/s | 17 kB 00:00 (876/3201): texlive-casyl-svn15878.2.0-36.fc34. 450 kB/s | 20 kB 00:00 (877/3201): texlive-catchfile-svn53084-36.fc34. 7.0 MB/s | 310 kB 00:00 (878/3201): texlive-catcodes-svn38859-36.fc34.n 589 kB/s | 25 kB 00:00 (879/3201): texlive-catchfilebetweentags-svn214 475 kB/s | 21 kB 00:00 (880/3201): texlive-catechis-svn49061-36.fc34.n 450 kB/s | 18 kB 00:00 (881/3201): texlive-catoptions-svn35069.0.2.7h- 1.4 MB/s | 57 kB 00:00 (882/3201): texlive-cbcoptic-svn16666.0.2-36.fc 2.1 MB/s | 124 kB 00:00 (883/3201): texlive-cbfonts-fd-svn54080-36.fc34 641 kB/s | 23 kB 00:00 (884/3201): texlive-ccaption-svn23443.3.2c-36.f 532 kB/s | 24 kB 00:00 (885/3201): texlive-ccfonts-svn54686-36.fc34.no 498 kB/s | 18 kB 00:00 (886/3201): texlive-ccicons-svn54512-36.fc34.no 832 kB/s | 37 kB 00:00 (887/3201): texlive-cclicenses-svn15878.0-36.fc 470 kB/s | 17 kB 00:00 (888/3201): texlive-cd-cover-svn17121.1.0-36.fc 507 kB/s | 21 kB 00:00 (889/3201): texlive-ccool-svn54753-36.fc34.noar 14 MB/s | 721 kB 00:00 (890/3201): texlive-cd-svn34452.1.4-36.fc34.noa 588 kB/s | 21 kB 00:00 (891/3201): texlive-cdpbundl-svn46613-36.fc34.n 991 kB/s | 36 kB 00:00 (892/3201): texlive-cell-svn42428-36.fc34.noarc 668 kB/s | 24 kB 00:00 (893/3201): texlive-cellprops-svn52205-36.fc34. 12 MB/s | 557 kB 00:00 (894/3201): texlive-cellspace-svn50374-36.fc34. 439 kB/s | 18 kB 00:00 (895/3201): texlive-celtic-svn39797-36.fc34.noa 615 kB/s | 22 kB 00:00 (896/3201): texlive-censor-svn49168-36.fc34.noa 566 kB/s | 21 kB 00:00 (897/3201): texlive-centeredline-svn50971-36.fc 577 kB/s | 21 kB 00:00 (898/3201): texlive-cesenaexam-svn44960-36.fc34 10 MB/s | 522 kB 00:00 (899/3201): texlive-cfr-initials-svn36728.1.01- 544 kB/s | 25 kB 00:00 (900/3201): texlive-cfr-lm-svn36195.1.5-36.fc34 7.2 MB/s | 313 kB 00:00 (901/3201): texlive-changebar-svn46919-36.fc34. 621 kB/s | 23 kB 00:00 (902/3201): texlive-changelayout-svn16094.1.0-3 368 kB/s | 20 kB 00:00 (903/3201): texlive-changelog-svn54864-36.fc34. 3.5 MB/s | 189 kB 00:00 (904/3201): texlive-changepage-svn15878.1.0c-36 597 kB/s | 22 kB 00:00 (905/3201): texlive-changes-svn52838-36.fc34.no 818 kB/s | 30 kB 00:00 (906/3201): texlive-chappg-svn15878.2.1b-36.fc3 483 kB/s | 18 kB 00:00 (907/3201): texlive-chapterfolder-svn15878.2.0. 487 kB/s | 18 kB 00:00 (908/3201): texlive-charter-svn15878.0-36.fc34. 5.0 MB/s | 200 kB 00:00 (909/3201): texlive-chbibref-svn17120.1.0-36.fc 449 kB/s | 17 kB 00:00 (910/3201): texlive-checkend-svn51475-36.fc34.n 407 kB/s | 15 kB 00:00 (911/3201): texlive-cheatsheet-svn45069-36.fc34 6.7 MB/s | 409 kB 00:00 (912/3201): texlive-chem-journal-svn15878.0-36. 415 kB/s | 23 kB 00:00 (913/3201): texlive-chemarrow-svn17146.0.9-36.f 554 kB/s | 20 kB 00:00 (914/3201): texlive-chembst-svn15878.0.2.5-36.f 641 kB/s | 23 kB 00:00 (915/3201): texlive-chemcompounds-svn15878.0-36 494 kB/s | 18 kB 00:00 (916/3201): texlive-chemcono-svn17119.1.3-36.fc 659 kB/s | 24 kB 00:00 (917/3201): texlive-chemexec-svn21632.1.0-36.fc 727 kB/s | 26 kB 00:00 (918/3201): texlive-chemformula-svn54158-36.fc3 725 kB/s | 37 kB 00:00 (919/3201): texlive-chemfig-svn54914-36.fc34.no 736 kB/s | 45 kB 00:00 (920/3201): texlive-chemgreek-svn53437-36.fc34. 694 kB/s | 26 kB 00:00 (921/3201): texlive-chemmacros-svn54191-36.fc34 1.3 MB/s | 68 kB 00:00 (922/3201): texlive-chemnum-svn52256-36.fc34.no 628 kB/s | 30 kB 00:00 (923/3201): texlive-chemplants-svn52863-36.fc34 15 MB/s | 878 kB 00:00 (924/3201): texlive-chemschemex-svn46723-36.fc3 613 kB/s | 24 kB 00:00 (925/3201): texlive-chemsec-svn46972-36.fc34.no 5.6 MB/s | 267 kB 00:00 (926/3201): texlive-chemstyle-svn31096.2.0m-36. 732 kB/s | 27 kB 00:00 (927/3201): texlive-cherokee-svn21046.0-36.fc34 462 kB/s | 18 kB 00:00 (928/3201): texlive-chessfss-svn19440.1.2a-36.f 753 kB/s | 32 kB 00:00 (929/3201): texlive-chet-svn45081-36.fc34.noarc 666 kB/s | 24 kB 00:00 (930/3201): texlive-chextras-svn27118.1.01-36.f 686 kB/s | 25 kB 00:00 (931/3201): texlive-chicago-svn15878.0-36.fc34. 639 kB/s | 23 kB 00:00 (932/3201): texlive-chicago-annote-svn15878.0-3 476 kB/s | 24 kB 00:00 (933/3201): texlive-chivo-svn54512-36.fc34.noar 25 MB/s | 2.5 MB 00:00 (934/3201): texlive-chkfloat-svn27473.0.1-36.fc 370 kB/s | 20 kB 00:00 (935/3201): texlive-chletter-svn20060.2.0-36.fc 526 kB/s | 20 kB 00:00 (936/3201): texlive-childdoc-svn49543-36.fc34.n 1.1 MB/s | 274 kB 00:00 (937/3201): texlive-chngcntr-svn47577-36.fc34.n 337 kB/s | 18 kB 00:00 (938/3201): texlive-chronology-svn37934.1.1.1-3 566 kB/s | 20 kB 00:00 (939/3201): texlive-chs-physics-report-svn54512 5.0 MB/s | 204 kB 00:00 (940/3201): texlive-chscite-svn28552.2.9999-36. 641 kB/s | 24 kB 00:00 (941/3201): texlive-cinzel-svn54512-36.fc34.noa 11 MB/s | 573 kB 00:00 (942/3201): texlive-circ-svn15878.1.1-36.fc34.n 1.2 MB/s | 44 kB 00:00 (943/3201): texlive-circledsteps-svn53382-36.fc 9.3 MB/s | 419 kB 00:00 (944/3201): texlive-circuitikz-svn54873-36.fc34 3.4 MB/s | 137 kB 00:00 (945/3201): texlive-cite-svn36428.5.5-36.fc34.n 888 kB/s | 33 kB 00:00 (946/3201): texlive-circuit-macros-svn54994-36. 11 MB/s | 1.7 MB 00:00 (947/3201): texlive-citeall-svn45975-36.fc34.no 473 kB/s | 21 kB 00:00 (948/3201): texlive-citeref-svn47407-36.fc34.no 2.1 MB/s | 80 kB 00:00 (949/3201): texlive-cjk-svn36951.4.8.4-36.fc34. 2.7 MB/s | 110 kB 00:00 (950/3201): texlive-cje-svn46721-36.fc34.noarch 7.9 MB/s | 663 kB 00:00 (951/3201): texlive-cjkpunct-svn41119-36.fc34.n 542 kB/s | 20 kB 00:00 (952/3201): texlive-clara-svn54512-36.fc34.noar 19 MB/s | 1.1 MB 00:00 (953/3201): texlive-classics-svn53671-36.fc34.n 566 kB/s | 20 kB 00:00 (954/3201): texlive-classicthesis-svn48041-36.f 775 kB/s | 28 kB 00:00 (955/3201): texlive-classpack-svn33101.0.77-36. 496 kB/s | 20 kB 00:00 (956/3201): texlive-cleanthesis-svn51472-36.fc3 705 kB/s | 26 kB 00:00 (957/3201): texlive-clefval-svn16549.0-36.fc34. 459 kB/s | 17 kB 00:00 (958/3201): texlive-clearsans-svn54512-36.fc34. 19 MB/s | 1.4 MB 00:00 (959/3201): texlive-cleveref-svn47525-36.fc34.n 1.2 MB/s | 45 kB 00:00 (960/3201): texlive-clipboard-svn47747-36.fc34. 542 kB/s | 20 kB 00:00 (961/3201): texlive-clock-svn15878.0-36.fc34.no 434 kB/s | 16 kB 00:00 (962/3201): texlive-cloze-svn41531-36.fc34.noar 641 kB/s | 23 kB 00:00 (963/3201): texlive-clrscode-svn51136-36.fc34.n 357 kB/s | 20 kB 00:00 (964/3201): texlive-clrdblpg-svn47511-36.fc34.n 4.1 MB/s | 296 kB 00:00 (965/3201): texlive-clrscode3e-svn51137-36.fc34 580 kB/s | 21 kB 00:00 (966/3201): texlive-clrstrip-svn53537-36.fc34.n 4.2 MB/s | 209 kB 00:00 (967/3201): texlive-cm-mf-extra-bold-svn54512-3 677 kB/s | 25 kB 00:00 (968/3201): texlive-cm-lgc-svn28250.0.5-36.fc34 26 MB/s | 4.8 MB 00:00 (969/3201): texlive-cm-svn49028-36.fc34.noarch. 6.6 MB/s | 291 kB 00:00 (970/3201): texlive-cm-unicode-svn19445.0.7.0-3 31 MB/s | 14 MB 00:00 (971/3201): texlive-cmap-svn42428-36.fc34.noarc 620 kB/s | 24 kB 00:00 (972/3201): texlive-cmbright-svn21107.8.1-36.fc 3.6 MB/s | 156 kB 00:00 (973/3201): texlive-cmdstring-svn15878.1.1-36.f 426 kB/s | 17 kB 00:00 (974/3201): texlive-cmdtrack-svn28910-36.fc34.n 453 kB/s | 19 kB 00:00 (975/3201): texlive-cmexb-svn54074-36.fc34.noar 1.2 MB/s | 45 kB 00:00 (976/3201): texlive-cmextra-svn42428-36.fc34.no 1.1 MB/s | 43 kB 00:00 (977/3201): texlive-cmll-svn17964.0-36.fc34.noa 5.8 MB/s | 352 kB 00:00 (978/3201): texlive-cmpica-svn15878.0-36.fc34.n 572 kB/s | 21 kB 00:00 (979/3201): texlive-cmpj-svn51661-36.fc34.noarc 1.8 MB/s | 69 kB 00:00 (980/3201): texlive-cmsd-svn18787.0-36.fc34.noa 482 kB/s | 18 kB 00:00 (981/3201): texlive-cmsrb-svn54706-36.fc34.noar 27 MB/s | 3.0 MB 00:00 (982/3201): texlive-cmtiup-svn39728-36.fc34.noa 2.1 MB/s | 82 kB 00:00 (983/3201): texlive-cnltx-svn52601-36.fc34.noar 1.5 MB/s | 57 kB 00:00 (984/3201): texlive-cns-svn45677-36.fc34.noarch 26 MB/s | 3.4 MB 00:00 (985/3201): texlive-cntformats-svn34668.0.7-36. 581 kB/s | 21 kB 00:00 (986/3201): texlive-cntperchap-svn37572.0.3-36. 620 kB/s | 23 kB 00:00 (987/3201): texlive-cochineal-svn56418-36.fc34. 24 MB/s | 2.8 MB 00:00 (988/3201): texlive-cm-super-svn15878.0-36.fc34 34 MB/s | 62 MB 00:01 (989/3201): texlive-codeanatomy-svn51627-36.fc3 1.1 MB/s | 271 kB 00:00 (990/3201): texlive-codedoc-svn17630.0.3-36.fc3 709 kB/s | 26 kB 00:00 (991/3201): texlive-codepage-svn51502-36.fc34.n 695 kB/s | 25 kB 00:00 (992/3201): texlive-codesection-svn34481.0.1-36 577 kB/s | 21 kB 00:00 (993/3201): texlive-collcell-svn21539.0.5-36.fc 403 kB/s | 21 kB 00:00 (994/3201): texlive-collectbox-svn26557.0.4b-36 594 kB/s | 22 kB 00:00 (995/3201): texlive-collection-basic-svn54186-3 363 kB/s | 13 kB 00:00 (996/3201): texlive-collection-bibtexextra-svn5 352 kB/s | 16 kB 00:00 (997/3201): texlive-coelacanth-svn54736-36.fc34 28 MB/s | 6.9 MB 00:00 (998/3201): texlive-collection-fontsextra-svn54 494 kB/s | 19 kB 00:00 (999/3201): texlive-collection-fontsrecommended 365 kB/s | 13 kB 00:00 (1000/3201): texlive-collection-latex-svn54074- 369 kB/s | 13 kB 00:00 (1001/3201): texlive-collection-latexrecommende 224 kB/s | 14 kB 00:00 (1002/3201): texlive-collection-latexextra-svn5 646 kB/s | 41 kB 00:00 (1003/3201): texlive-collection-mathscience-svn 471 kB/s | 17 kB 00:00 (1004/3201): texlive-collection-pictures-svn548 455 kB/s | 17 kB 00:00 (1005/3201): texlive-collection-publishers-svn5 459 kB/s | 17 kB 00:00 (1006/3201): texlive-collref-svn46358-36.fc34.n 379 kB/s | 20 kB 00:00 (1007/3201): texlive-colordoc-svn18270.0-36.fc3 477 kB/s | 18 kB 00:00 (1008/3201): texlive-colophon-svn47913-36.fc34. 6.0 MB/s | 382 kB 00:00 (1009/3201): texlive-colorinfo-svn15878.0.3c-36 492 kB/s | 18 kB 00:00 (1010/3201): texlive-coloring-svn41042-36.fc34. 556 kB/s | 20 kB 00:00 (1011/3201): texlive-colorprofiles-svn49086-36. 3.8 MB/s | 151 kB 00:00 (1012/3201): texlive-colorspace-svn50585-36.fc3 608 kB/s | 25 kB 00:00 (1013/3201): texlive-colortab-svn22155.1.0-36.f 656 kB/s | 24 kB 00:00 (1014/3201): texlive-colortbl-svn53545-36.fc34. 525 kB/s | 19 kB 00:00 (1015/3201): texlive-colorwav-svn15878.1.0-36.f 422 kB/s | 23 kB 00:00 (1016/3201): texlive-colorweb-svn31490.1.3-36.f 405 kB/s | 22 kB 00:00 (1017/3201): texlive-colourchange-svn21741.1.22 715 kB/s | 26 kB 00:00 (1018/3201): texlive-combine-svn19361.0.7a-36.f 685 kB/s | 29 kB 00:00 (1019/3201): texlive-combelow-svn18462.0.99f-36 185 kB/s | 20 kB 00:00 (1020/3201): texlive-combinedgraphics-svn27198. 577 kB/s | 21 kB 00:00 (1021/3201): texlive-comicneue-svn54891-36.fc34 12 MB/s | 928 kB 00:00 (1022/3201): texlive-comma-svn18259.1.2-36.fc34 399 kB/s | 17 kB 00:00 (1023/3201): texlive-commado-svn38875-36.fc34.n 483 kB/s | 22 kB 00:00 (1024/3201): texlive-commath-svn15878.0.3-36.fc 507 kB/s | 18 kB 00:00 (1025/3201): texlive-comfortaa-svn54512-36.fc34 2.3 MB/s | 614 kB 00:00 (1026/3201): texlive-commedit-svn50116-36.fc34. 10 MB/s | 605 kB 00:00 (1027/3201): texlive-comment-svn41927-36.fc34.n 591 kB/s | 22 kB 00:00 (1028/3201): texlive-compactbib-svn15878.0-36.f 489 kB/s | 18 kB 00:00 (1029/3201): texlive-competences-svn47573-36.fc 609 kB/s | 22 kB 00:00 (1030/3201): texlive-complexity-svn45322-36.fc3 605 kB/s | 22 kB 00:00 (1031/3201): texlive-computational-complexity-s 1.1 MB/s | 52 kB 00:00 (1032/3201): texlive-concepts-svn29020.0.0.5_r1 584 kB/s | 23 kB 00:00 (1033/3201): texlive-concmath-fonts-svn17218.0- 1.1 MB/s | 41 kB 00:00 (1034/3201): texlive-concmath-svn17219.0-36.fc3 515 kB/s | 19 kB 00:00 (1035/3201): texlive-concprog-svn18791.0-36.fc3 592 kB/s | 21 kB 00:00 (1036/3201): texlive-concrete-svn15878.0-36.fc3 750 kB/s | 27 kB 00:00 (1037/3201): texlive-constants-svn15878.1.0-36. 455 kB/s | 18 kB 00:00 (1038/3201): texlive-confproc-svn29349.0.8-36.f 608 kB/s | 34 kB 00:00 (1039/3201): texlive-conteq-svn37868.0.1.1-36.f 577 kB/s | 21 kB 00:00 (1040/3201): texlive-continue-svn49449-36.fc34. 477 kB/s | 22 kB 00:00 (1041/3201): texlive-contour-svn18950.2.14-36.f 521 kB/s | 19 kB 00:00 (1042/3201): texlive-contracard-svn50217-36.fc3 660 kB/s | 24 kB 00:00 (1043/3201): texlive-conv-xkv-svn43558-36.fc34. 2.3 MB/s | 98 kB 00:00 (1044/3201): texlive-cooking-svn15878.0.9b-36.f 524 kB/s | 19 kB 00:00 (1045/3201): texlive-cookingsymbols-svn35929.1. 556 kB/s | 20 kB 00:00 (1046/3201): texlive-cooking-units-svn53403-36. 9.1 MB/s | 715 kB 00:00 (1047/3201): texlive-cool-svn15878.1.35-36.fc34 827 kB/s | 32 kB 00:00 (1048/3201): texlive-coollist-svn15878.1.4-36.f 660 kB/s | 24 kB 00:00 (1049/3201): texlive-coolstr-svn15878.2.2-36.fc 661 kB/s | 24 kB 00:00 (1050/3201): texlive-coolthms-svn29062.1.2-36.f 516 kB/s | 19 kB 00:00 (1051/3201): texlive-cooltooltips-svn15878.1.0- 508 kB/s | 18 kB 00:00 (1052/3201): texlive-coordsys-svn15878.1.4-36.f 567 kB/s | 21 kB 00:00 (1053/3201): texlive-copyedit-svn37928.1.6-36.f 520 kB/s | 22 kB 00:00 (1054/3201): texlive-copyrightbox-svn24829.0.1- 472 kB/s | 17 kB 00:00 (1055/3201): texlive-correctmathalign-svn44131- 6.3 MB/s | 557 kB 00:00 (1056/3201): texlive-coseoul-svn23862.1.1-36.fc 486 kB/s | 20 kB 00:00 (1057/3201): texlive-cormorantgaramond-svn54696 29 MB/s | 5.8 MB 00:00 (1058/3201): texlive-countriesofeurope-svn54512 4.0 MB/s | 241 kB 00:00 (1059/3201): texlive-counttexruns-svn27576.1.00 543 kB/s | 20 kB 00:00 (1060/3201): texlive-courier-scaled-svn24940.0- 571 kB/s | 21 kB 00:00 (1061/3201): texlive-courseoutline-svn15878.1.0 388 kB/s | 16 kB 00:00 (1062/3201): texlive-courier-svn35058.0-36.fc34 11 MB/s | 511 kB 00:00 (1063/3201): texlive-coursepaper-svn15878.2.0-3 466 kB/s | 17 kB 00:00 (1064/3201): texlive-coverpage-svn15878.1.01-36 591 kB/s | 21 kB 00:00 (1065/3201): texlive-cprotect-svn21209.1.0e-36. 593 kB/s | 21 kB 00:00 (1066/3201): texlive-cquthesis-svn52355-36.fc34 899 kB/s | 35 kB 00:00 (1067/3201): texlive-crbox-svn29803.0.1-36.fc34 401 kB/s | 17 kB 00:00 (1068/3201): texlive-crimson-svn54512-36.fc34.n 21 MB/s | 1.4 MB 00:00 (1069/3201): texlive-crop-svn15878.1.5-36.fc34. 561 kB/s | 21 kB 00:00 (1070/3201): texlive-crossreference-svn15878.0- 480 kB/s | 17 kB 00:00 (1071/3201): texlive-crimsonpro-svn54512-36.fc3 23 MB/s | 2.8 MB 00:00 (1072/3201): texlive-crossreftools-svn54080-36. 8.6 MB/s | 368 kB 00:00 (1073/3201): texlive-crossrefware-20200327-25.f 4.7 MB/s | 186 kB 00:00 (1074/3201): texlive-cryptocode-svn49131-36.fc3 825 kB/s | 33 kB 00:00 (1075/3201): texlive-cryst-svn15878.0-36.fc34.n 1.4 MB/s | 54 kB 00:00 (1076/3201): texlive-csquotes-svn53041-36.fc34. 1.0 MB/s | 37 kB 00:00 (1077/3201): texlive-csvmerge-svn51857-36.fc34. 3.0 MB/s | 234 kB 00:00 (1078/3201): texlive-css-colors-svn54512-36.fc3 15 MB/s | 2.0 MB 00:00 (1079/3201): texlive-csvsimple-svn51010-36.fc34 660 kB/s | 24 kB 00:00 (1080/3201): texlive-ctable-svn38672-36.fc34.no 545 kB/s | 20 kB 00:00 (1081/3201): texlive-ctablestack-svn38514-36.fc 554 kB/s | 20 kB 00:00 (1082/3201): texlive-ctex-svn54841-36.fc34.noar 1.8 MB/s | 72 kB 00:00 (1083/3201): texlive-ctib-svn15878.0-36.fc34.no 3.3 MB/s | 150 kB 00:00 (1084/3201): texlive-cuisine-svn34453.0.7-36.fc 519 kB/s | 19 kB 00:00 (1085/3201): texlive-cuprum-svn49909-36.fc34.no 3.5 MB/s | 155 kB 00:00 (1086/3201): texlive-currfile-svn40725-36.fc34. 631 kB/s | 23 kB 00:00 (1087/3201): texlive-currency-svn48990-36.fc34. 9.7 MB/s | 709 kB 00:00 (1088/3201): texlive-currvita-svn15878.0-36.fc3 546 kB/s | 20 kB 00:00 (1089/3201): texlive-curve-svn20745.1.16-36.fc3 633 kB/s | 23 kB 00:00 (1090/3201): texlive-curve2e-svn54758-36.fc34.n 689 kB/s | 28 kB 00:00 (1091/3201): texlive-curves-svn45255-36.fc34.no 511 kB/s | 21 kB 00:00 (1092/3201): texlive-custom-bib-svn24729.4.33-3 1.8 MB/s | 102 kB 00:00 (1093/3201): texlive-cutwin-svn29803.0.1-36.fc3 522 kB/s | 21 kB 00:00 (1094/3201): texlive-cv-svn15878.0-36.fc34.noar 505 kB/s | 18 kB 00:00 (1095/3201): texlive-cv4tw-svn34577.0.2-36.fc34 539 kB/s | 19 kB 00:00 (1096/3201): texlive-cweb-latex-svn28878.0-36.f 1.4 MB/s | 54 kB 00:00 (1097/3201): texlive-cyber-svn46776-36.fc34.noa 464 kB/s | 17 kB 00:00 (1098/3201): texlive-cybercic-svn37659.2.1-36.f 381 kB/s | 16 kB 00:00 (1099/3201): texlive-cyklop-svn18651.0.915-36.f 8.1 MB/s | 353 kB 00:00 (1100/3201): texlive-dancers-svn13293.0-36.fc34 448 kB/s | 17 kB 00:00 (1101/3201): texlive-dantelogo-svn38599-36.fc34 651 kB/s | 40 kB 00:00 (1102/3201): texlive-dashbox-svn23425.1.14-36.f 485 kB/s | 17 kB 00:00 (1103/3201): texlive-dashrule-svn29579.1.3-36.f 400 kB/s | 17 kB 00:00 (1104/3201): texlive-dashundergaps-svn53756-36. 532 kB/s | 19 kB 00:00 (1105/3201): texlive-datatool-svn52663-36.fc34. 2.0 MB/s | 76 kB 00:00 (1106/3201): texlive-dataref-svn42883-36.fc34.n 417 kB/s | 25 kB 00:00 (1107/3201): texlive-dateiliste-svn27974.0.6-36 558 kB/s | 20 kB 00:00 (1108/3201): texlive-datenumber-svn18951.0.02-3 552 kB/s | 20 kB 00:00 (1109/3201): texlive-datetime-svn36650.2.60-36. 945 kB/s | 40 kB 00:00 (1110/3201): texlive-datetime2-bahasai-svn46287 577 kB/s | 21 kB 00:00 (1111/3201): texlive-datetime2-basque-svn47064- 491 kB/s | 21 kB 00:00 (1112/3201): texlive-datetime2-breton-svn52647- 585 kB/s | 22 kB 00:00 (1113/3201): texlive-datetime2-bulgarian-svn470 602 kB/s | 22 kB 00:00 (1114/3201): texlive-datetime2-catalan-svn47032 597 kB/s | 22 kB 00:00 (1115/3201): texlive-datetime2-croatian-svn3668 596 kB/s | 22 kB 00:00 (1116/3201): texlive-datetime2-czech-svn47033-3 596 kB/s | 22 kB 00:00 (1117/3201): texlive-datetime2-dutch-svn47355-3 580 kB/s | 21 kB 00:00 (1118/3201): texlive-datetime2-en-fulltext-svn3 595 kB/s | 22 kB 00:00 (1119/3201): texlive-datetime2-danish-svn47034- 203 kB/s | 22 kB 00:00 (1120/3201): texlive-datetime2-english-svn52479 746 kB/s | 27 kB 00:00 (1121/3201): texlive-datetime2-esperanto-svn473 595 kB/s | 22 kB 00:00 (1122/3201): texlive-datetime2-estonian-svn4756 476 kB/s | 22 kB 00:00 (1123/3201): texlive-datetime2-finnish-svn47047 601 kB/s | 22 kB 00:00 (1124/3201): texlive-datetime2-french-svn43742- 614 kB/s | 22 kB 00:00 (1125/3201): texlive-datetime2-galician-svn4763 594 kB/s | 22 kB 00:00 (1126/3201): texlive-datetime2-german-svn53125- 575 kB/s | 23 kB 00:00 (1127/3201): texlive-datetime2-greek-svn47533-3 591 kB/s | 22 kB 00:00 (1128/3201): texlive-datetime2-hebrew-svn47534- 575 kB/s | 21 kB 00:00 (1129/3201): texlive-datetime2-icelandic-svn475 472 kB/s | 22 kB 00:00 (1130/3201): texlive-datetime2-irish-svn47632-3 506 kB/s | 22 kB 00:00 (1131/3201): texlive-datetime2-it-fulltext-svn5 632 kB/s | 23 kB 00:00 (1132/3201): texlive-datetime2-italian-svn37146 600 kB/s | 22 kB 00:00 (1133/3201): texlive-datetime2-latin-svn47748-3 358 kB/s | 21 kB 00:00 (1134/3201): texlive-datetime2-lsorbian-svn4774 594 kB/s | 22 kB 00:00 (1135/3201): texlive-datetime2-magyar-svn48266- 594 kB/s | 22 kB 00:00 (1136/3201): texlive-datetime2-norsk-svn48267-3 561 kB/s | 22 kB 00:00 (1137/3201): texlive-datetime2-polish-svn48456- 595 kB/s | 22 kB 00:00 (1138/3201): texlive-datetime2-portuges-svn4845 593 kB/s | 22 kB 00:00 (1139/3201): texlive-datetime2-romanian-svn4374 568 kB/s | 22 kB 00:00 (1140/3201): texlive-datetime2-russian-svn49345 599 kB/s | 22 kB 00:00 (1141/3201): texlive-datetime2-samin-svn49346-3 596 kB/s | 22 kB 00:00 (1142/3201): texlive-datetime2-scottish-svn5210 596 kB/s | 22 kB 00:00 (1143/3201): texlive-datetime2-serbian-svn52893 751 kB/s | 27 kB 00:00 (1144/3201): texlive-datetime2-slovak-svn52281- 588 kB/s | 22 kB 00:00 (1145/3201): texlive-datetime2-slovene-svn52282 588 kB/s | 21 kB 00:00 (1146/3201): texlive-datetime2-spanish-svn45785 368 kB/s | 22 kB 00:00 (1147/3201): texlive-datetime2-svn54080-36.fc34 669 kB/s | 28 kB 00:00 (1148/3201): texlive-datetime2-swedish-svn36700 596 kB/s | 22 kB 00:00 (1149/3201): texlive-datetime2-turkish-svn52331 584 kB/s | 22 kB 00:00 (1150/3201): texlive-datetime2-ukrainian-svn475 574 kB/s | 23 kB 00:00 (1151/3201): texlive-datetime2-usorbian-svn5237 598 kB/s | 22 kB 00:00 (1152/3201): texlive-datetime2-welsh-svn52553-3 594 kB/s | 22 kB 00:00 (1153/3201): texlive-dblfloatfix-svn28983.1.0a- 396 kB/s | 21 kB 00:00 (1154/3201): texlive-dccpaper-svn54512-36.fc34. 1.2 MB/s | 46 kB 00:00 (1155/3201): texlive-dcpic-svn30206.5.0.0-36.fc 848 kB/s | 33 kB 00:00 (1156/3201): texlive-decimal-svn23374.0-36.fc34 457 kB/s | 17 kB 00:00 (1157/3201): texlive-dehyph-svn48599-36.fc34.no 1.8 MB/s | 68 kB 00:00 (1158/3201): texlive-decorule-svn23487.0.6-36.f 471 kB/s | 20 kB 00:00 (1159/3201): texlive-dejavu-otf-svn45991-36.fc3 8.0 MB/s | 346 kB 00:00 (1160/3201): texlive-delim-svn23974.1.0-36.fc34 494 kB/s | 18 kB 00:00 (1161/3201): texlive-delimseasy-svn39589-36.fc3 656 kB/s | 24 kB 00:00 (1162/3201): texlive-delimset-svn49544-36.fc34. 6.9 MB/s | 331 kB 00:00 (1163/3201): texlive-delimtxt-svn16549.0-36.fc3 490 kB/s | 18 kB 00:00 (1164/3201): texlive-denisbdoc-svn54584-36.fc34 942 kB/s | 34 kB 00:00 (1165/3201): texlive-derivative-svn53654-36.fc3 5.3 MB/s | 217 kB 00:00 (1166/3201): texlive-dhua-svn24035.0.11-36.fc34 619 kB/s | 23 kB 00:00 (1167/3201): texlive-diabetes-logbook-svn54810- 7.1 MB/s | 298 kB 00:00 (1168/3201): texlive-diagbox-svn54080-36.fc34.n 618 kB/s | 22 kB 00:00 (1169/3201): texlive-diagmac2-svn15878.2.1-36.f 739 kB/s | 27 kB 00:00 (1170/3201): texlive-diagnose-svn19387.0.2-36.f 506 kB/s | 18 kB 00:00 (1171/3201): texlive-dejavu-svn31771.2.34-36.fc 21 MB/s | 11 MB 00:00 (1172/3201): texlive-dialogl-svn28946.0-36.fc34 794 kB/s | 38 kB 00:00 (1173/3201): texlive-dice-svn28501.0-36.fc34.no 536 kB/s | 19 kB 00:00 (1174/3201): texlive-dichokey-svn17192.0-36.fc3 417 kB/s | 15 kB 00:00 (1175/3201): texlive-dictsym-svn20031.0-36.fc34 1.1 MB/s | 50 kB 00:00 (1176/3201): texlive-diffcoeff-svn53244-36.fc34 11 MB/s | 630 kB 00:00 (1177/3201): texlive-digiconfigs-svn15878.0.5-3 497 kB/s | 18 kB 00:00 (1178/3201): texlive-dijkstra-svn45256-36.fc34. 8.9 MB/s | 390 kB 00:00 (1179/3201): texlive-din1505-svn19441.0-36.fc34 804 kB/s | 29 kB 00:00 (1180/3201): texlive-dinbrief-svn15878.0-36.fc3 792 kB/s | 29 kB 00:00 (1181/3201): texlive-dingbat-svn27918.1.0-36.fc 733 kB/s | 26 kB 00:00 (1182/3201): texlive-directory-svn15878.1.20-36 800 kB/s | 29 kB 00:00 (1183/3201): texlive-dirtree-svn42428-36.fc34.n 463 kB/s | 18 kB 00:00 (1184/3201): texlive-dirtytalk-svn20520.1.0-36. 389 kB/s | 14 kB 00:00 (1185/3201): texlive-ditaa-svn48932-36.fc34.noa 3.2 MB/s | 124 kB 00:00 (1186/3201): texlive-dithesis-svn34295.0.2-36.f 590 kB/s | 22 kB 00:00 (1187/3201): texlive-dk-bib-svn15878.0.6-36.fc3 785 kB/s | 29 kB 00:00 (1188/3201): texlive-dlfltxb-svn17337.0-36.fc34 1.0 MB/s | 39 kB 00:00 (1189/3201): texlive-dnaseq-svn17194.0.01-36.fc 489 kB/s | 18 kB 00:00 (1190/3201): texlive-doc-pictex-doc-svn24927.0- 389 kB/s | 14 kB 00:00 (1191/3201): texlive-doclicense-svn54758-36.fc3 2.7 MB/s | 104 kB 00:00 (1192/3201): texlive-docmfp-svn15878.1.2d-36.fc 490 kB/s | 18 kB 00:00 (1193/3201): texlive-docmute-svn25741.1.4-36.fc 536 kB/s | 20 kB 00:00 (1194/3201): texlive-doctools-svn34474.0.1-36.f 676 kB/s | 24 kB 00:00 (1195/3201): texlive-documentation-svn34521.0.1 527 kB/s | 19 kB 00:00 (1196/3201): texlive-doi-svn48634-36.fc34.noarc 500 kB/s | 18 kB 00:00 (1197/3201): texlive-doipubmed-svn15878.1.01-36 493 kB/s | 18 kB 00:00 (1198/3201): texlive-dot2texi-svn26237.3.0-36.f 455 kB/s | 21 kB 00:00 (1199/3201): texlive-domitian-svn55286-36.fc34. 16 MB/s | 1.5 MB 00:00 (1200/3201): texlive-dotarrow-svn15878.0.01a-36 482 kB/s | 17 kB 00:00 (1201/3201): texlive-dotlessi-svn51476-36.fc34. 332 kB/s | 15 kB 00:00 (1202/3201): texlive-dotseqn-svn17195.1.1-36.fc 334 kB/s | 14 kB 00:00 (1203/3201): texlive-dottex-svn15878.0.6-36.fc3 452 kB/s | 20 kB 00:00 (1204/3201): texlive-doublestroke-svn15878.1.11 2.0 MB/s | 83 kB 00:00 (1205/3201): texlive-dowith-svn38860-36.fc34.no 696 kB/s | 25 kB 00:00 (1206/3201): texlive-download-svn52257-36.fc34. 568 kB/s | 21 kB 00:00 (1207/3201): texlive-dox-svn46011-36.fc34.noarc 512 kB/s | 19 kB 00:00 (1208/3201): texlive-dozenal-svn47680-36.fc34.n 2.5 MB/s | 135 kB 00:00 (1209/3201): texlive-dpcircling-svn54994-36.fc3 1.2 MB/s | 45 kB 00:00 (1210/3201): texlive-dpfloat-svn17196.0-36.fc34 472 kB/s | 18 kB 00:00 (1211/3201): texlive-dprogress-svn15878.0.1-36. 437 kB/s | 17 kB 00:00 (1212/3201): texlive-drac-svn15878.1-36.fc34.no 419 kB/s | 17 kB 00:00 (1213/3201): texlive-draftcopy-svn15878.2.16-36 555 kB/s | 20 kB 00:00 (1214/3201): texlive-draftfigure-svn44854-36.fc 4.4 MB/s | 180 kB 00:00 (1215/3201): texlive-dratex-svn15878.0-36.fc34. 1.2 MB/s | 61 kB 00:00 (1216/3201): texlive-draftwatermark-svn54317-36 203 kB/s | 22 kB 00:00 (1217/3201): texlive-drawmatrix-svn44471-36.fc3 426 kB/s | 16 kB 00:00 (1218/3201): texlive-drawstack-svn28582.0-36.fc 574 kB/s | 21 kB 00:00 (1219/3201): texlive-drm-svn38157.4.4-36.fc34.n 29 MB/s | 13 MB 00:00 (1220/3201): texlive-drs-svn19232.1.1b-36.fc34. 634 kB/s | 23 kB 00:00 (1221/3201): texlive-dsserif-svn54512-36.fc34.n 5.1 MB/s | 341 kB 00:00 (1222/3201): texlive-droid-svn54512-36.fc34.noa 6.0 MB/s | 3.2 MB 00:00 (1223/3201): texlive-dtk-svn54080-36.fc34.noarc 1.0 MB/s | 38 kB 00:00 (1224/3201): texlive-dtxdescribe-svn51652-36.fc 5.5 MB/s | 344 kB 00:00 (1225/3201): texlive-dtxgallery-doc-svn49504-36 8.0 MB/s | 359 kB 00:00 (1226/3201): texlive-duckuments-svn52271-36.fc3 18 MB/s | 975 kB 00:00 (1227/3201): texlive-ducksay-svn53631-36.fc34.n 6.5 MB/s | 505 kB 00:00 (1228/3201): texlive-duerer-latex-svn15878.1.1- 528 kB/s | 19 kB 00:00 (1229/3201): texlive-duerer-svn20741.0-36.fc34. 835 kB/s | 30 kB 00:00 (1230/3201): texlive-duotenzor-svn18728.1.00-36 654 kB/s | 24 kB 00:00 (1231/3201): texlive-dutchcal-svn54080-36.fc34. 1.4 MB/s | 55 kB 00:00 (1232/3201): texlive-dvdcoll-svn15878.v1.1a-36. 690 kB/s | 36 kB 00:00 (1233/3201): texlive-dvips-20200327-25.fc34.x86 14 MB/s | 751 kB 00:00 (1234/3201): texlive-dvisvgm-20200327-25.fc34.x 16 MB/s | 1.6 MB 00:00 (1235/3201): texlive-dynamicnumber-svn38726-36. 345 kB/s | 15 kB 00:00 (1236/3201): texlive-dynblocks-svn35193.0.2b-36 571 kB/s | 21 kB 00:00 (1237/3201): texlive-dvipdfmx-20200327-25.fc34. 8.7 MB/s | 3.0 MB 00:00 (1238/3201): texlive-dynkin-diagrams-svn54489-3 7.7 MB/s | 823 kB 00:00 (1239/3201): texlive-dyntree-svn15878.1.0-36.fc 546 kB/s | 25 kB 00:00 (1240/3201): texlive-ean-svn20851.0-36.fc34.noa 513 kB/s | 21 kB 00:00 (1241/3201): texlive-ean13isbn-svn15878.0-36.fc 482 kB/s | 18 kB 00:00 (1242/3201): texlive-easy-svn19440.0.99-36.fc34 689 kB/s | 29 kB 00:00 (1243/3201): texlive-easy-todo-svn32677.0-36.fc 494 kB/s | 18 kB 00:00 (1244/3201): texlive-cbfonts-svn54080-36.fc34.n 5.8 MB/s | 63 MB 00:10 (1245/3201): texlive-easyfig-svn47193-36.fc34.n 82 kB/s | 21 kB 00:00 (1246/3201): texlive-easyformat-svn44543-36.fc3 309 kB/s | 75 kB 00:00 (1247/3201): texlive-easylist-svn32661.1.3-36.f 595 kB/s | 22 kB 00:00 (1248/3201): texlive-easyreview-svn38352.1.0-36 475 kB/s | 17 kB 00:00 (1249/3201): texlive-ebezier-svn15878.4-36.fc34 547 kB/s | 20 kB 00:00 (1250/3201): texlive-ebgaramond-maths-svn52168- 514 kB/s | 24 kB 00:00 (1251/3201): texlive-ebook-svn29466.0-36.fc34.n 331 kB/s | 15 kB 00:00 (1252/3201): texlive-ebsthesis-svn15878.1.0-36. 546 kB/s | 22 kB 00:00 (1253/3201): texlive-ebproof-svn44392-36.fc34.n 573 kB/s | 25 kB 00:00 (1254/3201): texlive-ecc-svn15878.0-36.fc34.noa 927 kB/s | 45 kB 00:00 (1255/3201): texlive-ecclesiastic-svn38172.0.3- 510 kB/s | 21 kB 00:00 (1256/3201): texlive-ec-svn25033.1.0-36.fc34.no 3.9 MB/s | 490 kB 00:00 (1257/3201): texlive-eco-svn29349.1.3-36.fc34.n 6.4 MB/s | 270 kB 00:00 (1258/3201): texlive-ecgdraw-svn41617-36.fc34.n 8.0 MB/s | 726 kB 00:00 (1259/3201): texlive-ecobiblatex-svn39233-36.fc 569 kB/s | 20 kB 00:00 (1260/3201): texlive-econometrics-svn39396-36.f 581 kB/s | 21 kB 00:00 (1261/3201): texlive-ebgaramond-svn54721-36.fc3 29 MB/s | 11 MB 00:00 (1262/3201): texlive-economic-svn32639.0-36.fc3 717 kB/s | 50 kB 00:00 (1263/3201): texlive-econ-bst-svn54191-36.fc34. 6.3 MB/s | 849 kB 00:00 (1264/3201): texlive-ecothesis-svn48007-36.fc34 8.2 MB/s | 353 kB 00:00 (1265/3201): texlive-ecv-svn24928.0.3-36.fc34.n 362 kB/s | 17 kB 00:00 (1266/3201): texlive-ed-svn25231.1.8-36.fc34.no 425 kB/s | 19 kB 00:00 (1267/3201): texlive-edmargin-svn27599.1.2-36.f 569 kB/s | 21 kB 00:00 (1268/3201): texlive-eemeir-svn15878.1.1b-36.fc 487 kB/s | 18 kB 00:00 (1269/3201): texlive-eepic-svn15878.1.1e-36.fc3 422 kB/s | 25 kB 00:00 (1270/3201): texlive-efbox-svn33236.1.0-36.fc34 564 kB/s | 20 kB 00:00 (1271/3201): texlive-egplot-svn20617.1.02a-36.f 572 kB/s | 21 kB 00:00 (1272/3201): texlive-ehhline-svn54676-36.fc34.n 1.2 MB/s | 44 kB 00:00 (1273/3201): texlive-eiad-ltx-svn15878.1.0-36.f 330 kB/s | 20 kB 00:00 (1274/3201): texlive-eiad-svn15878.0-36.fc34.no 907 kB/s | 51 kB 00:00 (1275/3201): texlive-ejpecp-svn50761-36.fc34.no 612 kB/s | 22 kB 00:00 (1276/3201): texlive-ekaia-svn49594-36.fc34.noa 548 kB/s | 20 kB 00:00 (1277/3201): texlive-elbioimp-svn21758.1.2-36.f 501 kB/s | 18 kB 00:00 (1278/3201): texlive-elegantbook-svn54758-36.fc 20 MB/s | 2.2 MB 00:00 (1279/3201): texlive-electrum-svn19705.1.005_b- 5.2 MB/s | 786 kB 00:00 (1280/3201): texlive-elements-svn52398-36.fc34. 807 kB/s | 29 kB 00:00 (1281/3201): texlive-elegantpaper-svn54758-36.f 15 MB/s | 873 kB 00:00 (1282/3201): texlive-elegantnote-svn54758-36.fc 6.7 MB/s | 1.2 MB 00:00 (1283/3201): texlive-ellipse-svn39025-36.fc34.n 594 kB/s | 21 kB 00:00 (1284/3201): texlive-ellipsis-svn15878.0-36.fc3 442 kB/s | 17 kB 00:00 (1285/3201): texlive-elmath-svn15878.v1.2-36.fc 501 kB/s | 18 kB 00:00 (1286/3201): texlive-elocalloc-svn42712-36.fc34 467 kB/s | 17 kB 00:00 (1287/3201): texlive-elpres-svn46429-36.fc34.no 489 kB/s | 18 kB 00:00 (1288/3201): texlive-elsarticle-svn50786-36.fc3 968 kB/s | 35 kB 00:00 (1289/3201): texlive-elteikthesis-svn53926-36.f 632 kB/s | 23 kB 00:00 (1290/3201): texlive-els-cas-templates-svn54317 7.3 MB/s | 574 kB 00:00 (1291/3201): texlive-eltex-svn15878.2.0-36.fc34 613 kB/s | 30 kB 00:00 (1292/3201): texlive-elvish-svn15878.0-36.fc34. 588 kB/s | 24 kB 00:00 (1293/3201): texlive-elzcards-svn51894-36.fc34. 656 kB/s | 24 kB 00:00 (1294/3201): texlive-emarks-svn24504.1.0-36.fc3 574 kB/s | 21 kB 00:00 (1295/3201): texlive-embrac-svn54512-36.fc34.no 618 kB/s | 22 kB 00:00 (1296/3201): texlive-embedall-svn51177-36.fc34. 295 kB/s | 19 kB 00:00 (1297/3201): texlive-embedfile-svn54865-36.fc34 3.9 MB/s | 405 kB 00:00 (1298/3201): texlive-emf-svn42023-36.fc34.noarc 7.3 MB/s | 331 kB 00:00 (1299/3201): texlive-emisa-svn46734-36.fc34.noa 827 kB/s | 34 kB 00:00 (1300/3201): texlive-emptypage-svn18064.1.2-36. 505 kB/s | 18 kB 00:00 (1301/3201): texlive-emulateapj-svn28469.0-36.f 917 kB/s | 33 kB 00:00 (1302/3201): texlive-enctex-svn34957.0-36.fc34. 1.1 MB/s | 48 kB 00:00 (1303/3201): texlive-endfloat-svn51003-36.fc34. 545 kB/s | 22 kB 00:00 (1304/3201): texlive-endheads-svn43750-36.fc34. 468 kB/s | 18 kB 00:00 (1305/3201): texlive-endiagram-svn34486.0.1d-36 734 kB/s | 27 kB 00:00 (1306/3201): texlive-endnotes-svn53319-36.fc34. 595 kB/s | 22 kB 00:00 (1307/3201): texlive-endofproofwd-svn45116-36.f 563 kB/s | 21 kB 00:00 (1308/3201): texlive-endnotes-hy-svn54758-36.fc 6.3 MB/s | 315 kB 00:00 (1309/3201): texlive-engpron-svn16558.2-36.fc34 537 kB/s | 20 kB 00:00 (1310/3201): texlive-engrec-svn15878.1.1-36.fc3 462 kB/s | 18 kB 00:00 (1311/3201): texlive-engtlc-svn28571.3.2-36.fc3 565 kB/s | 22 kB 00:00 (1312/3201): texlive-enotez-svn54512-36.fc34.no 677 kB/s | 26 kB 00:00 (1313/3201): texlive-enumitem-svn51423-36.fc34. 760 kB/s | 29 kB 00:00 (1314/3201): texlive-enumitem-zref-svn21472.1.8 644 kB/s | 26 kB 00:00 (1315/3201): texlive-envbig-svn15878.0-36.fc34. 435 kB/s | 17 kB 00:00 (1316/3201): texlive-environ-svn54080-36.fc34.n 453 kB/s | 18 kB 00:00 (1317/3201): texlive-envlab-svn15878.1.2-36.fc3 562 kB/s | 21 kB 00:00 (1318/3201): texlive-epigraph-keys-svn54851-36. 6.4 MB/s | 324 kB 00:00 (1319/3201): texlive-epigraph-svn54857-36.fc34. 502 kB/s | 20 kB 00:00 (1320/3201): texlive-epsdice-svn15878.2.1-36.fc 498 kB/s | 22 kB 00:00 (1321/3201): texlive-epiolmec-svn15878.0-36.fc3 2.6 MB/s | 123 kB 00:00 (1322/3201): texlive-epigrafica-svn17210.1.01-3 2.7 MB/s | 366 kB 00:00 (1323/3201): texlive-epsf-svn21461.2.7.4-36.fc3 572 kB/s | 21 kB 00:00 (1324/3201): texlive-epspdf-20200327-25.fc34.no 4.2 MB/s | 286 kB 00:00 (1325/3201): texlive-epspdfconversion-svn18703. 539 kB/s | 20 kB 00:00 (1326/3201): texlive-epstopdf-pkg-svn53546-36.f 8.6 MB/s | 367 kB 00:00 (1327/3201): texlive-eqell-svn22931.0-36.fc34.n 500 kB/s | 18 kB 00:00 (1328/3201): texlive-eqexpl-svn54080-36.fc34.no 2.0 MB/s | 100 kB 00:00 (1329/3201): texlive-eqlist-svn32257.2.1-36.fc3 416 kB/s | 17 kB 00:00 (1330/3201): texlive-eqnalign-svn43278-36.fc34. 5.9 MB/s | 300 kB 00:00 (1331/3201): texlive-eqname-svn20678.0-36.fc34. 341 kB/s | 13 kB 00:00 (1332/3201): texlive-eqnarray-svn20641.1.3-36.f 650 kB/s | 26 kB 00:00 (1333/3201): texlive-eqnnumwarn-svn45511-36.fc3 2.9 MB/s | 112 kB 00:00 (1334/3201): texlive-eqparbox-svn45215-36.fc34. 597 kB/s | 22 kB 00:00 (1335/3201): texlive-erdc-svn15878.1.1-36.fc34. 609 kB/s | 22 kB 00:00 (1336/3201): texlive-errata-svn42428-36.fc34.no 369 kB/s | 18 kB 00:00 (1337/3201): texlive-erewhon-svn55705-36.fc34.n 23 MB/s | 2.6 MB 00:00 (1338/3201): texlive-erw-l3-svn53694-36.fc34.no 5.6 MB/s | 536 kB 00:00 (1339/3201): texlive-esami-svn47639-36.fc34.noa 1.0 MB/s | 38 kB 00:00 (1340/3201): texlive-esdiff-svn21385.1.2-36.fc3 485 kB/s | 18 kB 00:00 (1341/3201): texlive-esindex-svn52342-36.fc34.n 2.1 MB/s | 83 kB 00:00 (1342/3201): texlive-erewhon-math-svn54769-36.f 8.2 MB/s | 1.6 MB 00:00 (1343/3201): texlive-esint-type1-svn15878.0-36. 1.2 MB/s | 45 kB 00:00 (1344/3201): texlive-esint-svn52240-36.fc34.noa 384 kB/s | 20 kB 00:00 (1345/3201): texlive-esk-svn18115.1.0-36.fc34.n 578 kB/s | 21 kB 00:00 (1346/3201): texlive-eso-pic-svn47694-36.fc34.n 584 kB/s | 21 kB 00:00 (1347/3201): texlive-esrelation-svn37236.0-36.f 1.4 MB/s | 54 kB 00:00 (1348/3201): texlive-esstix-svn22426.1.0-36.fc3 5.2 MB/s | 223 kB 00:00 (1349/3201): texlive-estcpmm-svn17335.0.4-36.fc 526 kB/s | 19 kB 00:00 (1350/3201): texlive-esvect-svn32098.1.3-36.fc3 2.2 MB/s | 88 kB 00:00 (1351/3201): texlive-etaremune-svn15878.v1.2-36 291 kB/s | 18 kB 00:00 (1352/3201): texlive-etex-pkg-svn41784-36.fc34. 476 kB/s | 19 kB 00:00 (1353/3201): texlive-etex-svn37057.0-36.fc34.no 822 kB/s | 30 kB 00:00 (1354/3201): texlive-etexcmds-svn53171-36.fc34. 7.1 MB/s | 313 kB 00:00 (1355/3201): texlive-etextools-svn20694.3.14159 758 kB/s | 28 kB 00:00 (1356/3201): texlive-etoc-svn52842-36.fc34.noar 811 kB/s | 29 kB 00:00 (1357/3201): texlive-etbb-svn56390-36.fc34.noar 9.8 MB/s | 1.4 MB 00:00 (1358/3201): texlive-etoolbox-svn52153-36.fc34. 752 kB/s | 27 kB 00:00 (1359/3201): texlive-etsvthor-svn48186-36.fc34. 390 kB/s | 21 kB 00:00 (1360/3201): texlive-euenc-svn19795.0.1h-36.fc3 598 kB/s | 24 kB 00:00 (1361/3201): texlive-euclideangeometry-svn54897 14 MB/s | 1.0 MB 00:00 (1362/3201): texlive-euflag-svn49970-36.fc34.no 3.0 MB/s | 118 kB 00:00 (1363/3201): texlive-eukdate-svn15878.1.04-36.f 402 kB/s | 17 kB 00:00 (1364/3201): texlive-euler-svn42428-36.fc34.noa 535 kB/s | 19 kB 00:00 (1365/3201): texlive-eulerpx-svn43735-36.fc34.n 620 kB/s | 22 kB 00:00 (1366/3201): texlive-eulervm-svn15878.4.0-36.fc 856 kB/s | 37 kB 00:00 (1367/3201): texlive-euro-ce-svn25714-36.fc34.n 774 kB/s | 28 kB 00:00 (1368/3201): texlive-euro-svn22191.1.1-36.fc34. 515 kB/s | 18 kB 00:00 (1369/3201): texlive-europasscv-svn49703-36.fc3 1.4 MB/s | 51 kB 00:00 (1370/3201): texlive-europecv-svn54080-36.fc34. 1.6 MB/s | 62 kB 00:00 (1371/3201): texlive-eurosym-svn17265.1.4_subrf 3.9 MB/s | 153 kB 00:00 (1372/3201): texlive-euxm-svn54074-36.fc34.noar 1.1 MB/s | 41 kB 00:00 (1373/3201): texlive-everyhook-svn35675.1.2-36. 574 kB/s | 21 kB 00:00 (1374/3201): texlive-everypage-svn15878.1.1-36. 406 kB/s | 17 kB 00:00 (1375/3201): texlive-exam-n-svn42755-36.fc34.no 723 kB/s | 28 kB 00:00 (1376/3201): texlive-exam-randomizechoices-svn4 5.3 MB/s | 294 kB 00:00 (1377/3201): texlive-exam-svn46084-36.fc34.noar 1.6 MB/s | 68 kB 00:00 (1378/3201): texlive-examdesign-svn15878.1.02-3 772 kB/s | 28 kB 00:00 (1379/3201): texlive-example-svn33398.0-36.fc34 619 kB/s | 22 kB 00:00 (1380/3201): texlive-exceltex-20200327-25.fc34. 598 kB/s | 23 kB 00:00 (1381/3201): texlive-excludeonly-svn17262.1.0-3 412 kB/s | 15 kB 00:00 (1382/3201): texlive-exercise-svn35417.1.6-36.f 731 kB/s | 26 kB 00:00 (1383/3201): texlive-examplep-svn16916.0.04-36. 413 kB/s | 52 kB 00:00 (1384/3201): texlive-exercisepoints-svn49590-36 5.5 MB/s | 243 kB 00:00 (1385/3201): texlive-exercisebank-svn50448-36.f 5.2 MB/s | 331 kB 00:00 (1386/3201): texlive-exercises-svn42428-36.fc34 526 kB/s | 19 kB 00:00 (1387/3201): texlive-exp-testopt-svn15878.0.3-3 490 kB/s | 18 kB 00:00 (1388/3201): texlive-expdlist-svn15878.2.4-36.f 566 kB/s | 18 kB 00:00 (1389/3201): texlive-exsheets-svn52227-36.fc34. 1.3 MB/s | 44 kB 00:00 (1390/3201): texlive-exframe-svn53911-36.fc34.n 3.9 MB/s | 420 kB 00:00 (1391/3201): texlive-exsol-svn48977-36.fc34.noa 696 kB/s | 22 kB 00:00 (1392/3201): texlive-extarrows-svn54400-36.fc34 622 kB/s | 22 kB 00:00 (1393/3201): texlive-export-svn27206.1.8-36.fc3 220 kB/s | 22 kB 00:00 (1394/3201): texlive-extpfeil-svn16243.0.4-36.f 628 kB/s | 20 kB 00:00 (1395/3201): texlive-extsizes-svn17263.1.4a-36. 895 kB/s | 29 kB 00:00 (1396/3201): texlive-extract-svn52117-36.fc34.n 571 kB/s | 21 kB 00:00 (1397/3201): texlive-facsimile-svn21328.1.0-36. 644 kB/s | 21 kB 00:00 (1398/3201): texlive-factura-svn54803-36.fc34.n 1.3 MB/s | 45 kB 00:00 (1399/3201): texlive-facture-belge-simple-sans- 6.4 MB/s | 290 kB 00:00 (1400/3201): texlive-faktor-svn15878.0.1b-36.fc 539 kB/s | 17 kB 00:00 (1401/3201): texlive-fancybox-svn18304.1.4-36.f 764 kB/s | 25 kB 00:00 (1402/3201): texlive-fancyhdr-svn49886-36.fc34. 632 kB/s | 20 kB 00:00 (1403/3201): texlive-fancyhandout-svn46411-36.f 1.9 MB/s | 91 kB 00:00 (1404/3201): texlive-fancylabel-svn46736-36.fc3 692 kB/s | 22 kB 00:00 (1405/3201): texlive-fancynum-svn15878.0.92-36. 430 kB/s | 14 kB 00:00 (1406/3201): texlive-fancypar-svn49801-36.fc34. 595 kB/s | 22 kB 00:00 (1407/3201): texlive-fancyref-svn15878.0.9c-36. 652 kB/s | 21 kB 00:00 (1408/3201): texlive-fancyslides-svn36263.1.0-3 458 kB/s | 21 kB 00:00 (1409/3201): texlive-fancytooltips-svn27129.1.8 772 kB/s | 26 kB 00:00 (1410/3201): texlive-fancytabs-svn41549-36.fc34 552 kB/s | 20 kB 00:00 (1411/3201): texlive-fancyvrb-svn53392-36.fc34. 867 kB/s | 28 kB 00:00 (1412/3201): texlive-fast-diagram-svn29264.1.1- 576 kB/s | 23 kB 00:00 (1413/3201): texlive-fascicules-svn54080-36.fc3 8.2 MB/s | 2.0 MB 00:00 (1414/3201): texlive-fbb-svn55728-36.fc34.noarc 6.4 MB/s | 1.3 MB 00:00 (1415/3201): texlive-fbithesis-svn21340.1.2m-36 520 kB/s | 19 kB 00:00 (1416/3201): texlive-fbs-svn15878.0-36.fc34.noa 580 kB/s | 21 kB 00:00 (1417/3201): texlive-fbox-svn53320-36.fc34.noar 1.3 MB/s | 64 kB 00:00 (1418/3201): texlive-fcavtex-svn38074.1.1-36.fc 742 kB/s | 35 kB 00:00 (1419/3201): texlive-fcltxdoc-svn24500.1.0-36.f 573 kB/s | 30 kB 00:00 (1420/3201): texlive-fcolumn-svn50937-36.fc34.n 576 kB/s | 21 kB 00:00 (1421/3201): texlive-fdsymbol-svn26722.0.8-36.f 15 MB/s | 954 kB 00:00 (1422/3201): texlive-fei-svn54178-36.fc34.noarc 707 kB/s | 26 kB 00:00 (1423/3201): texlive-fetchcls-svn45245-36.fc34. 3.0 MB/s | 141 kB 00:00 (1424/3201): texlive-fetamont-svn43812-36.fc34. 25 MB/s | 3.6 MB 00:00 (1425/3201): texlive-fewerfloatpages-svn53779-3 7.6 MB/s | 888 kB 00:00 (1426/3201): texlive-feyn-svn45679-36.fc34.noar 1.1 MB/s | 36 kB 00:00 (1427/3201): texlive-feynmf-svn17259.1.08-36.fc 999 kB/s | 37 kB 00:00 (1428/3201): texlive-ffslides-svn38895-36.fc34. 706 kB/s | 24 kB 00:00 (1429/3201): texlive-fandol-svn37889.0.3-36.fc3 29 MB/s | 22 MB 00:00 (1430/3201): texlive-fge-svn37628.1.25-36.fc34. 570 kB/s | 57 kB 00:00 (1431/3201): texlive-fgruler-svn42966-36.fc34.n 2.8 MB/s | 267 kB 00:00 (1432/3201): texlive-fig4latex-20200327-25.fc34 1.8 MB/s | 77 kB 00:00 (1433/3201): texlive-fifo-stack-svn33288.1.0-36 375 kB/s | 18 kB 00:00 (1434/3201): texlive-fibeamer-svn53146-36.fc34. 7.9 MB/s | 438 kB 00:00 (1435/3201): texlive-figbib-svn19388.0-36.fc34. 595 kB/s | 20 kB 00:00 (1436/3201): texlive-figsize-svn18784.0.1-36.fc 490 kB/s | 18 kB 00:00 (1437/3201): texlive-filecontents-svn52142-36.f 561 kB/s | 18 kB 00:00 (1438/3201): texlive-filehook-svn53655-36.fc34. 721 kB/s | 24 kB 00:00 (1439/3201): texlive-filecontentsdef-svn52208-3 3.4 MB/s | 148 kB 00:00 (1440/3201): texlive-filedate-svn29529.0-36.fc3 543 kB/s | 23 kB 00:00 (1441/3201): texlive-filemod-svn24042.1.2-36.fc 712 kB/s | 23 kB 00:00 (1442/3201): texlive-fileinfo-svn28421.0.81a-36 560 kB/s | 25 kB 00:00 (1443/3201): texlive-fink-svn24329.2.2.1-36.fc3 457 kB/s | 18 kB 00:00 (1444/3201): texlive-finstrut-svn21719.0.5-36.f 675 kB/s | 22 kB 00:00 (1445/3201): texlive-firamath-otf-svn50732-36.f 3.1 MB/s | 142 kB 00:00 (1446/3201): texlive-firamath-svn53388-36.fc34. 11 MB/s | 640 kB 00:00 (1447/3201): texlive-fitbox-svn50088-36.fc34.no 568 kB/s | 21 kB 00:00 (1448/3201): texlive-fix2col-svn38770-36.fc34.n 403 kB/s | 18 kB 00:00 (1449/3201): texlive-fithesis-svn54483-36.fc34. 11 MB/s | 674 kB 00:00 (1450/3201): texlive-fixcmex-svn51825-36.fc34.n 550 kB/s | 20 kB 00:00 (1451/3201): texlive-fixfoot-svn17131.0.3a-36.f 510 kB/s | 19 kB 00:00 (1452/3201): texlive-fixltxhyph-svn25832.0.4-36 555 kB/s | 20 kB 00:00 (1453/3201): texlive-fixme-svn49591-36.fc34.noa 820 kB/s | 28 kB 00:00 (1454/3201): texlive-fixmetodonotes-svn30168.0. 410 kB/s | 15 kB 00:00 (1455/3201): texlive-fjodor-svn53207-36.fc34.no 474 kB/s | 19 kB 00:00 (1456/3201): texlive-flabels-svn17272.1.0-36.fc 499 kB/s | 18 kB 00:00 (1457/3201): texlive-flacards-svn19440.0.1.1b-3 599 kB/s | 19 kB 00:00 (1458/3201): texlive-flagderiv-svn15878.0.10-36 530 kB/s | 19 kB 00:00 (1459/3201): texlive-flashcards-svn19667.1.0.1- 656 kB/s | 21 kB 00:00 (1460/3201): texlive-flashmovie-svn25768.0.4-36 1.0 MB/s | 38 kB 00:00 (1461/3201): texlive-fira-svn54512-36.fc34.noar 36 MB/s | 16 MB 00:00 (1462/3201): texlive-flipbook-svn25584.0.2-36.f 158 kB/s | 18 kB 00:00 (1463/3201): texlive-flippdf-svn15878.1.0-36.fc 207 kB/s | 17 kB 00:00 (1464/3201): texlive-float-svn15878.1.3d-36.fc3 377 kB/s | 19 kB 00:00 (1465/3201): texlive-floatrow-svn15878.0.3b-36. 622 kB/s | 33 kB 00:00 (1466/3201): texlive-floatflt-svn25540.1.31-36. 254 kB/s | 15 kB 00:00 (1467/3201): texlive-flowfram-svn35291.1.17-36. 1.2 MB/s | 43 kB 00:00 (1468/3201): texlive-fltpoint-svn15878.1.1b-36. 456 kB/s | 16 kB 00:00 (1469/3201): texlive-flowchart-svn36572.3.3-36. 363 kB/s | 21 kB 00:00 (1470/3201): texlive-fmp-svn15878.0-36.fc34.noa 439 kB/s | 17 kB 00:00 (1471/3201): texlive-fmtcount-svn53912-36.fc34. 1.1 MB/s | 49 kB 00:00 (1472/3201): texlive-fn2end-svn15878.1.1-36.fc3 415 kB/s | 14 kB 00:00 (1473/3201): texlive-fnbreak-svn25003.1.30-36.f 525 kB/s | 18 kB 00:00 (1474/3201): texlive-fncychap-svn20710.v1.34-36 649 kB/s | 24 kB 00:00 (1475/3201): texlive-fncylab-svn52090-36.fc34.n 439 kB/s | 18 kB 00:00 (1476/3201): texlive-fnpara-svn25607.0-36.fc34. 640 kB/s | 21 kB 00:00 (1477/3201): texlive-fnpct-svn54512-36.fc34.noa 743 kB/s | 27 kB 00:00 (1478/3201): texlive-fnspe-svn45360-36.fc34.noa 4.3 MB/s | 188 kB 00:00 (1479/3201): texlive-fnumprint-svn29173.1.1a-36 511 kB/s | 20 kB 00:00 (1480/3201): texlive-foilhtml-svn21855.1.2-36.f 494 kB/s | 20 kB 00:00 (1481/3201): texlive-foekfont-svn15878.0-36.fc3 540 kB/s | 28 kB 00:00 (1482/3201): texlive-fonetika-svn21326.0-36.fc3 2.1 MB/s | 82 kB 00:00 (1483/3201): texlive-fontawesome-svn48145-36.fc 6.8 MB/s | 303 kB 00:00 (1484/3201): texlive-fontaxes-svn33276.1.0d-36. 568 kB/s | 22 kB 00:00 (1485/3201): texlive-fontmfizz-svn43546-36.fc34 1.5 MB/s | 56 kB 00:00 (1486/3201): texlive-fonts-churchslavonic-svn43 21 MB/s | 1.6 MB 00:00 (1487/3201): texlive-fontawesome5-svn54517-36.f 9.1 MB/s | 1.5 MB 00:00 (1488/3201): texlive-fontsetup-svn53195-36.fc34 8.6 MB/s | 814 kB 00:00 (1489/3201): texlive-fontspec-svn53860-36.fc34. 1.4 MB/s | 47 kB 00:00 (1490/3201): texlive-fontsize-svn53874-36.fc34. 5.5 MB/s | 621 kB 00:00 (1491/3201): texlive-fonttable-svn44799-36.fc34 575 kB/s | 24 kB 00:00 (1492/3201): texlive-fonts-tlwg-svn54512-36.fc3 20 MB/s | 4.9 MB 00:00 (1493/3201): texlive-footbib-svn17115.2.0.7-36. 460 kB/s | 23 kB 00:00 (1494/3201): texlive-footmisc-svn23330.5.5b-36. 755 kB/s | 25 kB 00:00 (1495/3201): texlive-footmisx-svn42621-36.fc34. 779 kB/s | 25 kB 00:00 (1496/3201): texlive-footnotehyper-svn52676-36. 566 kB/s | 21 kB 00:00 (1497/3201): texlive-footnoterange-svn52910-36. 656 kB/s | 21 kB 00:00 (1498/3201): texlive-footnpag-svn15878.0-36.fc3 716 kB/s | 25 kB 00:00 (1499/3201): texlive-forarray-svn15878.1.01-36. 648 kB/s | 21 kB 00:00 (1500/3201): texlive-footnotebackref-svn27034.1 191 kB/s | 20 kB 00:00 (1501/3201): texlive-foreign-svn27819.2.7-36.fc 551 kB/s | 20 kB 00:00 (1502/3201): texlive-forest-svn44797-36.fc34.no 2.2 MB/s | 75 kB 00:00 (1503/3201): texlive-forloop-svn15878.3.0-36.fc 623 kB/s | 23 kB 00:00 (1504/3201): texlive-formlett-svn21480.2.3-36.f 867 kB/s | 28 kB 00:00 (1505/3201): texlive-formular-svn15878.1.0a-36. 476 kB/s | 19 kB 00:00 (1506/3201): texlive-forms16be-svn51305-36.fc34 19 MB/s | 1.4 MB 00:00 (1507/3201): texlive-forum-svn56025-36.fc34.noa 11 MB/s | 739 kB 00:00 (1508/3201): texlive-fouridx-svn32214.2.00-36.f 394 kB/s | 17 kB 00:00 (1509/3201): texlive-fouriernc-svn29646.0-36.fc 983 kB/s | 34 kB 00:00 (1510/3201): texlive-fourier-svn54090-36.fc34.n 7.6 MB/s | 334 kB 00:00 (1511/3201): texlive-fp-svn49719-36.fc34.noarch 942 kB/s | 38 kB 00:00 (1512/3201): texlive-fragments-svn15878.0-36.fc 471 kB/s | 15 kB 00:00 (1513/3201): texlive-fpl-svn54512-36.fc34.noarc 7.8 MB/s | 305 kB 00:00 (1514/3201): texlive-frame-svn18312.1.0-36.fc34 452 kB/s | 17 kB 00:00 (1515/3201): texlive-framed-svn26789.0.96-36.fc 639 kB/s | 20 kB 00:00 (1516/3201): texlive-francais-bst-svn38922-36.f 844 kB/s | 27 kB 00:00 (1517/3201): texlive-frederika2016-svn42157-36. 6.4 MB/s | 385 kB 00:00 (1518/3201): texlive-frcursive-svn24559.0-36.fc 20 MB/s | 1.7 MB 00:00 (1519/3201): texlive-frege-svn27417.1.3-36.fc34 662 kB/s | 21 kB 00:00 (1520/3201): texlive-frankenstein-svn15878.0-36 1.4 MB/s | 154 kB 00:00 (1521/3201): texlive-ftcap-svn17275.1.4-36.fc34 546 kB/s | 19 kB 00:00 (1522/3201): texlive-ftnxtra-svn29652.0.1-36.fc 489 kB/s | 18 kB 00:00 (1523/3201): texlive-fullblck-svn25434.1.03-36. 425 kB/s | 17 kB 00:00 (1524/3201): texlive-fullminipage-svn34545.0.1. 628 kB/s | 26 kB 00:00 (1525/3201): texlive-ftc-notebook-svn50043-36.f 30 MB/s | 3.5 MB 00:00 (1526/3201): texlive-fullwidth-svn24684.0.1-36. 391 kB/s | 20 kB 00:00 (1527/3201): texlive-functan-svn15878.0-36.fc34 443 kB/s | 18 kB 00:00 (1528/3201): texlive-fundus-calligra-svn26018.1 460 kB/s | 15 kB 00:00 (1529/3201): texlive-fundus-cyr-svn26019.0-36.f 621 kB/s | 20 kB 00:00 (1530/3201): texlive-fundus-sueterlin-svn26030. 559 kB/s | 20 kB 00:00 (1531/3201): texlive-fvextra-svn49947-36.fc34.n 15 MB/s | 824 kB 00:00 (1532/3201): texlive-fwlw-svn29803.0-36.fc34.no 376 kB/s | 15 kB 00:00 (1533/3201): texlive-g-brief-svn50415-36.fc34.n 546 kB/s | 21 kB 00:00 (1534/3201): texlive-gaceta-svn15878.1.06-36.fc 859 kB/s | 34 kB 00:00 (1535/3201): texlive-galois-svn15878.1.5-36.fc3 565 kB/s | 18 kB 00:00 (1536/3201): texlive-gammas-svn50012-36.fc34.no 824 kB/s | 31 kB 00:00 (1537/3201): texlive-garuda-c90-svn37677.0-36.f 417 kB/s | 17 kB 00:00 (1538/3201): texlive-garamond-math-svn52820-36. 9.8 MB/s | 718 kB 00:00 (1539/3201): texlive-gastex-svn54080-36.fc34.no 874 kB/s | 33 kB 00:00 (1540/3201): texlive-gatech-thesis-svn19886.1.8 1.4 MB/s | 51 kB 00:00 (1541/3201): texlive-gauss-svn32934.0-36.fc34.n 913 kB/s | 32 kB 00:00 (1542/3201): texlive-gb4e-svn19216.0-36.fc34.no 723 kB/s | 24 kB 00:00 (1543/3201): texlive-gatherenum-svn52209-36.fc3 3.9 MB/s | 409 kB 00:00 (1544/3201): texlive-garamond-libre-svn55166-36 30 MB/s | 7.2 MB 00:00 (1545/3201): texlive-gcard-svn15878.0-36.fc34.n 419 kB/s | 18 kB 00:00 (1546/3201): texlive-gbt7714-svn54758-36.fc34.n 6.0 MB/s | 361 kB 00:00 (1547/3201): texlive-gcite-svn15878.1.0.1-36.fc 631 kB/s | 21 kB 00:00 (1548/3201): texlive-gene-logic-svn15878.1.4-36 449 kB/s | 14 kB 00:00 (1549/3201): texlive-gender-svn36464.1.0-36.fc3 552 kB/s | 20 kB 00:00 (1550/3201): texlive-genealogy-svn25112.0-36.fc 592 kB/s | 19 kB 00:00 (1551/3201): texlive-genealogytree-svn50872-36. 1.4 MB/s | 50 kB 00:00 (1552/3201): texlive-genmpage-svn15878.0.3.1-36 493 kB/s | 18 kB 00:00 (1553/3201): texlive-geometry-svn54080-36.fc34. 772 kB/s | 25 kB 00:00 (1554/3201): texlive-geschichtsfrkl-svn42121-36 507 kB/s | 21 kB 00:00 (1555/3201): texlive-getfiledate-svn16189.1.2-3 514 kB/s | 18 kB 00:00 (1556/3201): texlive-getitems-svn39365-36.fc34. 554 kB/s | 20 kB 00:00 (1557/3201): texlive-gentium-tug-svn54512-36.fc 25 MB/s | 3.9 MB 00:00 (1558/3201): texlive-gettitlestring-svn53170-36 4.6 MB/s | 330 kB 00:00 (1559/3201): texlive-getmap-20200327-25.fc34.no 19 MB/s | 1.9 MB 00:00 (1560/3201): texlive-gfscomplutum-svn19469.1.0- 3.6 MB/s | 141 kB 00:00 (1561/3201): texlive-gfsartemisia-svn19469.1.0- 16 MB/s | 1.0 MB 00:00 (1562/3201): texlive-gfsbodoni-svn28484.1.01-36 12 MB/s | 948 kB 00:00 (1563/3201): texlive-gfsdidotclassic-svn52778-3 1.3 MB/s | 46 kB 00:00 (1564/3201): texlive-gfsdidot-svn54080-36.fc34. 18 MB/s | 1.3 MB 00:00 (1565/3201): texlive-gfsneohellenicmath-svn5257 11 MB/s | 460 kB 00:00 (1566/3201): texlive-gfsneohellenic-svn54080-36 15 MB/s | 1.0 MB 00:00 (1567/3201): texlive-gfssolomos-svn18651.1.0-36 3.5 MB/s | 121 kB 00:00 (1568/3201): texlive-gillcm-svn19878.1.1-36.fc3 859 kB/s | 33 kB 00:00 (1569/3201): texlive-ghsystem-svn53822-36.fc34. 24 MB/s | 1.9 MB 00:00 (1570/3201): texlive-gillius-svn32068.0-36.fc34 17 MB/s | 1.0 MB 00:00 (1571/3201): texlive-gincltex-svn23835.0.3-36.f 483 kB/s | 21 kB 00:00 (1572/3201): texlive-gindex-svn52311-36.fc34.no 1.4 MB/s | 47 kB 00:00 (1573/3201): texlive-ginpenc-svn24980.1.0-36.fc 626 kB/s | 20 kB 00:00 (1574/3201): texlive-gitfile-info-svn51928-36.f 12 MB/s | 576 kB 00:00 (1575/3201): texlive-gitinfo-svn34049.1.0-36.fc 644 kB/s | 21 kB 00:00 (1576/3201): texlive-gitinfo2-svn38913-36.fc34. 608 kB/s | 22 kB 00:00 (1577/3201): texlive-gitlog-svn38932-36.fc34.no 510 kB/s | 21 kB 00:00 (1578/3201): texlive-gitver-svn49980-36.fc34.no 4.1 MB/s | 145 kB 00:00 (1579/3201): texlive-globalvals-svn49962-36.fc3 4.6 MB/s | 167 kB 00:00 (1580/3201): texlive-gloss-svn15878.1.5.2-36.fc 684 kB/s | 22 kB 00:00 (1581/3201): texlive-glosmathtools-svn54558-36. 10 MB/s | 540 kB 00:00 (1582/3201): texlive-glossaries-danish-svn35665 449 kB/s | 20 kB 00:00 (1583/3201): texlive-glossaries-dutch-svn35685. 553 kB/s | 20 kB 00:00 (1584/3201): texlive-glossaries-english-svn3566 630 kB/s | 20 kB 00:00 (1585/3201): texlive-glossaries-estonian-svn499 1.1 MB/s | 42 kB 00:00 (1586/3201): texlive-glossaries-extra-svn54688- 2.5 MB/s | 85 kB 00:00 (1587/3201): texlive-glossaries-finnish-svn5408 3.7 MB/s | 156 kB 00:00 (1588/3201): texlive-glossaries-french-svn42873 523 kB/s | 20 kB 00:00 (1589/3201): texlive-glossaries-20200327-25.fc3 29 MB/s | 5.8 MB 00:00 (1590/3201): texlive-glossaries-german-svn35665 400 kB/s | 20 kB 00:00 (1591/3201): texlive-glossaries-irish-svn35665. 644 kB/s | 21 kB 00:00 (1592/3201): texlive-glossaries-italian-svn3566 628 kB/s | 20 kB 00:00 (1593/3201): texlive-glossaries-polish-svn35665 646 kB/s | 21 kB 00:00 (1594/3201): texlive-glossaries-magyar-svn35665 383 kB/s | 21 kB 00:00 (1595/3201): texlive-glossaries-portuges-svn360 661 kB/s | 21 kB 00:00 (1596/3201): texlive-glossaries-serbian-svn3566 649 kB/s | 21 kB 00:00 (1597/3201): texlive-glyphlist-20200327-25.fc34 1.2 MB/s | 39 kB 00:00 (1598/3201): texlive-glossaries-spanish-svn3566 543 kB/s | 21 kB 00:00 (1599/3201): texlive-glossaries-slovene-svn5121 2.8 MB/s | 141 kB 00:00 (1600/3201): texlive-gmdoc-enhance-svn15878.v0. 606 kB/s | 19 kB 00:00 (1601/3201): texlive-gmdoc-svn21292.0.993-36.fc 1.9 MB/s | 63 kB 00:00 (1602/3201): texlive-gmiflink-svn15878.v0.97-36 531 kB/s | 19 kB 00:00 (1603/3201): texlive-gmp-svn21691.1.0-36.fc34.n 671 kB/s | 22 kB 00:00 (1604/3201): texlive-gmutils-svn24287.v0.996-36 2.4 MB/s | 83 kB 00:00 (1605/3201): texlive-gmverb-svn24288.v0.98-36.f 880 kB/s | 32 kB 00:00 (1606/3201): texlive-gnuplottex-svn54758-36.fc3 603 kB/s | 22 kB 00:00 (1607/3201): texlive-gothic-svn49869-36.fc34.no 2.6 MB/s | 156 kB 00:00 (1608/3201): texlive-gofonts-svn54512-36.fc34.n 12 MB/s | 1.7 MB 00:00 (1609/3201): texlive-gotoh-svn44764-36.fc34.noa 3.8 MB/s | 298 kB 00:00 (1610/3201): texlive-grabbox-svn51052-36.fc34.n 8.3 MB/s | 363 kB 00:00 (1611/3201): texlive-gradientframe-svn21387.0.2 469 kB/s | 20 kB 00:00 (1612/3201): texlive-gradstudentresume-svn38832 553 kB/s | 20 kB 00:00 (1613/3201): texlive-gnu-freefont-svn29349.0-36 27 MB/s | 7.5 MB 00:00 (1614/3201): texlive-grafcet-svn22509.1.3.5-36. 361 kB/s | 20 kB 00:00 (1615/3201): texlive-grant-svn41905-36.fc34.noa 2.8 MB/s | 126 kB 00:00 (1616/3201): texlive-graphics-cfg-svn41448-36.f 398 kB/s | 14 kB 00:00 (1617/3201): texlive-graphbox-svn46360-36.fc34. 420 kB/s | 21 kB 00:00 (1618/3201): texlive-graphics-def-svn54522-36.f 812 kB/s | 29 kB 00:00 (1619/3201): texlive-graphics-svn53640-36.fc34. 1.1 MB/s | 36 kB 00:00 (1620/3201): texlive-graph35-svn47522-36.fc34.n 20 MB/s | 2.1 MB 00:00 (1621/3201): texlive-graphicx-psmin-svn15878.1. 513 kB/s | 19 kB 00:00 (1622/3201): texlive-graphicxbox-svn32630.1.0-3 447 kB/s | 17 kB 00:00 (1623/3201): texlive-graphicxpsd-svn46477-36.fc 11 MB/s | 436 kB 00:00 (1624/3201): texlive-graphviz-svn31517.0.94-36. 566 kB/s | 20 kB 00:00 (1625/3201): texlive-grayhints-svn49052-36.fc34 11 MB/s | 505 kB 00:00 (1626/3201): texlive-greek-fontenc-svn53955-36. 1.2 MB/s | 46 kB 00:00 (1627/3201): texlive-greek-inputenc-svn51612-36 717 kB/s | 26 kB 00:00 (1628/3201): texlive-greenpoint-svn15878.0-36.f 554 kB/s | 19 kB 00:00 (1629/3201): texlive-grfext-svn53024-36.fc34.no 8.3 MB/s | 320 kB 00:00 (1630/3201): texlive-grffile-svn52756-36.fc34.n 8.4 MB/s | 363 kB 00:00 (1631/3201): texlive-grfpaste-svn17354.0.2-36.f 528 kB/s | 19 kB 00:00 (1632/3201): texlive-grid-svn15878.1.0-36.fc34. 591 kB/s | 19 kB 00:00 (1633/3201): texlive-grid-system-svn32981.0.3.0 494 kB/s | 18 kB 00:00 (1634/3201): texlive-gridset-svn53762-36.fc34.n 515 kB/s | 19 kB 00:00 (1635/3201): texlive-grotesq-svn35859.0-36.fc34 1.7 MB/s | 72 kB 00:00 (1636/3201): texlive-grundgesetze-svn34439.1.02 648 kB/s | 22 kB 00:00 (1637/3201): texlive-gridslides-svn54512-36.fc3 9.0 MB/s | 584 kB 00:00 (1638/3201): texlive-gtl-svn49527-36.fc34.noarc 616 kB/s | 20 kB 00:00 (1639/3201): texlive-gsemthesis-svn36244.0.9.4- 602 kB/s | 22 kB 00:00 (1640/3201): texlive-gtrlib-largetrees-svn49062 8.5 MB/s | 324 kB 00:00 (1641/3201): texlive-gu-svn15878.0-36.fc34.noar 568 kB/s | 22 kB 00:00 (1642/3201): texlive-gzt-svn54390-36.fc34.noarc 1.6 MB/s | 54 kB 00:00 (1643/3201): texlive-guitlogo-svn51582-36.fc34. 477 kB/s | 20 kB 00:00 (1644/3201): texlive-hackthefootline-svn46494-3 5.3 MB/s | 201 kB 00:00 (1645/3201): texlive-hacm-svn27671.0.1-36.fc34. 6.0 MB/s | 247 kB 00:00 (1646/3201): texlive-halloweenmath-svn52602-36. 14 MB/s | 889 kB 00:00 (1647/3201): texlive-h2020proposal-svn38428-36. 297 kB/s | 32 kB 00:00 (1648/3201): texlive-handout-svn43962-36.fc34.n 515 kB/s | 21 kB 00:00 (1649/3201): texlive-handin-svn48255-36.fc34.no 9.4 MB/s | 450 kB 00:00 (1650/3201): texlive-hagenberg-thesis-svn51150- 25 MB/s | 3.9 MB 00:00 (1651/3201): texlive-hands-svn13293.0-36.fc34.n 328 kB/s | 16 kB 00:00 (1652/3201): texlive-hang-svn43280-36.fc34.noar 442 kB/s | 20 kB 00:00 (1653/3201): texlive-hanging-svn15878.1.2b-36.f 570 kB/s | 21 kB 00:00 (1654/3201): texlive-har2nat-svn54080-36.fc34.n 468 kB/s | 17 kB 00:00 (1655/3201): texlive-hardwrap-svn21396.0.2-36.f 572 kB/s | 21 kB 00:00 (1656/3201): texlive-harnon-cv-svn26543.1.0-36. 413 kB/s | 15 kB 00:00 (1657/3201): texlive-harpoon-svn21327.1.0-36.fc 333 kB/s | 14 kB 00:00 (1658/3201): texlive-harvard-svn15878.2.0.5-36. 782 kB/s | 34 kB 00:00 (1659/3201): texlive-harveyballs-svn32003.1.1-3 773 kB/s | 25 kB 00:00 (1660/3201): texlive-hc-svn15878.0-36.fc34.noar 725 kB/s | 26 kB 00:00 (1661/3201): texlive-harvmac-svn15878.0-36.fc34 403 kB/s | 18 kB 00:00 (1662/3201): texlive-he-she-svn41359-36.fc34.no 663 kB/s | 22 kB 00:00 (1663/3201): texlive-helvetic-svn31835.0-36.fc3 11 MB/s | 619 kB 00:00 (1664/3201): texlive-hep-paper-svn54994-36.fc34 11 MB/s | 538 kB 00:00 (1665/3201): texlive-hep-svn15878.1.0-36.fc34.n 505 kB/s | 18 kB 00:00 (1666/3201): texlive-hepnames-svn35722.2.0-36.f 618 kB/s | 23 kB 00:00 (1667/3201): texlive-hepparticles-svn35723.2.0- 571 kB/s | 21 kB 00:00 (1668/3201): texlive-hepthesis-svn46054-36.fc34 707 kB/s | 24 kB 00:00 (1669/3201): texlive-here-svn16135.0-36.fc34.no 437 kB/s | 14 kB 00:00 (1670/3201): texlive-hepunits-svn54758-36.fc34. 492 kB/s | 18 kB 00:00 (1671/3201): texlive-hecthese-svn50590-36.fc34. 4.0 MB/s | 892 kB 00:00 (1672/3201): texlive-hf-tikz-svn34733.0.3a-36.f 487 kB/s | 22 kB 00:00 (1673/3201): texlive-heuristica-svn51362-36.fc3 18 MB/s | 1.1 MB 00:00 (1674/3201): texlive-hfbright-svn29349.0-36.fc3 16 MB/s | 833 kB 00:00 (1675/3201): texlive-hhtensor-svn54080-36.fc34. 508 kB/s | 17 kB 00:00 (1676/3201): texlive-hfoldsty-svn29349.1.15-36. 6.1 MB/s | 328 kB 00:00 (1677/3201): texlive-historische-zeitschrift-sv 675 kB/s | 22 kB 00:00 (1678/3201): texlive-histogr-svn15878.1.01-36.f 551 kB/s | 20 kB 00:00 (1679/3201): texlive-hitec-svn15878.0.0_beta_-3 503 kB/s | 22 kB 00:00 (1680/3201): texlive-hitszbeamer-svn54381-36.fc 16 MB/s | 1.1 MB 00:00 (1681/3201): texlive-hithesis-svn53362-36.fc34. 12 MB/s | 1.0 MB 00:00 (1682/3201): texlive-hitszthesis-svn54709-36.fc 18 MB/s | 1.6 MB 00:00 (1683/3201): texlive-hletter-svn30002.4.2-36.fc 739 kB/s | 27 kB 00:00 (1684/3201): texlive-hobby-svn44474-36.fc34.noa 887 kB/s | 29 kB 00:00 (1685/3201): texlive-hobete-svn27036.0-36.fc34. 632 kB/s | 23 kB 00:00 (1686/3201): texlive-hobsub-svn52810-36.fc34.no 2.1 MB/s | 80 kB 00:00 (1687/3201): texlive-hologo-svn53048-36.fc34.no 13 MB/s | 565 kB 00:00 (1688/3201): texlive-hpsdiss-svn15878.1.0-36.fc 640 kB/s | 23 kB 00:00 (1689/3201): texlive-hrefhide-svn22255.1.0f-36. 581 kB/s | 21 kB 00:00 (1690/3201): texlive-hu-berlin-bundle-svn54512- 8.1 MB/s | 448 kB 00:00 (1691/3201): texlive-hvfloat-svn52010-36.fc34.n 641 kB/s | 23 kB 00:00 (1692/3201): texlive-hustthesis-svn42547-36.fc3 14 MB/s | 793 kB 00:00 (1693/3201): texlive-hvindex-svn46051-36.fc34.n 547 kB/s | 18 kB 00:00 (1694/3201): texlive-hvqrurl-svn52993-36.fc34.n 2.9 MB/s | 115 kB 00:00 (1695/3201): texlive-hypdvips-svn53197-36.fc34. 1.3 MB/s | 43 kB 00:00 (1696/3201): texlive-hycolor-svn53584-36.fc34.n 8.5 MB/s | 386 kB 00:00 (1697/3201): texlive-hyper-svn17357.4.2d-36.fc3 911 kB/s | 35 kB 00:00 (1698/3201): texlive-hyperref-svn54841-36.fc34. 3.2 MB/s | 123 kB 00:00 (1699/3201): texlive-hypernat-svn17358.1.0b-36. 300 kB/s | 19 kB 00:00 (1700/3201): texlive-hyperbar-svn48147-36.fc34. 6.3 MB/s | 452 kB 00:00 (1701/3201): texlive-hyphen-base-svn54763-36.fc 1.1 MB/s | 35 kB 00:00 (1702/3201): texlive-hyperxmp-svn54758-36.fc34. 668 kB/s | 30 kB 00:00 (1703/3201): texlive-hyph-utf8-svn54568-36.fc34 791 kB/s | 31 kB 00:00 (1704/3201): texlive-hyphen-polish-svn54568-36. 819 kB/s | 29 kB 00:00 (1705/3201): texlive-hyphenex-svn37354.0-36.fc3 550 kB/s | 20 kB 00:00 (1706/3201): texlive-hyphenat-svn15878.2.3c-36. 479 kB/s | 20 kB 00:00 (1707/3201): texlive-icite-svn54512-36.fc34.noa 6.2 MB/s | 293 kB 00:00 (1708/3201): texlive-icsv-svn15878.0.2-36.fc34. 464 kB/s | 20 kB 00:00 (1709/3201): texlive-identkey-svn49018-36.fc34. 548 kB/s | 25 kB 00:00 (1710/3201): texlive-idxcmds-svn54554-36.fc34.n 650 kB/s | 21 kB 00:00 (1711/3201): texlive-idxlayout-svn25821.0.4d-36 516 kB/s | 19 kB 00:00 (1712/3201): texlive-ieeepes-svn17359.4.0-36.fc 704 kB/s | 26 kB 00:00 (1713/3201): texlive-ietfbibs-doc-svn41332-36.f 549 kB/s | 18 kB 00:00 (1714/3201): texlive-ifmslide-svn20727.0.47-36. 5.9 MB/s | 290 kB 00:00 (1715/3201): texlive-ifmtarg-svn47544-36.fc34.n 514 kB/s | 16 kB 00:00 (1716/3201): texlive-iffont-svn38823-36.fc34.no 315 kB/s | 20 kB 00:00 (1717/3201): texlive-ifnextok-svn23379.0.3-36.f 799 kB/s | 29 kB 00:00 (1718/3201): texlive-ifoddpage-svn40726-36.fc34 554 kB/s | 20 kB 00:00 (1719/3201): texlive-ifplatform-svn45533-36.fc3 498 kB/s | 18 kB 00:00 (1720/3201): texlive-ifsym-svn24868.0-36.fc34.n 757 kB/s | 28 kB 00:00 (1721/3201): texlive-iftex-svn54159-36.fc34.noa 687 kB/s | 23 kB 00:00 (1722/3201): texlive-ifthenx-svn25819.0.1a-36.f 546 kB/s | 20 kB 00:00 (1723/3201): texlive-iitem-svn29613.1.0-36.fc34 489 kB/s | 17 kB 00:00 (1724/3201): texlive-ijmart-svn30958.1.7-36.fc3 712 kB/s | 27 kB 00:00 (1725/3201): texlive-ijqc-svn15878.1.2-36.fc34. 601 kB/s | 22 kB 00:00 (1726/3201): texlive-imac-svn17347.0-36.fc34.no 452 kB/s | 24 kB 00:00 (1727/3201): texlive-image-gallery-svn15878.v1. 364 kB/s | 18 kB 00:00 (1728/3201): texlive-ijsra-svn44886-36.fc34.noa 11 MB/s | 708 kB 00:00 (1729/3201): texlive-imakeidx-svn42287-36.fc34. 633 kB/s | 23 kB 00:00 (1730/3201): texlive-import-svn54683-36.fc34.no 492 kB/s | 16 kB 00:00 (1731/3201): texlive-incgraph-svn36500.1.12-36. 703 kB/s | 23 kB 00:00 (1732/3201): texlive-imtekda-svn17667.1.7-36.fc 559 kB/s | 20 kB 00:00 (1733/3201): texlive-imfellenglish-svn38547-36. 25 MB/s | 2.9 MB 00:00 (1734/3201): texlive-includernw-svn47557-36.fc3 3.6 MB/s | 175 kB 00:00 (1735/3201): texlive-inconsolata-svn54512-36.fc 6.8 MB/s | 338 kB 00:00 (1736/3201): texlive-indextools-svn38931-36.fc3 697 kB/s | 23 kB 00:00 (1737/3201): texlive-index-svn24099.4.1beta-36. 659 kB/s | 25 kB 00:00 (1738/3201): texlive-infwarerr-svn53023-36.fc34 7.2 MB/s | 298 kB 00:00 (1739/3201): texlive-inline-images-svn54080-36. 2.5 MB/s | 146 kB 00:00 (1740/3201): texlive-inkpaper-svn54080-36.fc34. 4.1 MB/s | 299 kB 00:00 (1741/3201): texlive-inlinebib-svn22018.0-36.fc 631 kB/s | 23 kB 00:00 (1742/3201): texlive-inlinedef-svn15878.1.0-36. 541 kB/s | 20 kB 00:00 (1743/3201): texlive-inputtrc-svn28019.0.3-36.f 545 kB/s | 21 kB 00:00 (1744/3201): texlive-inputenx-svn52986-36.fc34. 12 MB/s | 822 kB 00:00 (1745/3201): texlive-initials-svn54080-36.fc34. 17 MB/s | 3.0 MB 00:00 (1746/3201): texlive-intcalc-svn53168-36.fc34.n 8.1 MB/s | 435 kB 00:00 (1747/3201): texlive-inriafonts-svn54512-36.fc3 23 MB/s | 2.5 MB 00:00 (1748/3201): texlive-interfaces-svn21474.3.1-36 1.1 MB/s | 50 kB 00:00 (1749/3201): texlive-interactiveworkbook-svn158 210 kB/s | 21 kB 00:00 (1750/3201): texlive-interval-svn50265-36.fc34. 561 kB/s | 20 kB 00:00 (1751/3201): texlive-intopdf-svn51247-36.fc34.n 7.8 MB/s | 408 kB 00:00 (1752/3201): texlive-inversepath-svn15878.0.2-3 548 kB/s | 20 kB 00:00 (1753/3201): texlive-invoice-class-svn49749-36. 2.8 MB/s | 122 kB 00:00 (1754/3201): texlive-invoice-svn48359-36.fc34.n 719 kB/s | 26 kB 00:00 (1755/3201): texlive-ionumbers-svn33457.0.3.3-3 467 kB/s | 23 kB 00:00 (1756/3201): texlive-iodhbwm-svn54734-36.fc34.n 26 MB/s | 3.0 MB 00:00 (1757/3201): texlive-iopart-num-svn15878.2.1-36 451 kB/s | 23 kB 00:00 (1758/3201): texlive-invoice2-svn46364-36.fc34. 2.0 MB/s | 627 kB 00:00 (1759/3201): texlive-is-bst-svn52623-36.fc34.no 891 kB/s | 37 kB 00:00 (1760/3201): texlive-iscram-svn45801-36.fc34.no 3.1 MB/s | 285 kB 00:00 (1761/3201): texlive-iso-svn15878.2.4-36.fc34.n 794 kB/s | 32 kB 00:00 (1762/3201): texlive-iso10303-svn15878.1.5-36.f 1.6 MB/s | 66 kB 00:00 (1763/3201): texlive-isodate-svn16613.2.28-36.f 687 kB/s | 24 kB 00:00 (1764/3201): texlive-isodoc-svn47868-36.fc34.no 879 kB/s | 29 kB 00:00 (1765/3201): texlive-ipaex-type1-svn47700-36.fc 24 MB/s | 13 MB 00:00 (1766/3201): texlive-isomath-svn27654.0.6.1-36. 266 kB/s | 21 kB 00:00 (1767/3201): texlive-ipaex-svn52032-36.fc34.noa 26 MB/s | 16 MB 00:00 (1768/3201): texlive-isonums-svn17362.1.0-36.fc 265 kB/s | 18 kB 00:00 (1769/3201): texlive-isopt-svn45509-36.fc34.noa 1.1 MB/s | 80 kB 00:00 (1770/3201): texlive-issuulinks-svn25742.1.1-36 643 kB/s | 21 kB 00:00 (1771/3201): texlive-isorot-svn15878.0-36.fc34. 505 kB/s | 18 kB 00:00 (1772/3201): texlive-isotope-svn23711.v0.3-36.f 429 kB/s | 17 kB 00:00 (1773/3201): texlive-iwhdp-svn37552.0.50-36.fc3 787 kB/s | 29 kB 00:00 (1774/3201): texlive-itnumpar-svn15878.1.0-36.f 426 kB/s | 18 kB 00:00 (1775/3201): texlive-jablantile-svn16364.0-36.f 468 kB/s | 17 kB 00:00 (1776/3201): texlive-jacow-svn50870-36.fc34.noa 678 kB/s | 25 kB 00:00 (1777/3201): texlive-istgame-svn49848-36.fc34.n 5.1 MB/s | 764 kB 00:00 (1778/3201): texlive-jamtimes-svn20408.1.12-36. 2.1 MB/s | 82 kB 00:00 (1779/3201): texlive-iwona-svn19611.0.995b-36.f 26 MB/s | 4.0 MB 00:00 (1780/3201): texlive-japanese-otf-uptex-svn5408 6.9 MB/s | 304 kB 00:00 (1781/3201): texlive-jbact-svn52717-36.fc34.noa 539 kB/s | 22 kB 00:00 (1782/3201): texlive-jkmath-svn47109-36.fc34.no 3.0 MB/s | 145 kB 00:00 (1783/3201): texlive-japanese-otf-svn50769-36.f 6.8 MB/s | 734 kB 00:00 (1784/3201): texlive-jknapltx-svn19440.0-36.fc3 792 kB/s | 29 kB 00:00 (1785/3201): texlive-jlabels-svn24858.0-36.fc34 259 kB/s | 14 kB 00:00 (1786/3201): texlive-jmb-svn52718-36.fc34.noarc 440 kB/s | 23 kB 00:00 (1787/3201): texlive-jmlr-svn54562-36.fc34.noar 1.1 MB/s | 44 kB 00:00 (1788/3201): texlive-jneurosci-svn17346.1.00-36 629 kB/s | 23 kB 00:00 (1789/3201): texlive-jslectureplanner-svn53672- 782 kB/s | 32 kB 00:00 (1790/3201): texlive-jumplines-svn37553.0.2-36. 622 kB/s | 23 kB 00:00 (1791/3201): texlive-jpsj-svn15878.1.2.2-36.fc3 213 kB/s | 23 kB 00:00 (1792/3201): texlive-jnuexam-svn52696-36.fc34.n 4.9 MB/s | 604 kB 00:00 (1793/3201): texlive-jvlisting-svn24638.0.7-36. 459 kB/s | 18 kB 00:00 (1794/3201): texlive-jurabib-svn15878.0.6-36.fc 995 kB/s | 72 kB 00:00 (1795/3201): texlive-junicode-svn53954-36.fc34. 12 MB/s | 1.1 MB 00:00 (1796/3201): texlive-kantlipsum-svn51727-36.fc3 1.1 MB/s | 43 kB 00:00 (1797/3201): texlive-kalendarium-svn48744-36.fc 5.1 MB/s | 378 kB 00:00 (1798/3201): texlive-karnaugh-map-svn44131-36.f 6.1 MB/s | 250 kB 00:00 (1799/3201): texlive-karnaugh-svn21338.0-36.fc3 553 kB/s | 20 kB 00:00 (1800/3201): texlive-karnaughmap-svn36989.2.0-3 635 kB/s | 21 kB 00:00 (1801/3201): texlive-kastrup-svn15878.0-36.fc34 402 kB/s | 14 kB 00:00 (1802/3201): texlive-kdgdocs-svn24498.1.0-36.fc 476 kB/s | 23 kB 00:00 (1803/3201): texlive-kerntest-svn15878.1.32-36. 769 kB/s | 29 kB 00:00 (1804/3201): texlive-kblocks-svn52382-36.fc34.n 2.0 MB/s | 165 kB 00:00 (1805/3201): texlive-keycommand-svn18042.3.1415 650 kB/s | 21 kB 00:00 (1806/3201): texlive-keyreader-svn28195.0.5b-36 815 kB/s | 28 kB 00:00 (1807/3201): texlive-keyindex-svn50828-36.fc34. 3.6 MB/s | 162 kB 00:00 (1808/3201): texlive-keyfloat-svn52160-36.fc34. 14 MB/s | 1.0 MB 00:00 (1809/3201): texlive-keystroke-svn17992.v1.6-36 746 kB/s | 25 kB 00:00 (1810/3201): texlive-keyval2e-svn23698.0.0.2-36 602 kB/s | 22 kB 00:00 (1811/3201): texlive-keyvaltable-svn54677-36.fc 710 kB/s | 26 kB 00:00 (1812/3201): texlive-kix-svn21606.0-36.fc34.noa 534 kB/s | 17 kB 00:00 (1813/3201): texlive-kixfont-svn18488.0-36.fc34 407 kB/s | 15 kB 00:00 (1814/3201): texlive-kluwer-svn54074-36.fc34.no 1.8 MB/s | 69 kB 00:00 (1815/3201): texlive-knittingpattern-svn17205.0 555 kB/s | 20 kB 00:00 (1816/3201): texlive-knowledge-svn54876-36.fc34 15 MB/s | 784 kB 00:00 (1817/3201): texlive-knitting-svn50782-36.fc34. 7.9 MB/s | 593 kB 00:00 (1818/3201): texlive-knuth-lib-svn35820.0-36.fc 1.3 MB/s | 48 kB 00:00 (1819/3201): texlive-knuth-local-svn38627-36.fc 1.1 MB/s | 41 kB 00:00 (1820/3201): texlive-koma-moderncvclassic-svn25 693 kB/s | 23 kB 00:00 (1821/3201): texlive-koma-script-sfs-svn26137.1 488 kB/s | 19 kB 00:00 (1822/3201): texlive-komacv-svn43902-36.fc34.no 574 kB/s | 26 kB 00:00 (1823/3201): texlive-komacv-rg-svn49064-36.fc34 7.6 MB/s | 851 kB 00:00 (1824/3201): texlive-kpathsea-20200327-25.fc34. 10 MB/s | 1.1 MB 00:00 (1825/3201): texlive-koma-script-svn54825-36.fc 30 MB/s | 6.4 MB 00:00 (1826/3201): texlive-ksfh_nat-svn24825.1.1-36.f 614 kB/s | 22 kB 00:00 (1827/3201): texlive-ksp-thesis-svn39080-36.fc3 536 kB/s | 23 kB 00:00 (1828/3201): texlive-ktv-texdata-svn27369.05.34 513 kB/s | 20 kB 00:00 (1829/3201): texlive-ku-template-svn45935-36.fc 4.6 MB/s | 236 kB 00:00 (1830/3201): texlive-kpfonts-svn48470-36.fc34.n 11 MB/s | 2.4 MB 00:00 (1831/3201): texlive-kvdefinekeys-svn53193-36.f 7.4 MB/s | 309 kB 00:00 (1832/3201): texlive-kvmap-svn54898-36.fc34.noa 3.1 MB/s | 125 kB 00:00 (1833/3201): texlive-kurier-svn19612.0.995b-36. 25 MB/s | 3.9 MB 00:00 (1834/3201): texlive-kvsetkeys-svn53166-36.fc34 7.7 MB/s | 383 kB 00:00 (1835/3201): texlive-kvoptions-svn52985-36.fc34 6.7 MB/s | 489 kB 00:00 (1836/3201): texlive-l3experimental-svn53394-36 1.2 MB/s | 47 kB 00:00 (1837/3201): texlive-l3backend-svn54259-36.fc34 13 MB/s | 737 kB 00:00 (1838/3201): texlive-l3build-20200327-25.fc34.n 8.8 MB/s | 745 kB 00:00 (1839/3201): texlive-l3kernel-svn54672-36.fc34. 4.2 MB/s | 177 kB 00:00 (1840/3201): texlive-l3packages-svn54131-36.fc3 1.1 MB/s | 40 kB 00:00 (1841/3201): texlive-labbook-svn15878.0-36.fc34 554 kB/s | 20 kB 00:00 (1842/3201): texlive-labels-svn15878.13-36.fc34 568 kB/s | 21 kB 00:00 (1843/3201): texlive-labels4easylist-svn51124-3 1.0 MB/s | 38 kB 00:00 (1844/3201): texlive-lambda-lists-svn31402.0-36 461 kB/s | 17 kB 00:00 (1845/3201): texlive-labelschanged-svn46040-36. 4.3 MB/s | 203 kB 00:00 (1846/3201): texlive-ladder-svn44394-36.fc34.no 4.0 MB/s | 222 kB 00:00 (1847/3201): texlive-langsci-avm-svn54239-36.fc 3.2 MB/s | 120 kB 00:00 (1848/3201): texlive-langcode-svn27764.0.2-36.f 522 kB/s | 22 kB 00:00 (1849/3201): texlive-langsci-svn54393-36.fc34.n 2.1 MB/s | 92 kB 00:00 (1850/3201): texlive-lapdf-svn23806.1.1-36.fc34 909 kB/s | 30 kB 00:00 (1851/3201): texlive-lastpackage-svn34481.0.1-3 542 kB/s | 20 kB 00:00 (1852/3201): texlive-lastpage-svn36680.1.2m-36. 608 kB/s | 22 kB 00:00 (1853/3201): texlive-latex-fonts-svn28888.0-36. 1.1 MB/s | 43 kB 00:00 (1854/3201): texlive-latex-make-svn48925-36.fc3 724 kB/s | 33 kB 00:00 (1855/3201): texlive-latex-tds-doc-svn54758-36. 2.0 MB/s | 103 kB 00:00 (1856/3201): texlive-latex-uni8-svn49729-36.fc3 581 kB/s | 27 kB 00:00 (1857/3201): texlive-latexbug-svn52414-36.fc34. 5.0 MB/s | 205 kB 00:00 (1858/3201): texlive-latexcolors-svn49888-36.fc 6.5 MB/s | 391 kB 00:00 (1859/3201): texlive-latexconfig-svn53525-36.fc 460 kB/s | 17 kB 00:00 (1860/3201): texlive-latexdemo-svn34481.0.1-36. 528 kB/s | 21 kB 00:00 (1861/3201): texlive-latexgit-svn54811-36.fc34. 7.8 MB/s | 450 kB 00:00 (1862/3201): texlive-latex-base-dev-svn54146-36 31 MB/s | 17 MB 00:00 (1863/3201): texlive-layouts-svn42428-36.fc34.n 768 kB/s | 32 kB 00:00 (1864/3201): texlive-lazylist-svn17691.1.0a-36. 263 kB/s | 19 kB 00:00 (1865/3201): texlive-latex-20200327-25.fc34.noa 22 MB/s | 17 MB 00:00 (1866/3201): texlive-lccaps-svn46432-36.fc34.no 1.4 MB/s | 166 kB 00:00 (1867/3201): texlive-lcd-svn16549.0.3-36.fc34.n 593 kB/s | 19 kB 00:00 (1868/3201): texlive-leading-svn15878.0.3-36.fc 428 kB/s | 17 kB 00:00 (1869/3201): texlive-leaflet-svn43523-36.fc34.n 585 kB/s | 21 kB 00:00 (1870/3201): texlive-lato-svn54512-36.fc34.noar 25 MB/s | 13 MB 00:00 (1871/3201): texlive-lcg-svn31474.1.3-36.fc34.n 110 kB/s | 18 kB 00:00 (1872/3201): texlive-lectures-svn53642-36.fc34. 341 kB/s | 21 kB 00:00 (1873/3201): texlive-leipzig-svn52450-36.fc34.n 635 kB/s | 25 kB 00:00 (1874/3201): texlive-leftidx-svn15878.0-36.fc34 371 kB/s | 17 kB 00:00 (1875/3201): texlive-lengthconvert-svn30867.1.0 368 kB/s | 21 kB 00:00 (1876/3201): texlive-letltxmacro-svn53022-36.fc 8.0 MB/s | 302 kB 00:00 (1877/3201): texlive-letterswitharrows-svn53709 11 MB/s | 465 kB 00:00 (1878/3201): texlive-lettre-svn54722-36.fc34.no 1.2 MB/s | 45 kB 00:00 (1879/3201): texlive-lettrine-svn54560-36.fc34. 829 kB/s | 27 kB 00:00 (1880/3201): texlive-lewis-svn15878.0.1-36.fc34 350 kB/s | 14 kB 00:00 (1881/3201): texlive-lexend-svn54512-36.fc34.no 7.7 MB/s | 372 kB 00:00 (1882/3201): texlive-lfb-svn15878.1.0-36.fc34.n 1.0 MB/s | 43 kB 00:00 (1883/3201): texlive-lhelp-svn23638.2.0-36.fc34 528 kB/s | 23 kB 00:00 (1884/3201): texlive-lib-20200327-25.fc34.x86_6 11 MB/s | 487 kB 00:00 (1885/3201): texlive-libertinegc-svn44616-36.fc 1.7 MB/s | 82 kB 00:00 (1886/3201): texlive-libertinus-otf-svn56649-36 10 MB/s | 609 kB 00:00 (1887/3201): texlive-libertinus-fonts-svn56656- 20 MB/s | 2.3 MB 00:00 (1888/3201): texlive-libertinus-svn55064-36.fc3 384 kB/s | 16 kB 00:00 (1889/3201): texlive-libertinust1math-svn55517- 8.6 MB/s | 1.0 MB 00:00 (1890/3201): texlive-libgreek-svn27789.1.0-36.f 445 kB/s | 21 kB 00:00 (1891/3201): texlive-libertine-svn54583-36.fc34 33 MB/s | 14 MB 00:00 (1892/3201): texlive-librebaskerville-svn56018- 6.6 MB/s | 633 kB 00:00 (1893/3201): texlive-libertinus-type1-svn56160- 29 MB/s | 10 MB 00:00 (1894/3201): texlive-librebodoni-svn39375-36.fc 7.7 MB/s | 597 kB 00:00 (1895/3201): texlive-librecaslon-svn56003-36.fc 11 MB/s | 795 kB 00:00 (1896/3201): texlive-libris-svn19409.1.007-36.f 5.5 MB/s | 272 kB 00:00 (1897/3201): texlive-lie-hasse-svn53653-36.fc34 10 MB/s | 591 kB 00:00 (1898/3201): texlive-limap-svn44863-36.fc34.noa 467 kB/s | 21 kB 00:00 (1899/3201): texlive-limecv-svn54329-36.fc34.no 7.3 MB/s | 391 kB 00:00 (1900/3201): texlive-librefranklin-svn54512-36. 21 MB/s | 3.1 MB 00:00 (1901/3201): texlive-lineara-svn15878.0-36.fc34 3.7 MB/s | 167 kB 00:00 (1902/3201): texlive-linegoal-svn21523.2.9-36.f 525 kB/s | 21 kB 00:00 (1903/3201): texlive-linguex-svn30815.4.3-36.fc 715 kB/s | 23 kB 00:00 (1904/3201): texlive-lineno-svn21442.4.41-36.fc 2.0 MB/s | 78 kB 00:00 (1905/3201): texlive-linop-svn41304-36.fc34.noa 435 kB/s | 20 kB 00:00 (1906/3201): texlive-linguisticspro-svn54512-36 16 MB/s | 1.5 MB 00:00 (1907/3201): texlive-lipsum-svn49610-36.fc34.no 2.5 MB/s | 92 kB 00:00 (1908/3201): texlive-lion-msc-svn51143-36.fc34. 16 MB/s | 1.4 MB 00:00 (1909/3201): texlive-lisp-on-tex-svn38722-36.fc 773 kB/s | 28 kB 00:00 (1910/3201): texlive-listbib-20200327-25.fc34.n 9.2 MB/s | 359 kB 00:00 (1911/3201): texlive-listing-svn17373.1.2-36.fc 492 kB/s | 18 kB 00:00 (1912/3201): texlive-listings-svn54554-36.fc34. 4.3 MB/s | 169 kB 00:00 (1913/3201): texlive-listingsutf8-svn53097-36.f 8.0 MB/s | 311 kB 00:00 (1914/3201): texlive-listlbls-svn34893.1.03-36. 570 kB/s | 21 kB 00:00 (1915/3201): texlive-listliketab-svn15878.0-36. 478 kB/s | 17 kB 00:00 (1916/3201): texlive-listofsymbols-svn16134.0.2 488 kB/s | 19 kB 00:00 (1917/3201): texlive-listofitems-svn51923-36.fc 15 MB/s | 809 kB 00:00 (1918/3201): texlive-lkproof-svn20021.3.1-36.fc 542 kB/s | 20 kB 00:00 (1919/3201): texlive-llncsconf-svn46707-36.fc34 2.9 MB/s | 143 kB 00:00 (1920/3201): texlive-lm-math-svn36915.1.959-36. 9.2 MB/s | 456 kB 00:00 (1921/3201): texlive-lmake-svn25552.1.0-36.fc34 520 kB/s | 19 kB 00:00 (1922/3201): texlive-lni-svn52401-36.fc34.noarc 10 MB/s | 390 kB 00:00 (1923/3201): texlive-locality-svn20422.0.2-36.f 639 kB/s | 21 kB 00:00 (1924/3201): texlive-lobster2-svn56019-36.fc34. 9.2 MB/s | 563 kB 00:00 (1925/3201): texlive-localloc-svn21934.0-36.fc3 467 kB/s | 15 kB 00:00 (1926/3201): texlive-logbox-svn24499.1.0-36.fc3 487 kB/s | 20 kB 00:00 (1927/3201): texlive-logical-markup-utils-svn15 805 kB/s | 26 kB 00:00 (1928/3201): texlive-logicproof-svn33254.0-36.f 577 kB/s | 21 kB 00:00 (1929/3201): texlive-logpap-svn15878.0.6-36.fc3 506 kB/s | 22 kB 00:00 (1930/3201): texlive-logix-svn54512-36.fc34.noa 25 MB/s | 2.7 MB 00:00 (1931/3201): texlive-logreq-svn53003-36.fc34.no 611 kB/s | 22 kB 00:00 (1932/3201): texlive-longdivision-svn50586-36.f 3.4 MB/s | 148 kB 00:00 (1933/3201): texlive-longfbox-svn39028-36.fc34. 779 kB/s | 36 kB 00:00 (1934/3201): texlive-longfigure-svn34302.1.0-36 714 kB/s | 23 kB 00:00 (1935/3201): texlive-longnamefilelist-svn27889. 610 kB/s | 22 kB 00:00 (1936/3201): texlive-loops-svn30704.1.3-36.fc34 936 kB/s | 30 kB 00:00 (1937/3201): texlive-lpform-svn36918.0-36.fc34. 484 kB/s | 18 kB 00:00 (1938/3201): texlive-lm-svn48145-36.fc34.noarch 26 MB/s | 12 MB 00:00 (1939/3201): texlive-lpic-svn20843.0.8-36.fc34. 328 kB/s | 24 kB 00:00 (1940/3201): texlive-lplfitch-svn31077.0.9-36.f 372 kB/s | 21 kB 00:00 (1941/3201): texlive-lroundrect-svn39804-36.fc3 645 kB/s | 21 kB 00:00 (1942/3201): texlive-lps-svn21322.0.7-36.fc34.n 500 kB/s | 18 kB 00:00 (1943/3201): texlive-lsc-svn15878.0-36.fc34.noa 744 kB/s | 30 kB 00:00 (1944/3201): texlive-lstaddons-svn26196.0.1-36. 512 kB/s | 21 kB 00:00 (1945/3201): texlive-lstbayes-svn48160-36.fc34. 347 kB/s | 22 kB 00:00 (1946/3201): texlive-lstfiracode-svn49503-36.fc 1.3 MB/s | 76 kB 00:00 (1947/3201): texlive-lt3graph-svn45913-36.fc34. 923 kB/s | 30 kB 00:00 (1948/3201): texlive-ltablex-svn34923.1.1-36.fc 506 kB/s | 18 kB 00:00 (1949/3201): texlive-ltabptch-svn17533.1.74d-36 560 kB/s | 20 kB 00:00 (1950/3201): texlive-ltb2bib-svn43746-36.fc34.n 1.5 MB/s | 63 kB 00:00 (1951/3201): texlive-ltxdockit-svn21869.1.2d-36 620 kB/s | 23 kB 00:00 (1952/3201): texlive-ltxcmds-svn53165-36.fc34.n 9.0 MB/s | 400 kB 00:00 (1953/3201): texlive-ltxguidex-svn50992-36.fc34 2.6 MB/s | 89 kB 00:00 (1954/3201): texlive-ltxnew-svn21586.1.3-36.fc3 638 kB/s | 22 kB 00:00 (1955/3201): texlive-ltxkeys-svn28332.0.0.3c-36 593 kB/s | 41 kB 00:00 (1956/3201): texlive-ltxtools-svn24897.0.0.1a-3 2.1 MB/s | 71 kB 00:00 (1957/3201): texlive-ltxmisc-svn21927.0-36.fc34 315 kB/s | 30 kB 00:00 (1958/3201): texlive-lua-alt-getopt-svn29349.0. 402 kB/s | 14 kB 00:00 (1959/3201): texlive-lua-check-hyphen-svn47527- 534 kB/s | 17 kB 00:00 (1960/3201): texlive-luabibentry-svn31783.0.1a- 646 kB/s | 21 kB 00:00 (1961/3201): texlive-luacode-svn25193.1.2a-36.f 654 kB/s | 21 kB 00:00 (1962/3201): texlive-luabidi-svn54512-36.fc34.n 594 kB/s | 22 kB 00:00 (1963/3201): texlive-luainputenc-svn20491.0.973 354 kB/s | 19 kB 00:00 (1964/3201): texlive-luahbtex-20200327-25.fc34. 25 MB/s | 1.9 MB 00:00 (1965/3201): texlive-lualatex-math-svn52663-36. 607 kB/s | 22 kB 00:00 (1966/3201): texlive-lualibs-svn53682-36.fc34.n 4.1 MB/s | 144 kB 00:00 (1967/3201): texlive-luamesh-svn43814-36.fc34.n 5.0 MB/s | 248 kB 00:00 (1968/3201): texlive-luacolor-svn53933-36.fc34. 2.1 MB/s | 334 kB 00:00 (1969/3201): texlive-luaotfload-20200327-25.fc3 22 MB/s | 1.1 MB 00:00 (1970/3201): texlive-luatexbase-svn52663-36.fc3 558 kB/s | 18 kB 00:00 (1971/3201): texlive-luasseq-svn37877.0-36.fc34 456 kB/s | 26 kB 00:00 (1972/3201): texlive-luatextra-svn20747.1.0.1-3 391 kB/s | 14 kB 00:00 (1973/3201): texlive-luatexja-svn54758-36.fc34. 3.8 MB/s | 222 kB 00:00 (1974/3201): texlive-luatodonotes-svn53825-36.f 1.2 MB/s | 44 kB 00:00 (1975/3201): texlive-luatex-20200327-25.fc34.x8 21 MB/s | 3.7 MB 00:00 (1976/3201): texlive-lxfonts-svn32354.2.0b-36.f 13 MB/s | 935 kB 00:00 (1977/3201): texlive-lwarp-20200327-25.fc34.noa 27 MB/s | 2.8 MB 00:00 (1978/3201): texlive-ly1-svn47848-36.fc34.noarc 2.7 MB/s | 92 kB 00:00 (1979/3201): texlive-macroswap-svn31498.1.1-36. 502 kB/s | 18 kB 00:00 (1980/3201): texlive-magaz-svn24694.0.4-36.fc34 478 kB/s | 17 kB 00:00 (1981/3201): texlive-mailing-svn15878.0-36.fc34 457 kB/s | 18 kB 00:00 (1982/3201): texlive-mailmerge-svn15878.1.0-36. 530 kB/s | 19 kB 00:00 (1983/3201): texlive-makebarcode-svn15878.1.0-3 656 kB/s | 21 kB 00:00 (1984/3201): texlive-make4ht-20200327-25.fc34.n 5.1 MB/s | 222 kB 00:00 (1985/3201): texlive-makebase-svn41012-36.fc34. 475 kB/s | 20 kB 00:00 (1986/3201): texlive-makebox-svn15878.0.1-36.fc 522 kB/s | 17 kB 00:00 (1987/3201): texlive-makecell-svn15878.0.1e-36. 639 kB/s | 20 kB 00:00 (1988/3201): texlive-makecmds-svn15878.0-36.fc3 536 kB/s | 17 kB 00:00 (1989/3201): texlive-makecirc-svn15878.0-36.fc3 775 kB/s | 28 kB 00:00 (1990/3201): texlive-makecookbook-svn49311-36.f 8.5 MB/s | 480 kB 00:00 (1991/3201): texlive-makedtx-20200327-25.fc34.n 7.6 MB/s | 284 kB 00:00 (1992/3201): texlive-makeglos-svn15878.0-36.fc3 497 kB/s | 18 kB 00:00 (1993/3201): texlive-makeindex-20200327-25.fc34 11 MB/s | 436 kB 00:00 (1994/3201): texlive-maker-svn44823-36.fc34.noa 588 kB/s | 24 kB 00:00 (1995/3201): texlive-makerobust-svn52811-36.fc3 1.7 MB/s | 85 kB 00:00 (1996/3201): texlive-makeshape-svn28973.2.1-36. 639 kB/s | 20 kB 00:00 (1997/3201): texlive-mandi-svn49720-36.fc34.noa 1.1 MB/s | 35 kB 00:00 (1998/3201): texlive-manfnt-font-svn54684-36.fc 1.1 MB/s | 39 kB 00:00 (1999/3201): texlive-manfnt-svn42428-36.fc34.no 536 kB/s | 17 kB 00:00 (2000/3201): texlive-manuscript-svn36110.1.7-36 551 kB/s | 18 kB 00:00 (2001/3201): texlive-manyind-svn49874-36.fc34.n 4.4 MB/s | 175 kB 00:00 (2002/3201): texlive-marcellus-svn56016-36.fc34 5.4 MB/s | 228 kB 00:00 (2003/3201): texlive-margbib-svn15878.1.0c-36.f 579 kB/s | 19 kB 00:00 (2004/3201): texlive-marginfix-svn31598.1.1-36. 615 kB/s | 20 kB 00:00 (2005/3201): texlive-marginnote-svn48383-36.fc3 594 kB/s | 19 kB 00:00 (2006/3201): texlive-marginfit-svn48281-36.fc34 3.6 MB/s | 229 kB 00:00 (2007/3201): texlive-markdown-svn54482-36.fc34. 1.6 MB/s | 53 kB 00:00 (2008/3201): texlive-marvosym-svn29349.2.2a-36. 4.3 MB/s | 151 kB 00:00 (2009/3201): texlive-matc3-svn29845.1.0.1-36.fc 560 kB/s | 20 kB 00:00 (2010/3201): texlive-matc3mem-svn35773.1.1-36.f 581 kB/s | 21 kB 00:00 (2011/3201): texlive-mathabx-svn15878.0-36.fc34 3.1 MB/s | 136 kB 00:00 (2012/3201): texlive-mathalpha-svn52305-36.fc34 728 kB/s | 23 kB 00:00 (2013/3201): texlive-mathastext-svn52840-36.fc3 1.0 MB/s | 35 kB 00:00 (2014/3201): texlive-mathcommand-svn53044-36.fc 11 MB/s | 464 kB 00:00 (2015/3201): texlive-mathabx-type1-svn21129.0-3 19 MB/s | 1.8 MB 00:00 (2016/3201): texlive-mathcomp-svn15878.0.1f-36. 455 kB/s | 17 kB 00:00 (2017/3201): texlive-mathexam-svn15878.1.00-36. 421 kB/s | 18 kB 00:00 (2018/3201): texlive-mathfam256-svn53519-36.fc3 2.2 MB/s | 103 kB 00:00 (2019/3201): texlive-mathdesign-svn31639.2.31-3 26 MB/s | 2.4 MB 00:00 (2020/3201): texlive-mathfixs-svn49547-36.fc34. 6.6 MB/s | 306 kB 00:00 (2021/3201): texlive-mathfont-svn53035-36.fc34. 14 MB/s | 842 kB 00:00 (2022/3201): texlive-mathlig-svn54244-36.fc34.n 461 kB/s | 15 kB 00:00 (2023/3201): texlive-mathpartir-svn39864-36.fc3 652 kB/s | 24 kB 00:00 (2024/3201): texlive-mathpazo-svn52663-36.fc34. 2.6 MB/s | 87 kB 00:00 (2025/3201): texlive-mathpunctspace-svn46754-36 4.4 MB/s | 200 kB 00:00 (2026/3201): texlive-mathspec-svn42773-36.fc34. 696 kB/s | 26 kB 00:00 (2027/3201): texlive-mathtools-svn54516-36.fc34 1.0 MB/s | 39 kB 00:00 (2028/3201): texlive-matlab-prettifier-svn34323 609 kB/s | 23 kB 00:00 (2029/3201): texlive-mathspic-20200327-25.fc34. 25 MB/s | 2.4 MB 00:00 (2030/3201): texlive-mattens-svn17582.1.3-36.fc 449 kB/s | 19 kB 00:00 (2031/3201): texlive-matrix-skeleton-svn54080-3 3.5 MB/s | 158 kB 00:00 (2032/3201): texlive-maybemath-svn15878.0-36.fc 547 kB/s | 18 kB 00:00 (2033/3201): texlive-mceinleger-svn15878.0-36.f 570 kB/s | 19 kB 00:00 (2034/3201): texlive-mcaption-svn15878.3.0-36.f 489 kB/s | 18 kB 00:00 (2035/3201): texlive-mcexam-svn46155-36.fc34.no 5.5 MB/s | 264 kB 00:00 (2036/3201): texlive-mciteplus-svn31648.1.2-36. 1.3 MB/s | 50 kB 00:00 (2037/3201): texlive-mcite-svn18173.1.6-36.fc34 356 kB/s | 20 kB 00:00 (2038/3201): texlive-mcmthesis-svn53513-36.fc34 710 kB/s | 23 kB 00:00 (2039/3201): texlive-mdframed-svn31075.1.9b-36. 949 kB/s | 38 kB 00:00 (2040/3201): texlive-mdputu-svn20298.1.2-36.fc3 1.0 MB/s | 35 kB 00:00 (2041/3201): texlive-mdsymbol-svn28399.0.5-36.f 18 MB/s | 939 kB 00:00 (2042/3201): texlive-mdwtools-svn15878.1.05.4-3 932 kB/s | 38 kB 00:00 (2043/3201): texlive-medstarbeamer-svn38828-36. 459 kB/s | 21 kB 00:00 (2044/3201): texlive-media9-svn54554-36.fc34.no 23 MB/s | 1.8 MB 00:00 (2045/3201): texlive-meetingmins-svn31878.1.6-3 528 kB/s | 21 kB 00:00 (2046/3201): texlive-memexsupp-svn15878.0.1-36. 436 kB/s | 18 kB 00:00 (2047/3201): texlive-memoir-svn54554-36.fc34.no 2.5 MB/s | 96 kB 00:00 (2048/3201): texlive-memory-svn30452.1.2-36.fc3 511 kB/s | 20 kB 00:00 (2049/3201): texlive-memorygraphs-svn49631-36.f 5.1 MB/s | 206 kB 00:00 (2050/3201): texlive-media4svg-svn54773-36.fc34 696 kB/s | 156 kB 00:00 (2051/3201): texlive-mentis-svn15878.1.5-36.fc3 427 kB/s | 20 kB 00:00 (2052/3201): texlive-mensa-tex-svn45997-36.fc34 3.1 MB/s | 187 kB 00:00 (2053/3201): texlive-menu-svn15878.0.994-36.fc3 403 kB/s | 15 kB 00:00 (2054/3201): texlive-menukeys-svn41823-36.fc34. 596 kB/s | 23 kB 00:00 (2055/3201): texlive-metafont-20200327-25.fc34. 5.8 MB/s | 278 kB 00:00 (2056/3201): texlive-metalogo-svn18611.0.12-36. 463 kB/s | 18 kB 00:00 (2057/3201): texlive-metalogox-svn49774-36.fc34 4.4 MB/s | 438 kB 00:00 (2058/3201): texlive-metastr-svn53700-36.fc34.n 5.8 MB/s | 333 kB 00:00 (2059/3201): texlive-method-svn17485.2.0b-36.fc 417 kB/s | 19 kB 00:00 (2060/3201): texlive-metre-svn18489.1.0-36.fc34 733 kB/s | 27 kB 00:00 (2061/3201): texlive-mfirstuc-svn45803-36.fc34. 628 kB/s | 23 kB 00:00 (2062/3201): texlive-mflogo-font-svn54512-36.fc 914 kB/s | 33 kB 00:00 (2063/3201): texlive-mflogo-svn42428-36.fc34.no 500 kB/s | 18 kB 00:00 (2064/3201): texlive-mfnfss-svn46036-36.fc34.no 518 kB/s | 19 kB 00:00 (2065/3201): texlive-mercatormap-svn54812-36.fc 35 MB/s | 24 MB 00:00 (2066/3201): texlive-mftinc-svn15878.1.0a-36.fc 106 kB/s | 19 kB 00:00 (2067/3201): texlive-merriweather-svn56365-36.f 8.1 MB/s | 5.7 MB 00:00 (2068/3201): texlive-mfware-20200327-25.fc34.x8 3.1 MB/s | 108 kB 00:00 (2069/3201): texlive-mhequ-svn38224.1.7-36.fc34 515 kB/s | 17 kB 00:00 (2070/3201): texlive-mhchem-svn52662-36.fc34.no 1.4 MB/s | 72 kB 00:00 (2071/3201): texlive-mi-solns-svn49651-36.fc34. 10 MB/s | 470 kB 00:00 (2072/3201): texlive-microtype-svn52853-36.fc34 2.0 MB/s | 67 kB 00:00 (2073/3201): texlive-miama-svn54512-36.fc34.noa 6.8 MB/s | 559 kB 00:00 (2074/3201): texlive-midpage-svn17484.1.1a-36.f 516 kB/s | 17 kB 00:00 (2075/3201): texlive-miller-svn18789.1.2-36.fc3 458 kB/s | 17 kB 00:00 (2076/3201): texlive-minibox-svn30914.0.2a-36.f 471 kB/s | 17 kB 00:00 (2077/3201): texlive-milsymb-svn54361-36.fc34.n 22 MB/s | 1.5 MB 00:00 (2078/3201): texlive-minidocument-svn43752-36.f 4.7 MB/s | 203 kB 00:00 (2079/3201): texlive-minifp-svn32559.0.96-36.fc 896 kB/s | 29 kB 00:00 (2080/3201): texlive-minipage-marginpar-svn1587 459 kB/s | 18 kB 00:00 (2081/3201): texlive-miniplot-svn17483.0-36.fc3 643 kB/s | 22 kB 00:00 (2082/3201): texlive-mgltex-svn41676-36.fc34.no 5.1 MB/s | 1.7 MB 00:00 (2083/3201): texlive-minorrevision-svn32165.1.1 590 kB/s | 19 kB 00:00 (2084/3201): texlive-minitoc-svn48196-36.fc34.n 2.3 MB/s | 88 kB 00:00 (2085/3201): texlive-minted-svn44855-36.fc34.no 879 kB/s | 28 kB 00:00 (2086/3201): texlive-minutes-svn42186-36.fc34.n 534 kB/s | 22 kB 00:00 (2087/3201): texlive-mintspirit-svn32069.0-36.f 19 MB/s | 1.2 MB 00:00 (2088/3201): texlive-mismath-svn53245-36.fc34.n 10 MB/s | 536 kB 00:00 (2089/3201): texlive-mla-paper-svn54080-36.fc34 560 kB/s | 18 kB 00:00 (2090/3201): texlive-mkpic-20200327-25.fc34.noa 3.7 MB/s | 217 kB 00:00 (2091/3201): texlive-mleftright-svn53021-36.fc3 7.3 MB/s | 321 kB 00:00 (2092/3201): texlive-mlacls-svn51865-36.fc34.no 26 MB/s | 2.8 MB 00:00 (2093/3201): texlive-mlist-svn15878.0.6a-36.fc3 411 kB/s | 19 kB 00:00 (2094/3201): texlive-mnotes-svn35521.0.8-36.fc3 637 kB/s | 21 kB 00:00 (2095/3201): texlive-mmap-svn15878.1.03-36.fc34 1.2 MB/s | 43 kB 00:00 (2096/3201): texlive-mnras-svn37579.3.0-36.fc34 1.2 MB/s | 44 kB 00:00 (2097/3201): texlive-modeles-factures-belges-as 6.2 MB/s | 275 kB 00:00 (2098/3201): texlive-moderncv-svn52669-36.fc34. 1.4 MB/s | 46 kB 00:00 (2099/3201): texlive-modernposter-svn47269-36.f 9.3 MB/s | 395 kB 00:00 (2100/3201): texlive-mnsymbol-svn18651.1.4-36.f 24 MB/s | 4.4 MB 00:00 (2101/3201): texlive-moderntimeline-svn50228-36 643 kB/s | 21 kB 00:00 (2102/3201): texlive-modiagram-svn52589-36.fc34 812 kB/s | 28 kB 00:00 (2103/3201): texlive-modes-svn53604-36.fc34.noa 7.7 MB/s | 307 kB 00:00 (2104/3201): texlive-modref-svn15878.1.0-36.fc3 467 kB/s | 18 kB 00:00 (2105/3201): texlive-modroman-svn29803.1-36.fc3 490 kB/s | 18 kB 00:00 (2106/3201): texlive-monofill-svn28140.0.2-36.f 535 kB/s | 23 kB 00:00 (2107/3201): texlive-modular-svn44142-36.fc34.n 3.0 MB/s | 162 kB 00:00 (2108/3201): texlive-moodle-svn39367-36.fc34.no 1.0 MB/s | 35 kB 00:00 (2109/3201): texlive-moreenum-svn24479.1.03-36. 427 kB/s | 22 kB 00:00 (2110/3201): texlive-morefloats-svn37927.1.0h-3 722 kB/s | 24 kB 00:00 (2111/3201): texlive-morehype-svn38815-36.fc34. 2.2 MB/s | 82 kB 00:00 (2112/3201): texlive-moresize-svn17513.1.9-36.f 491 kB/s | 17 kB 00:00 (2113/3201): texlive-moreverb-svn22126.2.3a-36. 550 kB/s | 18 kB 00:00 (2114/3201): texlive-morewrites-svn49531-36.fc3 583 kB/s | 24 kB 00:00 (2115/3201): texlive-mparhack-svn15878.1.4-36.f 563 kB/s | 20 kB 00:00 (2116/3201): texlive-montserrat-svn54512-36.fc3 27 MB/s | 14 MB 00:00 (2117/3201): texlive-missaali-svn54512-36.fc34. 7.2 MB/s | 7.6 MB 00:01 (2118/3201): texlive-mptopdf-20200327-25.fc34.n 1.4 MB/s | 53 kB 00:00 (2119/3201): texlive-mpostinl-svn49559-36.fc34. 4.0 MB/s | 345 kB 00:00 (2120/3201): texlive-mpfonts-svn54512-36.fc34.n 18 MB/s | 5.9 MB 00:00 (2121/3201): texlive-ms-svn51784-36.fc34.noarch 405 kB/s | 21 kB 00:00 (2122/3201): texlive-msc-svn15878.1.16-36.fc34. 846 kB/s | 34 kB 00:00 (2123/3201): texlive-msg-svn49578-36.fc34.noarc 757 kB/s | 24 kB 00:00 (2124/3201): texlive-msu-thesis-svn46106-36.fc3 671 kB/s | 27 kB 00:00 (2125/3201): texlive-mslapa-svn54080-36.fc34.no 446 kB/s | 22 kB 00:00 (2126/3201): texlive-mucproc-svn43445-36.fc34.n 8.9 MB/s | 349 kB 00:00 (2127/3201): texlive-mtgreek-svn17967.1.1+-36.f 327 kB/s | 18 kB 00:00 (2128/3201): texlive-mugsthesis-svn34878.0-36.f 367 kB/s | 22 kB 00:00 (2129/3201): texlive-multenum-svn21775.0-36.fc3 549 kB/s | 18 kB 00:00 (2130/3201): texlive-multiaudience-svn38035.1.0 626 kB/s | 20 kB 00:00 (2131/3201): texlive-multibbl-svn15878.v1.1-36. 491 kB/s | 18 kB 00:00 (2132/3201): texlive-multibib-svn15878.1.4-36.f 1.0 MB/s | 33 kB 00:00 (2133/3201): texlive-multibibliography-20200327 9.2 MB/s | 468 kB 00:00 (2134/3201): texlive-multicap-svn15878.0-36.fc3 459 kB/s | 18 kB 00:00 (2135/3201): texlive-multicolrule-svn52283-36.f 11 MB/s | 617 kB 00:00 (2136/3201): texlive-multidef-svn40637-36.fc34. 650 kB/s | 21 kB 00:00 (2137/3201): texlive-multido-svn18302.1.42-36.f 544 kB/s | 20 kB 00:00 (2138/3201): texlive-multienv-svn26544.1.0-36.f 633 kB/s | 20 kB 00:00 (2139/3201): texlive-multiexpand-svn45943-36.fc 628 kB/s | 20 kB 00:00 (2140/3201): texlive-multiobjective-svn15878.1. 541 kB/s | 17 kB 00:00 (2141/3201): texlive-multirow-svn51278-36.fc34. 585 kB/s | 19 kB 00:00 (2142/3201): texlive-munich-svn15878.0-36.fc34. 700 kB/s | 23 kB 00:00 (2143/3201): texlive-musuos-svn24857.1.1d-36.fc 604 kB/s | 20 kB 00:00 (2144/3201): texlive-multilang-svn49065-36.fc34 3.8 MB/s | 422 kB 00:00 (2145/3201): texlive-muthesis-svn23861.0-36.fc3 735 kB/s | 24 kB 00:00 (2146/3201): texlive-mversion-svn29370.1.0.1-36 508 kB/s | 18 kB 00:00 (2147/3201): texlive-mweights-svn53520-36.fc34. 539 kB/s | 17 kB 00:00 (2148/3201): texlive-mycv-svn26807.1.5.6-36.fc3 729 kB/s | 28 kB 00:00 (2149/3201): texlive-mylatexformat-svn21392.3.4 722 kB/s | 23 kB 00:00 (2150/3201): texlive-mynsfc-svn41996-36.fc34.no 686 kB/s | 22 kB 00:00 (2151/3201): texlive-nag-svn24741.0.7-36.fc34.n 749 kB/s | 24 kB 00:00 (2152/3201): texlive-nameauth-svn53940-36.fc34. 790 kB/s | 25 kB 00:00 (2153/3201): texlive-namespc-svn15878.0-36.fc34 456 kB/s | 17 kB 00:00 (2154/3201): texlive-nar-svn38100.3.19-36.fc34. 602 kB/s | 19 kB 00:00 (2155/3201): texlive-mwe-svn47194-36.fc34.noarc 5.1 MB/s | 833 kB 00:00 (2156/3201): texlive-natded-svn32693.0.1-36.fc3 554 kB/s | 22 kB 00:00 (2157/3201): texlive-natbib-svn20668.8.31b-36.f 699 kB/s | 33 kB 00:00 (2158/3201): texlive-nath-svn15878.0-36.fc34.no 1.0 MB/s | 39 kB 00:00 (2159/3201): texlive-ncclatex-svn15878.1.5-36.f 952 kB/s | 38 kB 00:00 (2160/3201): texlive-nature-svn21819.1.0-36.fc3 404 kB/s | 22 kB 00:00 (2161/3201): texlive-navydocs-svn41643-36.fc34. 15 MB/s | 1.0 MB 00:00 (2162/3201): texlive-ncctools-svn51810-36.fc34. 1.1 MB/s | 44 kB 00:00 (2163/3201): texlive-ncntrsbk-svn31835.0-36.fc3 8.6 MB/s | 347 kB 00:00 (2164/3201): texlive-nddiss-svn45107-36.fc34.no 842 kB/s | 28 kB 00:00 (2165/3201): texlive-needspace-svn29601.1.3d-36 512 kB/s | 17 kB 00:00 (2166/3201): texlive-ndsu-thesis-svn46639-36.fc 606 kB/s | 22 kB 00:00 (2167/3201): texlive-nestquot-svn27323.0-36.fc3 459 kB/s | 15 kB 00:00 (2168/3201): texlive-neuralnetwork-svn31500.1.0 651 kB/s | 21 kB 00:00 (2169/3201): texlive-newenviron-svn29331.1.0-36 565 kB/s | 21 kB 00:00 (2170/3201): texlive-newcommand-doc-svn18704.2. 4.5 MB/s | 412 kB 00:00 (2171/3201): texlive-newfile-svn15878.1.0c-36.f 540 kB/s | 18 kB 00:00 (2172/3201): texlive-newcomputermodern-svn52877 22 MB/s | 2.4 MB 00:00 (2173/3201): texlive-newfloat-svn52906-36.fc34. 3.1 MB/s | 122 kB 00:00 (2174/3201): texlive-newlfm-svn15878.9.4-36.fc3 1.0 MB/s | 39 kB 00:00 (2175/3201): texlive-newspaper-svn15878.1.0-36. 410 kB/s | 18 kB 00:00 (2176/3201): texlive-newpx-svn53792-36.fc34.noa 20 MB/s | 1.6 MB 00:00 (2177/3201): texlive-newtxsf-svn56527-36.fc34.n 2.8 MB/s | 124 kB 00:00 (2178/3201): texlive-newtxtt-svn54512-36.fc34.n 3.8 MB/s | 158 kB 00:00 (2179/3201): texlive-newunicodechar-svn47382-36 569 kB/s | 21 kB 00:00 (2180/3201): texlive-newvbtm-svn23996.1.1-36.fc 493 kB/s | 19 kB 00:00 (2181/3201): texlive-newverbs-svn52074-36.fc34. 552 kB/s | 21 kB 00:00 (2182/3201): texlive-nextpage-svn15878.1.1a-36. 516 kB/s | 17 kB 00:00 (2183/3201): texlive-newtx-svn56384-36.fc34.noa 25 MB/s | 5.6 MB 00:00 (2184/3201): texlive-nfssext-cfr-svn43640-36.fc 341 kB/s | 24 kB 00:00 (2185/3201): texlive-nicefilelist-svn28527.0.7a 504 kB/s | 25 kB 00:00 (2186/3201): texlive-niceframe-svn36086.1.1c-36 1.6 MB/s | 55 kB 00:00 (2187/3201): texlive-nicematrix-svn54758-36.fc3 20 MB/s | 1.1 MB 00:00 (2188/3201): texlive-nicetext-svn38914-36.fc34. 2.1 MB/s | 73 kB 00:00 (2189/3201): texlive-niceframe-type1-svn44671-3 3.9 MB/s | 290 kB 00:00 (2190/3201): texlive-nidanfloat-svn48295-36.fc3 6.4 MB/s | 237 kB 00:00 (2191/3201): texlive-nih-svn15878.0-36.fc34.noa 585 kB/s | 19 kB 00:00 (2192/3201): texlive-nihbiosketch-svn54191-36.f 581 kB/s | 21 kB 00:00 (2193/3201): texlive-nkarta-svn16437.0.2-36.fc3 1.2 MB/s | 43 kB 00:00 (2194/3201): texlive-nlctdoc-svn53768-36.fc34.n 519 kB/s | 22 kB 00:00 (2195/3201): texlive-nmbib-svn37984.1.04-36.fc3 866 kB/s | 28 kB 00:00 (2196/3201): texlive-noconflict-svn30140.1.0-36 563 kB/s | 20 kB 00:00 (2197/3201): texlive-noindentafter-svn35709.0.2 626 kB/s | 21 kB 00:00 (2198/3201): texlive-nimbus15-svn54512-36.fc34. 28 MB/s | 3.8 MB 00:00 (2199/3201): texlive-noitcrul-svn15878.0.2-36.f 448 kB/s | 17 kB 00:00 (2200/3201): texlive-nolbreaks-svn26786.1.2-36. 493 kB/s | 16 kB 00:00 (2201/3201): texlive-nomencl-svn54080-36.fc34.n 614 kB/s | 20 kB 00:00 (2202/3201): texlive-nonfloat-svn17598.1.0-36.f 364 kB/s | 15 kB 00:00 (2203/3201): texlive-nomentbl-svn16549.0.4-36.f 388 kB/s | 19 kB 00:00 (2204/3201): texlive-nonumonpart-svn22114.1-36. 567 kB/s | 18 kB 00:00 (2205/3201): texlive-nopageno-svn18128.0-36.fc3 535 kB/s | 17 kB 00:00 (2206/3201): texlive-norasi-c90-svn37675.0-36.f 572 kB/s | 24 kB 00:00 (2207/3201): texlive-normalcolor-svn40125-36.fc 621 kB/s | 20 kB 00:00 (2208/3201): texlive-nostarch-svn15878.1.3-36.f 758 kB/s | 25 kB 00:00 (2209/3201): texlive-notes-svn42428-36.fc34.noa 665 kB/s | 24 kB 00:00 (2210/3201): texlive-notes2bib-svn52231-36.fc34 492 kB/s | 20 kB 00:00 (2211/3201): texlive-notespages-svn41906-36.fc3 15 MB/s | 890 kB 00:00 (2212/3201): texlive-notex-bst-svn42361-36.fc34 478 kB/s | 17 kB 00:00 (2213/3201): texlive-notestex-svn45396-36.fc34. 4.9 MB/s | 549 kB 00:00 (2214/3201): texlive-notoccite-svn18129.0-36.fc 401 kB/s | 15 kB 00:00 (2215/3201): texlive-noto-emoji-svn53968-36.fc3 26 MB/s | 8.6 MB 00:00 (2216/3201): texlive-nowidow-svn24066.1.0-36.fc 560 kB/s | 20 kB 00:00 (2217/3201): texlive-nox-svn30991.1.0-36.fc34.n 520 kB/s | 20 kB 00:00 (2218/3201): texlive-nrc-svn29027.2.01a-36.fc34 677 kB/s | 31 kB 00:00 (2219/3201): texlive-ntgclass-svn53882-36.fc34. 1.1 MB/s | 36 kB 00:00 (2220/3201): texlive-nth-svn54252-36.fc34.noarc 363 kB/s | 14 kB 00:00 (2221/3201): texlive-ntheorem-svn27609.1.33-36. 766 kB/s | 26 kB 00:00 (2222/3201): texlive-nuc-svn22256.0.1-36.fc34.n 553 kB/s | 18 kB 00:00 (2223/3201): texlive-nucleardata-svn47307-36.fc 4.2 MB/s | 155 kB 00:00 (2224/3201): texlive-numberedblock-svn33109.1.1 192 kB/s | 21 kB 00:00 (2225/3201): texlive-numericplots-svn31729.2.0. 440 kB/s | 34 kB 00:00 (2226/3201): texlive-numname-svn18130.0-36.fc34 451 kB/s | 19 kB 00:00 (2227/3201): texlive-novel-svn54512-36.fc34.noa 5.7 MB/s | 4.6 MB 00:00 (2228/3201): texlive-numprint-svn27498.1.39-36. 435 kB/s | 27 kB 00:00 (2229/3201): texlive-numspell-svn45441-36.fc34. 3.0 MB/s | 247 kB 00:00 (2230/3201): texlive-nwejm-svn54392-36.fc34.noa 8.1 MB/s | 3.9 MB 00:00 (2231/3201): texlive-objectz-svn19389.0-36.fc34 676 kB/s | 26 kB 00:00 (2232/3201): texlive-obnov-svn33355.0.11-36.fc3 1.2 MB/s | 81 kB 00:00 (2233/3201): texlive-noto-svn54512-36.fc34.noar 37 MB/s | 65 MB 00:01 (2234/3201): texlive-ocg-p-svn28803.0.4-36.fc34 77 kB/s | 20 kB 00:00 (2235/3201): texlive-ocgx-svn54512-36.fc34.noar 473 kB/s | 18 kB 00:00 (2236/3201): texlive-ocgx2-svn52730-36.fc34.noa 831 kB/s | 32 kB 00:00 (2237/3201): texlive-ocherokee-svn25689.0-36.fc 2.6 MB/s | 91 kB 00:00 (2238/3201): texlive-ocr-b-outline-svn20969.0-3 6.1 MB/s | 251 kB 00:00 (2239/3201): texlive-ocr-b-svn20852.0-36.fc34.n 642 kB/s | 26 kB 00:00 (2240/3201): texlive-ocr-latex-svn15878.0-36.fc 479 kB/s | 20 kB 00:00 (2241/3201): texlive-octavo-svn15878.1.2-36.fc3 556 kB/s | 23 kB 00:00 (2242/3201): texlive-ogham-svn24876.0-36.fc34.n 387 kB/s | 15 kB 00:00 (2243/3201): texlive-oinuit-svn28668.0-36.fc34. 3.8 MB/s | 165 kB 00:00 (2244/3201): texlive-old-arrows-svn42872-36.fc3 1.5 MB/s | 58 kB 00:00 (2245/3201): texlive-oldlatin-svn17932.1.00-36. 1.8 MB/s | 65 kB 00:00 (2246/3201): texlive-oldstyle-svn15878.0.2-36.f 527 kB/s | 18 kB 00:00 (2247/3201): texlive-oldstandard-svn54512-36.fc 17 MB/s | 1.7 MB 00:00 (2248/3201): texlive-onlyamsmath-svn42927-36.fc 498 kB/s | 17 kB 00:00 (2249/3201): texlive-onrannual-svn17474.1.1-36. 544 kB/s | 22 kB 00:00 (2250/3201): texlive-opcit-svn15878.1.1-36.fc34 575 kB/s | 23 kB 00:00 (2251/3201): texlive-oplotsymbl-svn44951-36.fc3 3.8 MB/s | 247 kB 00:00 (2252/3201): texlive-opteng-svn27331.1.0-36.fc3 667 kB/s | 22 kB 00:00 (2253/3201): texlive-opensans-svn54512-36.fc34. 18 MB/s | 2.2 MB 00:00 (2254/3201): texlive-optidef-svn50941-36.fc34.n 552 kB/s | 24 kB 00:00 (2255/3201): texlive-optional-svn18131.2.2b-36. 527 kB/s | 19 kB 00:00 (2256/3201): texlive-options-svn39030-36.fc34.n 916 kB/s | 30 kB 00:00 (2257/3201): texlive-orkhun-svn15878.0-36.fc34. 499 kB/s | 20 kB 00:00 (2258/3201): texlive-ot-tableau-svn44889-36.fc3 502 kB/s | 18 kB 00:00 (2259/3201): texlive-oscola-svn54328-36.fc34.no 489 kB/s | 42 kB 00:00 (2260/3201): texlive-oubraces-svn21833.0-36.fc3 307 kB/s | 14 kB 00:00 (2261/3201): texlive-oberdiek-20200327-25.fc34. 6.2 MB/s | 9.0 MB 00:01 (2262/3201): texlive-outline-svn18360.0-36.fc34 262 kB/s | 17 kB 00:00 (2263/3201): texlive-outliner-svn21095.0.94-36. 436 kB/s | 20 kB 00:00 (2264/3201): texlive-outlines-svn25192.1.1-36.f 495 kB/s | 18 kB 00:00 (2265/3201): texlive-overlays-svn46122-36.fc34. 2.1 MB/s | 118 kB 00:00 (2266/3201): texlive-outlining-svn45601-36.fc34 2.7 MB/s | 155 kB 00:00 (2267/3201): texlive-pacioli-svn24947.0-36.fc34 927 kB/s | 33 kB 00:00 (2268/3201): texlive-overpic-svn53889-36.fc34.n 460 kB/s | 18 kB 00:00 (2269/3201): texlive-padcount-svn47621-36.fc34. 4.4 MB/s | 158 kB 00:00 (2270/3201): texlive-pagecolor-svn44487-36.fc34 561 kB/s | 22 kB 00:00 (2271/3201): texlive-overlock-svn56079-36.fc34. 5.5 MB/s | 646 kB 00:00 (2272/3201): texlive-pagecont-svn15878.1.0-36.f 535 kB/s | 17 kB 00:00 (2273/3201): texlive-pagenote-svn15878.1.1a-36. 566 kB/s | 21 kB 00:00 (2274/3201): texlive-pagerange-svn16915.0.5-36. 452 kB/s | 20 kB 00:00 (2275/3201): texlive-pageslts-svn39164-36.fc34. 828 kB/s | 28 kB 00:00 (2276/3201): texlive-palatino-svn31835.0-36.fc3 9.3 MB/s | 396 kB 00:00 (2277/3201): texlive-papermas-svn23667.1.0h-36. 608 kB/s | 22 kB 00:00 (2278/3201): texlive-papercdcase-svn15878.0-36. 354 kB/s | 20 kB 00:00 (2279/3201): texlive-paper-svn34521.1.0l-36.fc3 410 kB/s | 26 kB 00:00 (2280/3201): texlive-paracol-svn49560-36.fc34.n 1.0 MB/s | 35 kB 00:00 (2281/3201): texlive-papertex-svn19230.1.2b-36. 592 kB/s | 22 kB 00:00 (2282/3201): texlive-parades-svn40042-36.fc34.n 449 kB/s | 20 kB 00:00 (2283/3201): texlive-paralist-svn43021-36.fc34. 610 kB/s | 19 kB 00:00 (2284/3201): texlive-parallel-svn15878.0-36.fc3 518 kB/s | 20 kB 00:00 (2285/3201): texlive-paresse-svn29803.4.1-36.fc 477 kB/s | 19 kB 00:00 (2286/3201): texlive-parnotes-svn51720-36.fc34. 607 kB/s | 22 kB 00:00 (2287/3201): texlive-parselines-svn21475.1.4-36 618 kB/s | 20 kB 00:00 (2288/3201): texlive-parskip-svn53503-36.fc34.n 502 kB/s | 19 kB 00:00 (2289/3201): texlive-pas-cours-svn42036-36.fc34 484 kB/s | 18 kB 00:00 (2290/3201): texlive-pas-cv-svn32263.2.01-36.fc 749 kB/s | 27 kB 00:00 (2291/3201): texlive-pas-tableur-svn39542-36.fc 481 kB/s | 19 kB 00:00 (2292/3201): texlive-patchcmd-svn41379-36.fc34. 354 kB/s | 15 kB 00:00 (2293/3201): texlive-path-svn22045.3.05-36.fc34 474 kB/s | 18 kB 00:00 (2294/3201): texlive-pauldoc-svn16005.0.5-36.fc 411 kB/s | 18 kB 00:00 (2295/3201): texlive-pawpict-svn21629.1.0-36.fc 494 kB/s | 18 kB 00:00 (2296/3201): texlive-pax-20200327-25.fc34.noarc 767 kB/s | 35 kB 00:00 (2297/3201): texlive-pb-diagram-svn15878.5.0-36 772 kB/s | 29 kB 00:00 (2298/3201): texlive-pbox-svn24807.1.2-36.fc34. 344 kB/s | 20 kB 00:00 (2299/3201): texlive-pbsheet-svn24830.0.1-36.fc 475 kB/s | 21 kB 00:00 (2300/3201): texlive-pdfcolmk-svn52912-36.fc34. 635 kB/s | 20 kB 00:00 (2301/3201): texlive-pdf14-svn17583.0.1-36.fc34 548 kB/s | 20 kB 00:00 (2302/3201): texlive-pdfcomment-svn49047-36.fc3 770 kB/s | 30 kB 00:00 (2303/3201): texlive-pdfcprot-svn18735.1.7a-36. 557 kB/s | 23 kB 00:00 (2304/3201): texlive-pdfescape-svn53082-36.fc34 9.3 MB/s | 356 kB 00:00 (2305/3201): texlive-pdflscape-svn53047-36.fc34 7.3 MB/s | 310 kB 00:00 (2306/3201): texlive-pdfmarginpar-svn23492.0.92 606 kB/s | 20 kB 00:00 (2307/3201): texlive-pdfpagediff-svn37946.1.4-3 578 kB/s | 19 kB 00:00 (2308/3201): texlive-pdfoverlay-svn52627-36.fc3 5.8 MB/s | 550 kB 00:00 (2309/3201): texlive-pdfpages-svn53583-36.fc34. 912 kB/s | 34 kB 00:00 (2310/3201): texlive-pdfpc-movie-svn48245-36.fc 2.1 MB/s | 94 kB 00:00 (2311/3201): texlive-pdfpc-svn54160-36.fc34.noa 4.1 MB/s | 192 kB 00:00 (2312/3201): texlive-pdfprivacy-svn45985-36.fc3 6.3 MB/s | 272 kB 00:00 (2313/3201): texlive-pdfreview-svn50100-36.fc34 8.4 MB/s | 587 kB 00:00 (2314/3201): texlive-paratype-svn32859.0-36.fc3 6.8 MB/s | 4.6 MB 00:00 (2315/3201): texlive-pdfscreen-svn42428-36.fc34 1.9 MB/s | 102 kB 00:00 (2316/3201): texlive-pdfslide-svn15878.0-36.fc3 1.7 MB/s | 61 kB 00:00 (2317/3201): texlive-pdfsync-svn20373.0-36.fc34 499 kB/s | 20 kB 00:00 (2318/3201): texlive-pdftexcmds-svn52913-36.fc3 8.1 MB/s | 417 kB 00:00 (2319/3201): texlive-pdfwin-svn54074-36.fc34.no 749 kB/s | 29 kB 00:00 (2320/3201): texlive-pdftex-20200327-25.fc34.x8 22 MB/s | 2.0 MB 00:00 (2321/3201): texlive-pdfx-svn50338-36.fc34.noar 3.4 MB/s | 118 kB 00:00 (2322/3201): texlive-pecha-svn15878.0.1-36.fc34 761 kB/s | 28 kB 00:00 (2323/3201): texlive-perception-svn48861-36.fc3 554 kB/s | 22 kB 00:00 (2324/3201): texlive-perfectcut-svn54080-36.fc3 597 kB/s | 23 kB 00:00 (2325/3201): texlive-penrose-svn48202-36.fc34.n 12 MB/s | 892 kB 00:00 (2326/3201): texlive-perltex-20200327-25.fc34.n 5.9 MB/s | 289 kB 00:00 (2327/3201): texlive-permute-svn15878.0-36.fc34 401 kB/s | 18 kB 00:00 (2328/3201): texlive-petiteannonce-svn25915.1.0 494 kB/s | 19 kB 00:00 (2329/3201): texlive-petri-nets-20200327-25.fc3 5.0 MB/s | 210 kB 00:00 (2330/3201): texlive-pgf-blur-svn54512-36.fc34. 471 kB/s | 18 kB 00:00 (2331/3201): texlive-pgf-cmykshadings-svn52635- 10 MB/s | 533 kB 00:00 (2332/3201): texlive-pgf-soroban-svn32269.1.1-3 533 kB/s | 18 kB 00:00 (2333/3201): texlive-pgf-spectra-svn42986-36.fc 2.4 MB/s | 101 kB 00:00 (2334/3201): texlive-pgf-svn54512-36.fc34.noarc 16 MB/s | 812 kB 00:00 (2335/3201): texlive-pgf-umlcd-svn33307.0.2.1.1 454 kB/s | 20 kB 00:00 (2336/3201): texlive-pgf-umlsd-svn33045.0.7-36. 476 kB/s | 21 kB 00:00 (2337/3201): texlive-pgfgantt-svn52662-36.fc34. 821 kB/s | 26 kB 00:00 (2338/3201): texlive-pgfkeyx-svn26093.0.0.1-36. 604 kB/s | 26 kB 00:00 (2339/3201): texlive-pgfmolbio-svn35152.0.21-36 918 kB/s | 34 kB 00:00 (2340/3201): texlive-pgfmorepages-svn54770-36.f 2.4 MB/s | 86 kB 00:00 (2341/3201): texlive-pgfopts-svn34573.2.1a-36.f 568 kB/s | 21 kB 00:00 (2342/3201): texlive-phaistos-svn18651.1.0-36.f 4.2 MB/s | 201 kB 00:00 (2343/3201): texlive-pgfplots-svn54080-36.fc34. 9.0 MB/s | 575 kB 00:00 (2344/3201): texlive-pgfornament-svn54670-36.fc 5.0 MB/s | 595 kB 00:00 (2345/3201): texlive-phffullpagefigure-svn41857 5.1 MB/s | 258 kB 00:00 (2346/3201): texlive-phfnote-svn41858-36.fc34.n 12 MB/s | 655 kB 00:00 (2347/3201): texlive-phfqit-svn45084-36.fc34.no 8.0 MB/s | 407 kB 00:00 (2348/3201): texlive-phfparen-svn41859-36.fc34. 4.1 MB/s | 319 kB 00:00 (2349/3201): texlive-phfsvnwatermark-svn41870-3 3.8 MB/s | 220 kB 00:00 (2350/3201): texlive-phfthm-svn41871-36.fc34.no 4.8 MB/s | 390 kB 00:00 (2351/3201): texlive-philex-svn36396.1.3-36.fc3 455 kB/s | 21 kB 00:00 (2352/3201): texlive-philosophersimprint-svn417 578 kB/s | 21 kB 00:00 (2353/3201): texlive-phonetic-svn21871.0-36.fc3 1.0 MB/s | 44 kB 00:00 (2354/3201): texlive-phonenumbers-svn51933-36.f 14 MB/s | 1.2 MB 00:00 (2355/3201): texlive-phfquotetext-svn41869-36.f 864 kB/s | 214 kB 00:00 (2356/3201): texlive-photo-svn18739.0-36.fc34.n 515 kB/s | 19 kB 00:00 (2357/3201): texlive-physconst-svn54587-36.fc34 5.1 MB/s | 227 kB 00:00 (2358/3201): texlive-physics-svn28590.1.3-36.fc 543 kB/s | 22 kB 00:00 (2359/3201): texlive-physunits-svn54588-36.fc34 2.5 MB/s | 121 kB 00:00 (2360/3201): texlive-pict2e-svn51918-36.fc34.no 635 kB/s | 25 kB 00:00 (2361/3201): texlive-picinpar-svn20374.1.2a-36. 406 kB/s | 22 kB 00:00 (2362/3201): texlive-pictex-svn21943.1.1-36.fc3 1.3 MB/s | 59 kB 00:00 (2363/3201): texlive-pictex2-svn15878.0-36.fc34 615 kB/s | 20 kB 00:00 (2364/3201): texlive-picture-svn54867-36.fc34.n 7.5 MB/s | 315 kB 00:00 (2365/3201): texlive-piff-svn21894.0-36.fc34.no 443 kB/s | 16 kB 00:00 (2366/3201): texlive-pigpen-svn15878.0.2-36.fc3 772 kB/s | 25 kB 00:00 (2367/3201): texlive-pinlabel-svn24769.1.2-36.f 734 kB/s | 27 kB 00:00 (2368/3201): texlive-pinoutikz-svn52999-36.fc34 1.8 MB/s | 89 kB 00:00 (2369/3201): texlive-pittetd-svn15878.1.618-36. 491 kB/s | 25 kB 00:00 (2370/3201): texlive-pixelart-svn46740-36.fc34. 3.4 MB/s | 164 kB 00:00 (2371/3201): texlive-pkgloader-svn47486-36.fc34 825 kB/s | 30 kB 00:00 (2372/3201): texlive-pkuthss-svn54512-36.fc34.n 959 kB/s | 31 kB 00:00 (2373/3201): texlive-placeins-svn19848.2.2-36.f 347 kB/s | 15 kB 00:00 (2374/3201): texlive-pl-svn36012.1.09-36.fc34.n 20 MB/s | 1.9 MB 00:00 (2375/3201): texlive-plain-svn43076-36.fc34.noa 1.6 MB/s | 117 kB 00:00 (2376/3201): texlive-plainpkg-svn27765.0.4a-36. 428 kB/s | 22 kB 00:00 (2377/3201): texlive-plantslabels-svn29803.1.0- 531 kB/s | 17 kB 00:00 (2378/3201): texlive-plainyr-svn52783-36.fc34.n 482 kB/s | 17 kB 00:00 (2379/3201): texlive-plates-svn15878.0.1-36.fc3 612 kB/s | 22 kB 00:00 (2380/3201): texlive-platex-svn54758-36.fc34.no 1.2 MB/s | 60 kB 00:00 (2381/3201): texlive-platex-tools-svn52049-36.f 10 MB/s | 551 kB 00:00 (2382/3201): texlive-plex-otf-svn47562-36.fc34. 7.2 MB/s | 555 kB 00:00 (2383/3201): texlive-plweb-svn15878.3.0-36.fc34 411 kB/s | 17 kB 00:00 (2384/3201): texlive-pm-isomath-svn54799-36.fc3 9.6 MB/s | 598 kB 00:00 (2385/3201): texlive-pmboxdraw-svn53046-36.fc34 12 MB/s | 605 kB 00:00 (2386/3201): texlive-playfair-svn56005-36.fc34. 6.4 MB/s | 1.8 MB 00:00 (2387/3201): texlive-pmgraph-svn15878.1.0-36.fc 837 kB/s | 27 kB 00:00 (2388/3201): texlive-pnas2009-svn16287.1.0-36.f 439 kB/s | 16 kB 00:00 (2389/3201): texlive-plex-svn54512-36.fc34.noar 32 MB/s | 11 MB 00:00 (2390/3201): texlive-polexpr-svn53633-36.fc34.n 1.3 MB/s | 85 kB 00:00 (2391/3201): texlive-poiretone-svn54512-36.fc34 2.3 MB/s | 215 kB 00:00 (2392/3201): texlive-polyglossia-svn54758-36.fc 4.7 MB/s | 167 kB 00:00 (2393/3201): texlive-polski-svn44213-36.fc34.no 697 kB/s | 28 kB 00:00 (2394/3201): texlive-polynom-svn44832-36.fc34.n 559 kB/s | 27 kB 00:00 (2395/3201): texlive-polynomial-svn15878.1.0-36 389 kB/s | 18 kB 00:00 (2396/3201): texlive-polytable-svn31235.0.8.2-3 557 kB/s | 22 kB 00:00 (2397/3201): texlive-postage-svn47893-36.fc34.n 5.9 MB/s | 321 kB 00:00 (2398/3201): texlive-postcards-svn21641.0-36.fc 447 kB/s | 18 kB 00:00 (2399/3201): texlive-poster-mac-svn18305.1.1-36 602 kB/s | 19 kB 00:00 (2400/3201): texlive-powerdot-svn45165-36.fc34. 1.4 MB/s | 48 kB 00:00 (2401/3201): texlive-powerdot-fuberlin-svn52922 4.8 MB/s | 293 kB 00:00 (2402/3201): texlive-ppr-prv-svn15878.0.13c-36. 344 kB/s | 22 kB 00:00 (2403/3201): texlive-powerdot-tuliplab-svn47963 13 MB/s | 1.3 MB 00:00 (2404/3201): texlive-pracjourn-svn15878.0.4n-36 604 kB/s | 22 kB 00:00 (2405/3201): texlive-practicalreports-svn52312- 3.9 MB/s | 203 kB 00:00 (2406/3201): texlive-preprint-svn30447.2011-36. 508 kB/s | 20 kB 00:00 (2407/3201): texlive-prerex-svn54512-36.fc34.no 797 kB/s | 26 kB 00:00 (2408/3201): texlive-pressrelease-svn35147.1.0- 631 kB/s | 23 kB 00:00 (2409/3201): texlive-prettyref-svn15878.3.0-36. 448 kB/s | 14 kB 00:00 (2410/3201): texlive-prftree-svn54080-36.fc34.n 795 kB/s | 29 kB 00:00 (2411/3201): texlive-printlen-svn19847.1.1a-36. 560 kB/s | 18 kB 00:00 (2412/3201): texlive-proba-svn15878.0-36.fc34.n 482 kB/s | 17 kB 00:00 (2413/3201): texlive-probsoln-svn44783-36.fc34. 720 kB/s | 23 kB 00:00 (2414/3201): texlive-prodint-svn21893.0-36.fc34 223 kB/s | 18 kB 00:00 (2415/3201): texlive-procIAGssymp-svn51771-36.f 207 kB/s | 18 kB 00:00 (2416/3201): texlive-program-svn44214-36.fc34.n 911 kB/s | 35 kB 00:00 (2417/3201): texlive-productbox-svn20886.1.1-36 320 kB/s | 18 kB 00:00 (2418/3201): texlive-progress-svn19519.1.10-36. 505 kB/s | 20 kB 00:00 (2419/3201): texlive-progressbar-svn33822.v1.0b 562 kB/s | 18 kB 00:00 (2420/3201): texlive-proofread-svn50938-36.fc34 540 kB/s | 21 kB 00:00 (2421/3201): texlive-proof-at-the-end-svn51194- 7.4 MB/s | 385 kB 00:00 (2422/3201): texlive-prooftrees-svn52221-36.fc3 893 kB/s | 29 kB 00:00 (2423/3201): texlive-properties-svn15878.0.2-36 473 kB/s | 17 kB 00:00 (2424/3201): texlive-proposal-svn40538-36.fc34. 1.1 MB/s | 35 kB 00:00 (2425/3201): texlive-prosper-svn33033.1.0h-36.f 2.9 MB/s | 125 kB 00:00 (2426/3201): texlive-protex-svn41633-36.fc34.no 934 kB/s | 30 kB 00:00 (2427/3201): texlive-prtec-svn51919-36.fc34.noa 8.8 MB/s | 376 kB 00:00 (2428/3201): texlive-protocol-svn25562.1.13-36. 363 kB/s | 21 kB 00:00 (2429/3201): texlive-pseudocode-svn54080-36.fc3 505 kB/s | 18 kB 00:00 (2430/3201): texlive-poltawski-svn20075.1.101-3 7.5 MB/s | 6.9 MB 00:00 (2431/3201): texlive-psfrag-svn15878.3.04-36.fc 362 kB/s | 17 kB 00:00 (2432/3201): texlive-pseudo-svn52582-36.fc34.no 6.6 MB/s | 614 kB 00:00 (2433/3201): texlive-psfragx-svn26243.1.1-36.fc 543 kB/s | 20 kB 00:00 (2434/3201): texlive-pslatex-svn16416.0-36.fc34 652 kB/s | 24 kB 00:00 (2435/3201): texlive-psnfss-svn54694-36.fc34.no 1.3 MB/s | 44 kB 00:00 (2436/3201): texlive-pst-blur-svn15878.2.0-36.f 563 kB/s | 19 kB 00:00 (2437/3201): texlive-pspicture-svn15878.0-36.fc 480 kB/s | 18 kB 00:00 (2438/3201): texlive-pst-3d-svn17257.1.10-36.fc 528 kB/s | 20 kB 00:00 (2439/3201): texlive-pst-coil-svn37377.1.07-36. 556 kB/s | 20 kB 00:00 (2440/3201): texlive-pst-eps-svn15878.1.0-36.fc 525 kB/s | 19 kB 00:00 (2441/3201): texlive-pst-fill-svn15878.1.01-36. 548 kB/s | 20 kB 00:00 (2442/3201): texlive-pst-grad-svn15878.1.06-36. 631 kB/s | 20 kB 00:00 (2443/3201): texlive-pst-math-svn49425-36.fc34. 602 kB/s | 22 kB 00:00 (2444/3201): texlive-pst-node-svn54687-36.fc34. 955 kB/s | 42 kB 00:00 (2445/3201): texlive-pst-ovl-svn45506-36.fc34.n 572 kB/s | 18 kB 00:00 (2446/3201): texlive-pst-plot-svn54080-36.fc34. 1.0 MB/s | 39 kB 00:00 (2447/3201): texlive-pst-slpe-svn24391.1.31-36. 347 kB/s | 20 kB 00:00 (2448/3201): texlive-pst-text-svn49542-36.fc34. 463 kB/s | 21 kB 00:00 (2449/3201): texlive-pst-tools-svn54518-36.fc34 629 kB/s | 23 kB 00:00 (2450/3201): texlive-pst-tree-svn43272-36.fc34. 624 kB/s | 23 kB 00:00 (2451/3201): texlive-pstricks-add-svn53763-36.f 1.0 MB/s | 38 kB 00:00 (2452/3201): texlive-pstool-svn46393-36.fc34.no 391 kB/s | 23 kB 00:00 (2453/3201): texlive-pstricks-svn54080-36.fc34. 2.7 MB/s | 102 kB 00:00 (2454/3201): texlive-ptex-base-svn54153-36.fc34 583 kB/s | 25 kB 00:00 (2455/3201): texlive-pstring-svn42857-36.fc34.n 1.6 MB/s | 141 kB 00:00 (2456/3201): texlive-ptex-20200327-25.fc34.x86_ 13 MB/s | 921 kB 00:00 (2457/3201): texlive-ptex-fonts-svn46940-36.fc3 1.6 MB/s | 60 kB 00:00 (2458/3201): texlive-punk-latex-svn27389.1.1-36 508 kB/s | 18 kB 00:00 (2459/3201): texlive-ptptex-svn19440.0.91-36.fc 387 kB/s | 26 kB 00:00 (2460/3201): texlive-ptolemaicastronomy-svn5081 3.3 MB/s | 259 kB 00:00 (2461/3201): texlive-punk-svn27388.0-36.fc34.no 594 kB/s | 22 kB 00:00 (2462/3201): texlive-punknova-svn24649.1.003-36 15 MB/s | 914 kB 00:00 (2463/3201): texlive-pxgreeks-svn21838.1.0-36.f 572 kB/s | 21 kB 00:00 (2464/3201): texlive-pxfonts-svn15878.0-36.fc34 6.0 MB/s | 498 kB 00:00 (2465/3201): texlive-pxpgfmark-svn30212.0.2-36. 349 kB/s | 14 kB 00:00 (2466/3201): texlive-pxtxalfa-svn54080-36.fc34. 751 kB/s | 30 kB 00:00 (2467/3201): texlive-python-svn27064.0.21-36.fc 566 kB/s | 19 kB 00:00 (2468/3201): texlive-pythonhighlight-svn43191-3 460 kB/s | 17 kB 00:00 (2469/3201): texlive-qcircuit-svn48400-36.fc34. 720 kB/s | 23 kB 00:00 (2470/3201): texlive-qcm-svn15878.2.1-36.fc34.n 538 kB/s | 20 kB 00:00 (2471/3201): texlive-pygmentex-20200327-25.fc34 4.6 MB/s | 599 kB 00:00 (2472/3201): texlive-qrcode-svn36065.1.51-36.fc 788 kB/s | 38 kB 00:00 (2473/3201): texlive-qsharp-svn49722-36.fc34.no 539 kB/s | 21 kB 00:00 (2474/3201): texlive-qsymbols-svn15878.0-36.fc3 773 kB/s | 25 kB 00:00 (2475/3201): texlive-qstest-svn15878.0-36.fc34. 582 kB/s | 21 kB 00:00 (2476/3201): texlive-qtree-svn15878.3.1b-36.fc3 222 kB/s | 24 kB 00:00 (2477/3201): texlive-quantikz-svn54911-36.fc34. 4.3 MB/s | 469 kB 00:00 (2478/3201): texlive-qualitype-svn54512-36.fc34 21 MB/s | 2.5 MB 00:00 (2479/3201): texlive-quicktype-svn42183-36.fc34 4.5 MB/s | 197 kB 00:00 (2480/3201): texlive-quiz2socrative-svn52276-36 3.5 MB/s | 229 kB 00:00 (2481/3201): texlive-quattrocento-svn56020-36.f 6.2 MB/s | 794 kB 00:00 (2482/3201): texlive-quotchap-svn51591-36.fc34. 601 kB/s | 20 kB 00:00 (2483/3201): texlive-quoting-svn32818.v0.1c-36. 570 kB/s | 21 kB 00:00 (2484/3201): texlive-quotmark-svn15878.1.0-36.f 834 kB/s | 27 kB 00:00 (2485/3201): texlive-quantumarticle-svn54080-36 2.4 MB/s | 720 kB 00:00 (2486/3201): texlive-ragged2e-svn51780-36.fc34. 5.7 MB/s | 640 kB 00:00 (2487/3201): texlive-raleway-svn42629-36.fc34.n 25 MB/s | 2.5 MB 00:00 (2488/3201): texlive-ran_toks-svn53271-36.fc34. 550 kB/s | 20 kB 00:00 (2489/3201): texlive-randbild-svn15878.0.2-36.f 483 kB/s | 17 kB 00:00 (2490/3201): texlive-random-svn54723-36.fc34.no 2.6 MB/s | 91 kB 00:00 (2491/3201): texlive-randomwalk-svn49513-36.fc3 496 kB/s | 18 kB 00:00 (2492/3201): texlive-randtext-svn15878.0-36.fc3 515 kB/s | 19 kB 00:00 (2493/3201): texlive-rank-2-roots-svn48515-36.f 10 MB/s | 413 kB 00:00 (2494/3201): texlive-rccol-svn15878.1.2c-36.fc3 403 kB/s | 15 kB 00:00 (2495/3201): texlive-rcs-multi-svn21939.0.1a-36 521 kB/s | 19 kB 00:00 (2496/3201): texlive-rcs-svn15878.0-36.fc34.noa 916 kB/s | 30 kB 00:00 (2497/3201): texlive-rcsinfo-svn15878.1.11-36.f 435 kB/s | 19 kB 00:00 (2498/3201): texlive-readarray-svn42467-36.fc34 501 kB/s | 23 kB 00:00 (2499/3201): texlive-realboxes-svn23581.0.2-36. 650 kB/s | 22 kB 00:00 (2500/3201): texlive-rec-thy-svn50047-36.fc34.n 757 kB/s | 24 kB 00:00 (2501/3201): texlive-realscripts-svn39706-36.fc 568 kB/s | 21 kB 00:00 (2502/3201): texlive-realhats-svn52865-36.fc34. 2.5 MB/s | 139 kB 00:00 (2503/3201): texlive-recipe-svn54080-36.fc34.no 363 kB/s | 15 kB 00:00 (2504/3201): texlive-recipebook-svn37026.0-36.f 606 kB/s | 23 kB 00:00 (2505/3201): texlive-recipecard-svn15878.2.0-36 341 kB/s | 18 kB 00:00 (2506/3201): texlive-recycle-svn15878.0-36.fc34 863 kB/s | 28 kB 00:00 (2507/3201): texlive-rectopma-svn19980.0-36.fc3 481 kB/s | 17 kB 00:00 (2508/3201): texlive-refcount-svn53164-36.fc34. 8.7 MB/s | 338 kB 00:00 (2509/3201): texlive-refcheck-svn29128.1.9.1-36 456 kB/s | 21 kB 00:00 (2510/3201): texlive-reflectgraphics-svn40612-3 655 kB/s | 21 kB 00:00 (2511/3201): texlive-refman-svn15878.2.0e-36.fc 689 kB/s | 25 kB 00:00 (2512/3201): texlive-refenums-svn44131-36.fc34. 222 kB/s | 21 kB 00:00 (2513/3201): texlive-refstyle-svn20318.0.5-36.f 697 kB/s | 22 kB 00:00 (2514/3201): texlive-regcount-svn19979.1.0-36.f 487 kB/s | 18 kB 00:00 (2515/3201): texlive-regexpatch-svn47601-36.fc3 693 kB/s | 22 kB 00:00 (2516/3201): texlive-register-svn54485-36.fc34. 433 kB/s | 21 kB 00:00 (2517/3201): texlive-regstats-svn25050.1.0h-36. 565 kB/s | 22 kB 00:00 (2518/3201): texlive-relenc-svn22050.0-36.fc34. 902 kB/s | 30 kB 00:00 (2519/3201): texlive-relsize-svn30707.4.1-36.fc 326 kB/s | 19 kB 00:00 (2520/3201): texlive-reotex-svn34924.1.1-36.fc3 349 kB/s | 20 kB 00:00 (2521/3201): texlive-repeatindex-svn24305.0.01- 391 kB/s | 18 kB 00:00 (2522/3201): texlive-repltext-svn33442.1.0-36.f 618 kB/s | 20 kB 00:00 (2523/3201): texlive-rerunfilecheck-svn54841-36 7.7 MB/s | 326 kB 00:00 (2524/3201): texlive-resphilosophica-svn50935-3 591 kB/s | 31 kB 00:00 (2525/3201): texlive-rest-api-svn54486-36.fc34. 4.2 MB/s | 178 kB 00:00 (2526/3201): texlive-resumecls-svn54815-36.fc34 561 kB/s | 20 kB 00:00 (2527/3201): texlive-revquantum-svn43505-36.fc3 7.1 MB/s | 268 kB 00:00 (2528/3201): texlive-revtex-svn49751-36.fc34.no 2.3 MB/s | 98 kB 00:00 (2529/3201): texlive-revtex4-svn45873-36.fc34.n 1.5 MB/s | 61 kB 00:00 (2530/3201): texlive-rgltxdoc-svn53858-36.fc34. 4.3 MB/s | 177 kB 00:00 (2531/3201): texlive-returntogrid-svn48485-36.f 1.3 MB/s | 168 kB 00:00 (2532/3201): texlive-ribbonproofs-svn31137.1.0- 909 kB/s | 30 kB 00:00 (2533/3201): texlive-rjlparshap-svn15878.1.0-36 526 kB/s | 19 kB 00:00 (2534/3201): texlive-rmathbr-svn40415-36.fc34.n 797 kB/s | 26 kB 00:00 (2535/3201): texlive-rlepsf-svn19082.0-36.fc34. 357 kB/s | 19 kB 00:00 (2536/3201): texlive-rmpage-svn54080-36.fc34.no 2.1 MB/s | 80 kB 00:00 (2537/3201): texlive-robustcommand-svn15878.0.1 384 kB/s | 17 kB 00:00 (2538/3201): texlive-robustindex-svn49877-36.fc 483 kB/s | 21 kB 00:00 (2539/3201): texlive-romanbar-svn25005.1.0f-36. 520 kB/s | 21 kB 00:00 (2540/3201): texlive-romanbarpagenumber-svn3623 476 kB/s | 20 kB 00:00 (2541/3201): texlive-romanneg-svn20087.0-36.fc3 351 kB/s | 14 kB 00:00 (2542/3201): texlive-romande-svn19537.1.008_v7_ 8.1 MB/s | 506 kB 00:00 (2543/3201): texlive-romannum-svn15878.1.0b-36. 495 kB/s | 18 kB 00:00 (2544/3201): texlive-rotfloat-svn18292.1.2-36.f 522 kB/s | 18 kB 00:00 (2545/3201): texlive-roboto-svn54512-36.fc34.no 34 MB/s | 10 MB 00:00 (2546/3201): texlive-rotpages-svn18740.3.0-36.f 247 kB/s | 18 kB 00:00 (2547/3201): texlive-rosario-svn51688-36.fc34.n 11 MB/s | 1.5 MB 00:00 (2548/3201): texlive-rsfs-svn15878.0-36.fc34.no 2.1 MB/s | 72 kB 00:00 (2549/3201): texlive-rsc-svn41923-36.fc34.noarc 459 kB/s | 25 kB 00:00 (2550/3201): texlive-roundbox-svn29675.0.2-36.f 361 kB/s | 20 kB 00:00 (2551/3201): texlive-rsfso-svn37965.1.02-36.fc3 572 kB/s | 22 kB 00:00 (2552/3201): texlive-rtkinenc-svn20003.1.0-36.f 580 kB/s | 19 kB 00:00 (2553/3201): texlive-rterface-svn30084.0-36.fc3 520 kB/s | 19 kB 00:00 (2554/3201): texlive-rulerbox-svn50984-36.fc34. 1.9 MB/s | 78 kB 00:00 (2555/3201): texlive-rulercompass-svn32392.1-36 476 kB/s | 22 kB 00:00 (2556/3201): texlive-rviewport-svn23739.v1.0-36 513 kB/s | 18 kB 00:00 (2557/3201): texlive-rvwrite-svn19614.1.2-36.fc 546 kB/s | 18 kB 00:00 (2558/3201): texlive-ryersonsgsthesis-svn50119- 2.2 MB/s | 84 kB 00:00 (2559/3201): texlive-rutitlepage-svn51073-36.fc 24 MB/s | 2.7 MB 00:00 (2560/3201): texlive-ryethesis-svn33945.1.36-36 630 kB/s | 23 kB 00:00 (2561/3201): texlive-sa-tikz-svn32815.0.7a-36.f 745 kB/s | 24 kB 00:00 (2562/3201): texlive-sanitize-umlaut-svn53292-3 566 kB/s | 20 kB 00:00 (2563/3201): texlive-sageep-svn15878.1.0-36.fc3 573 kB/s | 24 kB 00:00 (2564/3201): texlive-sansmath-svn17997.1.1-36.f 508 kB/s | 16 kB 00:00 (2565/3201): texlive-sansmathaccent-svn53628-36 1.0 MB/s | 34 kB 00:00 (2566/3201): texlive-sapthesis-svn48365-36.fc34 1.6 MB/s | 66 kB 00:00 (2567/3201): texlive-sasnrdisplay-svn45963-36.f 452 kB/s | 26 kB 00:00 (2568/3201): texlive-sauerj-svn15878.0-36.fc34. 584 kB/s | 22 kB 00:00 (2569/3201): texlive-sauter-svn13293.2.4-36.fc3 925 kB/s | 35 kB 00:00 (2570/3201): texlive-sauterfonts-svn15878.0-36. 612 kB/s | 25 kB 00:00 (2571/3201): texlive-savefnmark-svn15878.1.0-36 581 kB/s | 19 kB 00:00 (2572/3201): texlive-savesym-svn31565.1.2-36.fc 334 kB/s | 17 kB 00:00 (2573/3201): texlive-sansmathfonts-svn51356-36. 20 MB/s | 4.1 MB 00:00 (2574/3201): texlive-savetrees-svn40525-36.fc34 537 kB/s | 28 kB 00:00 (2575/3201): texlive-scale-svn15878.1.1.2-36.fc 587 kB/s | 19 kB 00:00 (2576/3201): texlive-scalebar-svn15878.1.0-36.f 483 kB/s | 17 kB 00:00 (2577/3201): texlive-scalerel-svn42809-36.fc34. 429 kB/s | 22 kB 00:00 (2578/3201): texlive-scanpages-svn42633-36.fc34 545 kB/s | 25 kB 00:00 (2579/3201): texlive-schedule-svn51805-36.fc34. 5.6 MB/s | 260 kB 00:00 (2580/3201): texlive-schemabloc-svn15878.1.5-36 499 kB/s | 18 kB 00:00 (2581/3201): texlive-schulschriften-svn35730.4- 2.1 MB/s | 89 kB 00:00 (2582/3201): texlive-schule-svn48471-36.fc34.no 23 MB/s | 2.2 MB 00:00 (2583/3201): texlive-scientific-thesis-cover-sv 3.2 MB/s | 152 kB 00:00 (2584/3201): texlive-scholax-svn55400-36.fc34.n 13 MB/s | 2.2 MB 00:00 (2585/3201): texlive-sciposter-svn15878.1.18-36 563 kB/s | 24 kB 00:00 (2586/3201): texlive-sclang-prettifier-svn35087 514 kB/s | 21 kB 00:00 (2587/3201): texlive-scontents-svn53504-36.fc34 5.0 MB/s | 376 kB 00:00 (2588/3201): texlive-scratch3-svn54916-36.fc34. 6.6 MB/s | 533 kB 00:00 (2589/3201): texlive-scratch-svn50073-36.fc34.n 6.2 MB/s | 521 kB 00:00 (2590/3201): texlive-scratchx-svn44906-36.fc34. 11 MB/s | 443 kB 00:00 (2591/3201): texlive-scrlttr2copy-svn39734-36.f 621 kB/s | 20 kB 00:00 (2592/3201): texlive-scrjrnl-svn27810.0.1-36.fc 568 kB/s | 21 kB 00:00 (2593/3201): texlive-scsnowman-svn54080-36.fc34 12 MB/s | 492 kB 00:00 (2594/3201): texlive-sdrt-svn15878.1.0-36.fc34. 481 kB/s | 19 kB 00:00 (2595/3201): texlive-sdaps-svn54678-36.fc34.noa 14 MB/s | 809 kB 00:00 (2596/3201): texlive-secdot-svn20208.1.0-36.fc3 466 kB/s | 17 kB 00:00 (2597/3201): texlive-secnum-svn53657-36.fc34.no 8.7 MB/s | 392 kB 00:00 (2598/3201): texlive-sduthesis-svn41401-36.fc34 18 MB/s | 1.2 MB 00:00 (2599/3201): texlive-section-svn20180.0-36.fc34 704 kB/s | 25 kB 00:00 (2600/3201): texlive-sectionbox-svn37749.1.01-3 559 kB/s | 18 kB 00:00 (2601/3201): texlive-sectionbreak-svn50339-36.f 1.6 MB/s | 70 kB 00:00 (2602/3201): texlive-sectsty-svn15878.2.0.2-36. 531 kB/s | 21 kB 00:00 (2603/3201): texlive-seealso-svn43595-36.fc34.n 562 kB/s | 21 kB 00:00 (2604/3201): texlive-selectp-svn20185.1.0-36.fc 536 kB/s | 17 kB 00:00 (2605/3201): texlive-selinput-svn53098-36.fc34. 10 MB/s | 543 kB 00:00 (2606/3201): texlive-semantic-svn15878.2.0-36.f 589 kB/s | 23 kB 00:00 (2607/3201): texlive-semantic-markup-svn53607-3 5.3 MB/s | 284 kB 00:00 (2608/3201): texlive-semaphor-svn18651.0-36.fc3 6.6 MB/s | 281 kB 00:00 (2609/3201): texlive-seminar-svn34011.1.62-36.f 1.5 MB/s | 49 kB 00:00 (2610/3201): texlive-semioneside-svn15878.v0.41 431 kB/s | 17 kB 00:00 (2611/3201): texlive-semproc-svn37568.0.1-36.fc 590 kB/s | 21 kB 00:00 (2612/3201): texlive-sepfootnotes-svn41732-36.f 660 kB/s | 21 kB 00:00 (2613/3201): texlive-sepnum-svn20186.2.0-36.fc3 580 kB/s | 19 kB 00:00 (2614/3201): texlive-seqsplit-svn15878.0.1-36.f 480 kB/s | 17 kB 00:00 (2615/3201): texlive-sesamanuel-svn36613.0.6-36 1.2 MB/s | 49 kB 00:00 (2616/3201): texlive-setspace-svn24881.6.7a-36. 630 kB/s | 20 kB 00:00 (2617/3201): texlive-setdeck-svn40613-36.fc34.n 476 kB/s | 26 kB 00:00 (2618/3201): texlive-seuthesis-svn33042.2.1.2-3 858 kB/s | 34 kB 00:00 (2619/3201): texlive-seuthesix-svn40088-36.fc34 947 kB/s | 34 kB 00:00 (2620/3201): texlive-sf298-svn41653-36.fc34.noa 709 kB/s | 23 kB 00:00 (2621/3201): texlive-sffms-svn15878.2.0-36.fc34 540 kB/s | 19 kB 00:00 (2622/3201): texlive-sfg-svn20209.0.91-36.fc34. 683 kB/s | 22 kB 00:00 (2623/3201): texlive-sfmath-svn15878.0.8-36.fc3 560 kB/s | 20 kB 00:00 (2624/3201): texlive-shadethm-svn53350-36.fc34. 534 kB/s | 24 kB 00:00 (2625/3201): texlive-shadow-svn20312.0-36.fc34. 486 kB/s | 18 kB 00:00 (2626/3201): texlive-sesstime-svn49750-36.fc34. 1.3 MB/s | 327 kB 00:00 (2627/3201): texlive-shadowtext-svn26522.0.3-36 540 kB/s | 17 kB 00:00 (2628/3201): texlive-shapepar-svn30708.2.2-36.f 789 kB/s | 30 kB 00:00 (2629/3201): texlive-shdoc-svn41991-36.fc34.noa 448 kB/s | 22 kB 00:00 (2630/3201): texlive-shipunov-svn52334-36.fc34. 870 kB/s | 40 kB 00:00 (2631/3201): texlive-shobhika-svn50555-36.fc34. 9.3 MB/s | 498 kB 00:00 (2632/3201): texlive-shorttoc-svn15878.1.3-36.f 532 kB/s | 17 kB 00:00 (2633/3201): texlive-shortmathj-svn54407-36.fc3 4.9 MB/s | 182 kB 00:00 (2634/3201): texlive-show2e-svn15878.1.0-36.fc3 475 kB/s | 17 kB 00:00 (2635/3201): texlive-showcharinbox-svn29803.0.1 653 kB/s | 21 kB 00:00 (2636/3201): texlive-showdim-svn28918.1.2-36.fc 546 kB/s | 17 kB 00:00 (2637/3201): texlive-showexpl-svn42677-36.fc34. 549 kB/s | 20 kB 00:00 (2638/3201): texlive-showlabels-svn41322-36.fc3 636 kB/s | 21 kB 00:00 (2639/3201): texlive-showhyphens-svn39787-36.fc 263 kB/s | 14 kB 00:00 (2640/3201): texlive-shuffle-svn15878.1.0-36.fc 551 kB/s | 18 kB 00:00 (2641/3201): texlive-showtags-svn20336.1.05-36. 410 kB/s | 15 kB 00:00 (2642/3201): texlive-sidecap-svn15878.1.6f-36.f 602 kB/s | 19 kB 00:00 (2643/3201): texlive-sidenotes-svn54524-36.fc34 651 kB/s | 24 kB 00:00 (2644/3201): texlive-signchart-svn39707-36.fc34 493 kB/s | 20 kB 00:00 (2645/3201): texlive-silence-svn27028.1.5b-36.f 525 kB/s | 20 kB 00:00 (2646/3201): texlive-simplecd-svn29260.1.4-36.f 709 kB/s | 24 kB 00:00 (2647/3201): texlive-simplebnf-svn53370-36.fc34 1.2 MB/s | 53 kB 00:00 (2648/3201): texlive-simplecv-svn35537.1.6a-36. 533 kB/s | 18 kB 00:00 (2649/3201): texlive-simpleinvoice-svn45673-36. 5.9 MB/s | 235 kB 00:00 (2650/3201): texlive-simplekv-svn54915-36.fc34. 6.6 MB/s | 347 kB 00:00 (2651/3201): texlive-simpleoptics-svn54080-36.f 2.3 MB/s | 102 kB 00:00 (2652/3201): texlive-simpler-wick-svn39074-36.f 655 kB/s | 21 kB 00:00 (2653/3201): texlive-sitem-svn22136.1.0-36.fc34 525 kB/s | 19 kB 00:00 (2654/3201): texlive-siunitx-svn53914-36.fc34.n 1.6 MB/s | 54 kB 00:00 (2655/3201): texlive-simplewick-svn15878.1.2a-3 358 kB/s | 19 kB 00:00 (2656/3201): texlive-skak-svn46259-36.fc34.noar 1.2 MB/s | 40 kB 00:00 (2657/3201): texlive-skb-svn22781.0.52-36.fc34. 735 kB/s | 27 kB 00:00 (2658/3201): texlive-skdoc-svn52211-36.fc34.noa 791 kB/s | 29 kB 00:00 (2659/3201): texlive-skeycommand-svn24652.0.4-3 716 kB/s | 23 kB 00:00 (2660/3201): texlive-skeyval-svn30560.1.3-36.fc 3.1 MB/s | 118 kB 00:00 (2661/3201): texlive-skmath-svn52411-36.fc34.no 606 kB/s | 22 kB 00:00 (2662/3201): texlive-skrapport-svn52412-36.fc34 1.0 MB/s | 33 kB 00:00 (2663/3201): texlive-skull-svn51907-36.fc34.noa 614 kB/s | 20 kB 00:00 (2664/3201): texlive-slantsc-svn25007.2.11-36.f 475 kB/s | 17 kB 00:00 (2665/3201): texlive-smalltableof-svn20333.0-36 526 kB/s | 17 kB 00:00 (2666/3201): texlive-smartdiagram-svn42781-36.f 803 kB/s | 26 kB 00:00 (2667/3201): texlive-smartref-svn20311.1.9-36.f 531 kB/s | 19 kB 00:00 (2668/3201): texlive-smartunits-svn39592-36.fc3 675 kB/s | 22 kB 00:00 (2669/3201): texlive-snapshot-svn52115-36.fc34. 653 kB/s | 21 kB 00:00 (2670/3201): texlive-songbook-svn18136.4.5-36.f 769 kB/s | 31 kB 00:00 (2671/3201): texlive-snotez-svn30355.0.3-36.fc3 419 kB/s | 21 kB 00:00 (2672/3201): texlive-sort-by-letters-svn27128.0 771 kB/s | 25 kB 00:00 (2673/3201): texlive-soton-svn16215.0.1-36.fc34 545 kB/s | 17 kB 00:00 (2674/3201): texlive-soul-svn15878.2.4-36.fc34. 604 kB/s | 22 kB 00:00 (2675/3201): texlive-soulpos-svn52663-36.fc34.n 4.4 MB/s | 174 kB 00:00 (2676/3201): texlive-soulutf8-svn53163-36.fc34. 5.9 MB/s | 360 kB 00:00 (2677/3201): texlive-sourcecodepro-svn54512-36. 23 MB/s | 3.7 MB 00:00 (2678/3201): texlive-sourceserifpro-svn54512-36 25 MB/s | 3.9 MB 00:00 (2679/3201): texlive-spacingtricks-svn52063-36. 2.4 MB/s | 117 kB 00:00 (2680/3201): texlive-sourcesanspro-svn54892-36. 23 MB/s | 5.4 MB 00:00 (2681/3201): texlive-spalign-svn42225-36.fc34.n 2.8 MB/s | 139 kB 00:00 (2682/3201): texlive-spark-otf-svn51005-36.fc34 2.3 MB/s | 123 kB 00:00 (2683/3201): texlive-sparklines-svn42821-36.fc3 583 kB/s | 19 kB 00:00 (2684/3201): texlive-spath3-svn50018-36.fc34.no 950 kB/s | 31 kB 00:00 (2685/3201): texlive-sphack-svn20842.0-36.fc34. 337 kB/s | 15 kB 00:00 (2686/3201): texlive-sphdthesis-svn34374.1.0-36 556 kB/s | 20 kB 00:00 (2687/3201): texlive-spie-svn15878.3.25-36.fc34 769 kB/s | 26 kB 00:00 (2688/3201): texlive-spectralsequences-svn50072 25 MB/s | 2.6 MB 00:00 (2689/3201): texlive-splitbib-svn15878.1.17-36. 481 kB/s | 21 kB 00:00 (2690/3201): texlive-splitindex-20200327-25.fc3 9.9 MB/s | 436 kB 00:00 (2691/3201): texlive-spotcolor-svn15878.1.2-36. 777 kB/s | 27 kB 00:00 (2692/3201): texlive-spot-svn22408.1.1-36.fc34. 485 kB/s | 21 kB 00:00 (2693/3201): texlive-sr-vorl-svn39529-36.fc34.n 548 kB/s | 23 kB 00:00 (2694/3201): texlive-spreadtab-svn50147-36.fc34 681 kB/s | 41 kB 00:00 (2695/3201): texlive-spverbatim-svn15878.v1.0-3 331 kB/s | 17 kB 00:00 (2696/3201): texlive-srcltx-svn15878.1.6-36.fc3 486 kB/s | 15 kB 00:00 (2697/3201): texlive-srbook-mem-svn45818-36.fc3 358 kB/s | 13 kB 00:00 (2698/3201): texlive-srdp-mathematik-svn54685-3 4.4 MB/s | 165 kB 00:00 (2699/3201): texlive-sseq-svn31585.2.01-36.fc34 755 kB/s | 24 kB 00:00 (2700/3201): texlive-stack-svn15878.1.00-36.fc3 548 kB/s | 18 kB 00:00 (2701/3201): texlive-sslides-svn32293.0-36.fc34 546 kB/s | 20 kB 00:00 (2702/3201): texlive-stackengine-svn53843-36.fc 732 kB/s | 24 kB 00:00 (2703/3201): texlive-standalone-svn47136-36.fc3 617 kB/s | 31 kB 00:00 (2704/3201): texlive-stanli-svn54512-36.fc34.no 8.2 MB/s | 480 kB 00:00 (2705/3201): texlive-starfont-svn19982.1.2-36.f 3.7 MB/s | 190 kB 00:00 (2706/3201): texlive-statex-svn20306.1.6-36.fc3 553 kB/s | 21 kB 00:00 (2707/3201): texlive-statex2-svn23961.2.1-36.fc 583 kB/s | 21 kB 00:00 (2708/3201): texlive-statistics-svn52212-36.fc3 11 MB/s | 448 kB 00:00 (2709/3201): texlive-statistik-svn20334.0.03-36 601 kB/s | 19 kB 00:00 (2710/3201): texlive-statmath-svn46925-36.fc34. 5.3 MB/s | 220 kB 00:00 (2711/3201): texlive-staves-svn15878.0-36.fc34. 3.9 MB/s | 135 kB 00:00 (2712/3201): texlive-stdclsdv-svn15878.1.1a-36. 539 kB/s | 17 kB 00:00 (2713/3201): texlive-stdpage-svn15878.0.6-36.fc 537 kB/s | 19 kB 00:00 (2714/3201): texlive-steinmetz-svn15878.1.0-36. 442 kB/s | 17 kB 00:00 (2715/3201): texlive-stellenbosch-svn36696.11a- 11 MB/s | 744 kB 00:00 (2716/3201): texlive-stealcaps-svn46434-36.fc34 24 MB/s | 2.9 MB 00:00 (2717/3201): texlive-step-svn53731-36.fc34.noar 19 MB/s | 1.7 MB 00:00 (2718/3201): texlive-stex-svn50489-36.fc34.noar 1.4 MB/s | 64 kB 00:00 (2719/3201): texlive-stickstoo-svn54512-36.fc34 24 MB/s | 3.3 MB 00:00 (2720/3201): texlive-stix2-otf-svn54080-36.fc34 17 MB/s | 2.5 MB 00:00 (2721/3201): texlive-stix-svn54512-36.fc34.noar 16 MB/s | 2.6 MB 00:00 (2722/3201): texlive-stmaryrd-svn22027.0-36.fc3 4.6 MB/s | 185 kB 00:00 (2723/3201): texlive-storebox-svn24895.1.3a-36. 509 kB/s | 22 kB 00:00 (2724/3201): texlive-storecmd-svn24431.0.0.2-36 487 kB/s | 22 kB 00:00 (2725/3201): texlive-stringenc-svn52982-36.fc34 15 MB/s | 697 kB 00:00 (2726/3201): texlive-stix2-type1-svn54512-36.fc 30 MB/s | 4.3 MB 00:00 (2727/3201): texlive-stringstrings-svn36203.1.2 568 kB/s | 28 kB 00:00 (2728/3201): texlive-structmech-svn47859-36.fc3 4.8 MB/s | 172 kB 00:00 (2729/3201): texlive-struktex-svn47931-36.fc34. 865 kB/s | 28 kB 00:00 (2730/3201): texlive-stubs-svn19440.0.1.1-36.fc 581 kB/s | 19 kB 00:00 (2731/3201): texlive-sttools-svn43684-36.fc34.n 877 kB/s | 33 kB 00:00 (2732/3201): texlive-subdepth-svn15878.0.1-36.f 544 kB/s | 17 kB 00:00 (2733/3201): texlive-studenthandouts-svn43516-3 8.4 MB/s | 335 kB 00:00 (2734/3201): texlive-subdocs-svn51480-36.fc34.n 441 kB/s | 16 kB 00:00 (2735/3201): texlive-subeqn-svn15878.2.0b-36.fc 546 kB/s | 17 kB 00:00 (2736/3201): texlive-subeqnarray-svn15878.2.1c- 566 kB/s | 18 kB 00:00 (2737/3201): texlive-subfig-svn15878.1.3-36.fc3 637 kB/s | 23 kB 00:00 (2738/3201): texlive-subfigmat-svn20308.1.0-36. 573 kB/s | 18 kB 00:00 (2739/3201): texlive-subfigure-svn15878.2.1.5-3 653 kB/s | 21 kB 00:00 (2740/3201): texlive-subfiles-svn53782-36.fc34. 561 kB/s | 20 kB 00:00 (2741/3201): texlive-subfloat-svn29349.2.14-36. 394 kB/s | 18 kB 00:00 (2742/3201): texlive-substances-svn40989-36.fc3 532 kB/s | 23 kB 00:00 (2743/3201): texlive-substitutefont-svn32066.0. 563 kB/s | 20 kB 00:00 (2744/3201): texlive-substr-svn16117.1.2-36.fc3 557 kB/s | 18 kB 00:00 (2745/3201): texlive-subsupscripts-svn16080.1.0 413 kB/s | 13 kB 00:00 (2746/3201): texlive-subtext-svn51273-36.fc34.n 1.5 MB/s | 57 kB 00:00 (2747/3201): texlive-suftesi-svn53903-36.fc34.n 956 kB/s | 33 kB 00:00 (2748/3201): texlive-sugconf-svn15878.0-36.fc34 365 kB/s | 19 kB 00:00 (2749/3201): texlive-superiors-svn51909-36.fc34 617 kB/s | 28 kB 00:00 (2750/3201): texlive-supertabular-svn53658-36.f 698 kB/s | 22 kB 00:00 (2751/3201): texlive-susy-svn19440.0-36.fc34.no 519 kB/s | 17 kB 00:00 (2752/3201): texlive-svg-svn55643-36.fc34.noarc 651 kB/s | 33 kB 00:00 (2753/3201): texlive-svgcolor-svn15878.1.0-36.f 516 kB/s | 19 kB 00:00 (2754/3201): texlive-svn-multi-20200327-25.fc34 9.5 MB/s | 417 kB 00:00 (2755/3201): texlive-svn-svn15878.43-36.fc34.no 466 kB/s | 20 kB 00:00 (2756/3201): texlive-svn-prov-svn18017.3.1862-3 354 kB/s | 18 kB 00:00 (2757/3201): texlive-svninfo-svn17554.0.7.4-36. 621 kB/s | 20 kB 00:00 (2758/3201): texlive-svrsymbols-svn50019-36.fc3 2.6 MB/s | 90 kB 00:00 (2759/3201): texlive-syllogism-svn15878.1.2-36. 577 kB/s | 19 kB 00:00 (2760/3201): texlive-swimgraf-svn25446.0-36.fc3 763 kB/s | 28 kB 00:00 (2761/3201): texlive-symbol-svn31835.0-36.fc34. 1.7 MB/s | 57 kB 00:00 (2762/3201): texlive-sympytexpackage-svn45818-3 521 kB/s | 19 kB 00:00 (2763/3201): texlive-synproof-svn15878.1.0-36.f 502 kB/s | 18 kB 00:00 (2764/3201): texlive-syntax-svn15878.0-36.fc34. 621 kB/s | 20 kB 00:00 (2765/3201): texlive-syntrace-svn15878.1.1-36.f 543 kB/s | 17 kB 00:00 (2766/3201): texlive-synttree-svn16252.1.4.2-36 511 kB/s | 19 kB 00:00 (2767/3201): texlive-t-angles-svn15878.0-36.fc3 579 kB/s | 23 kB 00:00 (2768/3201): texlive-tabfigures-svn25202.1.1-36 527 kB/s | 21 kB 00:00 (2769/3201): texlive-table-fct-svn41849-36.fc34 597 kB/s | 22 kB 00:00 (2770/3201): texlive-tableaux-svn42413-36.fc34. 598 kB/s | 19 kB 00:00 (2771/3201): texlive-tablefootnote-svn32804.1.1 699 kB/s | 23 kB 00:00 (2772/3201): texlive-tableof-svn48815-36.fc34.n 590 kB/s | 21 kB 00:00 (2773/3201): texlive-tablestyles-svn34495.0-36. 453 kB/s | 14 kB 00:00 (2774/3201): texlive-tablists-svn15878.0.0e-36. 524 kB/s | 19 kB 00:00 (2775/3201): texlive-tabls-svn17255.3.5-36.fc34 518 kB/s | 17 kB 00:00 (2776/3201): texlive-tablor-svn31855.4.07_g-36. 506 kB/s | 29 kB 00:00 (2777/3201): texlive-tablvar-svn51543-36.fc34.n 5.3 MB/s | 192 kB 00:00 (2778/3201): texlive-tabriz-thesis-svn51729-36. 708 kB/s | 23 kB 00:00 (2779/3201): texlive-tabstackengine-svn46848-36 584 kB/s | 21 kB 00:00 (2780/3201): texlive-tabto-ltx-svn54080-36.fc34 541 kB/s | 19 kB 00:00 (2781/3201): texlive-tabu-svn49707-36.fc34.noar 1.3 MB/s | 43 kB 00:00 (2782/3201): texlive-tabularborder-svn17885.1.0 516 kB/s | 19 kB 00:00 (2783/3201): texlive-tabularcalc-svn15878.0.2-3 646 kB/s | 21 kB 00:00 (2784/3201): texlive-tabularew-svn15878.0.1-36. 565 kB/s | 18 kB 00:00 (2785/3201): texlive-tabulary-svn34368.0.10-36. 548 kB/s | 20 kB 00:00 (2786/3201): texlive-tagging-svn52064-36.fc34.n 537 kB/s | 20 kB 00:00 (2787/3201): texlive-tagpair-svn42138-36.fc34.n 554 kB/s | 20 kB 00:00 (2788/3201): texlive-tamefloats-svn27345.v0.42- 695 kB/s | 23 kB 00:00 (2789/3201): texlive-talk-svn42428-36.fc34.noar 403 kB/s | 21 kB 00:00 (2790/3201): texlive-tagpdf-svn51535-36.fc34.no 9.0 MB/s | 636 kB 00:00 (2791/3201): texlive-tapir-svn20484.0.2-36.fc34 1.9 MB/s | 70 kB 00:00 (2792/3201): texlive-tasks-svn54814-36.fc34.noa 788 kB/s | 26 kB 00:00 (2793/3201): texlive-tcldoc-svn22018.2.40-36.fc 640 kB/s | 23 kB 00:00 (2794/3201): texlive-tdclock-svn33043.v2.5-36.f 687 kB/s | 23 kB 00:00 (2795/3201): texlive-tcolorbox-svn54928-36.fc34 5.7 MB/s | 247 kB 00:00 (2796/3201): texlive-technics-svn29349.1.0-36.f 487 kB/s | 18 kB 00:00 (2797/3201): texlive-technion-thesis-template-s 3.8 MB/s | 138 kB 00:00 (2798/3201): texlive-ted-svn15878.1.06-36.fc34. 549 kB/s | 19 kB 00:00 (2799/3201): texlive-tengwarscript-svn34594.1.3 1.7 MB/s | 63 kB 00:00 (2800/3201): texlive-tensind-svn51481-36.fc34.n 5.8 MB/s | 227 kB 00:00 (2801/3201): texlive-templatetools-svn34495.0-3 197 kB/s | 21 kB 00:00 (2802/3201): texlive-tensor-svn15878.2.1-36.fc3 492 kB/s | 18 kB 00:00 (2803/3201): texlive-termcal-svn22514.1.8-36.fc 412 kB/s | 19 kB 00:00 (2804/3201): texlive-termlist-svn18923.1.1-36.f 530 kB/s | 17 kB 00:00 (2805/3201): texlive-testhyphens-svn38928-36.fc 547 kB/s | 21 kB 00:00 (2806/3201): texlive-testidx-svn52213-36.fc34.n 28 MB/s | 3.2 MB 00:00 (2807/3201): texlive-tex-ewd-svn15878.0-36.fc34 522 kB/s | 17 kB 00:00 (2808/3201): texlive-tempora-svn39596-36.fc34.n 4.2 MB/s | 1.3 MB 00:00 (2809/3201): texlive-tex-gyre-math-svn41264-36. 26 MB/s | 1.4 MB 00:00 (2810/3201): texlive-tex-20200327-25.fc34.x86_6 1.2 MB/s | 217 kB 00:00 (2811/3201): texlive-tex-ini-files-svn40533-36. 508 kB/s | 17 kB 00:00 (2812/3201): texlive-tex-label-svn16372.0-36.fc 539 kB/s | 19 kB 00:00 (2813/3201): texlive-tex-locale-svn48500-36.fc3 13 MB/s | 946 kB 00:00 (2814/3201): texlive-texdraw-svn51030-36.fc34.n 1.3 MB/s | 56 kB 00:00 (2815/3201): texlive-texilikechaps-svn28553.1.0 537 kB/s | 18 kB 00:00 (2816/3201): texlive-texilikecover-svn15878.0.1 516 kB/s | 17 kB 00:00 (2817/3201): texlive-tex4ht-20200327-25.fc34.x8 15 MB/s | 2.9 MB 00:00 (2818/3201): texlive-texlive-common-doc-svn5417 3.1 MB/s | 108 kB 00:00 (2819/3201): texlive-texlive-docindex-svn54903- 339 kB/s | 12 kB 00:00 (2820/3201): texlive-tex-gyre-svn48058-36.fc34. 22 MB/s | 7.9 MB 00:00 (2821/3201): texlive-texlive-msg-translations-s 2.7 MB/s | 153 kB 00:00 (2822/3201): texlive-texlive-en-20200327-25.fc3 20 MB/s | 1.9 MB 00:00 (2823/3201): texlive-texlive-scripts-20200327-2 3.0 MB/s | 118 kB 00:00 (2824/3201): texlive-texlive.infra-20200327-25. 7.0 MB/s | 288 kB 00:00 (2825/3201): texlive-texlogos-svn19083.1.3.1-36 331 kB/s | 18 kB 00:00 (2826/3201): texlive-texmate-svn15878.2-36.fc34 604 kB/s | 22 kB 00:00 (2827/3201): texlive-texments-svn15878.0.2.0-36 348 kB/s | 17 kB 00:00 (2828/3201): texlive-texpower-svn29349.0.2-36.f 1.7 MB/s | 59 kB 00:00 (2829/3201): texlive-texshade-svn46559-36.fc34. 2.1 MB/s | 82 kB 00:00 (2830/3201): texlive-textcase-svn52092-36.fc34. 437 kB/s | 17 kB 00:00 (2831/3201): texlive-textfit-svn20591.5-36.fc34 636 kB/s | 21 kB 00:00 (2832/3201): texlive-textgreek-svn44192-36.fc34 509 kB/s | 18 kB 00:00 (2833/3201): texlive-textopo-svn23796.1.5-36.fc 1.5 MB/s | 50 kB 00:00 (2834/3201): texlive-textmerg-svn20677.2.01-36. 413 kB/s | 15 kB 00:00 (2835/3201): texlive-textpos-svn50988-36.fc34.n 382 kB/s | 21 kB 00:00 (2836/3201): texlive-texvc-svn46844-36.fc34.noa 493 kB/s | 20 kB 00:00 (2837/3201): texlive-textualicomma-svn48474-36. 3.0 MB/s | 135 kB 00:00 (2838/3201): texlive-theanomodern-svn54512-36.f 8.4 MB/s | 472 kB 00:00 (2839/3201): texlive-theanodidot-svn54512-36.fc 7.5 MB/s | 458 kB 00:00 (2840/3201): texlive-tfrupee-svn20770.1.02-36.f 8.6 MB/s | 617 kB 00:00 (2841/3201): texlive-theanooldstyle-svn54512-36 14 MB/s | 565 kB 00:00 (2842/3201): texlive-thesis-ekf-svn53685-36.fc3 2.1 MB/s | 79 kB 00:00 (2843/3201): texlive-theoremref-svn54512-36.fc3 442 kB/s | 20 kB 00:00 (2844/3201): texlive-thesis-gwu-svn54287-36.fc3 11 MB/s | 424 kB 00:00 (2845/3201): texlive-thesis-titlepage-fhac-svn1 532 kB/s | 20 kB 00:00 (2846/3201): texlive-thinsp-svn39669-36.fc34.no 571 kB/s | 18 kB 00:00 (2847/3201): texlive-thesis-qom-svn49124-36.fc3 15 MB/s | 1.2 MB 00:00 (2848/3201): texlive-thmbox-svn15878.0-36.fc34. 441 kB/s | 18 kB 00:00 (2849/3201): texlive-thmtools-svn53219-36.fc34. 1.0 MB/s | 33 kB 00:00 (2850/3201): texlive-threadcol-svn28754.1.0-36. 408 kB/s | 21 kB 00:00 (2851/3201): texlive-threeparttable-svn17383.0- 360 kB/s | 18 kB 00:00 (2852/3201): texlive-threeparttablex-svn34206.0 553 kB/s | 18 kB 00:00 (2853/3201): texlive-thumb-svn16549.1.0-36.fc34 649 kB/s | 21 kB 00:00 (2854/3201): texlive-thucoursework-svn53891-36. 9.8 MB/s | 500 kB 00:00 (2855/3201): texlive-thuaslogos-svn51347-36.fc3 12 MB/s | 791 kB 00:00 (2856/3201): texlive-thumbpdf-20200327-25.fc34. 1.2 MB/s | 42 kB 00:00 (2857/3201): texlive-thumbs-svn33134.1.0q-36.fc 815 kB/s | 30 kB 00:00 (2858/3201): texlive-thumby-svn16736.0.1-36.fc3 741 kB/s | 27 kB 00:00 (2859/3201): texlive-thuthesis-svn53892-36.fc34 1.2 MB/s | 40 kB 00:00 (2860/3201): texlive-ticket-svn42280-36.fc34.no 594 kB/s | 22 kB 00:00 (2861/3201): texlive-ticollege-svn36306.1.0-36. 654 kB/s | 21 kB 00:00 (2862/3201): texlive-tikz-3dplot-svn25087.0-36. 734 kB/s | 27 kB 00:00 (2863/3201): texlive-tikz-bayesnet-svn38295.0.1 564 kB/s | 20 kB 00:00 (2864/3201): texlive-tikz-cd-svn49201-36.fc34.n 946 kB/s | 31 kB 00:00 (2865/3201): texlive-tikz-dependency-svn54512-3 790 kB/s | 29 kB 00:00 (2866/3201): texlive-tikz-dimline-svn35805.1.0- 421 kB/s | 15 kB 00:00 (2867/3201): texlive-tikz-feynhand-svn51915-36. 7.4 MB/s | 339 kB 00:00 (2868/3201): texlive-tikz-feynman-svn39582-36.f 683 kB/s | 34 kB 00:00 (2869/3201): texlive-tikz-inet-svn15878.0.1-36. 549 kB/s | 19 kB 00:00 (2870/3201): texlive-tikz-imagelabels-svn51490- 14 MB/s | 968 kB 00:00 (2871/3201): texlive-tikz-kalender-svn52890-36. 3.0 MB/s | 133 kB 00:00 (2872/3201): texlive-tikz-karnaugh-svn47026-36. 7.7 MB/s | 290 kB 00:00 (2873/3201): texlive-tikz-layers-svn46660-36.fc 527 kB/s | 20 kB 00:00 (2874/3201): texlive-tikz-ladder-svn46555-36.fc 5.3 MB/s | 259 kB 00:00 (2875/3201): texlive-tikz-nef-svn48240-36.fc34. 2.6 MB/s | 109 kB 00:00 (2876/3201): texlive-tikz-opm-svn32769.0.1.1-36 570 kB/s | 21 kB 00:00 (2877/3201): texlive-tikz-optics-svn43466-36.fc 8.9 MB/s | 413 kB 00:00 (2878/3201): texlive-tikz-network-svn51884-36.f 12 MB/s | 837 kB 00:00 (2879/3201): texlive-tikz-page-svn42039-36.fc34 13 MB/s | 572 kB 00:00 (2880/3201): texlive-tikz-palattice-svn43442-36 603 kB/s | 24 kB 00:00 (2881/3201): texlive-tikz-planets-svn54857-36.f 4.3 MB/s | 182 kB 00:00 (2882/3201): texlive-tikz-qtree-svn26108.1.2-36 694 kB/s | 25 kB 00:00 (2883/3201): texlive-tikz-relay-svn51355-36.fc3 12 MB/s | 501 kB 00:00 (2884/3201): texlive-tikz-sfc-svn49424-36.fc34. 8.1 MB/s | 352 kB 00:00 (2885/3201): texlive-tikz-timing-svn46111-36.fc 1.0 MB/s | 37 kB 00:00 (2886/3201): texlive-tikz-trackschematic-svn537 13 MB/s | 541 kB 00:00 (2887/3201): texlive-tikz-truchet-svn50020-36.f 2.8 MB/s | 131 kB 00:00 (2888/3201): texlive-tikzcodeblocks-svn54758-36 11 MB/s | 495 kB 00:00 (2889/3201): texlive-tikzducks-svn54837-36.fc34 11 MB/s | 470 kB 00:00 (2890/3201): texlive-tikzinclude-svn28715.1.0-3 550 kB/s | 20 kB 00:00 (2891/3201): texlive-tikzlings-svn54838-36.fc34 11 MB/s | 561 kB 00:00 (2892/3201): texlive-tikzmark-svn52293-36.fc34. 708 kB/s | 24 kB 00:00 (2893/3201): texlive-tikzmarmots-svn54080-36.fc 4.4 MB/s | 240 kB 00:00 (2894/3201): texlive-tikzorbital-svn36439.0-36. 533 kB/s | 19 kB 00:00 (2895/3201): texlive-tikzpagenodes-svn27723.1.1 536 kB/s | 17 kB 00:00 (2896/3201): texlive-tikzpfeile-svn25777.1.0-36 457 kB/s | 17 kB 00:00 (2897/3201): texlive-tikzpeople-svn43978-36.fc3 10 MB/s | 516 kB 00:00 (2898/3201): texlive-tikzsymbols-svn49975-36.fc 899 kB/s | 33 kB 00:00 (2899/3201): texlive-tikzscale-svn30637.0.2.6-3 507 kB/s | 23 kB 00:00 (2900/3201): texlive-tikzposter-svn32732.2.0-36 295 kB/s | 30 kB 00:00 (2901/3201): texlive-times-svn35058.0-36.fc34.n 7.6 MB/s | 347 kB 00:00 (2902/3201): texlive-timbreicmc-svn49740-36.fc3 13 MB/s | 728 kB 00:00 (2903/3201): texlive-timing-diagrams-svn31491.0 629 kB/s | 21 kB 00:00 (2904/3201): texlive-tinos-svn42882-36.fc34.noa 20 MB/s | 3.2 MB 00:00 (2905/3201): texlive-tipa-svn29349.1.3-36.fc34. 16 MB/s | 2.8 MB 00:00 (2906/3201): texlive-titlecaps-svn36170.1.2-36. 468 kB/s | 23 kB 00:00 (2907/3201): texlive-titlefoot-svn15878.0-36.fc 486 kB/s | 19 kB 00:00 (2908/3201): texlive-tipfr-doc-svn38646-36.fc34 1.7 MB/s | 387 kB 00:00 (2909/3201): texlive-titlepic-svn43497-36.fc34. 402 kB/s | 15 kB 00:00 (2910/3201): texlive-titleref-svn18729.3.1-36.f 439 kB/s | 16 kB 00:00 (2911/3201): texlive-titlesec-svn52413-36.fc34. 1.1 MB/s | 36 kB 00:00 (2912/3201): texlive-titling-svn15878.2.1d-36.f 508 kB/s | 18 kB 00:00 (2913/3201): texlive-tkz-base-svn54758-36.fc34. 914 kB/s | 33 kB 00:00 (2914/3201): texlive-tkz-doc-svn54758-36.fc34.n 736 kB/s | 26 kB 00:00 (2915/3201): texlive-tkz-euclide-svn54758-36.fc 1.2 MB/s | 46 kB 00:00 (2916/3201): texlive-tkz-fct-svn54703-36.fc34.n 565 kB/s | 21 kB 00:00 (2917/3201): texlive-tkz-orm-svn54512-36.fc34.n 768 kB/s | 25 kB 00:00 (2918/3201): texlive-tkz-tab-svn54662-36.fc34.n 419 kB/s | 22 kB 00:00 (2919/3201): texlive-tlc-article-svn51431-36.fc 7.0 MB/s | 446 kB 00:00 (2920/3201): texlive-tocbibind-svn20085.1.5k-36 361 kB/s | 19 kB 00:00 (2921/3201): texlive-tocvsec2-svn33146.1.3a-36. 641 kB/s | 20 kB 00:00 (2922/3201): texlive-tocloft-svn53364-36.fc34.n 679 kB/s | 25 kB 00:00 (2923/3201): texlive-tocdata-svn51654-36.fc34.n 13 MB/s | 911 kB 00:00 (2924/3201): texlive-todo-svn17746.2.142-36.fc3 554 kB/s | 18 kB 00:00 (2925/3201): texlive-todonotes-svn52662-36.fc34 603 kB/s | 22 kB 00:00 (2926/3201): texlive-tokcycle-svn53755-36.fc34. 7.1 MB/s | 302 kB 00:00 (2927/3201): texlive-tokenizer-svn15878.1.1.0-3 493 kB/s | 18 kB 00:00 (2928/3201): texlive-toolbox-svn32260.5.1-36.fc 525 kB/s | 19 kB 00:00 (2929/3201): texlive-topfloat-svn19084.0-36.fc3 584 kB/s | 19 kB 00:00 (2930/3201): texlive-tools-svn53640-36.fc34.noa 1.7 MB/s | 65 kB 00:00 (2931/3201): texlive-topiclongtable-svn54758-36 7.4 MB/s | 386 kB 00:00 (2932/3201): texlive-toptesi-svn51743-36.fc34.n 916 kB/s | 40 kB 00:00 (2933/3201): texlive-totcount-svn21178.1.2-36.f 499 kB/s | 18 kB 00:00 (2934/3201): texlive-topletter-svn48182-36.fc34 5.4 MB/s | 368 kB 00:00 (2935/3201): texlive-totpages-svn15878.2.00-36. 521 kB/s | 19 kB 00:00 (2936/3201): texlive-tqft-svn44455-36.fc34.noar 791 kB/s | 26 kB 00:00 (2937/3201): texlive-tpslifonts-svn42428-36.fc3 597 kB/s | 23 kB 00:00 (2938/3201): texlive-tracklang-svn52991-36.fc34 1.1 MB/s | 40 kB 00:00 (2939/3201): texlive-trajan-svn15878.1.1-36.fc3 1.9 MB/s | 64 kB 00:00 (2940/3201): texlive-translations-svn54929-36.f 739 kB/s | 32 kB 00:00 (2941/3201): texlive-translator-svn54512-36.fc3 6.4 MB/s | 268 kB 00:00 (2942/3201): texlive-transparent-svn52981-36.fc 7.5 MB/s | 279 kB 00:00 (2943/3201): texlive-tree-dvips-svn21751.91-36. 665 kB/s | 24 kB 00:00 (2944/3201): texlive-trimspaces-svn15878.1.1-36 511 kB/s | 17 kB 00:00 (2945/3201): texlive-trfsigns-svn15878.1.01-36. 418 kB/s | 19 kB 00:00 (2946/3201): texlive-trivfloat-svn15878.1.3b-36 505 kB/s | 18 kB 00:00 (2947/3201): texlive-trsym-svn18732.1.0-36.fc34 624 kB/s | 20 kB 00:00 (2948/3201): texlive-truncate-svn18921.3.6-36.f 442 kB/s | 16 kB 00:00 (2949/3201): texlive-tsemlines-svn23440.1.0-36. 375 kB/s | 14 kB 00:00 (2950/3201): texlive-ttfutils-20200327-25.fc34. 8.3 MB/s | 315 kB 00:00 (2951/3201): texlive-tucv-svn20680.1.0-36.fc34. 402 kB/s | 15 kB 00:00 (2952/3201): texlive-tudscr-LPPL-36.fc34.noarch 4.1 MB/s | 188 kB 00:00 (2953/3201): texlive-tufte-latex-svn37649.3.5.2 784 kB/s | 38 kB 00:00 (2954/3201): texlive-tugboat-plain-svn51373-36. 1.4 MB/s | 45 kB 00:00 (2955/3201): texlive-tuda-ci-svn54878-36.fc34.n 15 MB/s | 1.5 MB 00:00 (2956/3201): texlive-tugboat-svn54261-36.fc34.n 1.1 MB/s | 46 kB 00:00 (2957/3201): texlive-tui-svn27253.1.9-36.fc34.n 631 kB/s | 20 kB 00:00 (2958/3201): texlive-turabian-formatting-svn544 748 kB/s | 27 kB 00:00 (2959/3201): texlive-turabian-svn36298.0.1.0-36 489 kB/s | 18 kB 00:00 (2960/3201): texlive-turnstile-svn15878.1.0-36. 557 kB/s | 18 kB 00:00 (2961/3201): texlive-turnthepage-svn29803.1.3a- 352 kB/s | 18 kB 00:00 (2962/3201): texlive-twoinone-svn17024.0-36.fc3 393 kB/s | 14 kB 00:00 (2963/3201): texlive-twemoji-colr-svn54512-36.f 8.0 MB/s | 569 kB 00:00 (2964/3201): texlive-twoup-svn15878.1.3-36.fc34 502 kB/s | 18 kB 00:00 (2965/3201): texlive-txfonts-svn15878.0-36.fc34 9.6 MB/s | 768 kB 00:00 (2966/3201): texlive-txgreeks-svn21839.1.0-36.f 409 kB/s | 21 kB 00:00 (2967/3201): texlive-txuprcal-svn43327-36.fc34. 4.4 MB/s | 160 kB 00:00 (2968/3201): texlive-type1cm-svn21820.0-36.fc34 469 kB/s | 18 kB 00:00 (2969/3201): texlive-txfontsb-svn54512-36.fc34. 26 MB/s | 3.5 MB 00:00 (2970/3201): texlive-typed-checklist-svn49731-3 510 kB/s | 23 kB 00:00 (2971/3201): texlive-typeface-svn27046.0.1-36.f 1.6 MB/s | 63 kB 00:00 (2972/3201): texlive-typehtml-svn17134.0-36.fc3 625 kB/s | 23 kB 00:00 (2973/3201): texlive-typoaid-svn44238-36.fc34.n 7.5 MB/s | 349 kB 00:00 (2974/3201): texlive-typicons-svn37623.2.0.7-36 1.7 MB/s | 82 kB 00:00 (2975/3201): texlive-typogrid-svn24994.0.21-36. 395 kB/s | 18 kB 00:00 (2976/3201): texlive-uafthesis-svn29349.12.12-3 710 kB/s | 23 kB 00:00 (2977/3201): texlive-uaclasses-svn15878.0-36.fc 539 kB/s | 19 kB 00:00 (2978/3201): texlive-uassign-svn38459-36.fc34.n 534 kB/s | 21 kB 00:00 (2979/3201): texlive-ucalgmthesis-svn52527-36.f 3.0 MB/s | 137 kB 00:00 (2980/3201): texlive-uantwerpendocs-svn51007-36 18 MB/s | 1.4 MB 00:00 (2981/3201): texlive-ucbthesis-svn51690-36.fc34 715 kB/s | 26 kB 00:00 (2982/3201): texlive-ucdavisthesis-svn40772-36. 738 kB/s | 27 kB 00:00 (2983/3201): texlive-ucharcat-svn38907-36.fc34. 433 kB/s | 17 kB 00:00 (2984/3201): texlive-ucs-svn35853.2.2-36.fc34.n 8.4 MB/s | 355 kB 00:00 (2985/3201): texlive-ucsmonograph-svn52698-36.f 8.3 MB/s | 439 kB 00:00 (2986/3201): texlive-uebungsblatt-svn15878.1.5. 558 kB/s | 20 kB 00:00 (2987/3201): texlive-ucthesis-svn15878.3.2-36.f 846 kB/s | 38 kB 00:00 (2988/3201): texlive-uestcthesis-svn36371.1.1.0 995 kB/s | 37 kB 00:00 (2989/3201): texlive-uhhassignment-svn44026-36. 8.3 MB/s | 391 kB 00:00 (2990/3201): texlive-uiucredborder-svn29974.1.0 395 kB/s | 19 kB 00:00 (2991/3201): texlive-uiucthesis-svn15878.2.25-3 535 kB/s | 21 kB 00:00 (2992/3201): texlive-ulem-svn53365-36.fc34.noar 520 kB/s | 19 kB 00:00 (2993/3201): texlive-uhc-svn16791.0-36.fc34.noa 27 MB/s | 3.9 MB 00:00 (2994/3201): texlive-ulqda-20200327-25.fc34.noa 4.6 MB/s | 266 kB 00:00 (2995/3201): texlive-ulthese-svn52972-36.fc34.n 1.7 MB/s | 81 kB 00:00 (2996/3201): texlive-umbclegislation-svn41348-3 755 kB/s | 26 kB 00:00 (2997/3201): texlive-umoline-svn19085.0-36.fc34 455 kB/s | 19 kB 00:00 (2998/3201): texlive-umich-thesis-svn15878.1.20 486 kB/s | 21 kB 00:00 (2999/3201): texlive-umthesis-svn15878.0.2-36.f 550 kB/s | 22 kB 00:00 (3000/3201): texlive-unam-thesis-svn51207-36.fc 777 kB/s | 28 kB 00:00 (3001/3201): texlive-umtypewriter-svn18651.001. 7.0 MB/s | 382 kB 00:00 (3002/3201): texlive-unamthesis-svn43639-36.fc3 400 kB/s | 29 kB 00:00 (3003/3201): texlive-underlin-svn15878.1.01-36. 269 kB/s | 17 kB 00:00 (3004/3201): texlive-underoverlap-svn29019.0.0. 607 kB/s | 22 kB 00:00 (3005/3201): texlive-underscore-svn18261.0-36.f 558 kB/s | 21 kB 00:00 (3006/3201): texlive-undolabl-svn36681.1.0l-36. 470 kB/s | 21 kB 00:00 (3007/3201): texlive-uni-wtal-ger-svn31541.0.2- 449 kB/s | 21 kB 00:00 (3008/3201): texlive-uni-wtal-lin-svn31409.0.2- 499 kB/s | 22 kB 00:00 (3009/3201): texlive-unicode-data-svn54758-36.f 8.4 MB/s | 357 kB 00:00 (3010/3201): texlive-unamth-template-doc-svn336 20 MB/s | 4.8 MB 00:00 (3011/3201): texlive-unicode-math-svn53609-36.f 1.7 MB/s | 66 kB 00:00 (3012/3201): texlive-unifith-svn51968-36.fc34.n 11 MB/s | 871 kB 00:00 (3013/3201): texlive-uniquecounter-svn53162-36. 5.5 MB/s | 303 kB 00:00 (3014/3201): texlive-unitn-bimrep-svn45581-36.f 13 MB/s | 819 kB 00:00 (3015/3201): texlive-unitsdef-svn15878.0.2-36.f 643 kB/s | 22 kB 00:00 (3016/3201): texlive-units-svn42428-36.fc34.noa 368 kB/s | 19 kB 00:00 (3017/3201): texlive-universa-svn51984-36.fc34. 1.1 MB/s | 47 kB 00:00 (3018/3201): texlive-universalis-svn33860.0-36. 12 MB/s | 592 kB 00:00 (3019/3201): texlive-unravel-svn52822-36.fc34.n 1.1 MB/s | 45 kB 00:00 (3020/3201): texlive-unswcover-svn29476.1.0-36. 604 kB/s | 21 kB 00:00 (3021/3201): texlive-uothesis-svn25355.2.5.6-36 573 kB/s | 28 kB 00:00 (3022/3201): texlive-univie-ling-svn49785-36.fc 19 MB/s | 2.0 MB 00:00 (3023/3201): texlive-uowthesis-svn19700.1.0a-36 1.5 MB/s | 62 kB 00:00 (3024/3201): texlive-uowthesistitlepage-svn5451 556 kB/s | 24 kB 00:00 (3025/3201): texlive-uplatex-svn54276-36.fc34.n 990 kB/s | 33 kB 00:00 (3026/3201): texlive-updmap-map-svn56618-36.fc3 7.9 MB/s | 348 kB 00:00 (3027/3201): texlive-upmethodology-svn54758-36. 1.1 MB/s | 53 kB 00:00 (3028/3201): texlive-uppunctlm-svn42334-36.fc34 4.0 MB/s | 191 kB 00:00 (3029/3201): texlive-upquote-svn26059.v1.3-36.f 413 kB/s | 19 kB 00:00 (3030/3201): texlive-urcls-svn49903-36.fc34.noa 825 kB/s | 30 kB 00:00 (3031/3201): texlive-uptex-fonts-svn54045-36.fc 8.6 MB/s | 458 kB 00:00 (3032/3201): texlive-uri-svn48602-36.fc34.noarc 497 kB/s | 22 kB 00:00 (3033/3201): texlive-url-svn32528.3.4-36.fc34.n 673 kB/s | 21 kB 00:00 (3034/3201): texlive-urlbst-20200327-25.fc34.no 6.2 MB/s | 254 kB 00:00 (3035/3201): texlive-urwchancal-svn21701.1-36.f 479 kB/s | 19 kB 00:00 (3036/3201): texlive-usebib-svn25969.1.0a-36.fc 640 kB/s | 20 kB 00:00 (3037/3201): texlive-ushort-svn32261.2.2-36.fc3 355 kB/s | 18 kB 00:00 (3038/3201): texlive-uspace-svn42456-36.fc34.no 4.7 MB/s | 276 kB 00:00 (3039/3201): texlive-uspatent-svn27744.1.0-36.f 459 kB/s | 23 kB 00:00 (3040/3201): texlive-ut-thesis-svn38269.2.1-36. 564 kB/s | 24 kB 00:00 (3041/3201): texlive-utexasthesis-svn48648-36.f 502 kB/s | 18 kB 00:00 (3042/3201): texlive-utopia-svn15878.0-36.fc34. 5.0 MB/s | 233 kB 00:00 (3043/3201): texlive-uwthesis-svn15878.6.13-36. 522 kB/s | 24 kB 00:00 (3044/3201): texlive-vak-svn23431.0-36.fc34.noa 466 kB/s | 22 kB 00:00 (3045/3201): texlive-vancouver-svn34470.0-36.fc 723 kB/s | 27 kB 00:00 (3046/3201): texlive-variablelm-svn46611-36.fc3 3.9 MB/s | 154 kB 00:00 (3047/3201): texlive-varindex-svn32262.2.3-36.f 346 kB/s | 22 kB 00:00 (3048/3201): texlive-varsfromjobname-svn44154-3 262 kB/s | 17 kB 00:00 (3049/3201): texlive-varwidth-svn24104.0.92-36. 541 kB/s | 20 kB 00:00 (3050/3201): texlive-venn-svn15878.0-36.fc34.no 476 kB/s | 18 kB 00:00 (3051/3201): texlive-vdmlisting-svn29944.1.0-36 408 kB/s | 18 kB 00:00 (3052/3201): texlive-venndiagram-svn47952-36.fc 505 kB/s | 20 kB 00:00 (3053/3201): texlive-verbatimbox-svn33197.3.13- 453 kB/s | 19 kB 00:00 (3054/3201): texlive-verbatimcopy-svn15878.0.06 491 kB/s | 18 kB 00:00 (3055/3201): texlive-verbdef-svn17177.0.2-36.fc 410 kB/s | 18 kB 00:00 (3056/3201): texlive-verbasef-svn21922.1.1-36.f 163 kB/s | 22 kB 00:00 (3057/3201): texlive-venturisadf-svn19444.1.005 22 MB/s | 3.9 MB 00:00 (3058/3201): texlive-verbments-svn23670.1.2-36. 397 kB/s | 19 kB 00:00 (3059/3201): texlive-verse-svn34017.2.4b-36.fc3 445 kB/s | 19 kB 00:00 (3060/3201): texlive-version-svn21920.2.0-36.fc 340 kB/s | 15 kB 00:00 (3061/3201): texlive-versions-svn21921.0.55-36. 555 kB/s | 24 kB 00:00 (3062/3201): texlive-versonotes-svn51568-36.fc3 423 kB/s | 21 kB 00:00 (3063/3201): texlive-vhistory-svn30080.1.6.1-36 651 kB/s | 25 kB 00:00 (3064/3201): texlive-vgrid-svn32457.0.1-36.fc34 417 kB/s | 20 kB 00:00 (3065/3201): texlive-vertbars-svn49429-36.fc34. 406 kB/s | 20 kB 00:00 (3066/3201): texlive-vmargin-svn15878.2.5-36.fc 533 kB/s | 19 kB 00:00 (3067/3201): texlive-volumes-svn15878.1.0-36.fc 511 kB/s | 19 kB 00:00 (3068/3201): texlive-vpe-20200327-25.fc34.noarc 850 kB/s | 28 kB 00:00 (3069/3201): texlive-vruler-svn21598.2.3-36.fc3 591 kB/s | 22 kB 00:00 (3070/3201): texlive-vwcol-svn36254.0.2-36.fc34 382 kB/s | 22 kB 00:00 (3071/3201): texlive-vtable-svn51126-36.fc34.no 560 kB/s | 74 kB 00:00 (3072/3201): texlive-wallcalendar-svn45568-36.f 17 MB/s | 2.6 MB 00:00 (3073/3201): texlive-wallpaper-svn15878.1.10-36 355 kB/s | 18 kB 00:00 (3074/3201): texlive-warning-svn22028.0.01-36.f 451 kB/s | 17 kB 00:00 (3075/3201): texlive-warpcol-svn15878.1.0c-36.f 465 kB/s | 17 kB 00:00 (3076/3201): texlive-was-svn21439.0-36.fc34.noa 441 kB/s | 16 kB 00:00 (3077/3201): texlive-wasy-svn53533-36.fc34.noar 1.3 MB/s | 41 kB 00:00 (3078/3201): texlive-wasy-type1-svn53534-36.fc3 6.6 MB/s | 272 kB 00:00 (3079/3201): texlive-wasysym-svn54080-36.fc34.n 459 kB/s | 20 kB 00:00 (3080/3201): texlive-wadalab-svn42428-36.fc34.n 19 MB/s | 17 MB 00:00 (3081/3201): texlive-webquiz-20200327-25.fc34.n 15 MB/s | 6.6 MB 00:00 (3082/3201): texlive-widetable-svn53409-36.fc34 552 kB/s | 20 kB 00:00 (3083/3201): texlive-williams-svn15878.0-36.fc3 394 kB/s | 18 kB 00:00 (3084/3201): texlive-widows-and-orphans-svn5350 7.0 MB/s | 799 kB 00:00 (3085/3201): texlive-windycity-svn51668-36.fc34 6.3 MB/s | 468 kB 00:00 (3086/3201): texlive-withargs-svn52641-36.fc34. 644 kB/s | 25 kB 00:00 (3087/3201): texlive-witharrows-svn54707-36.fc3 7.6 MB/s | 876 kB 00:00 (3088/3201): texlive-wordcount-20200327-25.fc34 498 kB/s | 19 kB 00:00 (3089/3201): texlive-wordlike-svn15878.1.2b-36. 546 kB/s | 18 kB 00:00 (3090/3201): texlive-worksheet-svn48423-36.fc34 1.9 MB/s | 116 kB 00:00 (3091/3201): texlive-wrapfig-svn22048.3.6-36.fc 767 kB/s | 25 kB 00:00 (3092/3201): texlive-wsemclassic-svn31532.1.0.1 308 kB/s | 18 kB 00:00 (3093/3201): texlive-wsuipa-svn25469.0-36.fc34. 1.7 MB/s | 57 kB 00:00 (3094/3201): texlive-wtref-svn42981-36.fc34.noa 5.7 MB/s | 350 kB 00:00 (3095/3201): texlive-xargs-svn15878.1.1-36.fc34 490 kB/s | 19 kB 00:00 (3096/3201): texlive-xassoccnt-svn54080-36.fc34 1.1 MB/s | 38 kB 00:00 (3097/3201): texlive-xbmks-svn53448-36.fc34.noa 8.0 MB/s | 382 kB 00:00 (3098/3201): texlive-xcharter-svn56692-36.fc34. 9.8 MB/s | 2.3 MB 00:00 (3099/3201): texlive-xcite-svn53486-36.fc34.noa 567 kB/s | 19 kB 00:00 (3100/3201): texlive-xcjk2uni-svn50848-36.fc34. 8.5 MB/s | 332 kB 00:00 (3101/3201): texlive-xcntperchap-svn54080-36.fc 673 kB/s | 22 kB 00:00 (3102/3201): texlive-xcolor-material-svn42289-3 7.0 MB/s | 585 kB 00:00 (3103/3201): texlive-xcolor-solarized-svn41809- 537 kB/s | 20 kB 00:00 (3104/3201): texlive-xcolor-svn41044-36.fc34.no 1.0 MB/s | 34 kB 00:00 (3105/3201): texlive-xcomment-svn20031.1.3-36.f 357 kB/s | 19 kB 00:00 (3106/3201): texlive-xcookybooky-svn36435.1.5-3 790 kB/s | 25 kB 00:00 (3107/3201): texlive-xcpdftips-svn50449-36.fc34 3.8 MB/s | 165 kB 00:00 (3108/3201): texlive-xdoc-svn15878.prot2.5-36.f 895 kB/s | 29 kB 00:00 (3109/3201): texlive-xduthesis-svn39694-36.fc34 2.8 MB/s | 130 kB 00:00 (3110/3201): texlive-xdvi-20200327-25.fc34.x86_ 7.9 MB/s | 364 kB 00:00 (3111/3201): texlive-xecjk-svn53835-36.fc34.noa 2.8 MB/s | 161 kB 00:00 (3112/3201): texlive-xellipsis-svn47546-36.fc34 633 kB/s | 20 kB 00:00 (3113/3201): texlive-xetex-20200327-25.fc34.x86 11 MB/s | 1.2 MB 00:00 (3114/3201): texlive-xetexconfig-svn45845-36.fc 333 kB/s | 13 kB 00:00 (3115/3201): texlive-xfakebold-svn54779-36.fc34 2.6 MB/s | 115 kB 00:00 (3116/3201): texlive-xfor-svn15878.1.05-36.fc34 543 kB/s | 18 kB 00:00 (3117/3201): texlive-xhfill-svn22575.1.01-36.fc 303 kB/s | 17 kB 00:00 (3118/3201): texlive-xifthen-svn38929-36.fc34.n 560 kB/s | 18 kB 00:00 (3119/3201): texlive-xint-svn53930-36.fc34.noar 527 kB/s | 92 kB 00:00 (3120/3201): texlive-xits-svn52046-36.fc34.noar 3.0 MB/s | 612 kB 00:00 (3121/3201): texlive-xkcdcolors-svn54512-36.fc3 5.4 MB/s | 234 kB 00:00 (3122/3201): texlive-xkeyval-svn35741.2.7a-36.f 932 kB/s | 34 kB 00:00 (3123/3201): texlive-visualpstricks-doc-svn3979 3.6 MB/s | 13 MB 00:03 (3124/3201): texlive-xltabular-svn54437-36.fc34 1.4 MB/s | 93 kB 00:00 (3125/3201): texlive-xltxtra-svn49555-36.fc34.n 516 kB/s | 19 kB 00:00 (3126/3201): texlive-xmpincl-svn15878.2.2-36.fc 536 kB/s | 19 kB 00:00 (3127/3201): texlive-xnewcommand-svn15878.1.2-3 460 kB/s | 17 kB 00:00 (3128/3201): texlive-xoptarg-svn15878.1.0-36.fc 488 kB/s | 18 kB 00:00 (3129/3201): texlive-xpatch-svn54563-36.fc34.no 516 kB/s | 20 kB 00:00 (3130/3201): texlive-xpeek-svn27442.0.2-36.fc34 546 kB/s | 20 kB 00:00 (3131/3201): texlive-xpicture-svn28770.1.2a-36. 755 kB/s | 28 kB 00:00 (3132/3201): texlive-xpinyin-svn50849-36.fc34.n 4.3 MB/s | 285 kB 00:00 (3133/3201): texlive-xprintlen-svn35928.1.0-36. 545 kB/s | 20 kB 00:00 (3134/3201): texlive-xpunctuate-svn26641.1.0-36 503 kB/s | 19 kB 00:00 (3135/3201): texlive-xsavebox-svn54097-36.fc34. 623 kB/s | 23 kB 00:00 (3136/3201): texlive-xstring-svn49946-36.fc34.n 642 kB/s | 27 kB 00:00 (3137/3201): texlive-xtab-svn23347.2.3f-36.fc34 511 kB/s | 20 kB 00:00 (3138/3201): texlive-xunicode-svn30466.0.981-36 1.2 MB/s | 46 kB 00:00 (3139/3201): texlive-xsim-svn54681-36.fc34.noar 19 MB/s | 2.6 MB 00:00 (3140/3201): texlive-xurl-svn53538-36.fc34.noar 1.6 MB/s | 77 kB 00:00 (3141/3201): texlive-xwatermark-svn28090.1.5.2d 883 kB/s | 35 kB 00:00 (3142/3201): texlive-xyling-svn15878.1.1-36.fc3 723 kB/s | 26 kB 00:00 (3143/3201): texlive-xymtex-svn32182.5.06-36.fc 2.4 MB/s | 112 kB 00:00 (3144/3201): texlive-xytree-svn15878.1.5-36.fc3 527 kB/s | 19 kB 00:00 (3145/3201): texlive-willowtreebook-svn54866-36 7.7 MB/s | 23 MB 00:02 (3146/3201): texlive-yafoot-svn48568-36.fc34.no 180 kB/s | 20 kB 00:00 (3147/3201): texlive-yagusylo-svn29803.1.2-36.f 572 kB/s | 22 kB 00:00 (3148/3201): texlive-yathesis-svn54740-36.fc34. 1.0 MB/s | 43 kB 00:00 (3149/3201): texlive-yaletter-svn42830-36.fc34. 5.0 MB/s | 497 kB 00:00 (3150/3201): texlive-xypic-svn31859.3.8.9-36.fc 2.4 MB/s | 741 kB 00:00 (3151/3201): texlive-ycbook-svn46201-36.fc34.no 636 kB/s | 23 kB 00:00 (3152/3201): texlive-ydoc-svn26202.0.6alpha-36. 690 kB/s | 29 kB 00:00 (3153/3201): texlive-yfonts-svn50755-36.fc34.no 427 kB/s | 18 kB 00:00 (3154/3201): texlive-yhmath-svn54377-36.fc34.no 1.4 MB/s | 57 kB 00:00 (3155/3201): texlive-yfonts-t1-svn36013-36.fc34 2.2 MB/s | 191 kB 00:00 (3156/3201): texlive-yinit-otf-svn40207-36.fc34 5.5 MB/s | 274 kB 00:00 (3157/3201): texlive-york-thesis-svn23348.3.6-3 592 kB/s | 23 kB 00:00 (3158/3201): texlive-youngtab-svn17635.1.1-36.f 473 kB/s | 18 kB 00:00 (3159/3201): texlive-yazd-thesis-svn51725-36.fc 8.0 MB/s | 2.1 MB 00:00 (3160/3201): texlive-yplan-20200327-25.fc34.noa 525 kB/s | 24 kB 00:00 (3161/3201): texlive-yquant-svn54737-36.fc34.no 5.6 MB/s | 450 kB 00:00 (3162/3201): texlive-zapfchan-svn31835.0-36.fc3 2.6 MB/s | 108 kB 00:00 (3163/3201): texlive-zapfding-svn31835.0-36.fc3 1.8 MB/s | 67 kB 00:00 (3164/3201): texlive-ytableau-svn27430.1.3-36.f 207 kB/s | 20 kB 00:00 (3165/3201): texlive-zed-csp-svn17258.0-36.fc34 564 kB/s | 20 kB 00:00 (3166/3201): texlive-zebra-goodies-svn51554-36. 1.7 MB/s | 130 kB 00:00 (3167/3201): texlive-zhmetrics-uptex-svn40728-3 2.8 MB/s | 119 kB 00:00 (3168/3201): texlive-zhnumber-svn50850-36.fc34. 727 kB/s | 27 kB 00:00 (3169/3201): texlive-ziffer-svn32279.2.1-36.fc3 486 kB/s | 18 kB 00:00 (3170/3201): texlive-zootaxa-bst-svn50619-36.fc 3.2 MB/s | 153 kB 00:00 (3171/3201): texlive-zhmetrics-svn22207.r206-36 4.6 MB/s | 816 kB 00:00 (3172/3201): texlive-zlmtt-svn51368-36.fc34.noa 214 kB/s | 24 kB 00:00 (3173/3201): texlive-zref-svn54098-36.fc34.noar 14 MB/s | 666 kB 00:00 (3174/3201): texlive-zwgetfdate-svn15878.0-36.f 622 kB/s | 20 kB 00:00 (3175/3201): texlive-zwpagelayout-svn53965-36.f 507 kB/s | 25 kB 00:00 (3176/3201): tre-0.8.0-31.20140228gitc2f5d13.fc 1.1 MB/s | 42 kB 00:00 (3177/3201): tk-8.6.10-5.fc33.x86_64.rpm 20 MB/s | 1.6 MB 00:00 (3178/3201): tre-common-0.8.0-31.20140228gitc2f 663 kB/s | 31 kB 00:00 (3179/3201): txt2man-1.6.0-9.fc33.noarch.rpm 686 kB/s | 28 kB 00:00 (3180/3201): urw-base35-d050000l-fonts-20200910 2.3 MB/s | 77 kB 00:00 (3181/3201): urw-base35-bookman-fonts-20200910- 16 MB/s | 856 kB 00:00 (3182/3201): urw-base35-fonts-20200910-2.fc34.n 306 kB/s | 9.7 kB 00:00 (3183/3201): urw-base35-fonts-common-20200910-2 569 kB/s | 20 kB 00:00 (3184/3201): urw-base35-gothic-fonts-20200910-2 15 MB/s | 651 kB 00:00 (3185/3201): urw-base35-nimbus-mono-ps-fonts-20 16 MB/s | 801 kB 00:00 (3186/3201): urw-base35-nimbus-roman-fonts-2020 19 MB/s | 865 kB 00:00 (3187/3201): urw-base35-c059-fonts-20200910-2.f 4.4 MB/s | 884 kB 00:00 (3188/3201): urw-base35-nimbus-sans-fonts-20200 18 MB/s | 1.3 MB 00:00 (3189/3201): urw-base35-p052-fonts-20200910-2.f 18 MB/s | 983 kB 00:00 (3190/3201): urw-base35-standard-symbols-ps-fon 1.1 MB/s | 42 kB 00:00 (3191/3201): urw-base35-z003-fonts-20200910-2.f 6.8 MB/s | 278 kB 00:00 (3192/3201): vim-minimal-8.2.2311-1.fc34.x86_64 8.4 MB/s | 686 kB 00:00 (3193/3201): woff2-1.0.2-9.fc33.x86_64.rpm 1.6 MB/s | 60 kB 00:00 (3194/3201): wpebackend-fdo-1.8.0-1.fc34.x86_64 1.0 MB/s | 41 kB 00:00 (3195/3201): xdg-dbus-proxy-0.1.2-3.fc33.x86_64 1.2 MB/s | 43 kB 00:00 (3196/3201): xdg-utils-1.1.3-7.fc33.noarch.rpm 2.0 MB/s | 72 kB 00:00 (3197/3201): webkit2gtk3-jsc-2.31.1-3.fc34.x86_ 27 MB/s | 6.4 MB 00:00 (3198/3201): xorg-x11-font-utils-7.5-47.fc34.x8 2.5 MB/s | 101 kB 00:00 (3199/3201): zziplib-0.13.71-1.fc34.x86_64.rpm 2.5 MB/s | 86 kB 00:00 (3200/3201): xorg-x11-fonts-ISO8859-1-100dpi-7. 17 MB/s | 1.0 MB 00:00 (3201/3201): webkit2gtk3-2.31.1-3.fc34.x86_64.r 31 MB/s | 17 MB 00:00 -------------------------------------------------------------------------------- Total 21 MB/s | 1.4 GB 01:08 Running transaction check Transaction check succeeded. Running transaction test Transaction test succeeded. Running transaction Running scriptlet: texlive-base-9:20200327-25.fc34.x86_64 1/1 Preparing : 1/1 Running scriptlet: texlive-base-9:20200327-25.fc34.x86_64 1/3201 Installing : texlive-base-9:20200327-25.fc34.x86_64 1/3201 Installing : shared-mime-info-2.1-1.fc34.x86_64 2/3201 Running scriptlet: shared-mime-info-2.1-1.fc34.x86_64 2/3201 Installing : glib2-2.67.1-3.fc34.x86_64 3/3201 Installing : libpng-2:1.6.37-6.fc34.x86_64 4/3201 Installing : freetype-2.10.4-1.fc34.x86_64 5/3201 Installing : texlive-lib-9:20200327-25.fc34.x86_64 6/3201 Installing : libjpeg-turbo-2.0.90-1.fc34.x86_64 7/3201 Installing : gdk-pixbuf2-2.40.0-3.fc34.x86_64 8/3201 Installing : nspr-4.29.0-11.fc34.x86_64 9/3201 Installing : libwayland-client-1.19.0-1.fc34.x86_64 10/3201 Installing : libicu-67.1-4.fc33.x86_64 11/3201 Installing : fonts-filesystem-1:2.0.5-4.fc33.noarch 12/3201 Installing : urw-base35-fonts-common-20200910-2.fc34.noarch 13/3201 Installing : atk-2.36.0-2.fc34.x86_64 14/3201 Installing : libwebp-1.1.0-6.fc34.x86_64 15/3201 Installing : lcms2-2.11-2.fc33.x86_64 16/3201 Installing : nss-util-3.60.1-3.fc34.x86_64 17/3201 Installing : openjpeg2-2.4.0-1.fc34.x86_64 18/3201 Installing : libwayland-server-1.19.0-1.fc34.x86_64 19/3201 Installing : libwayland-egl-1.19.0-1.fc34.x86_64 20/3201 Installing : tcl-1:8.6.10-5.fc34.x86_64 21/3201 Installing : pixman-0.40.0-2.fc33.x86_64 22/3201 Installing : m4-1.4.18-16.fc34.x86_64 23/3201 Installing : libogg-2:1.3.4-3.fc33.x86_64 24/3201 Installing : libmpc-1.2.1-1.fc34.x86_64 25/3201 Installing : libX11-xcb-1.7.0-2.fc34.x86_64 26/3201 Installing : libICE-1.0.10-5.fc34.x86_64 27/3201 Installing : fribidi-1.0.10-3.fc33.x86_64 28/3201 Installing : dbus-libs-1:1.12.20-2.fc33.x86_64 29/3201 Installing : libSM-1.2.3-7.fc34.x86_64 30/3201 Installing : dejavu-sans-fonts-2.37-15.fc34.noarch 31/3201 Installing : libwayland-cursor-1.19.0-1.fc34.x86_64 32/3201 Installing : gtk-update-icon-cache-3.24.24-2.fc34.x86_64 33/3201 Installing : jasper-libs-2.0.24-3.fc34.x86_64 34/3201 Installing : json-glib-1.6.0-1.fc34.x86_64 35/3201 Installing : hunspell-en-US-0.20140811.1-17.fc33.noarch 36/3201 Installing : hunspell-1.7.0-7.fc33.x86_64 37/3201 Installing : zziplib-0.13.71-1.fc34.x86_64 38/3201 Running scriptlet: xml-common-0.6.3-55.fc33.noarch 39/3201 Installing : xml-common-0.6.3-55.fc33.noarch 39/3201 Installing : mesa-libglapi-20.3.3-5.fc34.x86_64 40/3201 Installing : libxslt-1.1.34-4.fc34.x86_64 41/3201 Installing : libxshmfence-1.3-7.fc33.x86_64 42/3201 Installing : libseccomp-2.5.0-3.fc33.x86_64 43/3201 Installing : libquadmath-11.0.0-0.17.fc34.x86_64 44/3201 Installing : libgfortran-11.0.0-0.17.fc34.x86_64 45/3201 Installing : libpaper-1.1.28-1.fc34.x86_64 46/3201 Installing : libglvnd-1:1.3.2-2.fc33.x86_64 47/3201 Installing : libepoxy-1.5.5-1.fc34.x86_64 48/3201 Installing : jbigkit-libs-2.1-20.fc34.x86_64 49/3201 Installing : libtiff-4.1.0-6.fc34.x86_64 50/3201 Installing : gdk-pixbuf2-modules-2.40.0-3.fc34.x86_64 51/3201 Installing : jbig2dec-libs-0.19-3.fc34.x86_64 52/3201 Installing : hicolor-icon-theme-0.17-9.fc33.noarch 53/3201 Installing : graphite2-1.3.14-6.fc34.x86_64 54/3201 Installing : harfbuzz-2.7.4-1.fc34.x86_64 55/3201 Installing : libraqm-0.7.0-6.fc34.x86_64 56/3201 Installing : flexiblas-3.0.4-2.fc34.x86_64 57/3201 Installing : adobe-mappings-cmap-20171205-9.fc33.noarch 58/3201 Installing : adobe-mappings-cmap-deprecated-20171205-9.fc33 59/3201 Installing : harfbuzz-icu-2.7.4-1.fc34.x86_64 60/3201 Installing : iso-codes-4.5.0-2.fc33.noarch 61/3201 Installing : enchant2-2.2.15-1.fc34.x86_64 62/3201 Installing : LibRaw-0.20.2-1.fc34.x86_64 63/3201 Installing : langpacks-core-font-en-3.0-6.fc34.noarch 64/3201 Installing : fontconfig-2.13.93-4.fc34.x86_64 65/3201 Running scriptlet: fontconfig-2.13.93-4.fc34.x86_64 65/3201 Installing : urw-base35-bookman-fonts-20200910-2.fc34.noarc 66/3201 Running scriptlet: urw-base35-bookman-fonts-20200910-2.fc34.noarc 66/3201 Installing : urw-base35-c059-fonts-20200910-2.fc34.noarch 67/3201 Running scriptlet: urw-base35-c059-fonts-20200910-2.fc34.noarch 67/3201 Installing : urw-base35-d050000l-fonts-20200910-2.fc34.noar 68/3201 Running scriptlet: urw-base35-d050000l-fonts-20200910-2.fc34.noar 68/3201 Installing : urw-base35-gothic-fonts-20200910-2.fc34.noarch 69/3201 Running scriptlet: urw-base35-gothic-fonts-20200910-2.fc34.noarch 69/3201 Installing : urw-base35-nimbus-mono-ps-fonts-20200910-2.fc3 70/3201 Running scriptlet: urw-base35-nimbus-mono-ps-fonts-20200910-2.fc3 70/3201 Installing : urw-base35-nimbus-roman-fonts-20200910-2.fc34. 71/3201 Running scriptlet: urw-base35-nimbus-roman-fonts-20200910-2.fc34. 71/3201 Installing : urw-base35-nimbus-sans-fonts-20200910-2.fc34.n 72/3201 Running scriptlet: urw-base35-nimbus-sans-fonts-20200910-2.fc34.n 72/3201 Installing : urw-base35-p052-fonts-20200910-2.fc34.noarch 73/3201 Running scriptlet: urw-base35-p052-fonts-20200910-2.fc34.noarch 73/3201 Installing : urw-base35-standard-symbols-ps-fonts-20200910- 74/3201 Running scriptlet: urw-base35-standard-symbols-ps-fonts-20200910- 74/3201 Installing : urw-base35-z003-fonts-20200910-2.fc34.noarch 75/3201 Running scriptlet: urw-base35-z003-fonts-20200910-2.fc34.noarch 75/3201 Installing : urw-base35-fonts-20200910-2.fc34.noarch 76/3201 Installing : cpp-11.0.0-0.17.fc34.x86_64 77/3201 Installing : libtheora-1:1.1.1-28.fc33.x86_64 78/3201 Installing : libvorbis-1:1.3.7-2.fc33.x86_64 79/3201 Installing : nss-softokn-freebl-3.60.1-3.fc34.x86_64 80/3201 Installing : nss-softokn-3.60.1-3.fc34.x86_64 81/3201 Installing : fontawesome-fonts-1:4.7.0-10.fc33.noarch 82/3201 Installing : google-droid-sans-fonts-20200215-8.fc34.noarch 83/3201 Installing : oldstandard-sfd-fonts-2.0.2-28.fc33.noarch 84/3201 Installing : webkit2gtk3-jsc-2.31.1-3.fc34.x86_64 85/3201 Installing : libnotify-0.7.9-3.fc34.x86_64 86/3201 Installing : graphene-1.10.2-5.fc34.x86_64 87/3201 Installing : libsecret-0.20.4-1.fc34.x86_64 88/3201 Installing : gsettings-desktop-schemas-40~alpha-1.fc34.x86_ 89/3201 Installing : libgee-0.20.3-2.fc33.x86_64 90/3201 Installing : liblqr-1-0.4.2-15.fc33.x86_64 91/3201 Installing : texlive-bib2gls-9:20200327-25.fc34.noarch 92/3201 Installing : zlib-devel-1.2.11-23.fc34.x86_64 93/3201 Installing : xkeyboard-config-2.31-3.fc34.noarch 94/3201 Installing : libxkbcommon-1.0.3-1.fc34.x86_64 95/3201 Installing : libwpe-1.9.1-1.fc34.x86_64 96/3201 Installing : wpebackend-fdo-1.8.0-1.fc34.x86_64 97/3201 Installing : woff2-1.0.2-9.fc33.x86_64 98/3201 Installing : vim-minimal-2:8.2.2311-1.fc34.x86_64 99/3201 Installing : tre-common-0.8.0-31.20140228gitc2f5d13.fc33.no 100/3201 Installing : tre-0.8.0-31.20140228gitc2f5d13.fc33.x86_64 101/3201 Installing : texlive-visualpstricks-doc-9:svn39799-36.fc34. 102/3201 Installing : texlive-unamth-template-doc-9:svn33625.2.0-36. 103/3201 Installing : texlive-uantwerpendocs-9:svn51007-36.fc34.noar 104/3201 Installing : texlive-tipfr-doc-9:svn38646-36.fc34.noarch 105/3201 Installing : texlive-texlive-common-doc-9:svn54176-36.fc34. 106/3201 Installing : texlive-newcommand-doc-9:svn18704.2.0-36.fc34. 107/3201 Installing : texlive-latex-tds-doc-9:svn54758-36.fc34.noarc 108/3201 Installing : texlive-ietfbibs-doc-9:svn41332-36.fc34.noarch 109/3201 Installing : texlive-dtxgallery-doc-9:svn49504-36.fc34.noar 110/3201 Installing : texlive-doc-pictex-doc-9:svn24927.0-36.fc34.no 111/3201 Installing : texlive-beamer-FUBerlin-doc-9:svn38159.0.02b-3 112/3201 Installing : texlive-anufinalexam-doc-9:svn26053.0-36.fc34. 113/3201 Installing : teckit-2.5.9-5.fc33.x86_64 114/3201 Installing : systemd-rpm-macros-247.2-1.fc34.noarch 115/3201 Installing : python-setuptools-wheel-52.0.0-1.fc34.noarch 116/3201 Installing : poppler-data-0.4.9-6.fc33.noarch 117/3201 Installing : opus-1.3.1-7.fc34.x86_64 118/3201 Installing : openexr-libs-2.5.4-3.fc34.x86_64 119/3201 Installing : openblas-0.3.12-1.fc34.x86_64 120/3201 Installing : openblas-openmp-0.3.12-1.fc34.x86_64 121/3201 Installing : flexiblas-openblas-openmp-3.0.4-2.fc34.x86_64 122/3201 Installing : flexiblas-netlib-3.0.4-2.fc34.x86_64 123/3201 Installing : nettle-3.7-1.fc34.x86_64 124/3201 Installing : gnutls-3.6.15-2.fc34.x86_64 125/3201 Installing : netpbm-10.93.00-1.fc34.x86_64 126/3201 Installing : gts-0.7.6-38.20121130.fc33.x86_64 127/3201 Installing : ncurses-c++-libs-6.2-3.20200222.fc33.x86_64 128/3201 Installing : ncurses-devel-6.2-3.20200222.fc33.x86_64 129/3201 Installing : ncurses-6.2-3.20200222.fc33.x86_64 130/3201 Installing : mailcap-2.1.49-2.fc33.noarch 131/3201 Installing : libwmf-lite-0.2.12-4.fc33.x86_64 132/3201 Installing : libvisual-1:0.4.0-31.fc34.x86_64 133/3201 Installing : libusbx-1.0.23-2.fc33.x86_64 134/3201 Installing : libgusb-0.3.5-1.fc34.x86_64 135/3201 Installing : colord-libs-1.4.5-1.fc34.x86_64 136/3201 Installing : libunwind-1.4.0-4.fc34.x86_64 137/3201 Installing : libstdc++-devel-11.0.0-0.17.fc34.x86_64 138/3201 Installing : libproxy-0.4.15-29.fc34.x86_64 139/3201 Installing : glib-networking-2.66.0-1.fc34.x86_64 140/3201 Installing : libsoup-2.72.0-3.fc34.x86_64 141/3201 Installing : rest-0.8.1-8.fc34.x86_64 142/3201 Installing : libnl3-3.5.0-5.fc33.x86_64 143/3201 Installing : libibverbs-33.0-3.fc34.x86_64 144/3201 Installing : libpcap-14:1.9.1-6.fc34.x86_64 145/3201 Installing : libnfnetlink-1.0.1-18.fc33.x86_64 146/3201 Installing : libmnl-1.0.4-12.fc33.x86_64 147/3201 Installing : libnetfilter_conntrack-1.0.8-1.fc34.x86_64 148/3201 Installing : iptables-libs-1.8.7-3.fc34.x86_64 149/3201 Installing : libmarkdown-2.2.4-5.fc33.x86_64 150/3201 Installing : libimagequant-2.14.0-1.fc34.x86_64 151/3201 Installing : libijs-0.35-12.fc33.x86_64 152/3201 Installing : libfontenc-1.1.3-14.fc34.x86_64 153/3201 Installing : xorg-x11-font-utils-1:7.5-47.fc34.x86_64 154/3201 Installing : xorg-x11-fonts-ISO8859-1-100dpi-7.5-26.fc34.no 155/3201 Running scriptlet: xorg-x11-fonts-ISO8859-1-100dpi-7.5-26.fc34.no 155/3201 Installing : libevent-2.1.12-2.fc34.x86_64 156/3201 Installing : avahi-libs-0.8-6.fc34.x86_64 157/3201 Installing : cups-libs-1:2.3.3op1-3.fc34.x86_64 158/3201 Installing : libedit-3.1-33.20191231cvs.fc33.x86_64 159/3201 Installing : llvm-libs-11.1.0-0.2.rc2.fc34.x86_64 160/3201 Installing : libdatrie-0.2.9-13.fc34.x86_64 161/3201 Installing : libthai-0.1.28-5.fc33.x86_64 162/3201 Installing : sombok-2.4.0-13.fc33.x86_64 163/3201 Installing : libargon2-20171227-5.fc33.x86_64 164/3201 Installing : libXau-1.0.9-5.fc34.x86_64 165/3201 Installing : libxcb-1.13.1-6.fc34.x86_64 166/3201 Installing : libX11-common-1.7.0-2.fc34.noarch 167/3201 Installing : libX11-1.7.0-2.fc34.x86_64 168/3201 Installing : libXext-1.3.4-5.fc34.x86_64 169/3201 Installing : libXrender-0.9.10-13.fc34.x86_64 170/3201 Installing : cairo-1.17.4-1.fc34.x86_64 171/3201 Installing : libXt-1.2.0-3.fc34.x86_64 172/3201 Installing : libXfixes-5.0.3-13.fc34.x86_64 173/3201 Installing : libXdamage-1.1.5-4.fc34.x86_64 174/3201 Installing : libXmu-1.1.3-5.fc34.x86_64 175/3201 Installing : libXi-1.7.10-5.fc34.x86_64 176/3201 Installing : libXcomposite-0.4.5-4.fc34.x86_64 177/3201 Installing : libXpm-3.5.13-4.fc34.x86_64 178/3201 Installing : libXaw-1.0.13-16.fc34.x86_64 179/3201 Installing : libXcursor-1.2.0-4.fc34.x86_64 180/3201 Installing : cairo-gobject-1.17.4-1.fc34.x86_64 181/3201 Installing : libXft-2.3.3-5.fc34.x86_64 182/3201 Installing : pango-1.48.1-1.fc34.x86_64 183/3201 Installing : librsvg2-2.50.3-1.fc34.x86_64 184/3201 Running scriptlet: tk-1:8.6.10-5.fc33.x86_64 185/3201 Installing : tk-1:8.6.10-5.fc33.x86_64 185/3201 Installing : libXrandr-1.5.2-5.fc34.x86_64 186/3201 Installing : libXinerama-1.1.4-7.fc34.x86_64 187/3201 Installing : gtk2-2.24.33-3.fc34.x86_64 188/3201 Installing : lasi-1.1.3-4.fc33.x86_64 189/3201 Installing : gd-2.3.0-3.fc33.x86_64 190/3201 Installing : libXtst-1.2.3-13.fc34.x86_64 191/3201 Installing : libXv-1.0.11-13.fc34.x86_64 192/3201 Installing : libXxf86vm-1.1.4-15.fc34.x86_64 193/3201 Installing : libRmath-4.0.3-1.fc34.x86_64 194/3201 Installing : less-575-1.fc34.x86_64 195/3201 Installing : kmod-libs-28-1.fc34.x86_64 196/3201 Installing : kernel-headers-5.11.0-0.rc5.git0.1.fc34.x86_64 197/3201 Installing : json-c-0.14-7.fc33.x86_64 198/3201 Installing : isl-0.16.1-12.fc33.x86_64 199/3201 Installing : hyphen-2.8.8-14.fc33.x86_64 200/3201 Installing : hwdata-0.343-1.fc34.noarch 201/3201 Installing : libpciaccess-0.16-3.fc33.x86_64 202/3201 Installing : libdrm-2.4.103-1.fc34.x86_64 203/3201 Installing : libglvnd-glx-1:1.3.2-2.fc33.x86_64 204/3201 Installing : mesa-libGL-20.3.3-5.fc34.x86_64 205/3201 Installing : mesa-libgbm-20.3.3-5.fc34.x86_64 206/3201 Installing : libglvnd-egl-1:1.3.2-2.fc33.x86_64 207/3201 Installing : mesa-libEGL-20.3.3-5.fc34.x86_64 208/3201 Running scriptlet: groff-base-1.22.4-4.fc34.x86_64 209/3201 Installing : groff-base-1.22.4-4.fc34.x86_64 209/3201 Running scriptlet: groff-base-1.22.4-4.fc34.x86_64 209/3201 Installing : perl-Digest-SHA-1:6.02-458.fc33.x86_64 210/3201 Installing : perl-Digest-1.19-1.fc34.noarch 211/3201 Installing : perl-Tie-4.6-469.fc34.noarch 212/3201 Installing : perl-FindBin-1.51-469.fc34.noarch 213/3201 Installing : perl-lib-0.65-469.fc34.x86_64 214/3201 Installing : perl-GSSAPI-0.28-32.fc33.x86_64 215/3201 Installing : perl-Digest-HMAC-1.03-26.fc33.noarch 216/3201 Installing : perl-Digest-MD5-2.58-1.fc34.x86_64 217/3201 Installing : perl-Business-ISBN-Data-20210112.001-1.fc34.no 218/3201 Installing : perl-B-1.80-469.fc34.x86_64 219/3201 Installing : perl-FileHandle-2.03-469.fc34.noarch 220/3201 Installing : perl-Authen-SASL-2.16-22.fc33.noarch 221/3201 Installing : perl-AutoLoader-5.74-469.fc34.noarch 222/3201 Installing : perl-Data-Dumper-2.174-459.fc34.x86_64 223/3201 Installing : perl-Business-ISBN-3.006-1.fc34.noarch 224/3201 Installing : perl-libnet-3.13-1.fc34.noarch 225/3201 Installing : perl-base-2.27-469.fc34.noarch 226/3201 Installing : perl-URI-5.06-1.fc34.noarch 227/3201 Installing : perl-Net-SSLeay-1.90-1.fc34.x86_64 228/3201 Installing : perl-Mozilla-CA-20200520-3.fc33.noarch 229/3201 Installing : perl-if-0.60.800-469.fc34.noarch 230/3201 Installing : perl-IO-Socket-IP-0.41-2.fc34.noarch 231/3201 Installing : perl-Time-Local-2:1.300-4.fc33.noarch 232/3201 Installing : perl-File-Path-2.18-1.fc34.noarch 233/3201 Installing : perl-IO-Socket-SSL-2.069-1.fc34.noarch 234/3201 Installing : perl-Pod-Escapes-1:1.07-457.fc33.noarch 235/3201 Installing : perl-Text-Tabs+Wrap-2013.0523-457.fc33.noarch 236/3201 Installing : perl-Class-Struct-0.66-469.fc34.noarch 237/3201 Installing : perl-POSIX-1.94-469.fc34.x86_64 238/3201 Installing : perl-Term-ANSIColor-5.01-458.fc33.noarch 239/3201 Installing : perl-IPC-Open3-1.21-469.fc34.noarch 240/3201 Installing : perl-subs-1.03-469.fc34.noarch 241/3201 Installing : perl-File-Temp-1:0.231.100-1.fc34.noarch 242/3201 Installing : perl-HTTP-Tiny-0.076-457.fc33.noarch 243/3201 Installing : perl-Term-Cap-1.17-457.fc33.noarch 244/3201 Installing : perl-Pod-Simple-1:3.42-1.fc34.noarch 245/3201 Installing : perl-Socket-4:2.031-1.fc34.x86_64 246/3201 Installing : perl-SelectSaver-1.02-469.fc34.noarch 247/3201 Installing : perl-Symbol-1.08-469.fc34.noarch 248/3201 Installing : perl-File-stat-1.09-469.fc34.noarch 249/3201 Installing : perl-podlators-1:4.14-457.fc33.noarch 250/3201 Installing : perl-Pod-Perldoc-3.28.01-458.fc33.noarch 251/3201 Installing : perl-Fcntl-1.13-469.fc34.x86_64 252/3201 Installing : perl-Text-ParseWords-3.30-457.fc33.noarch 253/3201 Installing : perl-mro-1.23-469.fc34.x86_64 254/3201 Installing : perl-IO-1.43-469.fc34.x86_64 255/3201 Installing : perl-overloading-0.02-469.fc34.noarch 256/3201 Installing : perl-Pod-Usage-4:2.01-1.fc34.noarch 257/3201 Installing : perl-Errno-1.30-469.fc34.x86_64 258/3201 Installing : perl-File-Basename-2.85-469.fc34.noarch 259/3201 Installing : perl-Getopt-Std-1.12-469.fc34.noarch 260/3201 Installing : perl-MIME-Base64-3.16-1.fc34.x86_64 261/3201 Installing : perl-Scalar-List-Utils-4:1.55-457.fc33.x86_64 262/3201 Installing : perl-constant-1.33-458.fc33.noarch 263/3201 Installing : perl-Storable-1:3.21-457.fc33.x86_64 264/3201 Installing : perl-overload-1.31-469.fc34.noarch 265/3201 Installing : perl-parent-1:0.238-457.fc33.noarch 266/3201 Installing : perl-vars-1.05-469.fc34.noarch 267/3201 Installing : perl-Getopt-Long-1:2.52-1.fc34.noarch 268/3201 Installing : perl-Carp-1.50-457.fc33.noarch 269/3201 Installing : perl-Exporter-5.74-458.fc33.noarch 270/3201 Installing : perl-PathTools-3.78-458.fc34.x86_64 271/3201 Installing : perl-Encode-4:3.08-458.fc34.x86_64 272/3201 Installing : perl-libs-4:5.32.1-469.fc34.x86_64 273/3201 Installing : perl-interpreter-4:5.32.1-469.fc34.x86_64 274/3201 Installing : perl-DynaLoader-1.47-469.fc34.x86_64 275/3201 Installing : perl-File-Find-1.37-469.fc34.noarch 276/3201 Installing : perl-Try-Tiny-0.30-10.fc33.noarch 277/3201 Installing : perl-Module-Runtime-0.016-10.fc33.noarch 278/3201 Installing : perl-locale-1.09-469.fc34.noarch 279/3201 Installing : perl-version-7:0.99.28-1.fc34.x86_64 280/3201 Installing : perl-Dist-CheckConflicts-0.11-20.fc33.noarch 281/3201 Installing : perl-Module-Implementation-0.09-27.fc33.noarch 282/3201 Installing : perl-File-Copy-2.34-469.fc34.noarch 283/3201 Installing : perl-Unicode-Normalize-1.27-458.fc33.x86_64 284/3201 Installing : perl-Compress-Raw-Zlib-2.100-1.fc34.x86_64 285/3201 Installing : perl-LWP-MediaTypes-6.04-6.fc33.noarch 286/3201 Installing : perl-LaTeX-ToUnicode-0.11-1.fc34.noarch 287/3201 Installing : perl-Params-Util-1.102-2.fc34.x86_64 288/3201 Installing : perl-Sys-Hostname-1.23-469.fc34.x86_64 289/3201 Installing : perl-Time-HiRes-4:1.9764-459.fc34.x86_64 290/3201 Installing : perl-TimeDate-1:2.33-3.fc33.noarch 291/3201 Installing : perl-HTTP-Date-6.05-4.fc33.noarch 292/3201 Installing : perl-BibTeX-Parser-1.02-10.fc34.noarch 293/3201 Installing : perl-Date-Manip-6.83-2.fc34.noarch 294/3201 Installing : perl-Clone-0.45-3.fc33.x86_64 295/3201 Installing : perl-Carp-Clan-6.08-5.fc33.noarch 296/3201 Installing : perl-Data-Dump-1.23-15.fc33.noarch 297/3201 Installing : perl-Date-ISO8601-0.005-10.fc33.noarch 298/3201 Installing : perl-Devel-StackTrace-1:2.04-7.fc33.noarch 299/3201 Installing : perl-List-MoreUtils-XS-0.430-1.fc34.x86_64 300/3201 Installing : perl-Math-Complex-1.59-469.fc34.noarch 301/3201 Installing : perl-Math-BigInt-1:1.9998.18-457.fc33.noarch 302/3201 Installing : perl-Opcode-1.48-469.fc34.x86_64 303/3201 Installing : perl-Safe-2.41-469.fc34.noarch 304/3201 Installing : perl-PerlIO-utf8_strict-0.008-1.fc34.x86_64 305/3201 Installing : perl-Sub-Install-0.928-25.fc33.noarch 306/3201 Installing : perl-Text-Unidecode-1.30-13.fc33.noarch 307/3201 Installing : perl-XML-NamespaceSupport-1.12-12.fc33.noarch 308/3201 Installing : perl-XML-Parser-2.46-6.fc33.x86_64 309/3201 Installing : perl-XML-SAX-Base-1.09-12.fc33.noarch 310/3201 Installing : perl-Data-OptList-0.110-14.fc33.noarch 311/3201 Installing : perl-File-Slurper-0.012-9.fc33.noarch 312/3201 Installing : perl-Data-Uniqid-0.12-23.fc33.noarch 313/3201 Installing : perl-JSON-4.03-1.fc34.noarch 314/3201 Installing : perl-Bit-Vector-7.4-21.fc33.x86_64 315/3201 Installing : perl-Date-Calc-6.4-17.fc33.noarch 316/3201 Installing : perl-File-Listing-6.14-1.fc34.noarch 317/3201 Installing : perl-Mail-Sendmail-0.80-10.fc33.noarch 318/3201 Installing : perl-Text-BibTeX-0.88-6.fc33.x86_64 319/3201 Installing : perl-Unicode-Collate-1.29-1.fc34.x86_64 320/3201 Installing : perl-Unicode-UCD-0.75-469.fc34.noarch 321/3201 Installing : perl-List-SomeUtils-0.58-4.fc33.noarch 322/3201 Installing : perl-Params-Validate-1.30-1.fc34.x86_64 323/3201 Installing : perl-Module-CoreList-1:5.20210123-1.fc34.noarc 324/3201 Installing : perl-Module-Metadata-1.000037-457.fc33.noarch 325/3201 Installing : perl-Pod-Html-1.25-469.fc34.noarch 326/3201 Installing : perl-Sort-Key-1.33-19.fc33.x86_64 327/3201 Installing : perl-Digest-MD4-1.9-26.fc33.x86_64 328/3201 Installing : perl-Digest-SHA1-2.13-31.fc33.x86_64 329/3201 Installing : perl-DynaLoader-Functions-0.003-10.fc33.noarch 330/3201 Installing : perl-Devel-CallChecker-0.008-11.fc33.x86_64 331/3201 Installing : perl-Params-Classify-0.015-11.fc33.x86_64 332/3201 Installing : perl-DateTime-TimeZone-SystemV-0.010-11.fc33.n 333/3201 Installing : perl-DateTime-TimeZone-Tzfile-0.011-11.fc33.no 334/3201 Installing : perl-PadWalker-2.5-1.fc34.x86_64 335/3201 Installing : perl-Devel-Caller-2.06-23.fc33.x86_64 336/3201 Installing : perl-Devel-LexAlias-0.05-24.fc33.x86_64 337/3201 Installing : perl-Business-ISSN-1.004-3.fc33.noarch 338/3201 Installing : perl-Class-Accessor-0.51-10.fc33.noarch 339/3201 Installing : perl-Class-Data-Inheritable-0.08-36.fc33.noarc 340/3201 Installing : perl-Exception-Class-1.44-10.fc33.noarch 341/3201 Installing : perl-Class-Inspector-1.36-4.fc33.noarch 342/3201 Installing : perl-Class-Method-Modifiers-2.13-5.fc33.noarch 343/3201 Installing : perl-Role-Tiny-2.002004-1.fc34.noarch 344/3201 Installing : perl-Class-Singleton-1.6-1.fc34.noarch 345/3201 Installing : perl-Compress-Raw-Bzip2-2.100-1.fc34.x86_64 346/3201 Installing : perl-IO-Compress-2.100-1.fc34.noarch 347/3201 Installing : perl-Net-HTTP-6.20-1.fc34.noarch 348/3201 Installing : perl-Convert-ASN1-0.27-21.fc34.noarch 349/3201 Installing : perl-Crypt-RC4-2.02-26.fc33.noarch 350/3201 Installing : perl-Digest-Perl-MD5-1.9-21.fc33.noarch 351/3201 Installing : perl-Email-Date-Format-1.005-17.fc33.noarch 352/3201 Installing : perl-Encode-EUCJPASCII-0.03-31.fc33.x86_64 353/3201 Installing : perl-Encode-HanExtra-0.23-31.fc33.x86_64 354/3201 Installing : perl-Encode-JIS2K-0.03-16.fc33.x86_64 355/3201 Installing : perl-Exporter-Tiny-1.002002-3.fc33.noarch 356/3201 Installing : perl-List-MoreUtils-0.430-1.fc34.noarch 357/3201 Installing : perl-File-ShareDir-1.118-1.fc34.noarch 358/3201 Installing : perl-ExtUtils-MM-Utils-2:7.58-1.fc34.noarch 359/3201 Installing : perl-File-Compare-1.100.600-469.fc34.noarch 360/3201 Installing : perl-File-Which-1.23-7.fc33.noarch 361/3201 Installing : perl-HTML-Tagset-3.20-44.fc33.noarch 362/3201 Installing : perl-Hash-Util-FieldHash-1.20-469.fc34.x86_64 363/3201 Installing : perl-I18N-LangTags-0.44-469.fc34.noarch 364/3201 Installing : perl-Locale-Maketext-1.29-458.fc33.noarch 365/3201 Installing : perl-Locale-Maketext-Simple-1:0.21-469.fc34.no 366/3201 Installing : perl-Params-Check-1:0.38-458.fc34.noarch 367/3201 Installing : perl-I18N-Langinfo-0.19-469.fc34.x86_64 368/3201 Installing : perl-Encode-Locale-1.05-18.fc33.noarch 369/3201 Installing : perl-IO-HTML-1.004-1.fc34.noarch 370/3201 Installing : perl-HTTP-Message-6.27-1.fc34.noarch 371/3201 Installing : perl-HTML-Parser-3.75-2.fc34.x86_64 372/3201 Installing : perl-HTTP-Negotiate-6.01-27.fc33.noarch 373/3201 Installing : perltidy-20210111-1.fc34.noarch 374/3201 Installing : perl-Eval-Closure-0.14-13.fc33.noarch 375/3201 Installing : perl-Params-ValidationCompiler-0.30-9.fc33.noa 376/3201 Installing : perl-HTTP-Cookies-6.10-1.fc34.noarch 377/3201 Installing : perl-IO-String-1.08-40.fc33.noarch 378/3201 Installing : perl-IO-stringy-2.113-4.fc33.noarch 379/3201 Installing : perl-OLE-Storage_Lite-0.20-4.fc33.noarch 380/3201 Installing : perl-IPC-Run3-0.048-20.fc33.noarch 381/3201 Installing : perl-IPC-SysV-2.09-1.fc34.x86_64 382/3201 Installing : perl-Jcode-2.07-33.fc33.noarch 383/3201 Installing : perl-List-UtilsBy-0.11-10.fc33.noarch 384/3201 Installing : perl-List-AllUtils-0.18-1.fc34.noarch 385/3201 Installing : perl-MIME-Charset-1.012.2-12.fc33.noarch 386/3201 Installing : perl-Unicode-LineBreak-2019.001-8.fc33.x86_64 387/3201 Installing : perl-MIME-Types-2.18-1.fc34.noarch 388/3201 Installing : perl-MIME-Lite-3.031-4.fc33.noarch 389/3201 Installing : perl-MRO-Compat-0.13-12.fc33.noarch 390/3201 Installing : perl-Mail-Sender-1:0.903-13.fc33.noarch 391/3201 Installing : perl-Module-Load-1:0.36-1.fc34.noarch 392/3201 Installing : perl-Module-Load-Conditional-0.74-1.fc34.noarc 393/3201 Installing : perl-IPC-Cmd-2:1.04-458.fc33.noarch 394/3201 Installing : perl-NTLM-1.09-27.fc33.noarch 395/3201 Installing : perl-Net-SMTP-SSL-1.04-13.fc33.noarch 396/3201 Installing : perl-MailTools-2.21-6.fc33.noarch 397/3201 Installing : perl-Number-Compare-0.03-27.fc33.noarch 398/3201 Installing : perl-Package-Generator-1.106-20.fc34.noarch 399/3201 Installing : perl-Sub-Exporter-0.987-24.fc33.noarch 400/3201 Installing : perl-Sub-Exporter-Progressive-0.001013-13.fc33 401/3201 Installing : perl-Devel-GlobalDestruction-0.14-13.fc33.noar 402/3201 Installing : perl-Package-Stash-XS-0.29-8.fc33.x86_64 403/3201 Installing : perl-Package-Stash-0.39-1.fc34.noarch 404/3201 Installing : perl-Ref-Util-XS-0.117-10.fc33.x86_64 405/3201 Installing : perl-Ref-Util-0.204-9.fc33.noarch 406/3201 Installing : perl-Regexp-Common-2017060201-13.fc33.noarch 407/3201 Installing : perl-Sub-Identify-0.14-14.fc33.x86_64 408/3201 Installing : perl-Sys-Syslog-0.36-458.fc33.x86_64 409/3201 Installing : perl-Text-Balanced-2.04-1.fc34.noarch 410/3201 Installing : perl-Parse-RecDescent-1.967015-12.fc33.noarch 411/3201 Installing : perl-Text-CSV-2.00-5.fc33.noarch 412/3201 Installing : perl-Text-Glob-0.11-12.fc33.noarch 413/3201 Installing : perl-File-Find-Rule-0.34-16.fc33.noarch 414/3201 Installing : perl-Data-Compare-1.27-4.fc33.noarch 415/3201 Installing : perl-Text-Roman-3.5-17.fc33.noarch 416/3201 Installing : perl-Tie-Cycle-1.226-1.fc34.noarch 417/3201 Installing : perl-Business-ISMN-1.201-7.fc33.noarch 418/3201 Installing : perl-UNIVERSAL-isa-1.20171012-10.fc33.noarch 419/3201 Installing : perl-Text-CSV_XS-1.45-1.fc34.x86_64 420/3201 Installing : perl-Variable-Magic-0.62-11.fc33.x86_64 421/3201 Installing : perl-B-Hooks-EndOfScope-0.24-12.fc33.noarch 422/3201 Installing : perl-namespace-clean-0.27-15.fc33.noarch 423/3201 Installing : perl-namespace-autoclean-0.29-5.fc33.noarch 424/3201 Installing : perl-WWW-RobotRules-6.02-27.fc34.noarch 425/3201 Installing : perl-LWP-Protocol-https-6.10-1.fc34.noarch 426/3201 Installing : perl-libwww-perl-6.52-1.fc34.noarch 427/3201 Installing : perl-Unicode-Map-0.112-52.fc33.x86_64 428/3201 Installing : perl-XML-SAX-1.02-5.fc33.noarch 429/3201 Running scriptlet: perl-XML-SAX-1.02-5.fc33.noarch 429/3201 Installing : perl-XML-LibXML-1:2.0206-2.fc34.x86_64 430/3201 Running scriptlet: perl-XML-LibXML-1:2.0206-2.fc34.x86_64 430/3201 Installing : perl-XML-LibXML-Simple-1.01-4.fc33.noarch 431/3201 Installing : perl-XML-LibXSLT-1.99-4.fc33.x86_64 432/3201 Installing : texlive-crossrefware-9:20200327-25.fc34.noarch 433/3201 Installing : perl-XML-Writer-0.900-2.fc34.noarch 434/3201 Installing : perl-XString-0.005-1.fc34.x86_64 435/3201 Installing : perl-Specio-0.46-4.fc33.noarch 436/3201 Installing : perl-DateTime-Locale-1.31-1.fc34.noarch 437/3201 Installing : perl-DateTime-2:1.54-1.fc34.x86_64 438/3201 Installing : perl-DateTime-TimeZone-2.47-1.fc34.noarch 439/3201 Installing : perl-Log-Dispatch-2.70-2.fc34.noarch 440/3201 Installing : perl-Log-Dispatch-FileRotate-1.36-7.fc33.noarc 441/3201 Installing : perl-DateTime-Format-Strptime-1:1.78-1.fc34.no 442/3201 Installing : perl-DateTime-Format-Builder-0.8300-1.fc33.noa 443/3201 Installing : perl-DateTime-Calendar-Julian-0.103-1.fc34.noa 444/3201 Installing : perl-autouse-1.11-469.fc34.noarch 445/3201 Installing : perl-Spreadsheet-WriteExcel-2.40-20.fc33.noarc 446/3201 Installing : perl-Spreadsheet-ParseExcel-0.6500-27.fc33.x86 447/3201 Installing : perl-autovivification-0.18-11.fc33.x86_64 448/3201 Installing : perl-deprecate-0.04-469.fc34.noarch 449/3201 Installing : perl-Text-Soundex-3.05-17.fc33.x86_64 450/3201 Installing : perl-LDAP-1:0.68-1.fc34.noarch 451/3201 Installing : perl-Log-Log4perl-1.53-1.fc34.noarch 452/3201 Installing : perl-meta-notation-5.32.1-469.fc34.noarch 453/3201 Installing : perl-sigtrap-1.09-469.fc34.noarch 454/3201 Installing : glibc-headers-x86-2.32.9000-26.fc34.noarch 455/3201 Installing : libxcrypt-devel-4.4.17-1.fc34.x86_64 456/3201 Installing : glibc-devel-2.32.9000-26.fc34.x86_64 457/3201 Installing : gcc-11.0.0-0.17.fc34.x86_64 458/3201 Installing : perl-Filter-2:1.60-1.fc33.x86_64 459/3201 Installing : perl-encoding-4:3.00-458.fc34.x86_64 460/3201 Installing : perl-open-1.12-469.fc34.noarch 461/3201 Installing : perl-XML-XPath-1.44-8.fc34.noarch 462/3201 Installing : texlive-epstopdf-pkg-9:svn53546-36.fc34.noarch 463/3201 Installing : texlive-cm-9:svn49028-36.fc34.noarch 464/3201 Installing : texlive-etex-9:svn37057.0-36.fc34.noarch 465/3201 Installing : texlive-graphics-def-9:svn54522-36.fc34.noarch 466/3201 Installing : texlive-hyph-utf8-9:svn54568-36.fc34.noarch 467/3201 Installing : texlive-hyphen-base-9:svn54763-36.fc34.noarch 468/3201 Installing : texlive-knuth-lib-9:svn35820.0-36.fc34.noarch 469/3201 Installing : texlive-plain-9:svn43076-36.fc34.noarch 470/3201 Installing : texlive-tex-ini-files-9:svn40533-36.fc34.noarc 471/3201 Installing : texlive-unicode-data-9:svn54758-36.fc34.noarch 472/3201 Installing : texlive-luatex-9:20200327-25.fc34.x86_64 473/3201 Installing : texlive-texlive.infra-9:20200327-25.fc34.noarc 474/3201 Installing : texlive-texlive-scripts-9:20200327-25.fc34.noa 475/3201 Installing : texlive-kpathsea-9:20200327-25.fc34.x86_64 476/3201 Installing : texlive-iftex-9:svn54159-36.fc34.noarch 477/3201 Installing : texlive-amsmath-9:svn53640-36.fc34.noarch 478/3201 Installing : texlive-amsfonts-9:svn29208.3.04-36.fc34.noarc 479/3201 Installing : texlive-kvoptions-9:svn52985-36.fc34.noarch 480/3201 Installing : texlive-fancyhdr-9:svn49886-36.fc34.noarch 481/3201 Installing : texlive-babel-9:svn54487-36.fc34.noarch 482/3201 Installing : texlive-url-9:svn32528.3.4-36.fc34.noarch 483/3201 Installing : texlive-setspace-9:svn24881.6.7a-36.fc34.noarc 484/3201 Installing : texlive-xstring-9:svn49946-36.fc34.noarch 485/3201 Installing : texlive-booktabs-9:svn53402-36.fc34.noarch 486/3201 Installing : texlive-fontaxes-9:svn33276.1.0d-36.fc34.noarc 487/3201 Installing : texlive-natbib-9:svn20668.8.31b-36.fc34.noarch 488/3201 Installing : texlive-ragged2e-9:svn51780-36.fc34.noarch 489/3201 Installing : texlive-titlesec-9:svn52413-36.fc34.noarch 490/3201 Installing : texlive-enumitem-9:svn51423-36.fc34.noarch 491/3201 Installing : texlive-fp-9:svn49719-36.fc34.noarch 492/3201 Installing : texlive-etex-pkg-9:svn41784-36.fc34.noarch 493/3201 Installing : texlive-etoolbox-9:svn52153-36.fc34.noarch 494/3201 Installing : texlive-float-9:svn15878.1.3d-36.fc34.noarch 495/3201 Installing : texlive-mweights-9:svn53520-36.fc34.noarch 496/3201 Installing : texlive-pdftexcmds-9:svn52913-36.fc34.noarch 497/3201 Installing : texlive-lm-9:svn48145-36.fc34.noarch 498/3201 Installing : texlive-lastpage-9:svn36680.1.2m-36.fc34.noarc 499/3201 Installing : texlive-ltxcmds-9:svn53165-36.fc34.noarch 500/3201 Installing : texlive-atbegshi-9:svn53051-36.fc34.noarch 501/3201 Installing : texlive-relsize-9:svn30707.4.1-36.fc34.noarch 502/3201 Installing : texlive-ulem-9:svn53365-36.fc34.noarch 503/3201 Installing : texlive-zref-9:svn54098-36.fc34.noarch 504/3201 Installing : texlive-marginnote-9:svn48383-36.fc34.noarch 505/3201 Installing : texlive-tocloft-9:svn53364-36.fc34.noarch 506/3201 Installing : texlive-bookmark-9:svn53026-36.fc34.noarch 507/3201 Installing : texlive-paralist-9:svn43021-36.fc34.noarch 508/3201 Installing : texlive-fancybox-9:svn18304.1.4-36.fc34.noarch 509/3201 Installing : texlive-letltxmacro-9:svn53022-36.fc34.noarch 510/3201 Installing : texlive-soul-9:svn15878.2.4-36.fc34.noarch 511/3201 Installing : texlive-textcase-9:svn52092-36.fc34.noarch 512/3201 Installing : texlive-footmisc-9:svn23330.5.5b-36.fc34.noarc 513/3201 Installing : texlive-framed-9:svn26789.0.96-36.fc34.noarch 514/3201 Installing : texlive-marvosym-9:svn29349.2.2a-36.fc34.noarc 515/3201 Installing : texlive-multido-9:svn18302.1.42-36.fc34.noarch 516/3201 Installing : texlive-changepage-9:svn15878.1.0c-36.fc34.noa 517/3201 Installing : texlive-cite-9:svn36428.5.5-36.fc34.noarch 518/3201 Installing : texlive-comment-9:svn41927-36.fc34.noarch 519/3201 Installing : texlive-eurosym-9:svn17265.1.4_subrfix-36.fc34 520/3201 Installing : texlive-tocbibind-9:svn20085.1.5k-36.fc34.noar 521/3201 Installing : texlive-txfonts-9:svn15878.0-36.fc34.noarch 522/3201 Installing : texlive-catoptions-9:svn35069.0.2.7h-36.fc34.n 523/3201 Installing : texlive-atveryend-9:svn53108-36.fc34.noarch 524/3201 Installing : texlive-ifmtarg-9:svn47544-36.fc34.noarch 525/3201 Installing : texlive-kvsetkeys-9:svn53166-36.fc34.noarch 526/3201 Installing : texlive-multirow-9:svn51278-36.fc34.noarch 527/3201 Installing : texlive-needspace-9:svn29601.1.3d-36.fc34.noar 528/3201 Installing : texlive-alphalph-9:svn53087-36.fc34.noarch 529/3201 Installing : texlive-everypage-9:svn15878.1.1-36.fc34.noarc 530/3201 Installing : texlive-filecontents-9:svn52142-36.fc34.noarch 531/3201 Installing : texlive-infwarerr-9:svn53023-36.fc34.noarch 532/3201 Installing : texlive-varwidth-9:svn24104.0.92-36.fc34.noarc 533/3201 Installing : texlive-etextools-9:svn20694.3.1415926-36.fc34 534/3201 Installing : texlive-tex-gyre-9:svn48058-36.fc34.noarch 535/3201 Installing : texlive-cleveref-9:svn47525-36.fc34.noarch 536/3201 Installing : texlive-cmap-9:svn42428-36.fc34.noarch 537/3201 Installing : texlive-eepic-9:svn15878.1.1e-36.fc34.noarch 538/3201 Installing : texlive-etexcmds-9:svn53171-36.fc34.noarch 539/3201 Installing : texlive-hologo-9:svn53048-36.fc34.noarch 540/3201 Installing : texlive-parskip-9:svn53503-36.fc34.noarch 541/3201 Installing : texlive-picture-9:svn54867-36.fc34.noarch 542/3201 Installing : texlive-placeins-9:svn19848.2.2-36.fc34.noarch 543/3201 Installing : texlive-refcount-9:svn53164-36.fc34.noarch 544/3201 Installing : texlive-subfigure-9:svn15878.2.1.5-36.fc34.noa 545/3201 Installing : texlive-svn-prov-9:svn18017.3.1862-36.fc34.noa 546/3201 Installing : texlive-trimspaces-9:svn15878.1.1-36.fc34.noar 547/3201 Installing : texlive-environ-9:svn54080-36.fc34.noarch 548/3201 Installing : texlive-was-9:svn21439.0-36.fc34.noarch 549/3201 Installing : texlive-wrapfig-9:svn22048.3.6-36.fc34.noarch 550/3201 Installing : texlive-catchfile-9:svn53084-36.fc34.noarch 551/3201 Installing : texlive-ifplatform-9:svn45533-36.fc34.noarch 552/3201 Installing : texlive-auxhook-9:svn53173-36.fc34.noarch 553/3201 Installing : texlive-boxedminipage-9:svn54827-36.fc34.noarc 554/3201 Installing : texlive-ifoddpage-9:svn40726-36.fc34.noarch 555/3201 Installing : texlive-index-9:svn24099.4.1beta-36.fc34.noarc 556/3201 Installing : texlive-intcalc-9:svn53168-36.fc34.noarch 557/3201 Installing : texlive-latex-fonts-9:svn28888.0-36.fc34.noarc 558/3201 Installing : texlive-pxfonts-9:svn15878.0-36.fc34.noarch 559/3201 Installing : texlive-transparent-9:svn52981-36.fc34.noarch 560/3201 Installing : texlive-everyhook-9:svn35675.1.2-36.fc34.noarc 561/3201 Installing : texlive-mfirstuc-9:svn45803-36.fc34.noarch 562/3201 Installing : texlive-accsupp-9:svn53052-36.fc34.noarch 563/3201 Installing : texlive-atenddvi-9:svn53107-36.fc34.noarch 564/3201 Installing : texlive-bbding-9:svn17186.1.01-36.fc34.noarch 565/3201 Installing : texlive-cancel-9:svn32508.2.2-36.fc34.noarch 566/3201 Installing : texlive-endnotes-9:svn53319-36.fc34.noarch 567/3201 Installing : texlive-hyphenat-9:svn15878.2.3c-36.fc34.noarc 568/3201 Installing : texlive-mdwtools-9:svn15878.1.05.4-36.fc34.noa 569/3201 Installing : texlive-nomencl-9:svn54080-36.fc34.noarch 570/3201 Installing : texlive-preprint-9:svn30447.2011-36.fc34.noarc 571/3201 Installing : texlive-substr-9:svn16117.1.2-36.fc34.noarch 572/3201 Installing : texlive-truncate-9:svn18921.3.6-36.fc34.noarch 573/3201 Installing : texlive-ltxkeys-9:svn28332.0.0.3c-36.fc34.noar 574/3201 Installing : texlive-filemod-9:svn24042.1.2-36.fc34.noarch 575/3201 Installing : texlive-ltxnew-9:svn21586.1.3-36.fc34.noarch 576/3201 Installing : texlive-babelbib-9:svn50354-36.fc34.noarch 577/3201 Installing : texlive-onlyamsmath-9:svn42927-36.fc34.noarch 578/3201 Installing : texlive-fontawesome-9:svn48145-36.fc34.noarch 579/3201 Installing : texlive-collectbox-9:svn26557.0.4b-36.fc34.noa 580/3201 Installing : texlive-doublestroke-9:svn15878.1.111-36.fc34. 581/3201 Installing : texlive-embedfile-9:svn54865-36.fc34.noarch 582/3201 Installing : texlive-esvect-9:svn32098.1.3-36.fc34.noarch 583/3201 Installing : texlive-gettitlestring-9:svn53170-36.fc34.noar 584/3201 Installing : texlive-kastrup-9:svn15878.0-36.fc34.noarch 585/3201 Installing : texlive-l3backend-9:svn54259-36.fc34.noarch 586/3201 Installing : texlive-lipsum-9:svn49610-36.fc34.noarch 587/3201 Installing : texlive-makecmds-9:svn15878.0-36.fc34.noarch 588/3201 Installing : texlive-pdfescape-9:svn53082-36.fc34.noarch 589/3201 Installing : texlive-pdflscape-9:svn53047-36.fc34.noarch 590/3201 Installing : texlive-sectsty-9:svn15878.2.0.2-36.fc34.noarc 591/3201 Installing : texlive-stmaryrd-9:svn22027.0-36.fc34.noarch 592/3201 Installing : texlive-stringenc-9:svn52982-36.fc34.noarch 593/3201 Installing : texlive-threeparttable-9:svn17383.0-36.fc34.no 594/3201 Installing : texlive-type1cm-9:svn21820.0-36.fc34.noarch 595/3201 Installing : texlive-vmargin-9:svn15878.2.5-36.fc34.noarch 596/3201 Installing : texlive-wasysym-9:svn54080-36.fc34.noarch 597/3201 Installing : texlive-xfor-9:svn15878.1.05-36.fc34.noarch 598/3201 Installing : texlive-hyperxmp-9:svn54758-36.fc34.noarch 599/3201 Installing : texlive-dvips-9:20200327-25.fc34.x86_64 600/3201 Installing : texlive-isomath-9:svn27654.0.6.1-36.fc34.noarc 601/3201 Installing : texlive-linegoal-9:svn21523.2.9-36.fc34.noarch 602/3201 Installing : texlive-noindentafter-9:svn35709.0.2.2-36.fc34 603/3201 Installing : texlive-quoting-9:svn32818.v0.1c-36.fc34.noarc 604/3201 Installing : texlive-memoir-9:svn54554-36.fc34.noarch 605/3201 Installing : texlive-dox-9:svn46011-36.fc34.noarch 606/3201 Installing : texlive-morefloats-9:svn37927.1.0h-36.fc34.noa 607/3201 Installing : texlive-nowidow-9:svn24066.1.0-36.fc34.noarch 608/3201 Installing : texlive-SIunits-9:svn15878.1.36-36.fc34.noarch 609/3201 Installing : texlive-anyfontsize-9:svn17050.0-36.fc34.noarc 610/3201 Installing : texlive-arrayjobx-9:svn18125.1.04-36.fc34.noar 611/3201 Installing : texlive-beton-9:svn15878.0-36.fc34.noarch 612/3201 Installing : texlive-chngcntr-9:svn47577-36.fc34.noarch 613/3201 Installing : texlive-cmbright-9:svn21107.8.1-36.fc34.noarch 614/3201 Installing : texlive-draftcopy-9:svn15878.2.16-36.fc34.noar 615/3201 Installing : texlive-emptypage-9:svn18064.1.2-36.fc34.noarc 616/3201 Installing : texlive-epigraph-9:svn54857-36.fc34.noarch 617/3201 Installing : texlive-esint-9:svn52240-36.fc34.noarch 618/3201 Installing : texlive-euler-9:svn42428-36.fc34.noarch 619/3201 Installing : texlive-eulervm-9:svn15878.4.0-36.fc34.noarch 620/3201 Installing : texlive-fileinfo-9:svn28421.0.81a-36.fc34.noar 621/3201 Installing : texlive-fltpoint-9:svn15878.1.1b-36.fc34.noarc 622/3201 Installing : texlive-fundus-calligra-9:svn26018.1.2-36.fc34 623/3201 Installing : texlive-gloss-9:svn15878.1.5.2-36.fc34.noarch 624/3201 Installing : texlive-graphics-cfg-9:svn41448-36.fc34.noarch 625/3201 Installing : texlive-graphics-9:svn53640-36.fc34.noarch 626/3201 Installing : texlive-tools-9:svn53640-36.fc34.noarch 627/3201 Installing : texlive-xkeyval-9:svn35741.2.7a-36.fc34.noarch 628/3201 Installing : texlive-geometry-9:svn54080-36.fc34.noarch 629/3201 Installing : texlive-caption-9:svn53517-36.fc34.noarch 630/3201 Installing : texlive-microtype-9:svn52853-36.fc34.noarch 631/3201 Installing : texlive-csquotes-9:svn53041-36.fc34.noarch 632/3201 Installing : texlive-colortbl-9:svn53545-36.fc34.noarch 633/3201 Installing : texlive-mathtools-9:svn54516-36.fc34.noarch 634/3201 Installing : texlive-xargs-9:svn15878.1.1-36.fc34.noarch 635/3201 Installing : texlive-carlisle-9:svn47876-36.fc34.noarch 636/3201 Installing : texlive-pict2e-9:svn51918-36.fc34.noarch 637/3201 Installing : texlive-subfig-9:svn15878.1.3-36.fc34.noarch 638/3201 Installing : texlive-draftwatermark-9:svn54317-36.fc34.noar 639/3201 Installing : texlive-endfloat-9:svn51003-36.fc34.noarch 640/3201 Installing : texlive-bigfoot-9:svn38248.2.1-36.fc34.noarch 641/3201 Installing : texlive-ncctools-9:svn51810-36.fc34.noarch 642/3201 Installing : texlive-breakurl-9:svn29901.1.40-36.fc34.noarc 643/3201 Installing : texlive-moreverb-9:svn22126.2.3a-36.fc34.noarc 644/3201 Installing : texlive-psfrag-9:svn15878.3.04-36.fc34.noarch 645/3201 Installing : texlive-sauerj-9:svn15878.0-36.fc34.noarch 646/3201 Installing : texlive-translator-9:svn54512-36.fc34.noarch 647/3201 Installing : texlive-ifsym-9:svn24868.0-36.fc34.noarch 648/3201 Installing : texlive-sttools-9:svn43684-36.fc34.noarch 649/3201 Installing : texlive-xypic-9:svn31859.3.8.9-36.fc34.noarch 650/3201 Installing : texlive-acronym-9:svn54758-36.fc34.noarch 651/3201 Installing : texlive-apacite-9:svn54080-36.fc34.noarch 652/3201 Installing : texlive-makecell-9:svn15878.0.1e-36.fc34.noarc 653/3201 Installing : texlive-lcg-9:svn31474.1.3-36.fc34.noarch 654/3201 Installing : texlive-floatrow-9:svn15878.0.3b-36.fc34.noarc 655/3201 Installing : texlive-auto-pst-pdf-9:svn52849-36.fc34.noarch 656/3201 Installing : texlive-ccicons-9:svn54512-36.fc34.noarch 657/3201 Installing : texlive-blindtext-9:svn25039.2.0-36.fc34.noarc 658/3201 Installing : texlive-etoc-9:svn52842-36.fc34.noarch 659/3201 Installing : texlive-tabulary-9:svn34368.0.10-36.fc34.noarc 660/3201 Installing : texlive-crop-9:svn15878.1.5-36.fc34.noarch 661/3201 Installing : texlive-envlab-9:svn15878.1.2-36.fc34.noarch 662/3201 Installing : texlive-fncychap-9:svn20710.v1.34-36.fc34.noar 663/3201 Installing : texlive-lettrine-9:svn54560-36.fc34.noarch 664/3201 Installing : texlive-paratype-9:svn32859.0-36.fc34.noarch 665/3201 Installing : texlive-shadethm-9:svn53350-36.fc34.noarch 666/3201 Installing : texlive-grffile-9:svn52756-36.fc34.noarch 667/3201 Installing : texlive-ifnextok-9:svn23379.0.3-36.fc34.noarch 668/3201 Installing : texlive-import-9:svn54683-36.fc34.noarch 669/3201 Installing : texlive-iwona-9:svn19611.0.995b-36.fc34.noarch 670/3201 Installing : texlive-mathabx-9:svn15878.0-36.fc34.noarch 671/3201 Installing : texlive-mciteplus-9:svn31648.1.2-36.fc34.noarc 672/3201 Installing : texlive-moresize-9:svn17513.1.9-36.fc34.noarch 673/3201 Installing : texlive-mparhack-9:svn15878.1.4-36.fc34.noarch 674/3201 Installing : texlive-pdfcolmk-9:svn52912-36.fc34.noarch 675/3201 Installing : texlive-xcolor-9:svn41044-36.fc34.noarch 676/3201 Installing : texlive-eso-pic-9:svn47694-36.fc34.noarch 677/3201 Installing : texlive-pictex-9:svn21943.1.1-36.fc34.noarch 678/3201 Installing : texlive-pslatex-9:svn16416.0-36.fc34.noarch 679/3201 Installing : texlive-random-9:svn54723-36.fc34.noarch 680/3201 Installing : texlive-selinput-9:svn53098-36.fc34.noarch 681/3201 Installing : texlive-silence-9:svn27028.1.5b-36.fc34.noarch 682/3201 Installing : texlive-splitindex-9:20200327-25.fc34.noarch 683/3201 Installing : texlive-tex-9:20200327-25.fc34.x86_64 684/3201 Installing : texlive-titling-9:svn15878.2.1d-36.fc34.noarch 685/3201 Installing : texlive-xcomment-9:svn20031.1.3-36.fc34.noarch 686/3201 Installing : texlive-xtab-9:svn23347.2.3f-36.fc34.noarch 687/3201 Installing : texlive-zapfding-9:svn31835.0-36.fc34.noarch 688/3201 Installing : texlive-assoccnt-9:svn38497-36.fc34.noarch 689/3201 Installing : texlive-ctable-9:svn38672-36.fc34.noarch 690/3201 Installing : texlive-ed-9:svn25231.1.8-36.fc34.noarch 691/3201 Installing : texlive-pagecolor-9:svn44487-36.fc34.noarch 692/3201 Installing : texlive-achemso-9:svn50025-36.fc34.noarch 693/3201 Installing : texlive-xytree-9:svn15878.1.5-36.fc34.noarch 694/3201 Installing : texlive-dot2texi-9:svn26237.3.0-36.fc34.noarch 695/3201 Installing : texlive-curve2e-9:svn54758-36.fc34.noarch 696/3201 Installing : texlive-diagbox-9:svn54080-36.fc34.noarch 697/3201 Installing : texlive-boondox-9:svn54512-36.fc34.noarch 698/3201 Installing : texlive-ellipsis-9:svn15878.0-36.fc34.noarch 699/3201 Installing : texlive-eqparbox-9:svn45215-36.fc34.noarch 700/3201 Installing : texlive-fancyref-9:svn15878.0.9c-36.fc34.noarc 701/3201 Installing : texlive-hepunits-9:svn54758-36.fc34.noarch 702/3201 Installing : texlive-ltabptch-9:svn17533.1.74d-36.fc34.noar 703/3201 Installing : texlive-newfile-9:svn15878.1.0c-36.fc34.noarch 704/3201 Installing : texlive-qstest-9:svn15878.0-36.fc34.noarch 705/3201 Installing : texlive-tabu-9:svn49707-36.fc34.noarch 706/3201 Installing : texlive-verbatimcopy-9:svn15878.0.06-36.fc34.n 707/3201 Installing : texlive-xpunctuate-9:svn26641.1.0-36.fc34.noar 708/3201 Installing : texlive-cclicenses-9:svn15878.0-36.fc34.noarch 709/3201 Installing : texlive-combine-9:svn19361.0.7a-36.fc34.noarch 710/3201 Installing : texlive-grid-9:svn15878.1.0-36.fc34.noarch 711/3201 Installing : texlive-logreq-9:svn53003-36.fc34.noarch 712/3201 Installing : texlive-overpic-9:svn53889-36.fc34.noarch 713/3201 Installing : texlive-rotfloat-9:svn18292.1.2-36.fc34.noarch 714/3201 Installing : texlive-texshade-9:svn46559-36.fc34.noarch 715/3201 Installing : texlive-thmbox-9:svn15878.0-36.fc34.noarch 716/3201 Installing : texlive-ltxtools-9:svn24897.0.0.1a-36.fc34.noa 717/3201 Installing : texlive-hardwrap-9:svn21396.0.2-36.fc34.noarch 718/3201 Installing : texlive-engrec-9:svn15878.1.1-36.fc34.noarch 719/3201 Installing : texlive-newenviron-9:svn29331.1.0-36.fc34.noar 720/3201 Installing : texlive-here-9:svn16135.0-36.fc34.noarch 721/3201 Installing : texlive-elocalloc-9:svn42712-36.fc34.noarch 722/3201 Installing : texlive-fink-9:svn24329.2.2.1-36.fc34.noarch 723/3201 Installing : texlive-extarrows-9:svn54400-36.fc34.noarch 724/3201 Installing : texlive-yhmath-9:svn54377-36.fc34.noarch 725/3201 Installing : texlive-a0poster-9:svn54071-36.fc34.noarch 726/3201 Installing : texlive-accents-9:svn51497-36.fc34.noarch 727/3201 Installing : texlive-actuarialangle-9:svn51376-36.fc34.noar 728/3201 Installing : texlive-adobemapping-9:svn51787-36.fc34.noarch 729/3201 Installing : texlive-advdate-9:svn20538.0-36.fc34.noarch 730/3201 Installing : texlive-anysize-9:svn15878.0-36.fc34.noarch 731/3201 Installing : texlive-appendix-9:svn53718-36.fc34.noarch 732/3201 Installing : texlive-arydshln-9:svn50084-36.fc34.noarch 733/3201 Installing : texlive-bbm-9:svn15878.0-36.fc34.noarch 734/3201 Installing : texlive-bbm-macros-9:svn17224.0-36.fc34.noarch 735/3201 Installing : texlive-beebe-9:svn54760-36.fc34.noarch 736/3201 Installing : texlive-bibunits-9:svn15878.2.2-36.fc34.noarch 737/3201 Installing : texlive-bigintcalc-9:svn53172-36.fc34.noarch 738/3201 Installing : texlive-bitset-9:svn53837-36.fc34.noarch 739/3201 Installing : texlive-bophook-9:svn17062.0.02-36.fc34.noarch 740/3201 Installing : texlive-braket-9:svn17127.0-36.fc34.noarch 741/3201 Installing : texlive-calculator-9:svn33041.2.0-36.fc34.noar 742/3201 Installing : texlive-cases-9:svn54682-36.fc34.noarch 743/3201 Installing : texlive-cbfonts-fd-9:svn54080-36.fc34.noarch 744/3201 Installing : texlive-cbfonts-9:svn54080-36.fc34.noarch 745/3201 Installing : texlive-ccaption-9:svn23443.3.2c-36.fc34.noarc 746/3201 Installing : texlive-changebar-9:svn46919-36.fc34.noarch 747/3201 Installing : texlive-chemcompounds-9:svn15878.0-36.fc34.noa 748/3201 Installing : texlive-cmtiup-9:svn39728-36.fc34.noarch 749/3201 Installing : texlive-cookingsymbols-9:svn35929.1.1-36.fc34. 750/3201 Installing : texlive-curves-9:svn45255-36.fc34.noarch 751/3201 Installing : texlive-datenumber-9:svn18951.0.02-36.fc34.noa 752/3201 Installing : texlive-drac-9:svn15878.1-36.fc34.noarch 753/3201 Installing : texlive-ean-9:svn20851.0-36.fc34.noarch 754/3201 Installing : texlive-easylist-9:svn32661.1.3-36.fc34.noarch 755/3201 Installing : texlive-ec-9:svn25033.1.0-36.fc34.noarch 756/3201 Installing : texlive-euenc-9:svn19795.0.1h-36.fc34.noarch 757/3201 Installing : texlive-figbib-9:svn19388.0-36.fc34.noarch 758/3201 Installing : texlive-finstrut-9:svn21719.0.5-36.fc34.noarch 759/3201 Installing : texlive-lineno-9:svn21442.4.41-36.fc34.noarch 760/3201 Installing : texlive-fixfoot-9:svn17131.0.3a-36.fc34.noarch 761/3201 Installing : texlive-fonts-tlwg-9:svn54512-36.fc34.noarch 762/3201 Installing : texlive-footnpag-9:svn15878.0-36.fc34.noarch 763/3201 Installing : texlive-forarray-9:svn15878.1.01-36.fc34.noarc 764/3201 Installing : texlive-fpl-9:svn54512-36.fc34.noarch 765/3201 Installing : texlive-gb4e-9:svn19216.0-36.fc34.noarch 766/3201 Installing : texlive-ginpenc-9:svn24980.1.0-36.fc34.noarch 767/3201 Installing : texlive-glyphlist-9:20200327-25.fc34.noarch 768/3201 Installing : texlive-gmiflink-9:svn15878.v0.97-36.fc34.noar 769/3201 Installing : texlive-greek-fontenc-9:svn53955-36.fc34.noarc 770/3201 Installing : texlive-bpchem-9:svn45120-36.fc34.noarch 771/3201 Installing : texlive-grfext-9:svn53024-36.fc34.noarch 772/3201 Installing : texlive-hobsub-9:svn52810-36.fc34.noarch 773/3201 Installing : texlive-hycolor-9:svn53584-36.fc34.noarch 774/3201 Installing : texlive-hypernat-9:svn17358.1.0b-36.fc34.noarc 775/3201 Installing : texlive-initials-9:svn54080-36.fc34.noarch 776/3201 Installing : texlive-kurier-9:svn19612.0.995b-36.fc34.noarc 777/3201 Installing : texlive-kvdefinekeys-9:svn53193-36.fc34.noarch 778/3201 Installing : texlive-labels-9:svn15878.13-36.fc34.noarch 779/3201 Installing : texlive-latex-base-dev-9:svn54146-36.fc34.noar 780/3201 Installing : texlive-latexconfig-9:svn53525-36.fc34.noarch 781/3201 Installing : texlive-layouts-9:svn42428-36.fc34.noarch 782/3201 Installing : texlive-lazylist-9:svn17691.1.0a-36.fc34.noarc 783/3201 Installing : texlive-libertinus-fonts-9:svn56656-36.fc34.no 784/3201 Installing : texlive-listofitems-9:svn51923-36.fc34.noarch 785/3201 Installing : texlive-stackengine-9:svn53843-36.fc34.noarch 786/3201 Installing : texlive-lm-math-9:svn36915.1.959-36.fc34.noarc 787/3201 Installing : texlive-lua-alt-getopt-9:svn29349.0.7.0-36.fc3 788/3201 Installing : texlive-macroswap-9:svn31498.1.1-36.fc34.noarc 789/3201 Installing : texlive-mailing-9:svn15878.0-36.fc34.noarch 790/3201 Installing : texlive-manfnt-font-9:svn54684-36.fc34.noarch 791/3201 Installing : texlive-marginfix-9:svn31598.1.1-36.fc34.noarc 792/3201 Installing : texlive-mflogo-9:svn42428-36.fc34.noarch 793/3201 Installing : texlive-modes-9:svn53604-36.fc34.noarch 794/3201 Installing : texlive-monofill-9:svn28140.0.2-36.fc34.noarch 795/3201 Installing : texlive-mptopdf-9:20200327-25.fc34.noarch 796/3201 Installing : texlive-multibib-9:svn15878.1.4-36.fc34.noarch 797/3201 Installing : texlive-newfloat-9:svn52906-36.fc34.noarch 798/3201 Installing : texlive-newverbs-9:svn52074-36.fc34.noarch 799/3201 Installing : texlive-nextpage-9:svn15878.1.1a-36.fc34.noarc 800/3201 Installing : texlive-nonumonpart-9:svn22114.1-36.fc34.noarc 801/3201 Installing : texlive-notoccite-9:svn18129.0-36.fc34.noarch 802/3201 Installing : texlive-ntgclass-9:svn53882-36.fc34.noarch 803/3201 Installing : texlive-a4wide-9:svn20943.0-36.fc34.noarch 804/3201 Installing : texlive-optional-9:svn18131.2.2b-36.fc34.noarc 805/3201 Installing : texlive-palatino-9:svn31835.0-36.fc34.noarch 806/3201 Installing : texlive-perltex-9:20200327-25.fc34.noarch 807/3201 Installing : texlive-picinpar-9:svn20374.1.2a-36.fc34.noarc 808/3201 Installing : texlive-pst-ovl-9:svn45506-36.fc34.noarch 809/3201 Installing : texlive-ptex-fonts-9:svn46940-36.fc34.noarch 810/3201 Installing : texlive-readarray-9:svn42467-36.fc34.noarch 811/3201 Installing : texlive-robustcommand-9:svn15878.0.1-36.fc34.n 812/3201 Installing : texlive-romanbar-9:svn25005.1.0f-36.fc34.noarc 813/3201 Installing : texlive-rtkinenc-9:svn20003.1.0-36.fc34.noarch 814/3201 Installing : texlive-shadow-9:svn20312.0-36.fc34.noarch 815/3201 Installing : texlive-shorttoc-9:svn15878.1.3-36.fc34.noarch 816/3201 Installing : texlive-simplekv-9:svn54915-36.fc34.noarch 817/3201 Installing : texlive-soulutf8-9:svn53163-36.fc34.noarch 818/3201 Installing : texlive-stdclsdv-9:svn15878.1.1a-36.fc34.noarc 819/3201 Installing : texlive-stringstrings-9:svn36203.1.23-36.fc34. 820/3201 Installing : texlive-subdepth-9:svn15878.0.1-36.fc34.noarch 821/3201 Installing : texlive-hepparticles-9:svn35723.2.0-36.fc34.no 822/3201 Installing : texlive-hepnames-9:svn35722.2.0-36.fc34.noarch 823/3201 Installing : texlive-subfloat-9:svn29349.2.14-36.fc34.noarc 824/3201 Installing : texlive-substitutefont-9:svn32066.0.1.4-36.fc3 825/3201 Installing : texlive-supertabular-9:svn53658-36.fc34.noarch 826/3201 Installing : texlive-symbol-9:svn31835.0-36.fc34.noarch 827/3201 Installing : texlive-psnfss-9:svn54694-36.fc34.noarch 828/3201 Installing : texlive-synttree-9:svn16252.1.4.2-36.fc34.noar 829/3201 Installing : texlive-tableof-9:svn48815-36.fc34.noarch 830/3201 Installing : texlive-tex4ht-9:20200327-25.fc34.x86_64 831/3201 Installing : texlive-toolbox-9:svn32260.5.1-36.fc34.noarch 832/3201 Installing : texlive-tracklang-9:svn52991-36.fc34.noarch 833/3201 Installing : texlive-trajan-9:svn15878.1.1-36.fc34.noarch 834/3201 Installing : texlive-ucharcat-9:svn38907-36.fc34.noarch 835/3201 Installing : texlive-underscore-9:svn18261.0-36.fc34.noarch 836/3201 Installing : texlive-undolabl-9:svn36681.1.0l-36.fc34.noarc 837/3201 Installing : texlive-uniquecounter-9:svn53162-36.fc34.noarc 838/3201 Installing : texlive-rerunfilecheck-9:svn54841-36.fc34.noar 839/3201 Installing : texlive-hyperref-9:svn54841-36.fc34.noarch 840/3201 Installing : texlive-attachfile-9:svn42099-36.fc34.noarch 841/3201 Installing : texlive-doi-9:svn48634-36.fc34.noarch 842/3201 Installing : texlive-ushort-9:svn32261.2.2-36.fc34.noarch 843/3201 Installing : texlive-hhtensor-9:svn54080-36.fc34.noarch 844/3201 Installing : texlive-versions-9:svn21921.0.55-36.fc34.noarc 845/3201 Installing : texlive-warning-9:svn22028.0.01-36.fc34.noarch 846/3201 Installing : texlive-wasy-9:svn53533-36.fc34.noarch 847/3201 Installing : texlive-xint-9:svn53930-36.fc34.noarch 848/3201 Installing : texlive-yfonts-9:svn50755-36.fc34.noarch 849/3201 Installing : texlive-newspaper-9:svn15878.1.0-36.fc34.noarc 850/3201 Installing : texlive-bnumexpr-9:svn49643-36.fc34.noarch 851/3201 Installing : texlive-wasy-type1-9:svn53534-36.fc34.noarch 852/3201 Installing : texlive-afparticle-9:svn35900.1.3-36.fc34.noar 853/3201 Installing : texlive-amsrefs-9:svn30646.2.14-36.fc34.noarch 854/3201 Installing : texlive-aomart-9:svn46091-36.fc34.noarch 855/3201 Installing : texlive-cdpbundl-9:svn46613-36.fc34.noarch 856/3201 Installing : texlive-footnotebackref-9:svn27034.1.0-36.fc34 857/3201 Installing : texlive-gsemthesis-9:svn36244.0.9.4-36.fc34.no 858/3201 Installing : texlive-hrefhide-9:svn22255.1.0f-36.fc34.noarc 859/3201 Installing : texlive-onrannual-9:svn17474.1.1-36.fc34.noarc 860/3201 Installing : texlive-opcit-9:svn15878.1.1-36.fc34.noarch 861/3201 Installing : texlive-pdfwin-9:svn54074-36.fc34.noarch 862/3201 Installing : texlive-tdclock-9:svn33043.v2.5-36.fc34.noarch 863/3201 Installing : texlive-thesis-ekf-9:svn53685-36.fc34.noarch 864/3201 Installing : texlive-uowthesis-9:svn19700.1.0a-36.fc34.noar 865/3201 Installing : texlive-varindex-9:svn32262.2.3-36.fc34.noarch 866/3201 Installing : texlive-IEEEconf-9:svn15878.1.4-36.fc34.noarch 867/3201 Installing : texlive-adforn-9:svn54512-36.fc34.noarch 868/3201 Installing : texlive-adfsymbols-9:svn54512-36.fc34.noarch 869/3201 Installing : texlive-apa-9:svn54080-36.fc34.noarch 870/3201 Installing : texlive-dictsym-9:svn20031.0-36.fc34.noarch 871/3201 Installing : texlive-elsarticle-9:svn50786-36.fc34.noarch 872/3201 Installing : texlive-ieeepes-9:svn17359.4.0-36.fc34.noarch 873/3201 Installing : texlive-lps-9:svn21322.0.7-36.fc34.noarch 874/3201 Installing : texlive-rmpage-9:svn54080-36.fc34.noarch 875/3201 Installing : texlive-vpe-9:20200327-25.fc34.noarch 876/3201 Installing : texlive-wordlike-9:svn15878.1.2b-36.fc34.noarc 877/3201 Installing : texlive-romannum-9:svn15878.1.0b-36.fc34.noarc 878/3201 Installing : texlive-dijkstra-9:svn45256-36.fc34.noarch 879/3201 Installing : texlive-noitcrul-9:svn15878.0.2-36.fc34.noarch 880/3201 Installing : texlive-verbatimbox-9:svn33197.3.13-36.fc34.no 881/3201 Installing : texlive-mathpazo-9:svn52663-36.fc34.noarch 882/3201 Installing : texlive-nicefilelist-9:svn28527.0.7a-36.fc34.n 883/3201 Installing : texlive-metafont-9:20200327-25.fc34.x86_64 884/3201 Installing : texlive-tugboat-9:svn54261-36.fc34.noarch 885/3201 Installing : texlive-postcards-9:svn21641.0-36.fc34.noarch 886/3201 Installing : texlive-tabstackengine-9:svn46848-36.fc34.noar 887/3201 Installing : texlive-libertinus-otf-9:svn56649-36.fc34.noar 888/3201 Installing : texlive-polytable-9:svn31235.0.8.2-36.fc34.noa 889/3201 Installing : texlive-plantslabels-9:svn29803.1.0-36.fc34.no 890/3201 Installing : texlive-cfr-initials-9:svn36728.1.01-36.fc34.n 891/3201 Installing : texlive-textgreek-9:svn44192-36.fc34.noarch 892/3201 Installing : texlive-garuda-c90-9:svn37677.0-36.fc34.noarch 893/3201 Installing : texlive-norasi-c90-9:svn37675.0-36.fc34.noarch 894/3201 Installing : texlive-mftinc-9:svn15878.1.0a-36.fc34.noarch 895/3201 Installing : texlive-minorrevision-9:svn32165.1.1-36.fc34.n 896/3201 Installing : texlive-vertbars-9:svn49429-36.fc34.noarch 897/3201 Installing : texlive-thesis-titlepage-fhac-9:svn15878.0.1-3 898/3201 Installing : texlive-ean13isbn-9:svn15878.0-36.fc34.noarch 899/3201 Installing : texlive-xpicture-9:svn28770.1.2a-36.fc34.noarc 900/3201 Installing : texlive-actuarialsymbol-9:svn54080-36.fc34.noa 901/3201 Installing : texlive-storecmd-9:svn24431.0.0.2-36.fc34.noar 902/3201 Installing : texlive-textopo-9:svn23796.1.5-36.fc34.noarch 903/3201 Installing : texlive-psfragx-9:svn26243.1.1-36.fc34.noarch 904/3201 Installing : texlive-foreign-9:svn27819.2.7-36.fc34.noarch 905/3201 Installing : texlive-rterface-9:svn30084.0-36.fc34.noarch 906/3201 Installing : texlive-eqlist-9:svn32257.2.1-36.fc34.noarch 907/3201 Installing : texlive-rsc-9:svn41923-36.fc34.noarch 908/3201 Installing : texlive-amstex-9:20200327-25.fc34.noarch 909/3201 Installing : texlive-esami-9:svn47639-36.fc34.noarch 910/3201 Installing : texlive-ran_toks-9:svn53271-36.fc34.noarch 911/3201 Installing : texlive-randtext-9:svn15878.0-36.fc34.noarch 912/3201 Installing : texlive-mathspic-9:20200327-25.fc34.noarch 913/3201 Installing : texlive-pictex2-9:svn15878.0-36.fc34.noarch 914/3201 Installing : texlive-fancytooltips-9:svn27129.1.8-36.fc34.n 915/3201 Installing : texlive-gitinfo2-9:svn38913-36.fc34.noarch 916/3201 Installing : texlive-typogrid-9:svn24994.0.21-36.fc34.noarc 917/3201 Installing : texlive-changelayout-9:svn16094.1.0-36.fc34.no 918/3201 Installing : texlive-chs-physics-report-9:svn54512-36.fc34. 919/3201 Installing : texlive-colorspace-9:svn50585-36.fc34.noarch 920/3201 Installing : texlive-getfiledate-9:svn16189.1.2-36.fc34.noa 921/3201 Installing : texlive-guitlogo-9:svn51582-36.fc34.noarch 922/3201 Installing : texlive-knittingpattern-9:svn17205.0-36.fc34.n 923/3201 Installing : texlive-qrcode-9:svn36065.1.51-36.fc34.noarch 924/3201 Installing : texlive-soton-9:svn16215.0.1-36.fc34.noarch 925/3201 Installing : texlive-svg-9:svn55643-36.fc34.noarch 926/3201 Installing : texlive-tabfigures-9:svn25202.1.1-36.fc34.noar 927/3201 Installing : texlive-tablestyles-9:svn34495.0-36.fc34.noarc 928/3201 Installing : texlive-xcolor-solarized-9:svn41809-36.fc34.no 929/3201 Installing : texlive-xhfill-9:svn22575.1.01-36.fc34.noarch 930/3201 Installing : texlive-hpsdiss-9:svn15878.1.0-36.fc34.noarch 931/3201 Installing : texlive-mathabx-type1-9:svn21129.0-36.fc34.noa 932/3201 Installing : texlive-titlecaps-9:svn36170.1.2-36.fc34.noarc 933/3201 Installing : texlive-mwe-9:svn47194-36.fc34.noarch 934/3201 Installing : texlive-trivfloat-9:svn15878.1.3b-36.fc34.noar 935/3201 Installing : texlive-tablists-9:svn15878.0.0e-36.fc34.noarc 936/3201 Installing : texlive-qcircuit-9:svn48400-36.fc34.noarch 937/3201 Installing : texlive-qsymbols-9:svn15878.0-36.fc34.noarch 938/3201 Installing : texlive-acmconf-9:svn15878.1.3-36.fc34.noarch 939/3201 Installing : texlive-graphviz-9:svn31517.0.94-36.fc34.noarc 940/3201 Installing : texlive-dottex-9:svn15878.0.6-36.fc34.noarch 941/3201 Installing : texlive-har2nat-9:svn54080-36.fc34.noarch 942/3201 Installing : texlive-nameauth-9:svn53940-36.fc34.noarch 943/3201 Installing : texlive-askmaps-9:svn32320.0.1-36.fc34.noarch 944/3201 Installing : texlive-bxeepic-9:svn30559.0.2-36.fc34.noarch 945/3201 Installing : texlive-diagmac2-9:svn15878.2.1-36.fc34.noarch 946/3201 Installing : texlive-qtree-9:svn15878.3.1b-36.fc34.noarch 947/3201 Installing : texlive-steinmetz-9:svn15878.1.0-36.fc34.noarc 948/3201 Installing : texlive-vhistory-9:svn30080.1.6.1-36.fc34.noar 949/3201 Installing : texlive-extpfeil-9:svn16243.0.4-36.fc34.noarch 950/3201 Installing : texlive-afthesis-9:svn15878.2.7-36.fc34.noarch 951/3201 Installing : texlive-erdc-9:svn15878.1.1-36.fc34.noarch 952/3201 Installing : texlive-estcpmm-9:svn17335.0.4-36.fc34.noarch 953/3201 Installing : texlive-hvfloat-9:svn52010-36.fc34.noarch 954/3201 Installing : texlive-sageep-9:svn15878.1.0-36.fc34.noarch 955/3201 Installing : texlive-brandeis-dissertation-9:svn54758-36.fc 956/3201 Installing : texlive-contracard-9:svn50217-36.fc34.noarch 957/3201 Installing : texlive-ekaia-9:svn49594-36.fc34.noarch 958/3201 Installing : texlive-elteikthesis-9:svn53926-36.fc34.noarch 959/3201 Installing : texlive-flacards-9:svn19440.0.1.1b-36.fc34.noa 960/3201 Installing : texlive-image-gallery-9:svn15878.v1.0j-36.fc34 961/3201 Installing : texlive-pdfpagediff-9:svn37946.1.4-36.fc34.noa 962/3201 Installing : texlive-tikzposter-9:svn32732.2.0-36.fc34.noar 963/3201 Installing : texlive-uiucredborder-9:svn29974.1.00-36.fc34. 964/3201 Installing : texlive-umich-thesis-9:svn15878.1.20-36.fc34.n 965/3201 Installing : texlive-uowthesistitlepage-9:svn54512-36.fc34. 966/3201 Installing : texlive-ut-thesis-9:svn38269.2.1-36.fc34.noarc 967/3201 Installing : texlive-beamerposter-9:svn54512-36.fc34.noarch 968/3201 Installing : texlive-dk-bib-9:svn15878.0.6-36.fc34.noarch 969/3201 Installing : texlive-dutchcal-9:svn54080-36.fc34.noarch 970/3201 Installing : texlive-esstix-9:svn22426.1.0-36.fc34.noarch 971/3201 Installing : texlive-etaremune-9:svn15878.v1.2-36.fc34.noar 972/3201 Installing : texlive-extract-9:svn52117-36.fc34.noarch 973/3201 Installing : texlive-fitbox-9:svn50088-36.fc34.noarch 974/3201 Installing : texlive-gender-9:svn36464.1.0-36.fc34.noarch 975/3201 Installing : texlive-gentium-tug-9:svn54512-36.fc34.noarch 976/3201 Installing : texlive-gmp-9:svn21691.1.0-36.fc34.noarch 977/3201 Installing : texlive-issuulinks-9:svn25742.1.1-36.fc34.noar 978/3201 Installing : texlive-jamtimes-9:svn20408.1.12-36.fc34.noarc 979/3201 Installing : texlive-keycommand-9:svn18042.3.1415-36.fc34.n 980/3201 Installing : texlive-longfigure-9:svn34302.1.0-36.fc34.noar 981/3201 Installing : texlive-mathalpha-9:svn52305-36.fc34.noarch 982/3201 Installing : texlive-mlist-9:svn15878.0.6a-36.fc34.noarch 983/3201 Installing : texlive-multiaudience-9:svn38035.1.03-36.fc34. 984/3201 Installing : texlive-newtxsf-9:svn56527-36.fc34.noarch 985/3201 Installing : texlive-pagerange-9:svn16915.0.5-36.fc34.noarc 986/3201 Installing : texlive-pxtxalfa-9:svn54080-36.fc34.noarch 987/3201 Installing : texlive-rsfso-9:svn37965.1.02-36.fc34.noarch 988/3201 Installing : texlive-urwchancal-9:svn21701.1-36.fc34.noarch 989/3201 Installing : texlive-xfakebold-9:svn54779-36.fc34.noarch 990/3201 Installing : texlive-zlmtt-9:svn51368-36.fc34.noarch 991/3201 Installing : texlive-12many-9:svn15878.0.3-36.fc34.noarch 992/3201 Installing : texlive-ESIEEcv-9:svn15878.0-36.fc34.noarch 993/3201 Installing : texlive-addlines-9:svn49326-36.fc34.noarch 994/3201 Installing : texlive-adjmulticol-9:svn54157-36.fc34.noarch 995/3201 Installing : texlive-answers-9:svn35032.2.16-36.fc34.noarch 996/3201 Installing : texlive-ascii-font-9:svn29989.2.0-36.fc34.noar 997/3201 Installing : texlive-avremu-9:svn35373.0.1-36.fc34.noarch 998/3201 Installing : texlive-beamersubframe-9:svn23510.0.2-36.fc34. 999/3201 Installing : texlive-bosisio-9:svn16989.0-36.fc34.noarch 1000/3201 Installing : texlive-bytefield-9:svn45339-36.fc34.noarch 1001/3201 Installing : texlive-cachepic-9:20200327-25.fc34.noarch 1002/3201 Installing : texlive-calculation-9:svn35973.1.0-36.fc34.noa 1003/3201 Installing : texlive-collcell-9:svn21539.0.5-36.fc34.noarch 1004/3201 Installing : texlive-colourchange-9:svn21741.1.22-36.fc34.n 1005/3201 Installing : texlive-cweb-latex-9:svn28878.0-36.fc34.noarch 1006/3201 Installing : texlive-cyber-9:svn46776-36.fc34.noarch 1007/3201 Installing : texlive-dhua-9:svn24035.0.11-36.fc34.noarch 1008/3201 Installing : texlive-dlfltxb-9:svn17337.0-36.fc34.noarch 1009/3201 Installing : texlive-ebezier-9:svn15878.4-36.fc34.noarch 1010/3201 Installing : texlive-eemeir-9:svn15878.1.1b-36.fc34.noarch 1011/3201 Installing : texlive-eqell-9:svn22931.0-36.fc34.noarch 1012/3201 Installing : texlive-eqnarray-9:svn20641.1.3-36.fc34.noarch 1013/3201 Installing : texlive-esk-9:svn18115.1.0-36.fc34.noarch 1014/3201 Installing : texlive-examdesign-9:svn15878.1.02-36.fc34.noa 1015/3201 Installing : texlive-fcolumn-9:svn50937-36.fc34.noarch 1016/3201 Installing : texlive-fmp-9:svn15878.0-36.fc34.noarch 1017/3201 Installing : texlive-footnoterange-9:svn52910-36.fc34.noarc 1018/3201 Installing : texlive-formular-9:svn15878.1.0a-36.fc34.noarc 1019/3201 Installing : texlive-gastex-9:svn54080-36.fc34.noarch 1020/3201 Installing : texlive-gatech-thesis-9:svn19886.1.8-36.fc34.n 1021/3201 Installing : texlive-he-she-9:svn41359-36.fc34.noarch 1022/3201 Installing : texlive-idxlayout-9:svn25821.0.4d-36.fc34.noar 1023/3201 Installing : texlive-ktv-texdata-9:svn27369.05.34-36.fc34.n 1024/3201 Installing : texlive-lapdf-9:svn23806.1.1-36.fc34.noarch 1025/3201 Installing : texlive-leading-9:svn15878.0.3-36.fc34.noarch 1026/3201 Installing : texlive-limap-9:svn44863-36.fc34.noarch 1027/3201 Installing : texlive-lineara-9:svn15878.0-36.fc34.noarch 1028/3201 Installing : texlive-listliketab-9:svn15878.0-36.fc34.noarc 1029/3201 Installing : texlive-logpap-9:svn15878.0.6-36.fc34.noarch 1030/3201 Installing : texlive-ltablex-9:svn34923.1.1-36.fc34.noarch 1031/3201 Installing : texlive-maybemath-9:svn15878.0-36.fc34.noarch 1032/3201 Installing : texlive-menu-9:svn15878.0.994-36.fc34.noarch 1033/3201 Installing : texlive-mugsthesis-9:svn34878.0-36.fc34.noarch 1034/3201 Installing : texlive-niceframe-9:svn36086.1.1c-36.fc34.noar 1035/3201 Installing : texlive-nox-9:svn30991.1.0-36.fc34.noarch 1036/3201 Installing : texlive-numberedblock-9:svn33109.1.10-36.fc34. 1037/3201 Installing : texlive-objectz-9:svn19389.0-36.fc34.noarch 1038/3201 Installing : texlive-papercdcase-9:svn15878.0-36.fc34.noarc 1039/3201 Installing : texlive-rccol-9:svn15878.1.2c-36.fc34.noarch 1040/3201 Installing : texlive-semioneside-9:svn15878.v0.41-36.fc34.n 1041/3201 Installing : texlive-subfiles-9:svn53782-36.fc34.noarch 1042/3201 Installing : texlive-tabularborder-9:svn17885.1.0a-36.fc34. 1043/3201 Installing : texlive-tabularew-9:svn15878.0.1-36.fc34.noarc 1044/3201 Installing : texlive-tagging-9:svn52064-36.fc34.noarch 1045/3201 Installing : texlive-vwcol-9:svn36254.0.2-36.fc34.noarch 1046/3201 Installing : texlive-warpcol-9:svn15878.1.0c-36.fc34.noarch 1047/3201 Installing : texlive-xcite-9:svn53486-36.fc34.noarch 1048/3201 Installing : texlive-boites-9:svn32235.1.1-36.fc34.noarch 1049/3201 Installing : texlive-cd-cover-9:svn17121.1.0-36.fc34.noarch 1050/3201 Installing : texlive-cd-9:svn34452.1.4-36.fc34.noarch 1051/3201 Installing : texlive-chemcono-9:svn17119.1.3-36.fc34.noarch 1052/3201 Installing : texlive-clrscode3e-9:svn51137-36.fc34.noarch 1053/3201 Installing : texlive-colorweb-9:svn31490.1.3-36.fc34.noarch 1054/3201 Installing : texlive-combinedgraphics-9:svn27198.0.2.2-36.f 1055/3201 Installing : texlive-constants-9:svn15878.1.0-36.fc34.noarc 1056/3201 Installing : texlive-contour-9:svn18950.2.14-36.fc34.noarch 1057/3201 Installing : texlive-countriesofeurope-9:svn54512-36.fc34.n 1058/3201 Installing : texlive-courier-scaled-9:svn24940.0-36.fc34.no 1059/3201 Installing : texlive-dejavu-9:svn31771.2.34-36.fc34.noarch 1060/3201 Installing : texlive-dnaseq-9:svn17194.0.01-36.fc34.noarch 1061/3201 Installing : texlive-epspdfconversion-9:svn18703.0.61-36.fc 1062/3201 Installing : texlive-errata-9:svn42428-36.fc34.noarch 1063/3201 Installing : texlive-exceltex-9:20200327-25.fc34.noarch 1064/3201 Installing : texlive-fbithesis-9:svn21340.1.2m-36.fc34.noar 1065/3201 Installing : texlive-feynmf-9:svn17259.1.08-36.fc34.noarch 1066/3201 Installing : texlive-hep-9:svn15878.1.0-36.fc34.noarch 1067/3201 Installing : texlive-flabels-9:svn17272.1.0-36.fc34.noarch 1068/3201 Installing : texlive-fullminipage-9:svn34545.0.1.1-36.fc34. 1069/3201 Installing : texlive-galois-9:svn15878.1.5-36.fc34.noarch 1070/3201 Installing : texlive-genmpage-9:svn15878.0.3.1-36.fc34.noar 1071/3201 Installing : texlive-gradientframe-9:svn21387.0.2-36.fc34.n 1072/3201 Installing : texlive-graphbox-9:svn46360-36.fc34.noarch 1073/3201 Installing : texlive-graphicx-psmin-9:svn15878.1.1-36.fc34. 1074/3201 Installing : texlive-grfpaste-9:svn17354.0.2-36.fc34.noarch 1075/3201 Installing : texlive-harpoon-9:svn21327.1.0-36.fc34.noarch 1076/3201 Installing : texlive-hyper-9:svn17357.4.2d-36.fc34.noarch 1077/3201 Installing : texlive-ionumbers-9:svn33457.0.3.3-36.fc34.noa 1078/3201 Installing : texlive-isorot-9:svn15878.0-36.fc34.noarch 1079/3201 Installing : texlive-japanese-otf-9:svn50769-36.fc34.noarch 1080/3201 Installing : texlive-japanese-otf-uptex-9:svn54080-36.fc34. 1081/3201 Installing : texlive-jpsj-9:svn15878.1.2.2-36.fc34.noarch 1082/3201 Installing : texlive-keystroke-9:svn17992.v1.6-36.fc34.noar 1083/3201 Installing : texlive-knitting-9:svn50782-36.fc34.noarch 1084/3201 Installing : texlive-lettre-9:svn54722-36.fc34.noarch 1085/3201 Installing : texlive-lhelp-9:svn23638.2.0-36.fc34.noarch 1086/3201 Installing : texlive-libgreek-9:svn27789.1.0-36.fc34.noarch 1087/3201 Installing : texlive-notes-9:svn42428-36.fc34.noarch 1088/3201 Installing : texlive-pagecont-9:svn15878.1.0-36.fc34.noarch 1089/3201 Installing : texlive-pax-9:20200327-25.fc34.noarch 1090/3201 Installing : texlive-petiteannonce-9:svn25915.1.0001-36.fc3 1091/3201 Installing : texlive-pinlabel-9:svn24769.1.2-36.fc34.noarch 1092/3201 Installing : texlive-polynom-9:svn44832-36.fc34.noarch 1093/3201 Installing : texlive-polynomial-9:svn15878.1.0-36.fc34.noar 1094/3201 Installing : texlive-quotchap-9:svn51591-36.fc34.noarch 1095/3201 Installing : texlive-refstyle-9:svn20318.0.5-36.fc34.noarch 1096/3201 Installing : texlive-repltext-9:svn33442.1.0-36.fc34.noarch 1097/3201 Installing : texlive-rviewport-9:svn23739.v1.0-36.fc34.noar 1098/3201 Installing : texlive-shadowtext-9:svn26522.0.3-36.fc34.noar 1099/3201 Installing : texlive-spotcolor-9:svn15878.1.2-36.fc34.noarc 1100/3201 Installing : texlive-statistik-9:svn20334.0.03-36.fc34.noar 1101/3201 Installing : texlive-tex-label-9:svn16372.0-36.fc34.noarch 1102/3201 Installing : texlive-texdraw-9:svn51030-36.fc34.noarch 1103/3201 Installing : texlive-totcount-9:svn21178.1.2-36.fc34.noarch 1104/3201 Installing : texlive-unamthesis-9:svn43639-36.fc34.noarch 1105/3201 Installing : texlive-usebib-9:svn25969.1.0a-36.fc34.noarch 1106/3201 Installing : texlive-zwpagelayout-9:svn53965-36.fc34.noarch 1107/3201 Installing : texlive-longnamefilelist-9:svn27889.0.2-36.fc3 1108/3201 Installing : texlive-gfsartemisia-9:svn19469.1.0-36.fc34.no 1109/3201 Installing : texlive-esint-type1-9:svn15878.0-36.fc34.noarc 1110/3201 Installing : texlive-threeparttablex-9:svn34206.0.3-36.fc34 1111/3201 Installing : texlive-storebox-9:svn24895.1.3a-36.fc34.noarc 1112/3201 Installing : texlive-xwatermark-9:svn28090.1.5.2d-36.fc34.n 1113/3201 Installing : texlive-epigrafica-9:svn17210.1.01-36.fc34.noa 1114/3201 Installing : texlive-gfsdidot-9:svn54080-36.fc34.noarch 1115/3201 Installing : texlive-pxgreeks-9:svn21838.1.0-36.fc34.noarch 1116/3201 Installing : texlive-regstats-9:svn25050.1.0h-36.fc34.noarc 1117/3201 Installing : texlive-catchfilebetweentags-9:svn21476.1.1-36 1118/3201 Installing : texlive-ptptex-9:svn19440.0.91-36.fc34.noarch 1119/3201 Installing : texlive-subfigmat-9:svn20308.1.0-36.fc34.noarc 1120/3201 Installing : texlive-mmap-9:svn15878.1.03-36.fc34.noarch 1121/3201 Installing : texlive-tagpair-9:svn42138-36.fc34.noarch 1122/3201 Installing : texlive-flippdf-9:svn15878.1.0-36.fc34.noarch 1123/3201 Installing : texlive-asyfig-9:svn17512.0.1c-36.fc34.noarch 1124/3201 Installing : texlive-dashrule-9:svn29579.1.3-36.fc34.noarch 1125/3201 Installing : texlive-pagenote-9:svn15878.1.1a-36.fc34.noarc 1126/3201 Installing : texlive-keyval2e-9:svn23698.0.0.2-36.fc34.noar 1127/3201 Installing : texlive-skeycommand-9:svn24652.0.4-36.fc34.noa 1128/3201 Installing : texlive-txfontsb-9:svn54512-36.fc34.noarch 1129/3201 Installing : texlive-txgreeks-9:svn21839.1.0-36.fc34.noarch 1130/3201 Installing : texlive-cell-9:svn42428-36.fc34.noarch 1131/3201 Installing : texlive-mcaption-9:svn15878.3.0-36.fc34.noarch 1132/3201 Installing : texlive-fullwidth-9:svn24684.0.1-36.fc34.noarc 1133/3201 Installing : texlive-arcs-9:svn15878.1-36.fc34.noarch 1134/3201 Installing : texlive-metre-9:svn18489.1.0-36.fc34.noarch 1135/3201 Installing : texlive-bxpdfver-9:svn54802-36.fc34.noarch 1136/3201 Installing : texlive-facsimile-9:svn21328.1.0-36.fc34.noarc 1137/3201 Installing : texlive-ijmart-9:svn30958.1.7-36.fc34.noarch 1138/3201 Installing : texlive-keyreader-9:svn28195.0.5b-36.fc34.noar 1139/3201 Installing : texlive-pgfkeyx-9:svn26093.0.0.1-36.fc34.noarc 1140/3201 Installing : texlive-biblatex-multiple-dm-9:svn37081.1.0.1- 1141/3201 Installing : texlive-codesection-9:svn34481.0.1-36.fc34.noa 1142/3201 Installing : texlive-fixltxhyph-9:svn25832.0.4-36.fc34.noar 1143/3201 Installing : texlive-gitinfo-9:svn34049.1.0-36.fc34.noarch 1144/3201 Installing : texlive-handout-9:svn43962-36.fc34.noarch 1145/3201 Installing : texlive-locality-9:svn20422.0.2-36.fc34.noarch 1146/3201 Installing : texlive-lxfonts-9:svn32354.2.0b-36.fc34.noarch 1147/3201 Installing : texlive-seealso-9:svn43595-36.fc34.noarch 1148/3201 Installing : texlive-threadcol-9:svn28754.1.0-36.fc34.noarc 1149/3201 Installing : texlive-emarks-9:svn24504.1.0-36.fc34.noarch 1150/3201 Installing : texlive-euro-9:svn22191.1.1-36.fc34.noarch 1151/3201 Installing : texlive-spreadtab-9:svn50147-36.fc34.noarch 1152/3201 Installing : texlive-tengwarscript-9:svn34594.1.3.1-36.fc34 1153/3201 Installing : texlive-xprintlen-9:svn35928.1.0-36.fc34.noarc 1154/3201 Installing : texlive-nmbib-9:svn37984.1.04-36.fc34.noarch 1155/3201 Installing : texlive-revtex4-9:svn45873-36.fc34.noarch 1156/3201 Installing : texlive-biblatex-true-citepages-omit-9:svn4465 1157/3201 Installing : texlive-muthesis-9:svn23861.0-36.fc34.noarch 1158/3201 Installing : texlive-uiucthesis-9:svn15878.2.25-36.fc34.noa 1159/3201 Installing : texlive-directory-9:svn15878.1.20-36.fc34.noar 1160/3201 Installing : texlive-doipubmed-9:svn15878.1.01-36.fc34.noar 1161/3201 Installing : texlive-iso-9:svn15878.2.4-36.fc34.noarch 1162/3201 Installing : texlive-uri-9:svn48602-36.fc34.noarch 1163/3201 Installing : texlive-beamer-rl-9:svn52284-36.fc34.noarch 1164/3201 Installing : texlive-anonymouspro-9:svn51631-36.fc34.noarch 1165/3201 Installing : texlive-chet-9:svn45081-36.fc34.noarch 1166/3201 Installing : texlive-chkfloat-9:svn27473.0.1-36.fc34.noarch 1167/3201 Installing : texlive-counttexruns-9:svn27576.1.00a-36.fc34. 1168/3201 Installing : texlive-makebarcode-9:svn15878.1.0-36.fc34.noa 1169/3201 Installing : texlive-modref-9:svn15878.1.0-36.fc34.noarch 1170/3201 Installing : texlive-fundus-cyr-9:svn26019.0-36.fc34.noarch 1171/3201 Installing : texlive-multiobjective-9:svn15878.1.0-36.fc34. 1172/3201 Installing : texlive-piff-9:svn21894.0-36.fc34.noarch 1173/3201 Installing : texlive-proba-9:svn15878.0-36.fc34.noarch 1174/3201 Installing : texlive-todo-9:svn17746.2.142-36.fc34.noarch 1175/3201 Installing : texlive-SIstyle-9:svn54080-36.fc34.noarch 1176/3201 Installing : texlive-bigints-9:svn29803.0-36.fc34.noarch 1177/3201 Installing : texlive-digiconfigs-9:svn15878.0.5-36.fc34.noa 1178/3201 Installing : texlive-functan-9:svn15878.0-36.fc34.noarch 1179/3201 Installing : texlive-gauss-9:svn32934.0-36.fc34.noarch 1180/3201 Installing : texlive-mattens-9:svn17582.1.3-36.fc34.noarch 1181/3201 Installing : texlive-cooltooltips-9:svn15878.1.0-36.fc34.no 1182/3201 Installing : texlive-datetime2-breton-9:svn52647-36.fc34.no 1183/3201 Installing : texlive-datetime2-bulgarian-9:svn47031-36.fc34 1184/3201 Installing : texlive-datetime2-catalan-9:svn47032-36.fc34.n 1185/3201 Installing : texlive-datetime2-croatian-9:svn36682.1.0-36.f 1186/3201 Installing : texlive-datetime2-czech-9:svn47033-36.fc34.noa 1187/3201 Installing : texlive-datetime2-danish-9:svn47034-36.fc34.no 1188/3201 Installing : texlive-datetime2-esperanto-9:svn47356-36.fc34 1189/3201 Installing : texlive-datetime2-estonian-9:svn47565-36.fc34. 1190/3201 Installing : texlive-datetime2-finnish-9:svn47047-36.fc34.n 1191/3201 Installing : texlive-datetime2-french-9:svn43742-36.fc34.no 1192/3201 Installing : texlive-datetime2-galician-9:svn47631-36.fc34. 1193/3201 Installing : texlive-datetime2-german-9:svn53125-36.fc34.no 1194/3201 Installing : texlive-datetime2-greek-9:svn47533-36.fc34.noa 1195/3201 Installing : texlive-datetime2-icelandic-9:svn47501-36.fc34 1196/3201 Installing : texlive-datetime2-irish-9:svn47632-36.fc34.noa 1197/3201 Installing : texlive-datetime2-italian-9:svn37146.1.3-36.fc 1198/3201 Installing : texlive-datetime2-lsorbian-9:svn47749-36.fc34. 1199/3201 Installing : texlive-datetime2-magyar-9:svn48266-36.fc34.no 1200/3201 Installing : texlive-datetime2-norsk-9:svn48267-36.fc34.noa 1201/3201 Installing : texlive-datetime2-polish-9:svn48456-36.fc34.no 1202/3201 Installing : texlive-datetime2-portuges-9:svn48457-36.fc34. 1203/3201 Installing : texlive-datetime2-romanian-9:svn43743-36.fc34. 1204/3201 Installing : texlive-datetime2-russian-9:svn49345-36.fc34.n 1205/3201 Installing : texlive-datetime2-samin-9:svn49346-36.fc34.noa 1206/3201 Installing : texlive-datetime2-scottish-9:svn52101-36.fc34. 1207/3201 Installing : texlive-datetime2-serbian-9:svn52893-36.fc34.n 1208/3201 Installing : texlive-datetime2-slovak-9:svn52281-36.fc34.no 1209/3201 Installing : texlive-datetime2-slovene-9:svn52282-36.fc34.n 1210/3201 Installing : texlive-datetime2-spanish-9:svn45785-36.fc34.n 1211/3201 Installing : texlive-datetime2-swedish-9:svn36700.1.0-36.fc 1212/3201 Installing : texlive-datetime2-turkish-9:svn52331-36.fc34.n 1213/3201 Installing : texlive-datetime2-ukrainian-9:svn47552-36.fc34 1214/3201 Installing : texlive-datetime2-usorbian-9:svn52375-36.fc34. 1215/3201 Installing : texlive-datetime2-welsh-9:svn52553-36.fc34.noa 1216/3201 Installing : texlive-ecclesiastic-9:svn38172.0.3-36.fc34.no 1217/3201 Installing : texlive-luabibentry-9:svn31783.0.1a-36.fc34.no 1218/3201 Installing : texlive-t-angles-9:svn15878.0-36.fc34.noarch 1219/3201 Installing : texlive-widetable-9:svn53409-36.fc34.noarch 1220/3201 Installing : texlive-2up-9:svn41578-36.fc34.noarch 1221/3201 Installing : texlive-Tabbing-9:svn17022.0-36.fc34.noarch 1222/3201 Installing : texlive-a5comb-9:svn17020.4-36.fc34.noarch 1223/3201 Installing : texlive-aaai-named-9:svn52470-36.fc34.noarch 1224/3201 Installing : texlive-abnt-9:svn54927-36.fc34.noarch 1225/3201 Installing : texlive-abraces-9:svn27880.2-36.fc34.noarch 1226/3201 Installing : texlive-abstract-9:svn15878.1.2a-36.fc34.noarc 1227/3201 Installing : texlive-academicons-9:svn54512-36.fc34.noarch 1228/3201 Installing : texlive-accessibility-9:svn52650-36.fc34.noarc 1229/3201 Installing : texlive-addfont-9:svn41972-36.fc34.noarch 1230/3201 Installing : texlive-adrconv-9:svn46817-36.fc34.noarch 1231/3201 Installing : texlive-aguplus-9:svn17156.1.6b-36.fc34.noarch 1232/3201 Installing : texlive-aichej-9:svn15878.0-36.fc34.noarch 1233/3201 Installing : texlive-ajl-9:svn34016.0-36.fc34.noarch 1234/3201 Installing : texlive-akletter-9:svn15878.1.5i-36.fc34.noarc 1235/3201 Installing : texlive-algolrevived-9:svn56788-36.fc34.noarch 1236/3201 Installing : texlive-aligned-overset-9:svn47290-36.fc34.noa 1237/3201 Installing : texlive-almendra-9:svn56035-36.fc34.noarch 1238/3201 Installing : texlive-almfixed-9:svn35065.0.92-36.fc34.noarc 1239/3201 Installing : texlive-ametsoc-9:svn36030.4.3.2-36.fc34.noarc 1240/3201 Installing : texlive-amsaddr-9:svn29630.1.1-36.fc34.noarch 1241/3201 Installing : texlive-amscdx-9:svn51532-36.fc34.noarch 1242/3201 Installing : texlive-annotate-9:svn52824-36.fc34.noarch 1243/3201 Installing : texlive-anonchap-9:svn17049.1.1a-36.fc34.noarc 1244/3201 Installing : texlive-antiqua-9:svn24266.001.003-36.fc34.noa 1245/3201 Installing : texlive-antt-9:svn18651.2.08-36.fc34.noarch 1246/3201 Installing : texlive-aobs-tikz-9:svn32662.1.0-36.fc34.noarc 1247/3201 Installing : texlive-apa7-9:svn54775-36.fc34.noarch 1248/3201 Installing : texlive-apalike2-9:svn54080-36.fc34.noarch 1249/3201 Installing : texlive-appendixnumberbeamer-9:svn46317-36.fc3 1250/3201 Installing : texlive-apptools-9:svn28400.1.0-36.fc34.noarch 1251/3201 Installing : texlive-arabicfront-9:svn51474-36.fc34.noarch 1252/3201 Installing : texlive-archaeologie-9:svn53813-36.fc34.noarch 1253/3201 Installing : texlive-archaic-9:svn38005.0-36.fc34.noarch 1254/3201 Installing : texlive-arimo-9:svn42880-36.fc34.noarch 1255/3201 Installing : texlive-arphic-9:svn15878.0-36.fc34.noarch 1256/3201 Installing : texlive-arraycols-9:svn51491-36.fc34.noarch 1257/3201 Installing : texlive-articleingud-9:svn38741-36.fc34.noarch 1258/3201 Installing : texlive-asaetr-9:svn15878.1.0a-36.fc34.noarch 1259/3201 Installing : texlive-asana-math-9:svn50999-36.fc34.noarch 1260/3201 Installing : texlive-asapsym-9:svn40201-36.fc34.noarch 1261/3201 Installing : texlive-asciilist-9:svn49060-36.fc34.noarch 1262/3201 Installing : texlive-ascmac-9:svn53411-36.fc34.noarch 1263/3201 Installing : texlive-askinclude-9:svn54725-36.fc34.noarch 1264/3201 Installing : texlive-aspectratio-9:svn25243.2.0-36.fc34.noa 1265/3201 Installing : texlive-astro-9:svn15878.2.20-36.fc34.noarch 1266/3201 Installing : texlive-attachfile2-9:20200327-25.fc34.noarch 1267/3201 Installing : texlive-aucklandthesis-9:svn51323-36.fc34.noar 1268/3201 Installing : texlive-augie-9:svn18948.0-36.fc34.noarch 1269/3201 Installing : texlive-auncial-new-9:svn15878.2.0-36.fc34.noa 1270/3201 Installing : texlive-aurical-9:svn15878.1.5-36.fc34.noarch 1271/3201 Installing : texlive-aurl-9:svn41853-36.fc34.noarch 1272/3201 Installing : texlive-authoraftertitle-9:svn24863.0.9-36.fc3 1273/3201 Installing : texlive-authorarchive-9:svn54512-36.fc34.noarc 1274/3201 Installing : texlive-authordate-9:svn52564-36.fc34.noarch 1275/3201 Installing : texlive-authorindex-9:20200327-25.fc34.noarch 1276/3201 Installing : texlive-autoarea-9:svn15878.0.3a-36.fc34.noarc 1277/3201 Installing : texlive-autobreak-9:svn43337-36.fc34.noarch 1278/3201 Installing : texlive-autofancyhdr-9:svn54049-36.fc34.noarch 1279/3201 Installing : texlive-avantgar-9:svn31835.0-36.fc34.noarch 1280/3201 Installing : texlive-axessibility-9:svn54080-36.fc34.noarch 1281/3201 Installing : texlive-axodraw2-9:20200327-25.fc34.x86_64 1282/3201 Installing : texlive-b1encoding-9:svn21271.1.0-36.fc34.noar 1283/3201 Installing : texlive-babel-english-9:svn44495-36.fc34.noarc 1284/3201 Installing : texlive-backnaur-9:svn54080-36.fc34.noarch 1285/3201 Installing : texlive-bangorexam-9:svn46626-36.fc34.noarch 1286/3201 Installing : texlive-barcodes-9:svn15878.0-36.fc34.noarch 1287/3201 Installing : texlive-basicarith-9:svn35460.1.1-36.fc34.noar 1288/3201 Installing : texlive-baskervillef-9:svn55475-36.fc34.noarch 1289/3201 Installing : texlive-bath-bst-9:svn53422-36.fc34.noarch 1290/3201 Installing : texlive-bbold-9:svn17187.1.01-36.fc34.noarch 1291/3201 Installing : texlive-bbold-type1-9:svn33143.0-36.fc34.noarc 1292/3201 Installing : texlive-bchart-9:svn43928-36.fc34.noarch 1293/3201 Installing : texlive-beamer-verona-9:svn39180-36.fc34.noarc 1294/3201 Installing : texlive-beamerauxtheme-9:svn51053-36.fc34.noar 1295/3201 Installing : texlive-beamercolorthemeowl-9:svn40105-36.fc34 1296/3201 Installing : texlive-beamerdarkthemes-9:svn35101.0.4.1-36.f 1297/3201 Installing : texlive-beamerswitch-9:svn53467-36.fc34.noarch 1298/3201 Installing : texlive-beamertheme-cuerna-9:svn42161-36.fc34. 1299/3201 Installing : texlive-beamertheme-detlevcm-9:svn39048-36.fc3 1300/3201 Installing : texlive-beamertheme-epyt-9:svn41404-36.fc34.no 1301/3201 Installing : texlive-beamertheme-focus-9:svn54772-36.fc34.n 1302/3201 Installing : texlive-beamertheme-light-9:svn49867-36.fc34.n 1303/3201 Installing : texlive-beamertheme-npbt-9:svn54512-36.fc34.no 1304/3201 Installing : texlive-beamertheme-phnompenh-9:svn39100-36.fc 1305/3201 Installing : texlive-beamertheme-saintpetersburg-9:svn45877 1306/3201 Installing : texlive-beamertheme-upenn-bc-9:svn29937.1.0-36 1307/3201 Installing : texlive-beamerthemejltree-9:svn21977.1.1-36.fc 1308/3201 Installing : texlive-bearwear-9:svn54826-36.fc34.noarch 1309/3201 Installing : texlive-begriff-9:svn15878.1.6-36.fc34.noarch 1310/3201 Installing : texlive-beilstein-9:svn53925-36.fc34.noarch 1311/3201 Installing : texlive-belleek-9:svn18651.0-36.fc34.noarch 1312/3201 Installing : texlive-besjournals-9:svn45662-36.fc34.noarch 1313/3201 Installing : texlive-bestpapers-9:svn38708-36.fc34.noarch 1314/3201 Installing : texlive-beuron-9:svn46374-36.fc34.noarch 1315/3201 Installing : texlive-bez123-9:svn15878.1.1b-36.fc34.noarch 1316/3201 Installing : texlive-bibarts-9:svn54080-36.fc34.noarch 1317/3201 Installing : texlive-bibexport-9:20200327-25.fc34.noarch 1318/3201 Installing : texlive-bibhtml-9:svn31607.2.0.2-36.fc34.noarc 1319/3201 Installing : texlive-biblatex-abnt-9:svn49179-36.fc34.noarc 1320/3201 Installing : texlive-biblatex-ajc2020unofficial-9:svn54401- 1321/3201 Installing : texlive-biblatex-anonymous-9:svn48548-36.fc34. 1322/3201 Installing : texlive-biblatex-apa6-9:svn54995-36.fc34.noarc 1323/3201 Installing : texlive-biblatex-archaeology-9:svn53281-36.fc3 1324/3201 Installing : texlive-biblatex-arthistory-bonn-9:svn46637-36 1325/3201 Installing : texlive-biblatex-bath-9:svn53424-36.fc34.noarc 1326/3201 Installing : texlive-biblatex-bookinarticle-9:svn40323-36.f 1327/3201 Installing : texlive-biblatex-bookinother-9:svn54015-36.fc3 1328/3201 Installing : texlive-biblatex-claves-9:svn43723-36.fc34.noa 1329/3201 Installing : texlive-biblatex-enc-9:svn44627-36.fc34.noarch 1330/3201 Installing : texlive-biblatex-ext-9:svn54327-36.fc34.noarch 1331/3201 Installing : texlive-biblatex-gb7714-2015-9:svn54512-36.fc3 1332/3201 Installing : texlive-biblatex-german-legal-9:svn54297-36.fc 1333/3201 Installing : texlive-biblatex-ijsra-9:svn41634-36.fc34.noar 1334/3201 Installing : texlive-biblatex-iso690-9:svn54561-36.fc34.noa 1335/3201 Installing : texlive-biblatex-jura2-9:svn53243-36.fc34.noar 1336/3201 Installing : texlive-biblatex-lni-9:svn49935-36.fc34.noarch 1337/3201 Installing : texlive-biblatex-morenames-9:svn43049-36.fc34. 1338/3201 Installing : texlive-biblatex-nottsclassic-9:svn41596-36.fc 1339/3201 Installing : texlive-biblatex-oxref-9:svn53571-36.fc34.noar 1340/3201 Installing : texlive-biblatex-sbl-9:svn49426-36.fc34.noarch 1341/3201 Installing : texlive-biblatex-shortfields-9:svn45858-36.fc3 1342/3201 Installing : texlive-biblatex-socialscienceshuberlin-9:svn4 1343/3201 Installing : texlive-biblatex-software-9:svn54950-36.fc34.n 1344/3201 Installing : texlive-biblatex-swiss-legal-9:svn32750.1.1.2a 1345/3201 Installing : texlive-biblatex2bibitem-9:svn54030-36.fc34.no 1346/3201 Installing : texlive-bibletext-9:svn45196-36.fc34.noarch 1347/3201 Installing : texlive-biblist-9:svn17116.0-36.fc34.noarch 1348/3201 Installing : texlive-bibtex-9:20200327-25.fc34.x86_64 1349/3201 Installing : texlive-bibtexperllibs-9:svn54512-36.fc34.noar 1350/3201 Installing : texlive-binarytree-9:svn41777-36.fc34.noarch 1351/3201 Installing : texlive-biochemistry-colors-9:svn54512-36.fc34 1352/3201 Installing : texlive-biolett-bst-9:svn42217-36.fc34.noarch 1353/3201 Installing : texlive-bitpattern-9:svn39073-36.fc34.noarch 1354/3201 Installing : texlive-bitter-9:svn56026-36.fc34.noarch 1355/3201 Installing : texlive-blacklettert1-9:svn15878.0-36.fc34.noa 1356/3201 Installing : texlive-blkarray-9:svn36406.0.07-36.fc34.noarc 1357/3201 Installing : texlive-blochsphere-9:svn38388-36.fc34.noarch 1358/3201 Installing : texlive-block-9:svn17209.0-36.fc34.noarch 1359/3201 Installing : texlive-bloques-9:svn22490.1.0-36.fc34.noarch 1360/3201 Installing : texlive-boisik-9:svn15878.0.5-36.fc34.noarch 1361/3201 Installing : texlive-bold-extra-9:svn17076.0.1-36.fc34.noar 1362/3201 Installing : texlive-boldtensors-9:svn15878.0-36.fc34.noarc 1363/3201 Installing : texlive-bookdb-9:svn37536.0.2-36.fc34.noarch 1364/3201 Installing : texlive-bookhands-9:svn46480-36.fc34.noarch 1365/3201 Installing : texlive-booklet-9:svn15878.0.7b-36.fc34.noarch 1366/3201 Installing : texlive-bookman-9:svn31835.0-36.fc34.noarch 1367/3201 Installing : texlive-boolexpr-9:svn17830.3.14-36.fc34.noarc 1368/3201 Installing : texlive-bracketkey-9:svn17129.1.0-36.fc34.noar 1369/3201 Installing : texlive-braids-9:svn54080-36.fc34.noarch 1370/3201 Installing : texlive-braille-9:svn20655.0-36.fc34.noarch 1371/3201 Installing : texlive-brandeis-problemset-9:svn50991-36.fc34 1372/3201 Installing : texlive-brandeis-thesis-9:svn54758-36.fc34.noa 1373/3201 Installing : texlive-breakcites-9:svn21014-36.fc34.noarch 1374/3201 Installing : texlive-bropd-9:svn35383.1.2-36.fc34.noarch 1375/3201 Installing : texlive-brushscr-9:svn28363.0-36.fc34.noarch 1376/3201 Installing : texlive-bullcntr-9:svn15878.0.04-36.fc34.noarc 1377/3201 Installing : texlive-bussproofs-extra-9:svn51299-36.fc34.no 1378/3201 Installing : texlive-bussproofs-9:svn54080-36.fc34.noarch 1379/3201 Installing : texlive-bxcalc-9:svn52947-36.fc34.noarch 1380/3201 Installing : texlive-bxdpx-beamer-9:svn41813-36.fc34.noarch 1381/3201 Installing : texlive-bxdvidriver-9:svn43219-36.fc34.noarch 1382/3201 Installing : texlive-bxenclose-9:svn40213-36.fc34.noarch 1383/3201 Installing : texlive-bxnewfont-9:svn44173-36.fc34.noarch 1384/3201 Installing : texlive-bxpapersize-9:svn52304-36.fc34.noarch 1385/3201 Installing : texlive-bxtexlogo-9:svn47230-36.fc34.noarch 1386/3201 Installing : texlive-calligra-9:svn15878.0-36.fc34.noarch 1387/3201 Installing : texlive-calligra-type1-9:svn24302.001.000-36.f 1388/3201 Installing : texlive-callouts-9:svn44899-36.fc34.noarch 1389/3201 Installing : texlive-calrsfs-9:svn17125.0-36.fc34.noarch 1390/3201 Installing : texlive-cals-9:svn43003-36.fc34.noarch 1391/3201 Installing : texlive-calxxxx-yyyy-9:svn53290-36.fc34.noarch 1392/3201 Installing : texlive-canoniclayout-9:svn54758-36.fc34.noarc 1393/3201 Installing : texlive-capt-of-9:svn29803.0-36.fc34.noarch 1394/3201 Installing : texlive-captcont-9:svn15878.2.0-36.fc34.noarch 1395/3201 Installing : texlive-captdef-9:svn17353.0-36.fc34.noarch 1396/3201 Installing : texlive-carbohydrates-9:svn39000-36.fc34.noarc 1397/3201 Installing : texlive-carolmin-ps-9:svn15878.0-36.fc34.noarc 1398/3201 Installing : texlive-cascade-9:svn48200-36.fc34.noarch 1399/3201 Installing : texlive-casyl-9:svn15878.2.0-36.fc34.noarch 1400/3201 Installing : texlive-catcodes-9:svn38859-36.fc34.noarch 1401/3201 Installing : texlive-nicetext-9:svn38914-36.fc34.noarch 1402/3201 Installing : texlive-ccfonts-9:svn54686-36.fc34.noarch 1403/3201 Installing : texlive-ccool-9:svn54753-36.fc34.noarch 1404/3201 Installing : texlive-celtic-9:svn39797-36.fc34.noarch 1405/3201 Installing : texlive-censor-9:svn49168-36.fc34.noarch 1406/3201 Installing : texlive-centeredline-9:svn50971-36.fc34.noarch 1407/3201 Installing : texlive-cesenaexam-9:svn44960-36.fc34.noarch 1408/3201 Installing : texlive-changelog-9:svn54864-36.fc34.noarch 1409/3201 Installing : texlive-chappg-9:svn15878.2.1b-36.fc34.noarch 1410/3201 Installing : texlive-charter-9:svn15878.0-36.fc34.noarch 1411/3201 Installing : texlive-chbibref-9:svn17120.1.0-36.fc34.noarch 1412/3201 Installing : texlive-cheatsheet-9:svn45069-36.fc34.noarch 1413/3201 Installing : texlive-checkend-9:svn51475-36.fc34.noarch 1414/3201 Installing : texlive-chem-journal-9:svn15878.0-36.fc34.noar 1415/3201 Installing : texlive-chemarrow-9:svn17146.0.9-36.fc34.noarc 1416/3201 Installing : texlive-chembst-9:svn15878.0.2.5-36.fc34.noarc 1417/3201 Installing : texlive-chemplants-9:svn52863-36.fc34.noarch 1418/3201 Installing : texlive-chemsec-9:svn46972-36.fc34.noarch 1419/3201 Installing : texlive-cherokee-9:svn21046.0-36.fc34.noarch 1420/3201 Installing : texlive-chicago-annote-9:svn15878.0-36.fc34.no 1421/3201 Installing : texlive-chicago-9:svn15878.0-36.fc34.noarch 1422/3201 Installing : texlive-childdoc-9:svn49543-36.fc34.noarch 1423/3201 Installing : texlive-chivo-9:svn54512-36.fc34.noarch 1424/3201 Installing : texlive-chletter-9:svn20060.2.0-36.fc34.noarch 1425/3201 Installing : texlive-circ-9:svn15878.1.1-36.fc34.noarch 1426/3201 Installing : texlive-circledsteps-9:svn53382-36.fc34.noarch 1427/3201 Installing : texlive-circuit-macros-9:svn54994-36.fc34.noar 1428/3201 Installing : texlive-citeref-9:svn47407-36.fc34.noarch 1429/3201 Installing : texlive-cje-9:svn46721-36.fc34.noarch 1430/3201 Installing : texlive-cjkpunct-9:svn41119-36.fc34.noarch 1431/3201 Installing : texlive-clara-9:svn54512-36.fc34.noarch 1432/3201 Installing : texlive-classpack-9:svn33101.0.77-36.fc34.noar 1433/3201 Installing : texlive-clefval-9:svn16549.0-36.fc34.noarch 1434/3201 Installing : texlive-clipboard-9:svn47747-36.fc34.noarch 1435/3201 Installing : texlive-clock-9:svn15878.0-36.fc34.noarch 1436/3201 Installing : texlive-clrdblpg-9:svn47511-36.fc34.noarch 1437/3201 Installing : texlive-clrscode-9:svn51136-36.fc34.noarch 1438/3201 Installing : texlive-clrstrip-9:svn53537-36.fc34.noarch 1439/3201 Installing : texlive-cm-lgc-9:svn28250.0.5-36.fc34.noarch 1440/3201 Installing : texlive-cm-mf-extra-bold-9:svn54512-36.fc34.no 1441/3201 Installing : texlive-cm-unicode-9:svn19445.0.7.0-36.fc34.no 1442/3201 Installing : texlive-cmdstring-9:svn15878.1.1-36.fc34.noarc 1443/3201 Installing : texlive-cmdtrack-9:svn28910-36.fc34.noarch 1444/3201 Installing : texlive-cmexb-9:svn54074-36.fc34.noarch 1445/3201 Installing : texlive-cmextra-9:svn42428-36.fc34.noarch 1446/3201 Installing : texlive-cmpica-9:svn15878.0-36.fc34.noarch 1447/3201 Installing : texlive-cmsd-9:svn18787.0-36.fc34.noarch 1448/3201 Installing : texlive-cmsrb-9:svn54706-36.fc34.noarch 1449/3201 Installing : texlive-cns-9:svn45677-36.fc34.noarch 1450/3201 Installing : texlive-cochineal-9:svn56418-36.fc34.noarch 1451/3201 Installing : texlive-codeanatomy-9:svn51627-36.fc34.noarch 1452/3201 Installing : texlive-codepage-9:svn51502-36.fc34.noarch 1453/3201 Installing : texlive-coelacanth-9:svn54736-36.fc34.noarch 1454/3201 Installing : texlive-collref-9:svn46358-36.fc34.noarch 1455/3201 Installing : texlive-colophon-9:svn47913-36.fc34.noarch 1456/3201 Installing : texlive-colorinfo-9:svn15878.0.3c-36.fc34.noar 1457/3201 Installing : texlive-coloring-9:svn41042-36.fc34.noarch 1458/3201 Installing : texlive-colorprofiles-9:svn49086-36.fc34.noarc 1459/3201 Installing : texlive-combelow-9:svn18462.0.99f-36.fc34.noar 1460/3201 Installing : texlive-comma-9:svn18259.1.2-36.fc34.noarch 1461/3201 Installing : texlive-commado-9:svn38875-36.fc34.noarch 1462/3201 Installing : texlive-commedit-9:svn50116-36.fc34.noarch 1463/3201 Installing : texlive-compactbib-9:svn15878.0-36.fc34.noarch 1464/3201 Installing : texlive-competences-9:svn47573-36.fc34.noarch 1465/3201 Installing : texlive-concmath-fonts-9:svn17218.0-36.fc34.no 1466/3201 Installing : texlive-concprog-9:svn18791.0-36.fc34.noarch 1467/3201 Installing : texlive-concrete-9:svn15878.0-36.fc34.noarch 1468/3201 Installing : texlive-continue-9:svn49449-36.fc34.noarch 1469/3201 Installing : texlive-conv-xkv-9:svn43558-36.fc34.noarch 1470/3201 Installing : texlive-cooking-9:svn15878.0.9b-36.fc34.noarch 1471/3201 Installing : texlive-coordsys-9:svn15878.1.4-36.fc34.noarch 1472/3201 Installing : texlive-cormorantgaramond-9:svn54696-36.fc34.n 1473/3201 Installing : texlive-correctmathalign-9:svn44131-36.fc34.no 1474/3201 Installing : texlive-courier-9:svn35058.0-36.fc34.noarch 1475/3201 Installing : texlive-courseoutline-9:svn15878.1.0-36.fc34.n 1476/3201 Installing : texlive-coursepaper-9:svn15878.2.0-36.fc34.noa 1477/3201 Installing : texlive-cquthesis-9:svn52355-36.fc34.noarch 1478/3201 Installing : texlive-crimson-9:svn54512-36.fc34.noarch 1479/3201 Installing : texlive-crimsonpro-9:svn54512-36.fc34.noarch 1480/3201 Installing : texlive-crossreference-9:svn15878.0-36.fc34.no 1481/3201 Installing : texlive-crossreftools-9:svn54080-36.fc34.noarc 1482/3201 Installing : texlive-cryst-9:svn15878.0-36.fc34.noarch 1483/3201 Installing : texlive-css-colors-9:svn54512-36.fc34.noarch 1484/3201 Installing : texlive-csvmerge-9:svn51857-36.fc34.noarch 1485/3201 Installing : texlive-ctablestack-9:svn38514-36.fc34.noarch 1486/3201 Installing : texlive-luatexbase-9:svn52663-36.fc34.noarch 1487/3201 Installing : texlive-luacode-9:svn25193.1.2a-36.fc34.noarch 1488/3201 Installing : texlive-showhyphens-9:svn39787-36.fc34.noarch 1489/3201 Installing : texlive-cuprum-9:svn49909-36.fc34.noarch 1490/3201 Installing : texlive-currency-9:svn48990-36.fc34.noarch 1491/3201 Installing : texlive-custom-bib-9:svn24729.4.33-36.fc34.noa 1492/3201 Installing : texlive-cutwin-9:svn29803.0.1-36.fc34.noarch 1493/3201 Installing : texlive-cv-9:svn15878.0-36.fc34.noarch 1494/3201 Installing : texlive-cybercic-9:svn37659.2.1-36.fc34.noarch 1495/3201 Installing : texlive-cyklop-9:svn18651.0.915-36.fc34.noarch 1496/3201 Installing : texlive-dancers-9:svn13293.0-36.fc34.noarch 1497/3201 Installing : texlive-datetime2-bahasai-9:svn46287-36.fc34.n 1498/3201 Installing : texlive-datetime2-basque-9:svn47064-36.fc34.no 1499/3201 Installing : texlive-datetime2-dutch-9:svn47355-36.fc34.noa 1500/3201 Installing : texlive-datetime2-english-9:svn52479-36.fc34.n 1501/3201 Installing : texlive-datetime2-hebrew-9:svn47534-36.fc34.no 1502/3201 Installing : texlive-datetime2-latin-9:svn47748-36.fc34.noa 1503/3201 Installing : texlive-dccpaper-9:svn54512-36.fc34.noarch 1504/3201 Installing : texlive-dcpic-9:svn30206.5.0.0-36.fc34.noarch 1505/3201 Installing : texlive-decimal-9:svn23374.0-36.fc34.noarch 1506/3201 Installing : texlive-dehyph-9:svn48599-36.fc34.noarch 1507/3201 Installing : texlive-dejavu-otf-9:svn45991-36.fc34.noarch 1508/3201 Installing : texlive-delim-9:svn23974.1.0-36.fc34.noarch 1509/3201 Installing : texlive-delimseasy-9:svn39589-36.fc34.noarch 1510/3201 Installing : texlive-delimset-9:svn49544-36.fc34.noarch 1511/3201 Installing : texlive-delimtxt-9:svn16549.0-36.fc34.noarch 1512/3201 Installing : texlive-derivative-9:svn53654-36.fc34.noarch 1513/3201 Installing : texlive-diabetes-logbook-9:svn54810-36.fc34.no 1514/3201 Installing : texlive-diagnose-9:svn19387.0.2-36.fc34.noarch 1515/3201 Installing : texlive-dialogl-9:svn28946.0-36.fc34.noarch 1516/3201 Installing : texlive-dice-9:svn28501.0-36.fc34.noarch 1517/3201 Installing : texlive-dichokey-9:svn17192.0-36.fc34.noarch 1518/3201 Installing : texlive-din1505-9:svn19441.0-36.fc34.noarch 1519/3201 Installing : texlive-dinbrief-9:svn15878.0-36.fc34.noarch 1520/3201 Installing : texlive-dingbat-9:svn27918.1.0-36.fc34.noarch 1521/3201 Installing : texlive-dirtree-9:svn42428-36.fc34.noarch 1522/3201 Installing : texlive-ditaa-9:svn48932-36.fc34.noarch 1523/3201 Installing : texlive-docmfp-9:svn15878.1.2d-36.fc34.noarch 1524/3201 Installing : texlive-docmute-9:svn25741.1.4-36.fc34.noarch 1525/3201 Installing : texlive-documentation-9:svn34521.0.1-36.fc34.n 1526/3201 Installing : texlive-domitian-9:svn55286-36.fc34.noarch 1527/3201 Installing : texlive-dotlessi-9:svn51476-36.fc34.noarch 1528/3201 Installing : texlive-dotseqn-9:svn17195.1.1-36.fc34.noarch 1529/3201 Installing : texlive-dpcircling-9:svn54994-36.fc34.noarch 1530/3201 Installing : texlive-dpfloat-9:svn17196.0-36.fc34.noarch 1531/3201 Installing : texlive-dprogress-9:svn15878.0.1-36.fc34.noarc 1532/3201 Installing : texlive-draftfigure-9:svn44854-36.fc34.noarch 1533/3201 Installing : texlive-dratex-9:svn15878.0-36.fc34.noarch 1534/3201 Installing : texlive-drawmatrix-9:svn44471-36.fc34.noarch 1535/3201 Installing : texlive-drs-9:svn19232.1.1b-36.fc34.noarch 1536/3201 Installing : texlive-dsserif-9:svn54512-36.fc34.noarch 1537/3201 Installing : texlive-dtxdescribe-9:svn51652-36.fc34.noarch 1538/3201 Installing : texlive-ducksay-9:svn53631-36.fc34.noarch 1539/3201 Installing : texlive-duckuments-9:svn52271-36.fc34.noarch 1540/3201 Installing : texlive-duerer-latex-9:svn15878.1.1-36.fc34.no 1541/3201 Installing : texlive-duerer-9:svn20741.0-36.fc34.noarch 1542/3201 Installing : texlive-duotenzor-9:svn18728.1.00-36.fc34.noar 1543/3201 Installing : texlive-dynamicnumber-9:svn38726-36.fc34.noarc 1544/3201 Installing : texlive-easy-9:svn19440.0.99-36.fc34.noarch 1545/3201 Installing : texlive-easyformat-9:svn44543-36.fc34.noarch 1546/3201 Installing : texlive-ebook-9:svn29466.0-36.fc34.noarch 1547/3201 Installing : texlive-ecc-9:svn15878.0-36.fc34.noarch 1548/3201 Installing : texlive-ecgdraw-9:svn41617-36.fc34.noarch 1549/3201 Installing : texlive-ecobiblatex-9:svn39233-36.fc34.noarch 1550/3201 Installing : texlive-econ-bst-9:svn54191-36.fc34.noarch 1551/3201 Installing : texlive-econometrics-9:svn39396-36.fc34.noarch 1552/3201 Installing : texlive-ecothesis-9:svn48007-36.fc34.noarch 1553/3201 Installing : texlive-edmargin-9:svn27599.1.2-36.fc34.noarch 1554/3201 Installing : texlive-ehhline-9:svn54676-36.fc34.noarch 1555/3201 Installing : texlive-eiad-ltx-9:svn15878.1.0-36.fc34.noarch 1556/3201 Installing : texlive-eiad-9:svn15878.0-36.fc34.noarch 1557/3201 Installing : texlive-elegantbook-9:svn54758-36.fc34.noarch 1558/3201 Installing : texlive-elegantnote-9:svn54758-36.fc34.noarch 1559/3201 Installing : texlive-elegantpaper-9:svn54758-36.fc34.noarch 1560/3201 Installing : texlive-ellipse-9:svn39025-36.fc34.noarch 1561/3201 Installing : texlive-elmath-9:svn15878.v1.2-36.fc34.noarch 1562/3201 Installing : texlive-els-cas-templates-9:svn54317-36.fc34.n 1563/3201 Installing : texlive-eltex-9:svn15878.2.0-36.fc34.noarch 1564/3201 Installing : texlive-elvish-9:svn15878.0-36.fc34.noarch 1565/3201 Installing : texlive-emf-9:svn42023-36.fc34.noarch 1566/3201 Installing : texlive-emisa-9:svn46734-36.fc34.noarch 1567/3201 Installing : texlive-enctex-9:svn34957.0-36.fc34.noarch 1568/3201 Installing : texlive-endheads-9:svn43750-36.fc34.noarch 1569/3201 Installing : texlive-endnotes-hy-9:svn54758-36.fc34.noarch 1570/3201 Installing : texlive-endofproofwd-9:svn45116-36.fc34.noarch 1571/3201 Installing : texlive-engtlc-9:svn28571.3.2-36.fc34.noarch 1572/3201 Installing : texlive-envbig-9:svn15878.0-36.fc34.noarch 1573/3201 Installing : texlive-epiolmec-9:svn15878.0-36.fc34.noarch 1574/3201 Installing : texlive-epsf-9:svn21461.2.7.4-36.fc34.noarch 1575/3201 Installing : texlive-epspdf-9:20200327-25.fc34.noarch 1576/3201 Installing : texlive-eqnalign-9:svn43278-36.fc34.noarch 1577/3201 Installing : texlive-eqname-9:svn20678.0-36.fc34.noarch 1578/3201 Installing : texlive-eqnnumwarn-9:svn45511-36.fc34.noarch 1579/3201 Installing : texlive-erewhon-math-9:svn54769-36.fc34.noarch 1580/3201 Installing : texlive-esdiff-9:svn21385.1.2-36.fc34.noarch 1581/3201 Installing : texlive-esindex-9:svn52342-36.fc34.noarch 1582/3201 Installing : texlive-esrelation-9:svn37236.0-36.fc34.noarch 1583/3201 Installing : texlive-etbb-9:svn56390-36.fc34.noarch 1584/3201 Installing : texlive-etsvthor-9:svn48186-36.fc34.noarch 1585/3201 Installing : texlive-euclideangeometry-9:svn54897-36.fc34.n 1586/3201 Installing : texlive-euflag-9:svn49970-36.fc34.noarch 1587/3201 Installing : texlive-eukdate-9:svn15878.1.04-36.fc34.noarch 1588/3201 Installing : texlive-eulerpx-9:svn43735-36.fc34.noarch 1589/3201 Installing : texlive-euro-ce-9:svn25714-36.fc34.noarch 1590/3201 Installing : texlive-euxm-9:svn54074-36.fc34.noarch 1591/3201 Installing : texlive-exam-randomizechoices-9:svn49662-36.fc 1592/3201 Installing : texlive-example-9:svn33398.0-36.fc34.noarch 1593/3201 Installing : texlive-examplep-9:svn16916.0.04-36.fc34.noarc 1594/3201 Installing : texlive-excludeonly-9:svn17262.1.0-36.fc34.noa 1595/3201 Installing : texlive-exercisebank-9:svn50448-36.fc34.noarch 1596/3201 Installing : texlive-exercisepoints-9:svn49590-36.fc34.noar 1597/3201 Installing : texlive-exercises-9:svn42428-36.fc34.noarch 1598/3201 Installing : texlive-exframe-9:svn53911-36.fc34.noarch 1599/3201 Installing : texlive-exp-testopt-9:svn15878.0.3-36.fc34.noa 1600/3201 Installing : texlive-expdlist-9:svn15878.2.4-36.fc34.noarch 1601/3201 Installing : texlive-export-9:svn27206.1.8-36.fc34.noarch 1602/3201 Installing : texlive-facture-belge-simple-sans-tva-9:svn490 1603/3201 Installing : texlive-faktor-9:svn15878.0.1b-36.fc34.noarch 1604/3201 Installing : texlive-fancyhandout-9:svn46411-36.fc34.noarch 1605/3201 Installing : texlive-fancynum-9:svn15878.0.92-36.fc34.noarc 1606/3201 Installing : texlive-fandol-9:svn37889.0.3-36.fc34.noarch 1607/3201 Installing : texlive-fascicules-9:svn54080-36.fc34.noarch 1608/3201 Installing : texlive-fbox-9:svn53320-36.fc34.noarch 1609/3201 Installing : texlive-fbs-9:svn15878.0-36.fc34.noarch 1610/3201 Installing : texlive-fetamont-9:svn43812-36.fc34.noarch 1611/3201 Installing : texlive-fetchcls-9:svn45245-36.fc34.noarch 1612/3201 Installing : texlive-fewerfloatpages-9:svn53779-36.fc34.noa 1613/3201 Installing : texlive-feyn-9:svn45679-36.fc34.noarch 1614/3201 Installing : texlive-ffslides-9:svn38895-36.fc34.noarch 1615/3201 Installing : texlive-fge-9:svn37628.1.25-36.fc34.noarch 1616/3201 Installing : texlive-fgruler-9:svn42966-36.fc34.noarch 1617/3201 Installing : texlive-fibeamer-9:svn53146-36.fc34.noarch 1618/3201 Installing : texlive-fig4latex-9:20200327-25.fc34.noarch 1619/3201 Installing : texlive-filecontentsdef-9:svn52208-36.fc34.noa 1620/3201 Installing : texlive-filedate-9:svn29529.0-36.fc34.noarch 1621/3201 Installing : texlive-firamath-otf-9:svn50732-36.fc34.noarch 1622/3201 Installing : texlive-firamath-9:svn53388-36.fc34.noarch 1623/3201 Installing : texlive-fix2col-9:svn38770-36.fc34.noarch 1624/3201 Installing : texlive-fixcmex-9:svn51825-36.fc34.noarch 1625/3201 Installing : texlive-fjodor-9:svn53207-36.fc34.noarch 1626/3201 Installing : texlive-floatflt-9:svn25540.1.31-36.fc34.noarc 1627/3201 Installing : texlive-fn2end-9:svn15878.1.1-36.fc34.noarch 1628/3201 Installing : texlive-fncylab-9:svn52090-36.fc34.noarch 1629/3201 Installing : texlive-fnpara-9:svn25607.0-36.fc34.noarch 1630/3201 Installing : texlive-fnspe-9:svn45360-36.fc34.noarch 1631/3201 Installing : texlive-foekfont-9:svn15878.0-36.fc34.noarch 1632/3201 Installing : texlive-foilhtml-9:svn21855.1.2-36.fc34.noarch 1633/3201 Installing : texlive-fontawesome5-9:svn54517-36.fc34.noarch 1634/3201 Installing : texlive-fontmfizz-9:svn43546-36.fc34.noarch 1635/3201 Installing : texlive-fonts-churchslavonic-9:svn43121-36.fc3 1636/3201 Installing : texlive-fontsetup-9:svn53195-36.fc34.noarch 1637/3201 Installing : texlive-fontsize-9:svn53874-36.fc34.noarch 1638/3201 Installing : texlive-fonttable-9:svn44799-36.fc34.noarch 1639/3201 Installing : texlive-footbib-9:svn17115.2.0.7-36.fc34.noarc 1640/3201 Installing : texlive-footmisx-9:svn42621-36.fc34.noarch 1641/3201 Installing : texlive-footnotehyper-9:svn52676-36.fc34.noarc 1642/3201 Installing : texlive-formlett-9:svn21480.2.3-36.fc34.noarch 1643/3201 Installing : texlive-forms16be-9:svn51305-36.fc34.noarch 1644/3201 Installing : texlive-forum-9:svn56025-36.fc34.noarch 1645/3201 Installing : texlive-fouridx-9:svn32214.2.00-36.fc34.noarch 1646/3201 Installing : texlive-fragments-9:svn15878.0-36.fc34.noarch 1647/3201 Installing : texlive-frame-9:svn18312.1.0-36.fc34.noarch 1648/3201 Installing : texlive-francais-bst-9:svn38922-36.fc34.noarch 1649/3201 Installing : texlive-frankenstein-9:svn15878.0-36.fc34.noar 1650/3201 Installing : texlive-frederika2016-9:svn42157-36.fc34.noarc 1651/3201 Installing : texlive-ftc-notebook-9:svn50043-36.fc34.noarch 1652/3201 Installing : texlive-ftcap-9:svn17275.1.4-36.fc34.noarch 1653/3201 Installing : texlive-ftnxtra-9:svn29652.0.1-36.fc34.noarch 1654/3201 Installing : texlive-fullblck-9:svn25434.1.03-36.fc34.noarc 1655/3201 Installing : texlive-fundus-sueterlin-9:svn26030.1.2-36.fc3 1656/3201 Installing : texlive-fwlw-9:svn29803.0-36.fc34.noarch 1657/3201 Installing : texlive-gammas-9:svn50012-36.fc34.noarch 1658/3201 Installing : texlive-garamond-libre-9:svn55166-36.fc34.noar 1659/3201 Installing : texlive-garamond-math-9:svn52820-36.fc34.noarc 1660/3201 Installing : texlive-gbt7714-9:svn54758-36.fc34.noarch 1661/3201 Installing : texlive-gene-logic-9:svn15878.1.4-36.fc34.noar 1662/3201 Installing : texlive-genealogy-9:svn25112.0-36.fc34.noarch 1663/3201 Installing : texlive-getitems-9:svn39365-36.fc34.noarch 1664/3201 Installing : texlive-gfsbodoni-9:svn28484.1.01-36.fc34.noar 1665/3201 Installing : texlive-gfscomplutum-9:svn19469.1.0-36.fc34.no 1666/3201 Installing : texlive-gfsdidotclassic-9:svn52778-36.fc34.noa 1667/3201 Installing : texlive-gfsneohellenic-9:svn54080-36.fc34.noar 1668/3201 Installing : texlive-gfsneohellenicmath-9:svn52570-36.fc34. 1669/3201 Installing : texlive-gfssolomos-9:svn18651.1.0-36.fc34.noar 1670/3201 Installing : texlive-gillcm-9:svn19878.1.1-36.fc34.noarch 1671/3201 Installing : texlive-gindex-9:svn52311-36.fc34.noarch 1672/3201 Installing : texlive-gitfile-info-9:svn51928-36.fc34.noarch 1673/3201 Installing : texlive-gitlog-9:svn38932-36.fc34.noarch 1674/3201 Installing : texlive-gitver-9:svn49980-36.fc34.noarch 1675/3201 Installing : texlive-globalvals-9:svn49962-36.fc34.noarch 1676/3201 Installing : texlive-glossaries-danish-9:svn35665.1.0-36.fc 1677/3201 Installing : texlive-glossaries-dutch-9:svn35685.1.1-36.fc3 1678/3201 Installing : texlive-glossaries-english-9:svn35665.1.0-36.f 1679/3201 Installing : texlive-glossaries-estonian-9:svn49928-36.fc34 1680/3201 Installing : texlive-glossaries-french-9:svn42873-36.fc34.n 1681/3201 Installing : texlive-glossaries-german-9:svn35665.1.0-36.fc 1682/3201 Installing : texlive-glossaries-irish-9:svn35665.1.0-36.fc3 1683/3201 Installing : texlive-glossaries-italian-9:svn35665.1.0-36.f 1684/3201 Installing : texlive-glossaries-magyar-9:svn35665.1.0-36.fc 1685/3201 Installing : texlive-glossaries-polish-9:svn35665.1.0-36.fc 1686/3201 Installing : texlive-glossaries-portuges-9:svn36064.1.1-36. 1687/3201 Installing : texlive-glossaries-serbian-9:svn35665.1.0-36.f 1688/3201 Installing : texlive-glossaries-slovene-9:svn51211-36.fc34. 1689/3201 Installing : texlive-glossaries-spanish-9:svn35665.1.0-36.f 1690/3201 Installing : texlive-gnu-freefont-9:svn29349.0-36.fc34.noar 1691/3201 Installing : texlive-gofonts-9:svn54512-36.fc34.noarch 1692/3201 Installing : texlive-gothic-9:svn49869-36.fc34.noarch 1693/3201 Installing : texlive-gotoh-9:svn44764-36.fc34.noarch 1694/3201 Installing : texlive-grabbox-9:svn51052-36.fc34.noarch 1695/3201 Installing : texlive-gradstudentresume-9:svn38832-36.fc34.n 1696/3201 Installing : texlive-grant-9:svn41905-36.fc34.noarch 1697/3201 Installing : texlive-graph35-9:svn47522-36.fc34.noarch 1698/3201 Installing : texlive-graphicxbox-9:svn32630.1.0-36.fc34.noa 1699/3201 Installing : texlive-grayhints-9:svn49052-36.fc34.noarch 1700/3201 Installing : texlive-greek-inputenc-9:svn51612-36.fc34.noar 1701/3201 Installing : texlive-greenpoint-9:svn15878.0-36.fc34.noarch 1702/3201 Installing : texlive-gridset-9:svn53762-36.fc34.noarch 1703/3201 Installing : texlive-gridslides-9:svn54512-36.fc34.noarch 1704/3201 Installing : texlive-grotesq-9:svn35859.0-36.fc34.noarch 1705/3201 Installing : texlive-gtrlib-largetrees-9:svn49062-36.fc34.n 1706/3201 Installing : texlive-h2020proposal-9:svn38428-36.fc34.noarc 1707/3201 Installing : texlive-hackthefootline-9:svn46494-36.fc34.noa 1708/3201 Installing : texlive-hacm-9:svn27671.0.1-36.fc34.noarch 1709/3201 Installing : texlive-hagenberg-thesis-9:svn51150-36.fc34.no 1710/3201 Installing : texlive-halloweenmath-9:svn52602-36.fc34.noarc 1711/3201 Installing : texlive-handin-9:svn48255-36.fc34.noarch 1712/3201 Installing : texlive-hands-9:svn13293.0-36.fc34.noarch 1713/3201 Installing : texlive-hang-9:svn43280-36.fc34.noarch 1714/3201 Installing : texlive-hanging-9:svn15878.1.2b-36.fc34.noarch 1715/3201 Installing : texlive-harnon-cv-9:svn26543.1.0-36.fc34.noarc 1716/3201 Installing : texlive-harvmac-9:svn15878.0-36.fc34.noarch 1717/3201 Installing : texlive-hecthese-9:svn50590-36.fc34.noarch 1718/3201 Installing : texlive-helvetic-9:svn31835.0-36.fc34.noarch 1719/3201 Installing : texlive-hep-paper-9:svn54994-36.fc34.noarch 1720/3201 Installing : texlive-hfbright-9:svn29349.0-36.fc34.noarch 1721/3201 Installing : texlive-histogr-9:svn15878.1.01-36.fc34.noarch 1722/3201 Installing : texlive-historische-zeitschrift-9:svn42635-36. 1723/3201 Installing : texlive-hitec-9:svn15878.0.0_beta_-36.fc34.noa 1724/3201 Installing : texlive-hithesis-9:svn53362-36.fc34.noarch 1725/3201 Installing : texlive-hitszbeamer-9:svn54381-36.fc34.noarch 1726/3201 Installing : texlive-hitszthesis-9:svn54709-36.fc34.noarch 1727/3201 Installing : texlive-hu-berlin-bundle-9:svn54512-36.fc34.no 1728/3201 Installing : texlive-hustthesis-9:svn42547-36.fc34.noarch 1729/3201 Installing : texlive-hvqrurl-9:svn52993-36.fc34.noarch 1730/3201 Installing : texlive-hyperbar-9:svn48147-36.fc34.noarch 1731/3201 Installing : texlive-hyphen-polish-9:svn54568-36.fc34.noarc 1732/3201 Running scriptlet: texlive-hyphen-polish-9:svn54568-36.fc34.noarc 1732/3201 Installing : texlive-hyphenex-9:svn37354.0-36.fc34.noarch 1733/3201 Installing : texlive-icite-9:svn54512-36.fc34.noarch 1734/3201 Installing : texlive-identkey-9:svn49018-36.fc34.noarch 1735/3201 Installing : texlive-iffont-9:svn38823-36.fc34.noarch 1736/3201 Installing : texlive-iitem-9:svn29613.1.0-36.fc34.noarch 1737/3201 Installing : texlive-ijqc-9:svn15878.1.2-36.fc34.noarch 1738/3201 Installing : texlive-ijsra-9:svn44886-36.fc34.noarch 1739/3201 Installing : texlive-imac-9:svn17347.0-36.fc34.noarch 1740/3201 Installing : texlive-imfellenglish-9:svn38547-36.fc34.noarc 1741/3201 Installing : texlive-inkpaper-9:svn54080-36.fc34.noarch 1742/3201 Installing : texlive-inline-images-9:svn54080-36.fc34.noarc 1743/3201 Installing : texlive-inlinebib-9:svn22018.0-36.fc34.noarch 1744/3201 Installing : texlive-inlinedef-9:svn15878.1.0-36.fc34.noarc 1745/3201 Installing : texlive-inputenx-9:svn52986-36.fc34.noarch 1746/3201 Installing : texlive-inputtrc-9:svn28019.0.3-36.fc34.noarch 1747/3201 Installing : texlive-inriafonts-9:svn54512-36.fc34.noarch 1748/3201 Installing : texlive-intopdf-9:svn51247-36.fc34.noarch 1749/3201 Installing : texlive-inversepath-9:svn15878.0.2-36.fc34.noa 1750/3201 Installing : texlive-invoice-class-9:svn49749-36.fc34.noarc 1751/3201 Installing : texlive-invoice2-9:svn46364-36.fc34.noarch 1752/3201 Installing : texlive-iodhbwm-9:svn54734-36.fc34.noarch 1753/3201 Installing : texlive-iopart-num-9:svn15878.2.1-36.fc34.noar 1754/3201 Installing : texlive-ipaex-9:svn52032-36.fc34.noarch 1755/3201 Installing : texlive-ipaex-type1-9:svn47700-36.fc34.noarch 1756/3201 Installing : texlive-is-bst-9:svn52623-36.fc34.noarch 1757/3201 Installing : texlive-iscram-9:svn45801-36.fc34.noarch 1758/3201 Installing : texlive-iso10303-9:svn15878.1.5-36.fc34.noarch 1759/3201 Installing : texlive-isonums-9:svn17362.1.0-36.fc34.noarch 1760/3201 Installing : texlive-isopt-9:svn45509-36.fc34.noarch 1761/3201 Installing : texlive-isotope-9:svn23711.v0.3-36.fc34.noarch 1762/3201 Installing : texlive-istgame-9:svn49848-36.fc34.noarch 1763/3201 Installing : texlive-itnumpar-9:svn15878.1.0-36.fc34.noarch 1764/3201 Installing : texlive-iwhdp-9:svn37552.0.50-36.fc34.noarch 1765/3201 Installing : texlive-jablantile-9:svn16364.0-36.fc34.noarch 1766/3201 Installing : texlive-jacow-9:svn50870-36.fc34.noarch 1767/3201 Installing : texlive-jbact-9:svn52717-36.fc34.noarch 1768/3201 Installing : texlive-jkmath-9:svn47109-36.fc34.noarch 1769/3201 Installing : texlive-jmb-9:svn52718-36.fc34.noarch 1770/3201 Installing : texlive-jneurosci-9:svn17346.1.00-36.fc34.noar 1771/3201 Installing : texlive-jnuexam-9:svn52696-36.fc34.noarch 1772/3201 Installing : texlive-junicode-9:svn53954-36.fc34.noarch 1773/3201 Installing : texlive-jvlisting-9:svn24638.0.7-36.fc34.noarc 1774/3201 Installing : texlive-kalendarium-9:svn48744-36.fc34.noarch 1775/3201 Installing : texlive-karnaugh-9:svn21338.0-36.fc34.noarch 1776/3201 Installing : texlive-kblocks-9:svn52382-36.fc34.noarch 1777/3201 Installing : texlive-keyfloat-9:svn52160-36.fc34.noarch 1778/3201 Installing : texlive-keyindex-9:svn50828-36.fc34.noarch 1779/3201 Installing : texlive-keyvaltable-9:svn54677-36.fc34.noarch 1780/3201 Installing : texlive-kix-9:svn21606.0-36.fc34.noarch 1781/3201 Installing : texlive-kixfont-9:svn18488.0-36.fc34.noarch 1782/3201 Installing : texlive-knowledge-9:svn54876-36.fc34.noarch 1783/3201 Installing : texlive-knuth-local-9:svn38627-36.fc34.noarch 1784/3201 Installing : texlive-koma-script-sfs-9:svn26137.1.0-36.fc34 1785/3201 Installing : texlive-komacv-rg-9:svn49064-36.fc34.noarch 1786/3201 Installing : texlive-ksfh_nat-9:svn24825.1.1-36.fc34.noarch 1787/3201 Installing : texlive-ksp-thesis-9:svn39080-36.fc34.noarch 1788/3201 Installing : texlive-ku-template-9:svn45935-36.fc34.noarch 1789/3201 Installing : texlive-kvmap-9:svn54898-36.fc34.noarch 1790/3201 Installing : texlive-l3build-9:20200327-25.fc34.noarch 1791/3201 Installing : texlive-labels4easylist-9:svn51124-36.fc34.noa 1792/3201 Installing : texlive-labelschanged-9:svn46040-36.fc34.noarc 1793/3201 Installing : texlive-ladder-9:svn44394-36.fc34.noarch 1794/3201 Installing : texlive-lambda-lists-9:svn31402.0-36.fc34.noar 1795/3201 Installing : texlive-langsci-avm-9:svn54239-36.fc34.noarch 1796/3201 Installing : texlive-langsci-9:svn54393-36.fc34.noarch 1797/3201 Installing : texlive-lastpackage-9:svn34481.0.1-36.fc34.noa 1798/3201 Installing : texlive-latex-uni8-9:svn49729-36.fc34.noarch 1799/3201 Installing : texlive-latexbug-9:svn52414-36.fc34.noarch 1800/3201 Installing : texlive-latexcolors-9:svn49888-36.fc34.noarch 1801/3201 Installing : texlive-latexgit-9:svn54811-36.fc34.noarch 1802/3201 Installing : texlive-lccaps-9:svn46432-36.fc34.noarch 1803/3201 Installing : texlive-lcd-9:svn16549.0.3-36.fc34.noarch 1804/3201 Installing : texlive-lectures-9:svn53642-36.fc34.noarch 1805/3201 Installing : texlive-leftidx-9:svn15878.0-36.fc34.noarch 1806/3201 Installing : texlive-leipzig-9:svn52450-36.fc34.noarch 1807/3201 Installing : texlive-letterswitharrows-9:svn53709-36.fc34.n 1808/3201 Installing : texlive-lewis-9:svn15878.0.1-36.fc34.noarch 1809/3201 Installing : texlive-lexend-9:svn54512-36.fc34.noarch 1810/3201 Installing : texlive-lfb-9:svn15878.1.0-36.fc34.noarch 1811/3201 Installing : texlive-libertinegc-9:svn44616-36.fc34.noarch 1812/3201 Installing : texlive-libertinus-9:svn55064-36.fc34.noarch 1813/3201 Installing : texlive-libertinus-type1-9:svn56160-36.fc34.no 1814/3201 Installing : texlive-libertinust1math-9:svn55517-36.fc34.no 1815/3201 Installing : texlive-librebodoni-9:svn39375-36.fc34.noarch 1816/3201 Installing : texlive-librefranklin-9:svn54512-36.fc34.noarc 1817/3201 Installing : texlive-lie-hasse-9:svn53653-36.fc34.noarch 1818/3201 Installing : texlive-limecv-9:svn54329-36.fc34.noarch 1819/3201 Installing : texlive-linguisticspro-9:svn54512-36.fc34.noar 1820/3201 Installing : texlive-linop-9:svn41304-36.fc34.noarch 1821/3201 Installing : texlive-lion-msc-9:svn51143-36.fc34.noarch 1822/3201 Installing : texlive-lisp-on-tex-9:svn38722-36.fc34.noarch 1823/3201 Installing : texlive-listbib-9:20200327-25.fc34.noarch 1824/3201 Installing : texlive-listing-9:svn17373.1.2-36.fc34.noarch 1825/3201 Installing : texlive-listingsutf8-9:svn53097-36.fc34.noarch 1826/3201 Installing : texlive-lkproof-9:svn20021.3.1-36.fc34.noarch 1827/3201 Installing : texlive-llncsconf-9:svn46707-36.fc34.noarch 1828/3201 Installing : texlive-lmake-9:svn25552.1.0-36.fc34.noarch 1829/3201 Installing : texlive-lni-9:svn52401-36.fc34.noarch 1830/3201 Installing : texlive-localloc-9:svn21934.0-36.fc34.noarch 1831/3201 Installing : texlive-logbox-9:svn24499.1.0-36.fc34.noarch 1832/3201 Installing : texlive-logical-markup-utils-9:svn15878.0-36.f 1833/3201 Installing : texlive-logix-9:svn54512-36.fc34.noarch 1834/3201 Installing : texlive-longfbox-9:svn39028-36.fc34.noarch 1835/3201 Installing : texlive-lpform-9:svn36918.0-36.fc34.noarch 1836/3201 Installing : texlive-lplfitch-9:svn31077.0.9-36.fc34.noarch 1837/3201 Installing : texlive-lroundrect-9:svn39804-36.fc34.noarch 1838/3201 Installing : texlive-lstbayes-9:svn48160-36.fc34.noarch 1839/3201 Installing : texlive-lstfiracode-9:svn49503-36.fc34.noarch 1840/3201 Installing : texlive-ltb2bib-9:svn43746-36.fc34.noarch 1841/3201 Installing : texlive-ltxguidex-9:svn50992-36.fc34.noarch 1842/3201 Installing : texlive-luabidi-9:svn54512-36.fc34.noarch 1843/3201 Installing : texlive-luacolor-9:svn53933-36.fc34.noarch 1844/3201 Installing : texlive-luahbtex-9:20200327-25.fc34.x86_64 1845/3201 Installing : texlive-lualibs-9:svn53682-36.fc34.noarch 1846/3201 Installing : texlive-luaotfload-9:20200327-25.fc34.noarch 1847/3201 Installing : texlive-luamesh-9:svn43814-36.fc34.noarch 1848/3201 Installing : texlive-lwarp-9:20200327-25.fc34.noarch 1849/3201 Installing : texlive-magaz-9:svn24694.0.4-36.fc34.noarch 1850/3201 Installing : texlive-make4ht-9:20200327-25.fc34.noarch 1851/3201 Installing : texlive-makebase-9:svn41012-36.fc34.noarch 1852/3201 Installing : texlive-makebox-9:svn15878.0.1-36.fc34.noarch 1853/3201 Installing : texlive-makecirc-9:svn15878.0-36.fc34.noarch 1854/3201 Installing : texlive-makecookbook-9:svn49311-36.fc34.noarch 1855/3201 Installing : texlive-makedtx-9:20200327-25.fc34.noarch 1856/3201 Installing : texlive-makeglos-9:svn15878.0-36.fc34.noarch 1857/3201 Installing : texlive-makeindex-9:20200327-25.fc34.x86_64 1858/3201 Installing : texlive-maker-9:svn44823-36.fc34.noarch 1859/3201 Installing : texlive-makerobust-9:svn52811-36.fc34.noarch 1860/3201 Installing : texlive-manfnt-9:svn42428-36.fc34.noarch 1861/3201 Installing : texlive-manyind-9:svn49874-36.fc34.noarch 1862/3201 Installing : texlive-marcellus-9:svn56016-36.fc34.noarch 1863/3201 Installing : texlive-margbib-9:svn15878.1.0c-36.fc34.noarch 1864/3201 Installing : texlive-marginfit-9:svn48281-36.fc34.noarch 1865/3201 Installing : texlive-markdown-9:svn54482-36.fc34.noarch 1866/3201 Installing : texlive-matc3-9:svn29845.1.0.1-36.fc34.noarch 1867/3201 Installing : texlive-mathcommand-9:svn53044-36.fc34.noarch 1868/3201 Installing : texlive-mathfam256-9:svn53519-36.fc34.noarch 1869/3201 Installing : texlive-mathfixs-9:svn49547-36.fc34.noarch 1870/3201 Installing : texlive-mathfont-9:svn53035-36.fc34.noarch 1871/3201 Installing : texlive-mathlig-9:svn54244-36.fc34.noarch 1872/3201 Installing : texlive-mathpartir-9:svn39864-36.fc34.noarch 1873/3201 Installing : texlive-mathpunctspace-9:svn46754-36.fc34.noar 1874/3201 Installing : texlive-matrix-skeleton-9:svn54080-36.fc34.noa 1875/3201 Installing : texlive-mceinleger-9:svn15878.0-36.fc34.noarch 1876/3201 Installing : texlive-mcexam-9:svn46155-36.fc34.noarch 1877/3201 Installing : texlive-mcite-9:svn18173.1.6-36.fc34.noarch 1878/3201 Installing : texlive-mdputu-9:svn20298.1.2-36.fc34.noarch 1879/3201 Installing : texlive-media4svg-9:svn54773-36.fc34.noarch 1880/3201 Installing : texlive-memexsupp-9:svn15878.0.1-36.fc34.noarc 1881/3201 Installing : texlive-memory-9:svn30452.1.2-36.fc34.noarch 1882/3201 Installing : texlive-memorygraphs-9:svn49631-36.fc34.noarch 1883/3201 Installing : texlive-mercatormap-9:svn54812-36.fc34.noarch 1884/3201 Installing : texlive-metalogox-9:svn49774-36.fc34.noarch 1885/3201 Installing : texlive-metastr-9:svn53700-36.fc34.noarch 1886/3201 Installing : texlive-method-9:svn17485.2.0b-36.fc34.noarch 1887/3201 Installing : texlive-mflogo-font-9:svn54512-36.fc34.noarch 1888/3201 Installing : texlive-mfnfss-9:svn46036-36.fc34.noarch 1889/3201 Installing : texlive-mfware-9:20200327-25.fc34.x86_64 1890/3201 Installing : texlive-mgltex-9:svn41676-36.fc34.noarch 1891/3201 Installing : texlive-mhequ-9:svn38224.1.7-36.fc34.noarch 1892/3201 Installing : texlive-mi-solns-9:svn49651-36.fc34.noarch 1893/3201 Installing : texlive-miama-9:svn54512-36.fc34.noarch 1894/3201 Installing : texlive-midpage-9:svn17484.1.1a-36.fc34.noarch 1895/3201 Installing : texlive-miller-9:svn18789.1.2-36.fc34.noarch 1896/3201 Installing : texlive-milsymb-9:svn54361-36.fc34.noarch 1897/3201 Installing : texlive-minidocument-9:svn43752-36.fc34.noarch 1898/3201 Installing : texlive-minifp-9:svn32559.0.96-36.fc34.noarch 1899/3201 Installing : texlive-minipage-marginpar-9:svn15878.v0.2-36. 1900/3201 Installing : texlive-mismath-9:svn53245-36.fc34.noarch 1901/3201 Installing : texlive-missaali-9:svn54512-36.fc34.noarch 1902/3201 Installing : texlive-mkpic-9:20200327-25.fc34.noarch 1903/3201 Installing : texlive-mla-paper-9:svn54080-36.fc34.noarch 1904/3201 Installing : texlive-mlacls-9:svn51865-36.fc34.noarch 1905/3201 Installing : texlive-mleftright-9:svn53021-36.fc34.noarch 1906/3201 Installing : texlive-mnras-9:svn37579.3.0-36.fc34.noarch 1907/3201 Installing : texlive-modeles-factures-belges-assocs-9:svn50 1908/3201 Installing : texlive-modernposter-9:svn47269-36.fc34.noarch 1909/3201 Installing : texlive-modular-9:svn44142-36.fc34.noarch 1910/3201 Installing : texlive-montserrat-9:svn54512-36.fc34.noarch 1911/3201 Installing : texlive-moodle-9:svn39367-36.fc34.noarch 1912/3201 Installing : texlive-mpfonts-9:svn54512-36.fc34.noarch 1913/3201 Installing : texlive-mpostinl-9:svn49559-36.fc34.noarch 1914/3201 Installing : texlive-mslapa-9:svn54080-36.fc34.noarch 1915/3201 Installing : texlive-mtgreek-9:svn17967.1.1+-36.fc34.noarch 1916/3201 Installing : texlive-mucproc-9:svn43445-36.fc34.noarch 1917/3201 Installing : texlive-multenum-9:svn21775.0-36.fc34.noarch 1918/3201 Installing : texlive-multibbl-9:svn15878.v1.1-36.fc34.noarc 1919/3201 Installing : texlive-multibibliography-9:20200327-25.fc34.n 1920/3201 Installing : texlive-multidef-9:svn40637-36.fc34.noarch 1921/3201 Installing : texlive-multienv-9:svn26544.1.0-36.fc34.noarch 1922/3201 Installing : texlive-multiexpand-9:svn45943-36.fc34.noarch 1923/3201 Installing : texlive-multilang-9:svn49065-36.fc34.noarch 1924/3201 Installing : texlive-munich-9:svn15878.0-36.fc34.noarch 1925/3201 Installing : texlive-mversion-9:svn29370.1.0.1-36.fc34.noar 1926/3201 Installing : texlive-mylatexformat-9:svn21392.3.4-36.fc34.n 1927/3201 Installing : texlive-mynsfc-9:svn41996-36.fc34.noarch 1928/3201 Installing : texlive-nag-9:svn24741.0.7-36.fc34.noarch 1929/3201 Installing : texlive-namespc-9:svn15878.0-36.fc34.noarch 1930/3201 Installing : texlive-nar-9:svn38100.3.19-36.fc34.noarch 1931/3201 Installing : texlive-natded-9:svn32693.0.1-36.fc34.noarch 1932/3201 Installing : texlive-nath-9:svn15878.0-36.fc34.noarch 1933/3201 Installing : texlive-navydocs-9:svn41643-36.fc34.noarch 1934/3201 Installing : texlive-ncntrsbk-9:svn31835.0-36.fc34.noarch 1935/3201 Installing : texlive-ndsu-thesis-9:svn46639-36.fc34.noarch 1936/3201 Installing : texlive-nestquot-9:svn27323.0-36.fc34.noarch 1937/3201 Installing : texlive-newcomputermodern-9:svn52877-36.fc34.n 1938/3201 Installing : texlive-newunicodechar-9:svn47382-36.fc34.noar 1939/3201 Installing : texlive-newvbtm-9:svn23996.1.1-36.fc34.noarch 1940/3201 Installing : texlive-niceframe-type1-9:svn44671-36.fc34.noa 1941/3201 Installing : texlive-nidanfloat-9:svn48295-36.fc34.noarch 1942/3201 Installing : texlive-nihbiosketch-9:svn54191-36.fc34.noarch 1943/3201 Installing : texlive-nimbus15-9:svn54512-36.fc34.noarch 1944/3201 Installing : texlive-nkarta-9:svn16437.0.2-36.fc34.noarch 1945/3201 Installing : texlive-noconflict-9:svn30140.1.0-36.fc34.noar 1946/3201 Installing : texlive-nolbreaks-9:svn26786.1.2-36.fc34.noarc 1947/3201 Installing : texlive-nopageno-9:svn18128.0-36.fc34.noarch 1948/3201 Installing : texlive-normalcolor-9:svn40125-36.fc34.noarch 1949/3201 Installing : texlive-notespages-9:svn41906-36.fc34.noarch 1950/3201 Installing : texlive-notestex-9:svn45396-36.fc34.noarch 1951/3201 Installing : texlive-notex-bst-9:svn42361-36.fc34.noarch 1952/3201 Installing : texlive-noto-emoji-9:svn53968-36.fc34.noarch 1953/3201 Installing : texlive-noto-9:svn54512-36.fc34.noarch 1954/3201 Installing : texlive-novel-9:svn54512-36.fc34.noarch 1955/3201 Installing : texlive-nth-9:svn54252-36.fc34.noarch 1956/3201 Installing : texlive-nucleardata-9:svn47307-36.fc34.noarch 1957/3201 Installing : texlive-numname-9:svn18130.0-36.fc34.noarch 1958/3201 Installing : texlive-numspell-9:svn45441-36.fc34.noarch 1959/3201 Installing : texlive-nwejm-9:svn54392-36.fc34.noarch 1960/3201 Installing : texlive-obnov-9:svn33355.0.11-36.fc34.noarch 1961/3201 Installing : texlive-ocherokee-9:svn25689.0-36.fc34.noarch 1962/3201 Installing : texlive-ocr-b-outline-9:svn20969.0-36.fc34.noa 1963/3201 Installing : texlive-ocr-b-9:svn20852.0-36.fc34.noarch 1964/3201 Installing : texlive-octavo-9:svn15878.1.2-36.fc34.noarch 1965/3201 Installing : texlive-ogham-9:svn24876.0-36.fc34.noarch 1966/3201 Installing : texlive-oinuit-9:svn28668.0-36.fc34.noarch 1967/3201 Installing : texlive-old-arrows-9:svn42872-36.fc34.noarch 1968/3201 Installing : texlive-oldlatin-9:svn17932.1.00-36.fc34.noarc 1969/3201 Installing : texlive-oldstandard-9:svn54512-36.fc34.noarch 1970/3201 Installing : texlive-oldstyle-9:svn15878.0.2-36.fc34.noarch 1971/3201 Installing : texlive-opteng-9:svn27331.1.0-36.fc34.noarch 1972/3201 Installing : texlive-optidef-9:svn50941-36.fc34.noarch 1973/3201 Installing : texlive-options-9:svn39030-36.fc34.noarch 1974/3201 Installing : texlive-orkhun-9:svn15878.0-36.fc34.noarch 1975/3201 Installing : texlive-oubraces-9:svn21833.0-36.fc34.noarch 1976/3201 Installing : texlive-outline-9:svn18360.0-36.fc34.noarch 1977/3201 Installing : texlive-outliner-9:svn21095.0.94-36.fc34.noarc 1978/3201 Installing : texlive-outlining-9:svn45601-36.fc34.noarch 1979/3201 Installing : texlive-pacioli-9:svn24947.0-36.fc34.noarch 1980/3201 Installing : texlive-padcount-9:svn47621-36.fc34.noarch 1981/3201 Installing : texlive-paper-9:svn34521.1.0l-36.fc34.noarch 1982/3201 Installing : texlive-paracol-9:svn49560-36.fc34.noarch 1983/3201 Installing : texlive-parades-9:svn40042-36.fc34.noarch 1984/3201 Installing : texlive-parallel-9:svn15878.0-36.fc34.noarch 1985/3201 Installing : texlive-parnotes-9:svn51720-36.fc34.noarch 1986/3201 Installing : texlive-parselines-9:svn21475.1.4-36.fc34.noar 1987/3201 Installing : texlive-patchcmd-9:svn41379-36.fc34.noarch 1988/3201 Installing : texlive-path-9:svn22045.3.05-36.fc34.noarch 1989/3201 Installing : texlive-pawpict-9:svn21629.1.0-36.fc34.noarch 1990/3201 Installing : texlive-pb-diagram-9:svn15878.5.0-36.fc34.noar 1991/3201 Installing : texlive-pdf14-9:svn17583.0.1-36.fc34.noarch 1992/3201 Installing : texlive-pdfprivacy-9:svn45985-36.fc34.noarch 1993/3201 Installing : texlive-pdfreview-9:svn50100-36.fc34.noarch 1994/3201 Installing : texlive-pdfsync-9:svn20373.0-36.fc34.noarch 1995/3201 Installing : texlive-penrose-9:svn48202-36.fc34.noarch 1996/3201 Installing : texlive-perception-9:svn48861-36.fc34.noarch 1997/3201 Installing : texlive-permute-9:svn15878.0-36.fc34.noarch 1998/3201 Installing : texlive-petri-nets-9:20200327-25.fc34.noarch 1999/3201 Installing : texlive-pgf-spectra-9:svn42986-36.fc34.noarch 2000/3201 Installing : texlive-pgfmorepages-9:svn54770-36.fc34.noarch 2001/3201 Installing : texlive-pgfornament-9:svn54670-36.fc34.noarch 2002/3201 Installing : texlive-phaistos-9:svn18651.1.0-36.fc34.noarch 2003/3201 Installing : texlive-phffullpagefigure-9:svn41857-36.fc34.n 2004/3201 Installing : texlive-phfnote-9:svn41858-36.fc34.noarch 2005/3201 Installing : texlive-phfparen-9:svn41859-36.fc34.noarch 2006/3201 Installing : texlive-phfqit-9:svn45084-36.fc34.noarch 2007/3201 Installing : texlive-phfquotetext-9:svn41869-36.fc34.noarch 2008/3201 Installing : texlive-phfsvnwatermark-9:svn41870-36.fc34.noa 2009/3201 Installing : texlive-phfthm-9:svn41871-36.fc34.noarch 2010/3201 Installing : texlive-phonenumbers-9:svn51933-36.fc34.noarch 2011/3201 Installing : texlive-phonetic-9:svn21871.0-36.fc34.noarch 2012/3201 Installing : texlive-photo-9:svn18739.0-36.fc34.noarch 2013/3201 Installing : texlive-physconst-9:svn54587-36.fc34.noarch 2014/3201 Installing : texlive-physunits-9:svn54588-36.fc34.noarch 2015/3201 Installing : texlive-pigpen-9:svn15878.0.2-36.fc34.noarch 2016/3201 Installing : texlive-pinoutikz-9:svn52999-36.fc34.noarch 2017/3201 Installing : texlive-pittetd-9:svn15878.1.618-36.fc34.noarc 2018/3201 Installing : texlive-pixelart-9:svn46740-36.fc34.noarch 2019/3201 Installing : texlive-pl-9:svn36012.1.09-36.fc34.noarch 2020/3201 Installing : texlive-polski-9:svn44213-36.fc34.noarch 2021/3201 Installing : texlive-plainpkg-9:svn27765.0.4a-36.fc34.noarc 2022/3201 Installing : texlive-dowith-9:svn38860-36.fc34.noarch 2023/3201 Installing : texlive-langcode-9:svn27764.0.2-36.fc34.noarch 2024/3201 Installing : texlive-morehype-9:svn38815-36.fc34.noarch 2025/3201 Installing : texlive-plainyr-9:svn52783-36.fc34.noarch 2026/3201 Installing : texlive-plex-otf-9:svn47562-36.fc34.noarch 2027/3201 Installing : texlive-plex-9:svn54512-36.fc34.noarch 2028/3201 Installing : texlive-plweb-9:svn15878.3.0-36.fc34.noarch 2029/3201 Installing : texlive-pm-isomath-9:svn54799-36.fc34.noarch 2030/3201 Installing : texlive-pmboxdraw-9:svn53046-36.fc34.noarch 2031/3201 Installing : texlive-pmgraph-9:svn15878.1.0-36.fc34.noarch 2032/3201 Installing : texlive-pnas2009-9:svn16287.1.0-36.fc34.noarch 2033/3201 Installing : texlive-poiretone-9:svn54512-36.fc34.noarch 2034/3201 Installing : texlive-polexpr-9:svn53633-36.fc34.noarch 2035/3201 Installing : texlive-poltawski-9:svn20075.1.101-36.fc34.noa 2036/3201 Installing : texlive-postage-9:svn47893-36.fc34.noarch 2037/3201 Installing : texlive-poster-mac-9:svn18305.1.1-36.fc34.noar 2038/3201 Installing : texlive-powerdot-tuliplab-9:svn47963-36.fc34.n 2039/3201 Installing : texlive-practicalreports-9:svn52312-36.fc34.no 2040/3201 Installing : texlive-prettyref-9:svn15878.3.0-36.fc34.noarc 2041/3201 Installing : texlive-prftree-9:svn54080-36.fc34.noarch 2042/3201 Installing : texlive-printlen-9:svn19847.1.1a-36.fc34.noarc 2043/3201 Installing : texlive-procIAGssymp-9:svn51771-36.fc34.noarch 2044/3201 Installing : texlive-prodint-9:svn21893.0-36.fc34.noarch 2045/3201 Installing : texlive-program-9:svn44214-36.fc34.noarch 2046/3201 Installing : texlive-progress-9:svn19519.1.10-36.fc34.noarc 2047/3201 Installing : texlive-proof-at-the-end-9:svn51194-36.fc34.no 2048/3201 Installing : texlive-prooftrees-9:svn52221-36.fc34.noarch 2049/3201 Installing : texlive-protex-9:svn41633-36.fc34.noarch 2050/3201 Installing : texlive-protocol-9:svn25562.1.13-36.fc34.noarc 2051/3201 Installing : texlive-pspicture-9:svn15878.0-36.fc34.noarch 2052/3201 Installing : texlive-pst-math-9:svn49425-36.fc34.noarch 2053/3201 Installing : texlive-pstring-9:svn42857-36.fc34.noarch 2054/3201 Installing : texlive-ptex-base-9:svn54153-36.fc34.noarch 2055/3201 Installing : texlive-ptolemaicastronomy-9:svn50810-36.fc34. 2056/3201 Installing : texlive-punk-latex-9:svn27389.1.1-36.fc34.noar 2057/3201 Installing : texlive-punk-9:svn27388.0-36.fc34.noarch 2058/3201 Installing : texlive-punknova-9:svn24649.1.003-36.fc34.noar 2059/3201 Installing : texlive-pxpgfmark-9:svn30212.0.2-36.fc34.noarc 2060/3201 Installing : texlive-python-9:svn27064.0.21-36.fc34.noarch 2061/3201 Installing : texlive-pythonhighlight-9:svn43191-36.fc34.noa 2062/3201 Installing : texlive-qsharp-9:svn49722-36.fc34.noarch 2063/3201 Installing : texlive-qualitype-9:svn54512-36.fc34.noarch 2064/3201 Installing : texlive-quantikz-9:svn54911-36.fc34.noarch 2065/3201 Installing : texlive-quantumarticle-9:svn54080-36.fc34.noar 2066/3201 Installing : texlive-quicktype-9:svn42183-36.fc34.noarch 2067/3201 Installing : texlive-quotmark-9:svn15878.1.0-36.fc34.noarch 2068/3201 Installing : texlive-rank-2-roots-9:svn48515-36.fc34.noarch 2069/3201 Installing : texlive-rcs-multi-9:svn21939.0.1a-36.fc34.noar 2070/3201 Installing : texlive-rcs-9:svn15878.0-36.fc34.noarch 2071/3201 Installing : texlive-recipe-9:svn54080-36.fc34.noarch 2072/3201 Installing : texlive-rectopma-9:svn19980.0-36.fc34.noarch 2073/3201 Installing : texlive-recycle-9:svn15878.0-36.fc34.noarch 2074/3201 Installing : texlive-refcheck-9:svn29128.1.9.1-36.fc34.noar 2075/3201 Installing : texlive-refman-9:svn15878.2.0e-36.fc34.noarch 2076/3201 Installing : texlive-regcount-9:svn19979.1.0-36.fc34.noarch 2077/3201 Installing : texlive-relenc-9:svn22050.0-36.fc34.noarch 2078/3201 Installing : texlive-rest-api-9:svn54486-36.fc34.noarch 2079/3201 Installing : texlive-returntogrid-9:svn48485-36.fc34.noarch 2080/3201 Installing : texlive-revquantum-9:svn43505-36.fc34.noarch 2081/3201 Installing : texlive-rgltxdoc-9:svn53858-36.fc34.noarch 2082/3201 Installing : texlive-rlepsf-9:svn19082.0-36.fc34.noarch 2083/3201 Installing : texlive-robustindex-9:svn49877-36.fc34.noarch 2084/3201 Installing : texlive-romanneg-9:svn20087.0-36.fc34.noarch 2085/3201 Installing : texlive-rosario-9:svn51688-36.fc34.noarch 2086/3201 Installing : texlive-roundbox-9:svn29675.0.2-36.fc34.noarch 2087/3201 Installing : texlive-rsfs-9:svn15878.0-36.fc34.noarch 2088/3201 Installing : texlive-rulerbox-9:svn50984-36.fc34.noarch 2089/3201 Installing : texlive-rulercompass-9:svn32392.1-36.fc34.noar 2090/3201 Installing : texlive-rutitlepage-9:svn51073-36.fc34.noarch 2091/3201 Installing : texlive-rvwrite-9:svn19614.1.2-36.fc34.noarch 2092/3201 Installing : texlive-ryersonsgsthesis-9:svn50119-36.fc34.no 2093/3201 Installing : texlive-sanitize-umlaut-9:svn53292-36.fc34.noa 2094/3201 Installing : texlive-sansmath-9:svn17997.1.1-36.fc34.noarch 2095/3201 Installing : texlive-sansmathfonts-9:svn51356-36.fc34.noarc 2096/3201 Installing : texlive-sauter-9:svn13293.2.4-36.fc34.noarch 2097/3201 Installing : texlive-sauterfonts-9:svn15878.0-36.fc34.noarc 2098/3201 Installing : texlive-savefnmark-9:svn15878.1.0-36.fc34.noar 2099/3201 Installing : texlive-savesym-9:svn31565.1.2-36.fc34.noarch 2100/3201 Installing : texlive-scale-9:svn15878.1.1.2-36.fc34.noarch 2101/3201 Installing : texlive-scalerel-9:svn42809-36.fc34.noarch 2102/3201 Installing : texlive-schedule-9:svn51805-36.fc34.noarch 2103/3201 Installing : texlive-scholax-9:svn55400-36.fc34.noarch 2104/3201 Installing : texlive-schulschriften-9:svn35730.4-36.fc34.no 2105/3201 Installing : texlive-scientific-thesis-cover-9:svn47923-36. 2106/3201 Installing : texlive-scratch-9:svn50073-36.fc34.noarch 2107/3201 Installing : texlive-scrlttr2copy-9:svn39734-36.fc34.noarch 2108/3201 Installing : texlive-scsnowman-9:svn54080-36.fc34.noarch 2109/3201 Installing : texlive-sdaps-9:svn54678-36.fc34.noarch 2110/3201 Installing : texlive-secdot-9:svn20208.1.0-36.fc34.noarch 2111/3201 Installing : texlive-secnum-9:svn53657-36.fc34.noarch 2112/3201 Installing : texlive-section-9:svn20180.0-36.fc34.noarch 2113/3201 Installing : texlive-sectionbreak-9:svn50339-36.fc34.noarch 2114/3201 Installing : texlive-selectp-9:svn20185.1.0-36.fc34.noarch 2115/3201 Installing : texlive-semantic-markup-9:svn53607-36.fc34.noa 2116/3201 Installing : texlive-semaphor-9:svn18651.0-36.fc34.noarch 2117/3201 Installing : texlive-sepfootnotes-9:svn41732-36.fc34.noarch 2118/3201 Installing : texlive-sepnum-9:svn20186.2.0-36.fc34.noarch 2119/3201 Installing : texlive-seqsplit-9:svn15878.0.1-36.fc34.noarch 2120/3201 Installing : texlive-sesstime-9:svn49750-36.fc34.noarch 2121/3201 Installing : texlive-seuthesis-9:svn33042.2.1.2-36.fc34.noa 2122/3201 Installing : texlive-seuthesix-9:svn40088-36.fc34.noarch 2123/3201 Installing : texlive-sf298-9:svn41653-36.fc34.noarch 2124/3201 Installing : texlive-sfmath-9:svn15878.0.8-36.fc34.noarch 2125/3201 Installing : texlive-shapepar-9:svn30708.2.2-36.fc34.noarch 2126/3201 Installing : texlive-shobhika-9:svn50555-36.fc34.noarch 2127/3201 Installing : texlive-shortmathj-9:svn54407-36.fc34.noarch 2128/3201 Installing : texlive-show2e-9:svn15878.1.0-36.fc34.noarch 2129/3201 Installing : texlive-showcharinbox-9:svn29803.0.1-36.fc34.n 2130/3201 Installing : texlive-showdim-9:svn28918.1.2-36.fc34.noarch 2131/3201 Installing : texlive-showlabels-9:svn41322-36.fc34.noarch 2132/3201 Installing : texlive-showtags-9:svn20336.1.05-36.fc34.noarc 2133/3201 Installing : texlive-shuffle-9:svn15878.1.0-36.fc34.noarch 2134/3201 Installing : texlive-signchart-9:svn39707-36.fc34.noarch 2135/3201 Installing : texlive-simplecv-9:svn35537.1.6a-36.fc34.noarc 2136/3201 Installing : texlive-simpleinvoice-9:svn45673-36.fc34.noarc 2137/3201 Installing : texlive-simpleoptics-9:svn54080-36.fc34.noarch 2138/3201 Installing : texlive-simpler-wick-9:svn39074-36.fc34.noarch 2139/3201 Installing : texlive-simplewick-9:svn15878.1.2a-36.fc34.noa 2140/3201 Installing : texlive-sitem-9:svn22136.1.0-36.fc34.noarch 2141/3201 Installing : texlive-skull-9:svn51907-36.fc34.noarch 2142/3201 Installing : texlive-smalltableof-9:svn20333.0-36.fc34.noar 2143/3201 Installing : texlive-smartref-9:svn20311.1.9-36.fc34.noarch 2144/3201 Installing : texlive-smartunits-9:svn39592-36.fc34.noarch 2145/3201 Installing : texlive-snapshot-9:svn52115-36.fc34.noarch 2146/3201 Installing : texlive-sort-by-letters-9:svn27128.0-36.fc34.n 2147/3201 Installing : texlive-spacingtricks-9:svn52063-36.fc34.noarc 2148/3201 Installing : texlive-spalign-9:svn42225-36.fc34.noarch 2149/3201 Installing : texlive-spark-otf-9:svn51005-36.fc34.noarch 2150/3201 Installing : texlive-spectralsequences-9:svn50072-36.fc34.n 2151/3201 Installing : texlive-sphack-9:svn20842.0-36.fc34.noarch 2152/3201 Installing : texlive-splitbib-9:svn15878.1.17-36.fc34.noarc 2153/3201 Installing : texlive-spverbatim-9:svn15878.v1.0-36.fc34.noa 2154/3201 Installing : texlive-srbook-mem-9:svn45818-36.fc34.noarch 2155/3201 Installing : texlive-srdp-mathematik-9:svn54685-36.fc34.noa 2156/3201 Installing : texlive-sslides-9:svn32293.0-36.fc34.noarch 2157/3201 Installing : texlive-stack-9:svn15878.1.00-36.fc34.noarch 2158/3201 Installing : texlive-stanli-9:svn54512-36.fc34.noarch 2159/3201 Installing : texlive-starfont-9:svn19982.1.2-36.fc34.noarch 2160/3201 Installing : texlive-statistics-9:svn52212-36.fc34.noarch 2161/3201 Installing : texlive-statmath-9:svn46925-36.fc34.noarch 2162/3201 Installing : texlive-staves-9:svn15878.0-36.fc34.noarch 2163/3201 Installing : texlive-step-9:svn53731-36.fc34.noarch 2164/3201 Installing : texlive-stickstoo-9:svn54512-36.fc34.noarch 2165/3201 Installing : texlive-stix2-otf-9:svn54080-36.fc34.noarch 2166/3201 Installing : texlive-stix2-type1-9:svn54512-36.fc34.noarch 2167/3201 Installing : texlive-structmech-9:svn47859-36.fc34.noarch 2168/3201 Installing : texlive-studenthandouts-9:svn43516-36.fc34.noa 2169/3201 Installing : texlive-subdocs-9:svn51480-36.fc34.noarch 2170/3201 Installing : texlive-subeqn-9:svn15878.2.0b-36.fc34.noarch 2171/3201 Installing : texlive-subeqnarray-9:svn15878.2.1c-36.fc34.no 2172/3201 Installing : texlive-subsupscripts-9:svn16080.1.0-36.fc34.n 2173/3201 Installing : texlive-subtext-9:svn51273-36.fc34.noarch 2174/3201 Installing : texlive-sugconf-9:svn15878.0-36.fc34.noarch 2175/3201 Installing : texlive-susy-9:svn19440.0-36.fc34.noarch 2176/3201 Installing : texlive-svgcolor-9:svn15878.1.0-36.fc34.noarch 2177/3201 Installing : texlive-svn-9:svn15878.43-36.fc34.noarch 2178/3201 Installing : texlive-svrsymbols-9:svn50019-36.fc34.noarch 2179/3201 Installing : texlive-syntax-9:svn15878.0-36.fc34.noarch 2180/3201 Installing : texlive-table-fct-9:svn41849-36.fc34.noarch 2181/3201 Installing : texlive-tabls-9:svn17255.3.5-36.fc34.noarch 2182/3201 Installing : texlive-tablvar-9:svn51543-36.fc34.noarch 2183/3201 Installing : texlive-tabto-ltx-9:svn54080-36.fc34.noarch 2184/3201 Installing : texlive-tagpdf-9:svn51535-36.fc34.noarch 2185/3201 Installing : texlive-tamefloats-9:svn27345.v0.42-36.fc34.no 2186/3201 Installing : texlive-tapir-9:svn20484.0.2-36.fc34.noarch 2187/3201 Installing : texlive-technics-9:svn29349.1.0-36.fc34.noarch 2188/3201 Installing : texlive-technion-thesis-template-9:svn49889-36 2189/3201 Installing : texlive-ted-9:svn15878.1.06-36.fc34.noarch 2190/3201 Installing : texlive-tempora-9:svn39596-36.fc34.noarch 2191/3201 Installing : texlive-tensind-9:svn51481-36.fc34.noarch 2192/3201 Installing : texlive-tensor-9:svn15878.2.1-36.fc34.noarch 2193/3201 Installing : texlive-termlist-9:svn18923.1.1-36.fc34.noarch 2194/3201 Installing : texlive-testhyphens-9:svn38928-36.fc34.noarch 2195/3201 Installing : texlive-testidx-9:svn52213-36.fc34.noarch 2196/3201 Installing : texlive-tex-ewd-9:svn15878.0-36.fc34.noarch 2197/3201 Installing : texlive-tex-gyre-math-9:svn41264-36.fc34.noarc 2198/3201 Installing : texlive-tex-locale-9:svn48500-36.fc34.noarch 2199/3201 Installing : texlive-texilikechaps-9:svn28553.1.0a-36.fc34. 2200/3201 Installing : texlive-texilikecover-9:svn15878.0.1-36.fc34.n 2201/3201 Installing : texlive-texlive-docindex-9:svn54903-36.fc34.no 2202/3201 Installing : texlive-texlive-en-9:20200327-25.fc34.noarch 2203/3201 Installing : texlive-texlive-msg-translations-9:svn54919-36 2204/3201 Installing : texlive-textfit-9:svn20591.5-36.fc34.noarch 2205/3201 Installing : texlive-textmerg-9:svn20677.2.01-36.fc34.noarc 2206/3201 Installing : texlive-textualicomma-9:svn48474-36.fc34.noarc 2207/3201 Installing : texlive-texvc-9:svn46844-36.fc34.noarch 2208/3201 Installing : texlive-tfrupee-9:svn20770.1.02-36.fc34.noarch 2209/3201 Installing : texlive-theanodidot-9:svn54512-36.fc34.noarch 2210/3201 Installing : texlive-theanomodern-9:svn54512-36.fc34.noarch 2211/3201 Installing : texlive-theanooldstyle-9:svn54512-36.fc34.noar 2212/3201 Installing : texlive-theoremref-9:svn54512-36.fc34.noarch 2213/3201 Installing : texlive-thesis-gwu-9:svn54287-36.fc34.noarch 2214/3201 Installing : texlive-thesis-qom-9:svn49124-36.fc34.noarch 2215/3201 Installing : texlive-thinsp-9:svn39669-36.fc34.noarch 2216/3201 Installing : texlive-thuaslogos-9:svn51347-36.fc34.noarch 2217/3201 Installing : texlive-thucoursework-9:svn53891-36.fc34.noarc 2218/3201 Installing : texlive-tikz-bayesnet-9:svn38295.0.1-36.fc34.n 2219/3201 Installing : texlive-tikz-feynhand-9:svn51915-36.fc34.noarc 2220/3201 Installing : texlive-tikz-feynman-9:svn39582-36.fc34.noarch 2221/3201 Installing : texlive-tikz-imagelabels-9:svn51490-36.fc34.no 2222/3201 Installing : texlive-tikz-karnaugh-9:svn47026-36.fc34.noarc 2223/3201 Installing : texlive-tikz-ladder-9:svn46555-36.fc34.noarch 2224/3201 Installing : texlive-tikz-layers-9:svn46660-36.fc34.noarch 2225/3201 Installing : texlive-tikz-nef-9:svn48240-36.fc34.noarch 2226/3201 Installing : texlive-tikz-optics-9:svn43466-36.fc34.noarch 2227/3201 Installing : texlive-tikz-page-9:svn42039-36.fc34.noarch 2228/3201 Installing : texlive-tikz-relay-9:svn51355-36.fc34.noarch 2229/3201 Installing : texlive-tikz-sfc-9:svn49424-36.fc34.noarch 2230/3201 Installing : texlive-tikz-trackschematic-9:svn53754-36.fc34 2231/3201 Installing : texlive-tikz-truchet-9:svn50020-36.fc34.noarch 2232/3201 Installing : texlive-tikzcodeblocks-9:svn54758-36.fc34.noar 2233/3201 Installing : texlive-tikzducks-9:svn54837-36.fc34.noarch 2234/3201 Installing : texlive-tikzlings-9:svn54838-36.fc34.noarch 2235/3201 Installing : texlive-tikzmark-9:svn52293-36.fc34.noarch 2236/3201 Installing : texlive-tikzmarmots-9:svn54080-36.fc34.noarch 2237/3201 Installing : texlive-tikzpeople-9:svn43978-36.fc34.noarch 2238/3201 Installing : texlive-timbreicmc-9:svn49740-36.fc34.noarch 2239/3201 Installing : texlive-times-9:svn35058.0-36.fc34.noarch 2240/3201 Installing : texlive-tinos-9:svn42882-36.fc34.noarch 2241/3201 Installing : texlive-titlefoot-9:svn15878.0-36.fc34.noarch 2242/3201 Installing : texlive-titlepic-9:svn43497-36.fc34.noarch 2243/3201 Installing : texlive-titleref-9:svn18729.3.1-36.fc34.noarch 2244/3201 Installing : texlive-tkz-doc-9:svn54758-36.fc34.noarch 2245/3201 Installing : texlive-tlc-article-9:svn51431-36.fc34.noarch 2246/3201 Installing : texlive-tocdata-9:svn51654-36.fc34.noarch 2247/3201 Installing : texlive-tokcycle-9:svn53755-36.fc34.noarch 2248/3201 Installing : texlive-topfloat-9:svn19084.0-36.fc34.noarch 2249/3201 Installing : texlive-topletter-9:svn48182-36.fc34.noarch 2250/3201 Installing : texlive-tree-dvips-9:svn21751.91-36.fc34.noarc 2251/3201 Installing : texlive-linguex-9:svn30815.4.3-36.fc34.noarch 2252/3201 Installing : texlive-trfsigns-9:svn15878.1.01-36.fc34.noarc 2253/3201 Installing : texlive-trsym-9:svn18732.1.0-36.fc34.noarch 2254/3201 Installing : texlive-tsemlines-9:svn23440.1.0-36.fc34.noarc 2255/3201 Installing : texlive-ttfutils-9:20200327-25.fc34.x86_64 2256/3201 Installing : texlive-tugboat-plain-9:svn51373-36.fc34.noarc 2257/3201 Installing : texlive-turabian-9:svn36298.0.1.0-36.fc34.noar 2258/3201 Installing : texlive-twemoji-colr-9:svn54512-36.fc34.noarch 2259/3201 Installing : texlive-twoinone-9:svn17024.0-36.fc34.noarch 2260/3201 Installing : texlive-twoup-9:svn15878.1.3-36.fc34.noarch 2261/3201 Installing : texlive-txuprcal-9:svn43327-36.fc34.noarch 2262/3201 Installing : texlive-typed-checklist-9:svn49731-36.fc34.noa 2263/3201 Installing : texlive-typicons-9:svn37623.2.0.7-36.fc34.noar 2264/3201 Installing : texlive-typoaid-9:svn44238-36.fc34.noarch 2265/3201 Installing : texlive-uafthesis-9:svn29349.12.12-36.fc34.noa 2266/3201 Installing : texlive-ucalgmthesis-9:svn52527-36.fc34.noarch 2267/3201 Installing : texlive-ucbthesis-9:svn51690-36.fc34.noarch 2268/3201 Installing : texlive-ucsmonograph-9:svn52698-36.fc34.noarch 2269/3201 Installing : texlive-ucthesis-9:svn15878.3.2-36.fc34.noarch 2270/3201 Installing : texlive-uhc-9:svn16791.0-36.fc34.noarch 2271/3201 Installing : texlive-uhhassignment-9:svn44026-36.fc34.noarc 2272/3201 Installing : texlive-umbclegislation-9:svn41348-36.fc34.noa 2273/3201 Installing : texlive-umoline-9:svn19085.0-36.fc34.noarch 2274/3201 Installing : texlive-umtypewriter-9:svn18651.001.002-36.fc3 2275/3201 Installing : texlive-unam-thesis-9:svn51207-36.fc34.noarch 2276/3201 Installing : texlive-underlin-9:svn15878.1.01-36.fc34.noarc 2277/3201 Installing : texlive-unifith-9:svn51968-36.fc34.noarch 2278/3201 Installing : texlive-unitn-bimrep-9:svn45581-36.fc34.noarch 2279/3201 Installing : texlive-universa-9:svn51984-36.fc34.noarch 2280/3201 Installing : texlive-univie-ling-9:svn49785-36.fc34.noarch 2281/3201 Installing : texlive-updmap-map-9:svn56618-36.fc34.noarch 2282/3201 Installing : texlive-uppunctlm-9:svn42334-36.fc34.noarch 2283/3201 Installing : texlive-uptex-fonts-9:svn54045-36.fc34.noarch 2284/3201 Installing : texlive-urlbst-9:20200327-25.fc34.noarch 2285/3201 Installing : texlive-uspace-9:svn42456-36.fc34.noarch 2286/3201 Installing : texlive-uspatent-9:svn27744.1.0-36.fc34.noarch 2287/3201 Installing : texlive-utexasthesis-9:svn48648-36.fc34.noarch 2288/3201 Installing : texlive-utopia-9:svn15878.0-36.fc34.noarch 2289/3201 Installing : texlive-uwthesis-9:svn15878.6.13-36.fc34.noarc 2290/3201 Installing : texlive-vak-9:svn23431.0-36.fc34.noarch 2291/3201 Installing : texlive-vancouver-9:svn34470.0-36.fc34.noarch 2292/3201 Installing : texlive-variablelm-9:svn46611-36.fc34.noarch 2293/3201 Installing : texlive-venn-9:svn15878.0-36.fc34.noarch 2294/3201 Installing : texlive-verbdef-9:svn17177.0.2-36.fc34.noarch 2295/3201 Installing : texlive-verse-9:svn34017.2.4b-36.fc34.noarch 2296/3201 Installing : texlive-musuos-9:svn24857.1.1d-36.fc34.noarch 2297/3201 Installing : texlive-version-9:svn21920.2.0-36.fc34.noarch 2298/3201 Installing : texlive-versonotes-9:svn51568-36.fc34.noarch 2299/3201 Installing : texlive-vruler-9:svn21598.2.3-36.fc34.noarch 2300/3201 Installing : texlive-vtable-9:svn51126-36.fc34.noarch 2301/3201 Installing : texlive-wadalab-9:svn42428-36.fc34.noarch 2302/3201 Installing : texlive-wallcalendar-9:svn45568-36.fc34.noarch 2303/3201 Installing : texlive-widows-and-orphans-9:svn53505-36.fc34. 2304/3201 Installing : texlive-willowtreebook-9:svn54866-36.fc34.noar 2305/3201 Installing : texlive-windycity-9:svn51668-36.fc34.noarch 2306/3201 Installing : texlive-wordcount-9:20200327-25.fc34.noarch 2307/3201 Installing : texlive-worksheet-9:svn48423-36.fc34.noarch 2308/3201 Installing : texlive-wsuipa-9:svn25469.0-36.fc34.noarch 2309/3201 Installing : texlive-wtref-9:svn42981-36.fc34.noarch 2310/3201 Installing : texlive-xassoccnt-9:svn54080-36.fc34.noarch 2311/3201 Installing : texlive-xbmks-9:svn53448-36.fc34.noarch 2312/3201 Installing : texlive-xcntperchap-9:svn54080-36.fc34.noarch 2313/3201 Installing : texlive-xcolor-material-9:svn42289-36.fc34.noa 2314/3201 Installing : texlive-xcpdftips-9:svn50449-36.fc34.noarch 2315/3201 Installing : texlive-xduthesis-9:svn39694-36.fc34.noarch 2316/3201 Installing : texlive-xdvi-9:20200327-25.fc34.x86_64 2317/3201 Installing : texlive-xellipsis-9:svn47546-36.fc34.noarch 2318/3201 Installing : texlive-xetexconfig-9:svn45845-36.fc34.noarch 2319/3201 Installing : texlive-xits-9:svn52046-36.fc34.noarch 2320/3201 Installing : texlive-xkcdcolors-9:svn54512-36.fc34.noarch 2321/3201 Installing : texlive-xltabular-9:svn54437-36.fc34.noarch 2322/3201 Installing : texlive-xnewcommand-9:svn15878.1.2-36.fc34.noa 2323/3201 Installing : texlive-xoptarg-9:svn15878.1.0-36.fc34.noarch 2324/3201 Installing : texlive-xsavebox-9:svn54097-36.fc34.noarch 2325/3201 Installing : texlive-xurl-9:svn53538-36.fc34.noarch 2326/3201 Installing : texlive-yafoot-9:svn48568-36.fc34.noarch 2327/3201 Installing : texlive-yaletter-9:svn42830-36.fc34.noarch 2328/3201 Installing : texlive-yazd-thesis-9:svn51725-36.fc34.noarch 2329/3201 Installing : texlive-ycbook-9:svn46201-36.fc34.noarch 2330/3201 Installing : texlive-yfonts-t1-9:svn36013-36.fc34.noarch 2331/3201 Installing : texlive-yinit-otf-9:svn40207-36.fc34.noarch 2332/3201 Installing : texlive-youngtab-9:svn17635.1.1-36.fc34.noarch 2333/3201 Installing : texlive-yquant-9:svn54737-36.fc34.noarch 2334/3201 Installing : texlive-zapfchan-9:svn31835.0-36.fc34.noarch 2335/3201 Installing : texlive-zebra-goodies-9:svn51554-36.fc34.noarc 2336/3201 Installing : texlive-zed-csp-9:svn17258.0-36.fc34.noarch 2337/3201 Installing : texlive-zhmetrics-9:svn22207.r206-36.fc34.noar 2338/3201 Installing : texlive-zhmetrics-uptex-9:svn40728-36.fc34.noa 2339/3201 Installing : texlive-ziffer-9:svn32279.2.1-36.fc34.noarch 2340/3201 Installing : texlive-zootaxa-bst-9:svn50619-36.fc34.noarch 2341/3201 Installing : texlive-zwgetfdate-9:svn15878.0-36.fc34.noarch 2342/3201 Installing : gdbm-libs-1:1.19-1.fc34.x86_64 2343/3201 Installing : fftw-libs-double-3.3.8-9.fc34.x86_64 2344/3201 Installing : emacs-filesystem-1:27.1-2.fc34.noarch 2345/3201 Installing : desktop-file-utils-0.26-2.fc33.x86_64 2346/3201 Installing : xdg-utils-1.1.3-7.fc33.noarch 2347/3201 Installing : cdparanoia-libs-10.2-35.fc34.x86_64 2348/3201 Installing : bubblewrap-0.4.1-2.fc33.x86_64 2349/3201 Installing : alsa-lib-1.2.4-4.fc34.x86_64 2350/3201 Installing : adwaita-cursor-theme-3.38.0-1.fc34.noarch 2351/3201 Installing : adwaita-icon-theme-3.38.0-1.fc34.noarch 2352/3201 Installing : adobe-mappings-pdf-20180407-7.fc33.noarch 2353/3201 Installing : libgs-9.53.3-4.fc34.x86_64 2354/3201 Installing : ghostscript-9.53.3-4.fc34.x86_64 2355/3201 Installing : texlive-thumbpdf-9:20200327-25.fc34.noarch 2356/3201 Installing : graphviz-2.44.0-16.fc34.x86_64 2357/3201 Running scriptlet: graphviz-2.44.0-16.fc34.x86_64 2357/3201 Installing : ImageMagick-libs-1:6.9.11.27-2.fc34.x86_64 2358/3201 Installing : ImageMagick-1:6.9.11.27-2.fc34.x86_64 2359/3201 Installing : texlive-graphicxpsd-9:svn46477-36.fc34.noarch 2360/3201 Installing : texlive-dvisvgm-9:20200327-25.fc34.x86_64 2361/3201 Installing : acl-2.2.53-9.fc34.x86_64 2362/3201 Installing : abc-libs-1.01-28.git20201126.fc34.x86_64 2363/3201 Installing : python-pip-wheel-21.0-1.fc34.noarch 2364/3201 Installing : python-unversioned-command-3.9.1-4.fc34.noarch 2365/3201 Installing : python3-3.9.1-4.fc34.x86_64 2366/3201 Installing : python3-libs-3.9.1-4.fc34.x86_64 2367/3201 Installing : gstreamer1-1.18.2-1.fc34.x86_64 2368/3201 Installing : python3-pygments-2.7.4-1.fc34.noarch 2369/3201 Installing : crypto-policies-scripts-20210127-2.gitb21c811. 2370/3201 Installing : nss-sysinit-3.60.1-3.fc34.x86_64 2371/3201 Installing : nss-3.60.1-3.fc34.x86_64 2372/3201 Running scriptlet: nss-3.60.1-3.fc34.x86_64 2372/3201 Installing : poppler-21.01.0-1.fc34.x86_64 2373/3201 Installing : texlive-pdftex-9:20200327-25.fc34.x86_64 2374/3201 Installing : texlive-cm-super-9:svn15878.0-36.fc34.noarch 2375/3201 Installing : texlive-latex-9:20200327-25.fc34.noarch 2376/3201 Installing : texlive-amscls-9:svn46099-36.fc34.noarch 2377/3201 Installing : texlive-xifthen-9:svn38929-36.fc34.noarch 2378/3201 Installing : texlive-bera-9:svn20031.0-36.fc34.noarch 2379/3201 Installing : texlive-nfssext-cfr-9:svn43640-36.fc34.noarch 2380/3201 Installing : texlive-numprint-9:svn27498.1.39-36.fc34.noarc 2381/3201 Installing : texlive-units-9:svn42428-36.fc34.noarch 2382/3201 Installing : texlive-forloop-9:svn15878.3.0-36.fc34.noarch 2383/3201 Installing : texlive-newtx-9:svn56384-36.fc34.noarch 2384/3201 Installing : texlive-jknapltx-9:svn19440.0-36.fc34.noarch 2385/3201 Installing : texlive-slantsc-9:svn25007.2.11-36.fc34.noarch 2386/3201 Installing : texlive-ntheorem-9:svn27609.1.33-36.fc34.noarc 2387/3201 Installing : texlive-cjk-9:svn36951.4.8.4-36.fc34.noarch 2388/3201 Installing : texlive-fmtcount-9:svn53912-36.fc34.noarch 2389/3201 Installing : texlive-datetime-9:svn36650.2.60-36.fc34.noarc 2390/3201 Installing : texlive-fourier-9:svn54090-36.fc34.noarch 2391/3201 Installing : texlive-tipa-9:svn29349.1.3-36.fc34.noarch 2392/3201 Installing : texlive-xunicode-9:svn30466.0.981-36.fc34.noar 2393/3201 Installing : texlive-opensans-9:svn54512-36.fc34.noarch 2394/3201 Installing : texlive-algorithm2e-9:svn44846-36.fc34.noarch 2395/3201 Installing : texlive-isodate-9:svn16613.2.28-36.fc34.noarch 2396/3201 Installing : texlive-kpfonts-9:svn48470-36.fc34.noarch 2397/3201 Installing : texlive-minitoc-9:svn48196-36.fc34.noarch 2398/3201 Installing : texlive-mnsymbol-9:svn18651.1.4-36.fc34.noarch 2399/3201 Installing : texlive-pbox-9:svn24807.1.2-36.fc34.noarch 2400/3201 Installing : texlive-seminar-9:svn34011.1.62-36.fc34.noarch 2401/3201 Installing : texlive-xmpincl-9:svn15878.2.2-36.fc34.noarch 2402/3201 Installing : texlive-pdfx-9:svn50338-36.fc34.noarch 2403/3201 Installing : texlive-abntex2-9:svn49248-36.fc34.noarch 2404/3201 Installing : texlive-ae-9:svn15878.1.4-36.fc34.noarch 2405/3201 Installing : texlive-algorithmicx-9:svn15878.0-36.fc34.noar 2406/3201 Installing : texlive-bguq-9:svn27401.0.4-36.fc34.noarch 2407/3201 Installing : texlive-coolstr-9:svn15878.2.2-36.fc34.noarch 2408/3201 Installing : texlive-coollist-9:svn15878.1.4-36.fc34.noarch 2409/3201 Installing : texlive-tocvsec2-9:svn33146.1.3a-36.fc34.noarc 2410/3201 Installing : texlive-ucs-9:svn35853.2.2-36.fc34.noarch 2411/3201 Installing : texlive-upquote-9:svn26059.v1.3-36.fc34.noarch 2412/3201 Installing : texlive-ltxmisc-9:svn21927.0-36.fc34.noarch 2413/3201 Installing : texlive-mathastext-9:svn52840-36.fc34.noarch 2414/3201 Installing : texlive-droid-9:svn54512-36.fc34.noarch 2415/3201 Installing : texlive-fnumprint-9:svn29173.1.1a-36.fc34.noar 2416/3201 Installing : texlive-akktex-9:svn26055.0.3.2-36.fc34.noarch 2417/3201 Installing : texlive-algorithms-9:svn42428-36.fc34.noarch 2418/3201 Installing : biber-2.14-4.fc33.noarch 2419/3201 Installing : texlive-currfile-9:svn40725-36.fc34.noarch 2420/3201 Installing : texlive-filehook-9:svn53655-36.fc34.noarch 2421/3201 Installing : texlive-pgf-9:svn54512-36.fc34.noarch 2422/3201 Installing : texlive-ms-9:svn51784-36.fc34.noarch 2423/3201 Installing : texlive-koma-script-9:svn54825-36.fc34.noarch 2424/3201 Installing : texlive-fontspec-9:svn53860-36.fc34.noarch 2425/3201 Installing : texlive-l3packages-9:svn54131-36.fc34.noarch 2426/3201 Installing : texlive-lualatex-math-9:svn52663-36.fc34.noarc 2427/3201 Installing : texlive-unicode-math-9:svn53609-36.fc34.noarch 2428/3201 Installing : texlive-xpatch-9:svn54563-36.fc34.noarch 2429/3201 Installing : texlive-l3kernel-9:svn54672-36.fc34.noarch 2430/3201 Installing : texlive-oberdiek-9:20200327-25.fc34.noarch 2431/3201 Installing : texlive-showexpl-9:svn42677-36.fc34.noarch 2432/3201 Installing : texlive-bidi-9:svn53615-36.fc34.noarch 2433/3201 Installing : texlive-polyglossia-9:svn54758-36.fc34.noarch 2434/3201 Installing : texlive-biblatex-9:svn53063-36.fc34.noarch 2435/3201 Installing : texlive-listings-9:svn54554-36.fc34.noarch 2436/3201 Installing : texlive-fancyvrb-9:svn53392-36.fc34.noarch 2437/3201 Installing : texlive-pst-3d-9:svn17257.1.10-36.fc34.noarch 2438/3201 Installing : texlive-pst-coil-9:svn37377.1.07-36.fc34.noarc 2439/3201 Installing : texlive-pst-eps-9:svn15878.1.0-36.fc34.noarch 2440/3201 Installing : texlive-pst-fill-9:svn15878.1.01-36.fc34.noarc 2441/3201 Installing : texlive-pst-grad-9:svn15878.1.06-36.fc34.noarc 2442/3201 Installing : texlive-pst-node-9:svn54687-36.fc34.noarch 2443/3201 Installing : texlive-pst-plot-9:svn54080-36.fc34.noarch 2444/3201 Installing : texlive-pst-text-9:svn49542-36.fc34.noarch 2445/3201 Installing : texlive-pst-tools-9:svn54518-36.fc34.noarch 2446/3201 Installing : texlive-pst-tree-9:svn43272-36.fc34.noarch 2447/3201 Installing : texlive-pstricks-add-9:svn53763-36.fc34.noarch 2448/3201 Installing : texlive-pstricks-9:svn54080-36.fc34.noarch 2449/3201 Installing : texlive-pgfopts-9:svn34573.2.1a-36.fc34.noarch 2450/3201 Installing : texlive-adjustbox-9:svn49596-36.fc34.noarch 2451/3201 Installing : texlive-textpos-9:svn50988-36.fc34.noarch 2452/3201 Installing : texlive-datatool-9:svn52663-36.fc34.noarch 2453/3201 Installing : texlive-glossaries-9:20200327-25.fc34.noarch 2454/3201 Installing : texlive-pdfpages-9:svn53583-36.fc34.noarch 2455/3201 Installing : texlive-siunitx-9:svn53914-36.fc34.noarch 2456/3201 Installing : texlive-tcolorbox-9:svn54928-36.fc34.noarch 2457/3201 Installing : texlive-chemgreek-9:svn53437-36.fc34.noarch 2458/3201 Installing : texlive-mhchem-9:svn52662-36.fc34.noarch 2459/3201 Installing : texlive-morewrites-9:svn49531-36.fc34.noarch 2460/3201 Installing : texlive-imakeidx-9:svn42287-36.fc34.noarch 2461/3201 Installing : texlive-libertine-9:svn54583-36.fc34.noarch 2462/3201 Installing : texlive-pageslts-9:svn39164-36.fc34.noarch 2463/3201 Installing : texlive-metalogo-9:svn18611.0.12-36.fc34.noarc 2464/3201 Installing : texlive-totpages-9:svn15878.2.00-36.fc34.noarc 2465/3201 Installing : texlive-datetime2-9:svn54080-36.fc34.noarch 2466/3201 Installing : texlive-todonotes-9:svn52662-36.fc34.noarch 2467/3201 Installing : texlive-pst-slpe-9:svn24391.1.31-36.fc34.noarc 2468/3201 Installing : texlive-fvextra-9:svn49947-36.fc34.noarch 2469/3201 Installing : texlive-pgfplots-9:svn54080-36.fc34.noarch 2470/3201 Installing : texlive-biblatex-dw-9:svn42649-36.fc34.noarch 2471/3201 Installing : texlive-soulpos-9:svn52663-36.fc34.noarch 2472/3201 Installing : texlive-pdfcomment-9:svn49047-36.fc34.noarch 2473/3201 Installing : texlive-breqn-9:svn54801-36.fc34.noarch 2474/3201 Installing : texlive-xcjk2uni-9:svn50848-36.fc34.noarch 2475/3201 Installing : texlive-ebgaramond-9:svn54721-36.fc34.noarch 2476/3201 Installing : texlive-sourcecodepro-9:svn54512-36.fc34.noarc 2477/3201 Installing : texlive-svninfo-9:svn17554.0.7.4-36.fc34.noarc 2478/3201 Installing : texlive-makeshape-9:svn28973.2.1-36.fc34.noarc 2479/3201 Installing : texlive-skeyval-9:svn30560.1.3-36.fc34.noarch 2480/3201 Installing : texlive-tkz-base-9:svn54758-36.fc34.noarch 2481/3201 Installing : texlive-tkz-euclide-9:svn54758-36.fc34.noarch 2482/3201 Installing : texlive-minted-9:svn44855-36.fc34.noarch 2483/3201 Installing : texlive-sidenotes-9:svn54524-36.fc34.noarch 2484/3201 Installing : texlive-circuitikz-9:svn54873-36.fc34.noarch 2485/3201 Installing : texlive-ocg-p-9:svn28803.0.4-36.fc34.noarch 2486/3201 Installing : texlive-gincltex-9:svn23835.0.3-36.fc34.noarch 2487/3201 Installing : texlive-standalone-9:svn47136-36.fc34.noarch 2488/3201 Installing : texlive-menukeys-9:svn41823-36.fc34.noarch 2489/3201 Installing : texlive-idxcmds-9:svn54554-36.fc34.noarch 2490/3201 Installing : texlive-classicthesis-9:svn48041-36.fc34.noarc 2491/3201 Installing : texlive-doctools-9:svn34474.0.1-36.fc34.noarch 2492/3201 Installing : texlive-xecjk-9:svn53835-36.fc34.noarch 2493/3201 Installing : texlive-ydoc-9:svn26202.0.6alpha-36.fc34.noarc 2494/3201 Installing : texlive-chemformula-9:svn54158-36.fc34.noarch 2495/3201 Installing : texlive-kantlipsum-9:svn51727-36.fc34.noarch 2496/3201 Installing : texlive-mdframed-9:svn31075.1.9b-36.fc34.noarc 2497/3201 Installing : texlive-thmtools-9:svn53219-36.fc34.noarch 2498/3201 Installing : texlive-withargs-9:svn52641-36.fc34.noarch 2499/3201 Installing : texlive-lt3graph-9:svn45913-36.fc34.noarch 2500/3201 Installing : texlive-l3experimental-9:svn53394-36.fc34.noar 2501/3201 Installing : texlive-ocgx2-9:svn52730-36.fc34.noarch 2502/3201 Installing : texlive-media9-9:svn54554-36.fc34.noarch 2503/3201 Installing : texlive-skmath-9:svn52411-36.fc34.noarch 2504/3201 Installing : texlive-fira-9:svn54512-36.fc34.noarch 2505/3201 Installing : texlive-roboto-9:svn54512-36.fc34.noarch 2506/3201 Installing : texlive-interfaces-9:svn21474.3.1-36.fc34.noar 2507/3201 Installing : texlive-rcsinfo-9:svn15878.1.11-36.fc34.noarch 2508/3201 Installing : texlive-background-9:svn42428-36.fc34.noarch 2509/3201 Installing : texlive-efbox-9:svn33236.1.0-36.fc34.noarch 2510/3201 Installing : texlive-fancytabs-9:svn41549-36.fc34.noarch 2511/3201 Installing : texlive-pgf-umlcd-9:svn33307.0.2.1.1-36.fc34.n 2512/3201 Installing : texlive-pgf-umlsd-9:svn33045.0.7-36.fc34.noarc 2513/3201 Installing : texlive-superiors-9:svn51909-36.fc34.noarch 2514/3201 Installing : texlive-fnpct-9:svn54512-36.fc34.noarch 2515/3201 Installing : texlive-translations-9:svn54929-36.fc34.noarch 2516/3201 Installing : texlive-cnltx-9:svn52601-36.fc34.noarch 2517/3201 Installing : texlive-cntformats-9:svn34668.0.7-36.fc34.noar 2518/3201 Installing : texlive-tasks-9:svn54814-36.fc34.noarch 2519/3201 Installing : texlive-elements-9:svn52398-36.fc34.noarch 2520/3201 Installing : texlive-ghsystem-9:svn53822-36.fc34.noarch 2521/3201 Installing : texlive-chemmacros-9:svn54191-36.fc34.noarch 2522/3201 Installing : texlive-svn-multi-9:20200327-25.fc34.noarch 2523/3201 Installing : texlive-tikzpagenodes-9:svn27723.1.1-36.fc34.n 2524/3201 Installing : texlive-tkz-tab-9:svn54662-36.fc34.noarch 2525/3201 Installing : texlive-urcls-9:svn49903-36.fc34.noarch 2526/3201 Installing : texlive-sansmathaccent-9:svn53628-36.fc34.noar 2527/3201 Installing : texlive-beamer-9:svn54841-36.fc34.noarch 2528/3201 Installing : texlive-stex-9:svn50489-36.fc34.noarch 2529/3201 Installing : texlive-bibtopic-9:svn15878.1.1a-36.fc34.noarc 2530/3201 Installing : texlive-chessfss-9:svn19440.1.2a-36.fc34.noarc 2531/3201 Installing : texlive-cprotect-9:svn21209.1.0e-36.fc34.noarc 2532/3201 Installing : texlive-dashbox-9:svn23425.1.14-36.fc34.noarch 2533/3201 Installing : texlive-realboxes-9:svn23581.0.2-36.fc34.noarc 2534/3201 Installing : texlive-fancylabel-9:svn46736-36.fc34.noarch 2535/3201 Installing : texlive-fifo-stack-9:svn33288.1.0-36.fc34.noar 2536/3201 Installing : texlive-fnbreak-9:svn25003.1.30-36.fc34.noarch 2537/3201 Installing : texlive-harvard-9:svn15878.2.0.5-36.fc34.noarc 2538/3201 Installing : texlive-hfoldsty-9:svn29349.1.15-36.fc34.noarc 2539/3201 Installing : texlive-ifthenx-9:svn25819.0.1a-36.fc34.noarch 2540/3201 Installing : texlive-inconsolata-9:svn54512-36.fc34.noarch 2541/3201 Installing : texlive-jurabib-9:svn15878.0.6-36.fc34.noarch 2542/3201 Installing : texlive-ly1-9:svn47848-36.fc34.noarch 2543/3201 Installing : texlive-mathdesign-9:svn31639.2.31-36.fc34.noa 2544/3201 Installing : texlive-arev-9:svn15878.0-36.fc34.noarch 2545/3201 Installing : texlive-mathcomp-9:svn15878.0.1f-36.fc34.noarc 2546/3201 Installing : texlive-modroman-9:svn29803.1-36.fc34.noarch 2547/3201 Installing : texlive-newtxtt-9:svn54512-36.fc34.noarch 2548/3201 Installing : texlive-pdfcprot-9:svn18735.1.7a-36.fc34.noarc 2549/3201 Installing : texlive-struktex-9:svn47931-36.fc34.noarch 2550/3201 Installing : texlive-tpslifonts-9:svn42428-36.fc34.noarch 2551/3201 Installing : texlive-texpower-9:svn29349.0.2-36.fc34.noarch 2552/3201 Installing : texlive-xcharter-9:svn56692-36.fc34.noarch 2553/3201 Installing : texlive-xdoc-9:svn15878.prot2.5-36.fc34.noarch 2554/3201 Installing : texlive-tcldoc-9:svn22018.2.40-36.fc34.noarch 2555/3201 Installing : texlive-tuda-ci-9:svn54878-36.fc34.noarch 2556/3201 Installing : texlive-ifmslide-9:svn20727.0.47-36.fc34.noarc 2557/3201 Installing : texlive-schule-9:svn48471-36.fc34.noarch 2558/3201 Installing : texlive-bgteubner-9:svn54080-36.fc34.noarch 2559/3201 Installing : texlive-ticollege-9:svn36306.1.0-36.fc34.noarc 2560/3201 Installing : texlive-drm-9:svn38157.4.4-36.fc34.noarch 2561/3201 Installing : texlive-skrapport-9:svn52412-36.fc34.noarch 2562/3201 Installing : texlive-resphilosophica-9:svn50935-36.fc34.noa 2563/3201 Installing : texlive-mentis-9:svn15878.1.5-36.fc34.noarch 2564/3201 Installing : texlive-acmart-9:svn53899-36.fc34.noarch 2565/3201 Installing : texlive-typeface-9:svn27046.0.1-36.fc34.noarch 2566/3201 Installing : texlive-adfathesis-9:svn26048.2.42-36.fc34.noa 2567/3201 Installing : texlive-bangorcsthesis-9:svn48834-36.fc34.noar 2568/3201 Installing : texlive-chemschemex-9:svn46723-36.fc34.noarch 2569/3201 Installing : texlive-cv4tw-9:svn34577.0.2-36.fc34.noarch 2570/3201 Installing : texlive-beameraudience-9:svn23427.0.1-36.fc34. 2571/3201 Installing : texlive-skak-9:svn46259-36.fc34.noarch 2572/3201 Installing : texlive-texmate-9:svn15878.2-36.fc34.noarch 2573/3201 Installing : texlive-bibtopicprefix-9:svn15878.1.10-36.fc34 2574/3201 Installing : texlive-proposal-9:svn40538-36.fc34.noarch 2575/3201 Installing : texlive-beamertheme-metropolis-9:svn43031-36.f 2576/3201 Installing : texlive-beamerthemenirma-9:svn20765.0.1-36.fc3 2577/3201 Installing : texlive-skb-9:svn22781.0.52-36.fc34.noarch 2578/3201 Installing : texlive-sesamanuel-9:svn36613.0.6-36.fc34.noar 2579/3201 Installing : texlive-gzt-9:svn54390-36.fc34.noarch 2580/3201 Installing : texlive-substances-9:svn40989-36.fc34.noarch 2581/3201 Installing : texlive-bohr-9:svn54512-36.fc34.noarch 2582/3201 Installing : texlive-exsheets-9:svn52227-36.fc34.noarch 2583/3201 Installing : texlive-acro-9:svn54512-36.fc34.noarch 2584/3201 Installing : texlive-chemnum-9:svn52256-36.fc34.noarch 2585/3201 Installing : texlive-cooking-units-9:svn53403-36.fc34.noarc 2586/3201 Installing : texlive-enotez-9:svn54512-36.fc34.noarch 2587/3201 Installing : texlive-listlbls-9:svn34893.1.03-36.fc34.noarc 2588/3201 Installing : texlive-xsim-9:svn54681-36.fc34.noarch 2589/3201 Installing : texlive-scrjrnl-9:svn27810.0.1-36.fc34.noarch 2590/3201 Installing : texlive-pygmentex-9:20200327-25.fc34.noarch 2591/3201 Installing : texlive-medstarbeamer-9:svn38828-36.fc34.noarc 2592/3201 Installing : texlive-dateiliste-9:svn27974.0.6-36.fc34.noar 2593/3201 Installing : texlive-fcltxdoc-9:svn24500.1.0-36.fc34.noarch 2594/3201 Installing : texlive-pkgloader-9:svn47486-36.fc34.noarch 2595/3201 Installing : texlive-denisbdoc-9:svn54584-36.fc34.noarch 2596/3201 Installing : texlive-fei-9:svn54178-36.fc34.noarch 2597/3201 Installing : texlive-upmethodology-9:svn54758-36.fc34.noarc 2598/3201 Installing : texlive-latexdemo-9:svn34481.0.1-36.fc34.noarc 2599/3201 Installing : texlive-mandi-9:svn49720-36.fc34.noarch 2600/3201 Installing : texlive-shdoc-9:svn41991-36.fc34.noarch 2601/3201 Installing : texlive-skdoc-9:svn52211-36.fc34.noarch 2602/3201 Installing : texlive-xpinyin-9:svn50849-36.fc34.noarch 2603/3201 Installing : texlive-arsclassica-9:svn45656-36.fc34.noarch 2604/3201 Installing : texlive-ocgx-9:svn54512-36.fc34.noarch 2605/3201 Installing : texlive-mnotes-9:svn35521.0.8-36.fc34.noarch 2606/3201 Installing : texlive-tkz-fct-9:svn54703-36.fc34.noarch 2607/3201 Installing : texlive-loops-9:svn30704.1.3-36.fc34.noarch 2608/3201 Installing : texlive-paresse-9:svn29803.4.1-36.fc34.noarch 2609/3201 Installing : texlive-flowchart-9:svn36572.3.3-36.fc34.noarc 2610/3201 Installing : texlive-tikz-opm-9:svn32769.0.1.1-36.fc34.noar 2611/3201 Installing : texlive-ebgaramond-maths-9:svn52168-36.fc34.no 2612/3201 Installing : texlive-moderncv-9:svn52669-36.fc34.noarch 2613/3201 Installing : texlive-pkuthss-9:svn54512-36.fc34.noarch 2614/3201 Installing : texlive-perfectcut-9:svn54080-36.fc34.noarch 2615/3201 Installing : texlive-rmathbr-9:svn40415-36.fc34.noarch 2616/3201 Installing : texlive-dataref-9:svn42883-36.fc34.noarch 2617/3201 Installing : texlive-fixme-9:svn49591-36.fc34.noarch 2618/3201 Installing : texlive-pdfpc-9:svn54160-36.fc34.noarch 2619/3201 Installing : texlive-luatodonotes-9:svn53825-36.fc34.noarch 2620/3201 Installing : texlive-biblatex-juradiss-9:svn54515-36.fc34.n 2621/3201 Installing : texlive-uni-wtal-ger-9:svn31541.0.2-36.fc34.no 2622/3201 Installing : texlive-tikz-dimline-9:svn35805.1.0-36.fc34.no 2623/3201 Installing : texlive-HA-prosper-9:svn15878.4.21-36.fc34.noa 2624/3201 Installing : texlive-prosper-9:svn33033.1.0h-36.fc34.noarch 2625/3201 Installing : texlive-easyreview-9:svn38352.1.0-36.fc34.noar 2626/3201 Installing : texlive-tudscr-9:LPPL-36.fc34.noarch 2627/3201 Installing : texlive-uothesis-9:svn25355.2.5.6-36.fc34.noar 2628/3201 Installing : texlive-datetime2-en-fulltext-9:svn36705.1.0-3 2629/3201 Installing : texlive-datetime2-it-fulltext-9:svn54779-36.fc 2630/3201 Installing : texlive-mensa-tex-9:svn45997-36.fc34.noarch 2631/3201 Installing : texlive-europasscv-9:svn49703-36.fc34.noarch 2632/3201 Installing : texlive-europecv-9:svn54080-36.fc34.noarch 2633/3201 Installing : texlive-luatextra-9:svn20747.1.0.1-36.fc34.noa 2634/3201 Installing : texlive-lua-check-hyphen-9:svn47527-36.fc34.no 2635/3201 Installing : texlive-fcavtex-9:svn38074.1.1-36.fc34.noarch 2636/3201 Installing : texlive-papermas-9:svn23667.1.0h-36.fc34.noarc 2637/3201 Installing : texlive-thumbs-9:svn33134.1.0q-36.fc34.noarch 2638/3201 Installing : texlive-turnthepage-9:svn29803.1.3a-36.fc34.no 2639/3201 Installing : texlive-dtk-9:svn54080-36.fc34.noarch 2640/3201 Installing : texlive-cntperchap-9:svn37572.0.3-36.fc34.noar 2641/3201 Installing : texlive-yathesis-9:svn54740-36.fc34.noarch 2642/3201 Installing : texlive-chemexec-9:svn21632.1.0-36.fc34.noarch 2643/3201 Installing : texlive-modiagram-9:svn52589-36.fc34.noarch 2644/3201 Installing : texlive-genealogytree-9:svn50872-36.fc34.noarc 2645/3201 Installing : texlive-jumplines-9:svn37553.0.2-36.fc34.noarc 2646/3201 Installing : texlive-recipebook-9:svn37026.0-36.fc34.noarch 2647/3201 Installing : texlive-chemstyle-9:svn31096.2.0m-36.fc34.noar 2648/3201 Installing : texlive-endiagram-9:svn34486.0.1d-36.fc34.noar 2649/3201 Installing : texlive-tikz-palattice-9:svn43442-36.fc34.noar 2650/3201 Installing : texlive-bewerbung-9:svn37880.1.1-36.fc34.noarc 2651/3201 Installing : texlive-confproc-9:svn29349.0.8-36.fc34.noarch 2652/3201 Installing : texlive-pdfoverlay-9:svn52627-36.fc34.noarch 2653/3201 Installing : texlive-unswcover-9:svn29476.1.0-36.fc34.noarc 2654/3201 Installing : texlive-glosmathtools-9:svn54558-36.fc34.noarc 2655/3201 Installing : texlive-glossaries-extra-9:svn54688-36.fc34.no 2656/3201 Installing : texlive-glossaries-finnish-9:svn54080-36.fc34. 2657/3201 Installing : texlive-ryethesis-9:svn33945.1.36-36.fc34.noar 2658/3201 Installing : texlive-uestcthesis-9:svn36371.1.1.0-36.fc34.n 2659/3201 Installing : texlive-bankstatement-9:svn38857-36.fc34.noarc 2660/3201 Installing : texlive-jslectureplanner-9:svn53672-36.fc34.no 2661/3201 Installing : texlive-properties-9:svn15878.0.2-36.fc34.noar 2662/3201 Installing : texlive-tikz-network-9:svn51884-36.fc34.noarch 2663/3201 Installing : texlive-autonum-9:svn36084.0.3.11-36.fc34.noar 2664/3201 Installing : texlive-bookcover-9:svn46410-36.fc34.noarch 2665/3201 Installing : texlive-factura-9:svn54803-36.fc34.noarch 2666/3201 Installing : texlive-gcard-9:svn15878.0-36.fc34.noarch 2667/3201 Installing : texlive-imtekda-9:svn17667.1.7-36.fc34.noarch 2668/3201 Installing : texlive-isodoc-9:svn47868-36.fc34.noarch 2669/3201 Installing : texlive-papertex-9:svn19230.1.2b-36.fc34.noarc 2670/3201 Installing : texlive-stubs-9:svn19440.0.1.1-36.fc34.noarch 2671/3201 Installing : texlive-easyfig-9:svn47193-36.fc34.noarch 2672/3201 Installing : texlive-dynkin-diagrams-9:svn54489-36.fc34.noa 2673/3201 Installing : texlive-forest-9:svn44797-36.fc34.noarch 2674/3201 Installing : texlive-snotez-9:svn30355.0.3-36.fc34.noarch 2675/3201 Installing : texlive-stealcaps-9:svn46434-36.fc34.noarch 2676/3201 Installing : texlive-tikz-timing-9:svn46111-36.fc34.noarch 2677/3201 Installing : texlive-ytableau-9:svn27430.1.3-36.fc34.noarch 2678/3201 Installing : texlive-bardiag-9:svn22013.0.4a-36.fc34.noarch 2679/3201 Installing : texlive-bhcexam-9:svn39041-36.fc34.noarch 2680/3201 Installing : texlive-colortab-9:svn22155.1.0-36.fc34.noarch 2681/3201 Installing : texlive-ejpecp-9:svn50761-36.fc34.noarch 2682/3201 Installing : texlive-jlabels-9:svn24858.0-36.fc34.noarch 2683/3201 Installing : texlive-lsc-9:svn15878.0-36.fc34.noarch 2684/3201 Installing : texlive-msc-9:svn15878.1.16-36.fc34.noarch 2685/3201 Installing : texlive-numericplots-9:svn31729.2.0.2-36.fc34. 2686/3201 Installing : texlive-ppr-prv-9:svn15878.0.13c-36.fc34.noarc 2687/3201 Installing : texlive-pst-blur-9:svn15878.2.0-36.fc34.noarch 2688/3201 Installing : texlive-powerdot-9:svn45165-36.fc34.noarch 2689/3201 Installing : texlive-sfg-9:svn20209.0.91-36.fc34.noarch 2690/3201 Installing : texlive-swimgraf-9:svn25446.0-36.fc34.noarch 2691/3201 Installing : texlive-synproof-9:svn15878.1.0-36.fc34.noarch 2692/3201 Installing : texlive-tableaux-9:svn42413-36.fc34.noarch 2693/3201 Installing : texlive-webquiz-9:20200327-25.fc34.noarch 2694/3201 Installing : texlive-xymtex-9:svn32182.5.06-36.fc34.noarch 2695/3201 Installing : texlive-randbild-9:svn15878.0.2-36.fc34.noarch 2696/3201 Installing : texlive-apxproof-9:svn52324-36.fc34.noarch 2697/3201 Installing : texlive-asypictureb-9:svn33490.0.3-36.fc34.noa 2698/3201 Installing : texlive-engpron-9:svn16558.2-36.fc34.noarch 2699/3201 Installing : texlive-exsol-9:svn48977-36.fc34.noarch 2700/3201 Installing : texlive-nostarch-9:svn15878.1.3-36.fc34.noarch 2701/3201 Installing : texlive-tablor-9:svn31855.4.07_g-36.fc34.noarc 2702/3201 Installing : texlive-texments-9:svn15878.0.2.0-36.fc34.noar 2703/3201 Installing : texlive-verbments-9:svn23670.1.2-36.fc34.noarc 2704/3201 Installing : texlive-bashful-9:svn25597.0.93-36.fc34.noarch 2705/3201 Installing : texlive-embedall-9:svn51177-36.fc34.noarch 2706/3201 Installing : texlive-lstaddons-9:svn26196.0.1-36.fc34.noarc 2707/3201 Installing : texlive-ltxdockit-9:svn21869.1.2d-36.fc34.noar 2708/3201 Installing : texlive-luatexja-9:svn54758-36.fc34.noarch 2709/3201 Installing : texlive-matlab-prettifier-9:svn34323.0.3-36.fc 2710/3201 Installing : texlive-mcmthesis-9:svn53513-36.fc34.noarch 2711/3201 Installing : texlive-pbsheet-9:svn24830.0.1-36.fc34.noarch 2712/3201 Installing : texlive-sasnrdisplay-9:svn45963-36.fc34.noarch 2713/3201 Installing : texlive-sclang-prettifier-9:svn35087.0.1-36.fc 2714/3201 Installing : texlive-vdmlisting-9:svn29944.1.0-36.fc34.noar 2715/3201 Installing : texlive-apa6-9:svn53406-36.fc34.noarch 2716/3201 Installing : texlive-biblatex-apa-9:svn54896-36.fc34.noarch 2717/3201 Installing : texlive-biblatex-bwl-9:svn26556.0.02-36.fc34.n 2718/3201 Installing : texlive-biblatex-caspervector-9:svn52802-36.fc 2719/3201 Installing : texlive-biblatex-chem-9:svn53012-36.fc34.noarc 2720/3201 Installing : texlive-biblatex-chicago-9:svn54809-36.fc34.no 2721/3201 Installing : texlive-biblatex-fiwi-9:svn45876-36.fc34.noarc 2722/3201 Installing : texlive-biblatex-gost-9:svn53916-36.fc34.noarc 2723/3201 Installing : texlive-biblatex-historian-9:svn19787.0.4-36.f 2724/3201 Installing : texlive-biblatex-ieee-9:svn53931-36.fc34.noarc 2725/3201 Installing : texlive-biblatex-luh-ipw-9:svn32180.0.3-36.fc3 2726/3201 Installing : texlive-biblatex-manuscripts-philology-9:svn53 2727/3201 Installing : texlive-biblatex-mla-9:svn42445-36.fc34.noarch 2728/3201 Installing : texlive-biblatex-musuos-9:svn24097.1.0-36.fc34 2729/3201 Installing : texlive-biblatex-nature-9:svn48940-36.fc34.noa 2730/3201 Installing : texlive-biblatex-nejm-9:svn49839-36.fc34.noarc 2731/3201 Installing : texlive-biblatex-philosophy-9:svn47283-36.fc34 2732/3201 Installing : texlive-biblatex-phys-9:svn53013-36.fc34.noarc 2733/3201 Installing : texlive-biblatex-publist-9:svn50989-36.fc34.no 2734/3201 Installing : texlive-biblatex-realauthor-9:svn45865-36.fc34 2735/3201 Installing : texlive-biblatex-science-9:svn48945-36.fc34.no 2736/3201 Installing : texlive-biblatex-subseries-9:svn43330-36.fc34. 2737/3201 Installing : texlive-biblatex-trad-9:svn46668-36.fc34.noarc 2738/3201 Installing : texlive-cleanthesis-9:svn51472-36.fc34.noarch 2739/3201 Installing : texlive-gcite-9:svn15878.1.0.1-36.fc34.noarch 2740/3201 Installing : texlive-geschichtsfrkl-9:svn42121-36.fc34.noar 2741/3201 Installing : texlive-oscola-9:svn54328-36.fc34.noarch 2742/3201 Installing : texlive-savetrees-9:svn40525-36.fc34.noarch 2743/3201 Installing : texlive-semproc-9:svn37568.0.1-36.fc34.noarch 2744/3201 Installing : texlive-uni-wtal-lin-9:svn31409.0.2-36.fc34.no 2745/3201 Installing : texlive-br-lex-9:svn44939-36.fc34.noarch 2746/3201 Installing : texlive-toptesi-9:svn51743-36.fc34.noarch 2747/3201 Installing : texlive-wsemclassic-9:svn31532.1.0.1-36.fc34.n 2748/3201 Installing : texlive-crbox-9:svn29803.0.1-36.fc34.noarch 2749/3201 Installing : texlive-animate-9:svn54874-36.fc34.noarch 2750/3201 Installing : texlive-easy-todo-9:svn32677.0-36.fc34.noarch 2751/3201 Installing : texlive-hypdvips-9:svn53197-36.fc34.noarch 2752/3201 Installing : texlive-pseudo-9:svn52582-36.fc34.noarch 2753/3201 Installing : texlive-sduthesis-9:svn41401-36.fc34.noarch 2754/3201 Installing : texlive-templatetools-9:svn34495.0-36.fc34.noa 2755/3201 Installing : texlive-xcookybooky-9:svn36435.1.5-36.fc34.noa 2756/3201 Installing : texlive-GS1-9:svn44822-36.fc34.noarch 2757/3201 Installing : texlive-algobox-9:svn52204-36.fc34.noarch 2758/3201 Installing : texlive-cellprops-9:svn52205-36.fc34.noarch 2759/3201 Installing : texlive-citeall-9:svn45975-36.fc34.noarch 2760/3201 Installing : texlive-classics-9:svn53671-36.fc34.noarch 2761/3201 Installing : texlive-conteq-9:svn37868.0.1.1-36.fc34.noarch 2762/3201 Installing : texlive-copyedit-9:svn37928.1.6-36.fc34.noarch 2763/3201 Installing : texlive-cryptocode-9:svn49131-36.fc34.noarch 2764/3201 Installing : texlive-diffcoeff-9:svn53244-36.fc34.noarch 2765/3201 Installing : texlive-download-9:svn52257-36.fc34.noarch 2766/3201 Installing : texlive-embrac-9:svn54512-36.fc34.noarch 2767/3201 Installing : texlive-erw-l3-9:svn53694-36.fc34.noarch 2768/3201 Installing : texlive-gatherenum-9:svn52209-36.fc34.noarch 2769/3201 Installing : texlive-gtl-9:svn49527-36.fc34.noarch 2770/3201 Installing : texlive-unravel-9:svn52822-36.fc34.noarch 2771/3201 Installing : texlive-hobby-9:svn44474-36.fc34.noarch 2772/3201 Installing : texlive-hobete-9:svn27036.0-36.fc34.noarch 2773/3201 Installing : texlive-lengthconvert-9:svn30867.1.0a-36.fc34. 2774/3201 Installing : texlive-minibox-9:svn30914.0.2a-36.fc34.noarch 2775/3201 Installing : texlive-multicolrule-9:svn52283-36.fc34.noarch 2776/3201 Installing : texlive-nicematrix-9:svn54758-36.fc34.noarch 2777/3201 Installing : texlive-notes2bib-9:svn52231-36.fc34.noarch 2778/3201 Installing : texlive-pstool-9:svn46393-36.fc34.noarch 2779/3201 Installing : texlive-randomwalk-9:svn49513-36.fc34.noarch 2780/3201 Installing : texlive-regexpatch-9:svn47601-36.fc34.noarch 2781/3201 Installing : texlive-scontents-9:svn53504-36.fc34.noarch 2782/3201 Installing : texlive-simplebnf-9:svn53370-36.fc34.noarch 2783/3201 Installing : texlive-spath3-9:svn50018-36.fc34.noarch 2784/3201 Installing : texlive-topiclongtable-9:svn54758-36.fc34.noar 2785/3201 Installing : texlive-witharrows-9:svn54707-36.fc34.noarch 2786/3201 Installing : texlive-xpeek-9:svn27442.0.2-36.fc34.noarch 2787/3201 Installing : texlive-zhnumber-9:svn50850-36.fc34.noarch 2788/3201 Installing : texlive-biblatex-opcit-booktitle-9:svn48983-36 2789/3201 Installing : texlive-biblatex-source-division-9:svn45379-36 2790/3201 Installing : texlive-indextools-9:svn38931-36.fc34.noarch 2791/3201 Installing : texlive-ulthese-9:svn52972-36.fc34.noarch 2792/3201 Installing : texlive-adigraph-9:svn49862-36.fc34.noarch 2793/3201 Installing : texlive-chronology-9:svn37934.1.1.1-36.fc34.no 2794/3201 Installing : texlive-comicneue-9:svn54891-36.fc34.noarch 2795/3201 Installing : texlive-concepts-9:svn29020.0.0.5_r1-36.fc34.n 2796/3201 Installing : texlive-dynblocks-9:svn35193.0.2b-36.fc34.noar 2797/3201 Installing : texlive-elzcards-9:svn51894-36.fc34.noarch 2798/3201 Installing : texlive-eqexpl-9:svn54080-36.fc34.noarch 2799/3201 Installing : texlive-hf-tikz-9:svn34733.0.3a-36.fc34.noarch 2800/3201 Installing : texlive-karnaugh-map-9:svn44131-36.fc34.noarch 2801/3201 Installing : texlive-longdivision-9:svn50586-36.fc34.noarch 2802/3201 Installing : texlive-mycv-9:svn26807.1.5.6-36.fc34.noarch 2803/3201 Installing : texlive-physics-9:svn28590.1.3-36.fc34.noarch 2804/3201 Installing : texlive-quiz2socrative-9:svn52276-36.fc34.noar 2805/3201 Installing : texlive-smartdiagram-9:svn42781-36.fc34.noarch 2806/3201 Installing : texlive-tikzscale-9:svn30637.0.2.6-36.fc34.noa 2807/3201 Installing : texlive-tucv-9:svn20680.1.0-36.fc34.noarch 2808/3201 Installing : texlive-underoverlap-9:svn29019.0.0.1_r1-36.fc 2809/3201 Installing : texlive-accanthis-9:svn32089.0-36.fc34.noarch 2810/3201 Installing : texlive-alegreya-9:svn54512-36.fc34.noarch 2811/3201 Installing : texlive-cabin-9:svn55907-36.fc34.noarch 2812/3201 Installing : texlive-caladea-9:svn34991.0-36.fc34.noarch 2813/3201 Installing : texlive-carlito-9:svn35002.0-36.fc34.noarch 2814/3201 Installing : texlive-cinzel-9:svn54512-36.fc34.noarch 2815/3201 Installing : texlive-clearsans-9:svn54512-36.fc34.noarch 2816/3201 Installing : texlive-cloze-9:svn41531-36.fc34.noarch 2817/3201 Installing : texlive-dantelogo-9:svn38599-36.fc34.noarch 2818/3201 Installing : texlive-gillius-9:svn32068.0-36.fc34.noarch 2819/3201 Installing : texlive-kdgdocs-9:svn24498.1.0-36.fc34.noarch 2820/3201 Installing : texlive-komacv-9:svn43902-36.fc34.noarch 2821/3201 Installing : texlive-librebaskerville-9:svn56018-36.fc34.no 2822/3201 Installing : texlive-librecaslon-9:svn56003-36.fc34.noarch 2823/3201 Installing : texlive-lobster2-9:svn56019-36.fc34.noarch 2824/3201 Installing : texlive-mathspec-9:svn42773-36.fc34.noarch 2825/3201 Installing : texlive-merriweather-9:svn56365-36.fc34.noarch 2826/3201 Installing : texlive-mintspirit-9:svn32069.0-36.fc34.noarch 2827/3201 Installing : texlive-overlock-9:svn56079-36.fc34.noarch 2828/3201 Installing : texlive-playfair-9:svn56005-36.fc34.noarch 2829/3201 Installing : texlive-quattrocento-9:svn56020-36.fc34.noarch 2830/3201 Installing : texlive-raleway-9:svn42629-36.fc34.noarch 2831/3201 Installing : texlive-realscripts-9:svn39706-36.fc34.noarch 2832/3201 Installing : texlive-xltxtra-9:svn49555-36.fc34.noarch 2833/3201 Installing : texlive-gmutils-9:svn24287.v0.996-36.fc34.noar 2834/3201 Installing : texlive-gmverb-9:svn24288.v0.98-36.fc34.noarch 2835/3201 Installing : texlive-gmdoc-9:svn21292.0.993-36.fc34.noarch 2836/3201 Installing : texlive-gmdoc-enhance-9:svn15878.v0.2-36.fc34. 2837/3201 Installing : texlive-beamer2thesis-9:svn27539.2.2-36.fc34.n 2838/3201 Installing : texlive-sapthesis-9:svn48365-36.fc34.noarch 2839/3201 Installing : texlive-sourcesanspro-9:svn54892-36.fc34.noarc 2840/3201 Installing : texlive-sourceserifpro-9:svn54512-36.fc34.noar 2841/3201 Installing : texlive-universalis-9:svn33860.0-36.fc34.noarc 2842/3201 Installing : texlive-blowup-9:svn46213-36.fc34.noarch 2843/3201 Installing : texlive-coolthms-9:svn29062.1.2-36.fc34.noarch 2844/3201 Installing : texlive-hc-9:svn15878.0-36.fc34.noarch 2845/3201 Installing : texlive-sr-vorl-9:svn39529-36.fc34.noarch 2846/3201 Installing : texlive-stdpage-9:svn15878.0.6-36.fc34.noarch 2847/3201 Installing : texlive-volumes-9:svn15878.1.0-36.fc34.noarch 2848/3201 Installing : texlive-bookest-9:svn15878.1.1-36.fc34.noarch 2849/3201 Installing : texlive-leaflet-9:svn43523-36.fc34.noarch 2850/3201 Installing : texlive-alertmessage-9:svn38055.1.1-36.fc34.no 2851/3201 Installing : texlive-blox-9:svn35014.2.5-36.fc34.noarch 2852/3201 Installing : texlive-bodegraph-9:svn20047.1.4-36.fc34.noarc 2853/3201 Installing : texlive-bondgraph-9:svn21670.1.0-36.fc34.noarc 2854/3201 Installing : texlive-bondgraphs-9:svn36605.1.0.1-36.fc34.no 2855/3201 Installing : texlive-chemfig-9:svn54914-36.fc34.noarch 2856/3201 Installing : texlive-copyrightbox-9:svn24829.0.1-36.fc34.no 2857/3201 Installing : texlive-csvsimple-9:svn51010-36.fc34.noarch 2858/3201 Installing : texlive-drawstack-9:svn28582.0-36.fc34.noarch 2859/3201 Installing : texlive-ebproof-9:svn44392-36.fc34.noarch 2860/3201 Installing : texlive-ecv-9:svn24928.0.3-36.fc34.noarch 2861/3201 Installing : texlive-fancypar-9:svn49801-36.fc34.noarch 2862/3201 Installing : texlive-fancyslides-9:svn36263.1.0-36.fc34.noa 2863/3201 Installing : texlive-fast-diagram-9:svn29264.1.1-36.fc34.no 2864/3201 Installing : texlive-grafcet-9:svn22509.1.3.5-36.fc34.noarc 2865/3201 Installing : texlive-harveyballs-9:svn32003.1.1-36.fc34.noa 2866/3201 Installing : texlive-incgraph-9:svn36500.1.12-36.fc34.noarc 2867/3201 Installing : texlive-interval-9:svn50265-36.fc34.noarch 2868/3201 Installing : texlive-karnaughmap-9:svn36989.2.0-36.fc34.noa 2869/3201 Installing : texlive-luasseq-9:svn37877.0-36.fc34.noarch 2870/3201 Installing : texlive-moderntimeline-9:svn50228-36.fc34.noar 2871/3201 Installing : texlive-msu-thesis-9:svn46106-36.fc34.noarch 2872/3201 Installing : texlive-neuralnetwork-9:svn31500.1.0-36.fc34.n 2873/3201 Installing : texlive-oplotsymbl-9:svn44951-36.fc34.noarch 2874/3201 Installing : texlive-overlays-9:svn46122-36.fc34.noarch 2875/3201 Installing : texlive-pas-cours-9:svn42036-36.fc34.noarch 2876/3201 Installing : texlive-pas-cv-9:svn32263.2.01-36.fc34.noarch 2877/3201 Installing : texlive-pas-tableur-9:svn39542-36.fc34.noarch 2878/3201 Installing : texlive-pdfmarginpar-9:svn23492.0.92-36.fc34.n 2879/3201 Installing : texlive-pgf-blur-9:svn54512-36.fc34.noarch 2880/3201 Installing : texlive-pgf-cmykshadings-9:svn52635-36.fc34.no 2881/3201 Installing : texlive-pgf-soroban-9:svn32269.1.1-36.fc34.noa 2882/3201 Installing : texlive-pgfgantt-9:svn52662-36.fc34.noarch 2883/3201 Installing : texlive-pgfmolbio-9:svn35152.0.21-36.fc34.noar 2884/3201 Installing : texlive-prerex-9:svn54512-36.fc34.noarch 2885/3201 Installing : texlive-pressrelease-9:svn35147.1.0-36.fc34.no 2886/3201 Installing : texlive-productbox-9:svn20886.1.1-36.fc34.noar 2887/3201 Installing : texlive-progressbar-9:svn33822.v1.0b_4-36.fc34 2888/3201 Installing : texlive-proofread-9:svn50938-36.fc34.noarch 2889/3201 Installing : texlive-reflectgraphics-9:svn40612-36.fc34.noa 2890/3201 Installing : texlive-reotex-9:svn34924.1.1-36.fc34.noarch 2891/3201 Installing : texlive-ribbonproofs-9:svn31137.1.0-36.fc34.no 2892/3201 Installing : texlive-sa-tikz-9:svn32815.0.7a-36.fc34.noarch 2893/3201 Installing : texlive-scanpages-9:svn42633-36.fc34.noarch 2894/3201 Installing : texlive-schemabloc-9:svn15878.1.5-36.fc34.noar 2895/3201 Installing : texlive-scratch3-9:svn54916-36.fc34.noarch 2896/3201 Installing : texlive-scratchx-9:svn44906-36.fc34.noarch 2897/3201 Installing : texlive-setdeck-9:svn40613-36.fc34.noarch 2898/3201 Installing : texlive-sparklines-9:svn42821-36.fc34.noarch 2899/3201 Installing : texlive-spot-9:svn22408.1.1-36.fc34.noarch 2900/3201 Installing : texlive-sseq-9:svn31585.2.01-36.fc34.noarch 2901/3201 Installing : texlive-talk-9:svn42428-36.fc34.noarch 2902/3201 Installing : texlive-thumby-9:svn16736.0.1-36.fc34.noarch 2903/3201 Installing : texlive-tikz-3dplot-9:svn25087.0-36.fc34.noarc 2904/3201 Installing : texlive-tikz-cd-9:svn49201-36.fc34.noarch 2905/3201 Installing : texlive-tikz-dependency-9:svn54512-36.fc34.noa 2906/3201 Installing : texlive-tikz-inet-9:svn15878.0.1-36.fc34.noarc 2907/3201 Installing : texlive-tikz-kalender-9:svn52890-36.fc34.noarc 2908/3201 Installing : texlive-tikz-planets-9:svn54857-36.fc34.noarch 2909/3201 Installing : texlive-tikz-qtree-9:svn26108.1.2-36.fc34.noar 2910/3201 Installing : texlive-tikzinclude-9:svn28715.1.0-36.fc34.noa 2911/3201 Installing : texlive-tikzorbital-9:svn36439.0-36.fc34.noarc 2912/3201 Installing : texlive-tikzpfeile-9:svn25777.1.0-36.fc34.noar 2913/3201 Installing : texlive-tikzsymbols-9:svn49975-36.fc34.noarch 2914/3201 Installing : texlive-timing-diagrams-9:svn31491.0-36.fc34.n 2915/3201 Installing : texlive-tkz-orm-9:svn54512-36.fc34.noarch 2916/3201 Installing : texlive-tqft-9:svn44455-36.fc34.noarch 2917/3201 Installing : texlive-ulqda-9:20200327-25.fc34.noarch 2918/3201 Installing : texlive-venndiagram-9:svn47952-36.fc34.noarch 2919/3201 Installing : texlive-vgrid-9:svn32457.0.1-36.fc34.noarch 2920/3201 Installing : texlive-curve-9:svn20745.1.16-36.fc34.noarch 2921/3201 Installing : texlive-jmlr-9:svn54562-36.fc34.noarch 2922/3201 Installing : texlive-uebungsblatt-9:svn15878.1.5.0-36.fc34. 2923/3201 Installing : texlive-calcage-9:svn27725.0.90-36.fc34.noarch 2924/3201 Installing : texlive-cmpj-9:svn51661-36.fc34.noarch 2925/3201 Installing : texlive-verbasef-9:svn21922.1.1-36.fc34.noarch 2926/3201 Installing : texlive-cool-9:svn15878.1.35-36.fc34.noarch 2927/3201 Installing : texlive-dyntree-9:svn15878.1.0-36.fc34.noarch 2928/3201 Installing : texlive-frege-9:svn27417.1.3-36.fc34.noarch 2929/3201 Installing : texlive-grundgesetze-9:svn34439.1.02-36.fc34.n 2930/3201 Installing : texlive-algxpar-9:svn52758-36.fc34.noarch 2931/3201 Installing : texlive-aeguill-9:svn15878.0-36.fc34.noarch 2932/3201 Installing : texlive-latex-make-9:svn48925-36.fc34.noarch 2933/3201 Installing : texlive-tui-9:svn27253.1.9-36.fc34.noarch 2934/3201 Installing : texlive-minutes-9:svn42186-36.fc34.noarch 2935/3201 Installing : texlive-thumb-9:svn16549.1.0-36.fc34.noarch 2936/3201 Installing : texlive-sphdthesis-9:svn34374.1.0-36.fc34.noar 2937/3201 Installing : texlive-ot-tableau-9:svn44889-36.fc34.noarch 2938/3201 Installing : texlive-fouriernc-9:svn29646.0-36.fc34.noarch 2939/3201 Installing : texlive-nlctdoc-9:svn53768-36.fc34.noarch 2940/3201 Installing : texlive-moreenum-9:svn24479.1.03-36.fc34.noarc 2941/3201 Installing : texlive-thuthesis-9:svn53892-36.fc34.noarch 2942/3201 Installing : texlive-cantarell-9:svn54512-36.fc34.noarch 2943/3201 Installing : texlive-comfortaa-9:svn54512-36.fc34.noarch 2944/3201 Installing : texlive-lato-9:svn54512-36.fc34.noarch 2945/3201 Installing : texlive-enumitem-zref-9:svn21472.1.8-36.fc34.n 2946/3201 Installing : texlive-semantic-9:svn15878.2.0-36.fc34.noarch 2947/3201 Installing : texlive-IEEEtran-9:svn51065-36.fc34.noarch 2948/3201 Installing : texlive-asmeconf-9:svn54758-36.fc34.noarch 2949/3201 Installing : texlive-asmejour-9:svn54758-36.fc34.noarch 2950/3201 Installing : texlive-newpx-9:svn53792-36.fc34.noarch 2951/3201 Installing : texlive-prtec-9:svn51919-36.fc34.noarch 2952/3201 Installing : texlive-grid-system-9:svn32981.0.3.0-36.fc34.n 2953/3201 Installing : texlive-rjlparshap-9:svn15878.1.0-36.fc34.noar 2954/3201 Installing : texlive-cuisine-9:svn34453.0.7-36.fc34.noarch 2955/3201 Installing : texlive-unitsdef-9:svn15878.0.2-36.fc34.noarch 2956/3201 Installing : texlive-calctab-9:svn15878.v0.6.1-36.fc34.noar 2957/3201 Installing : texlive-tabularcalc-9:svn15878.0.2-36.fc34.noa 2958/3201 Installing : texlive-baskervald-9:svn19490.1.016-36.fc34.no 2959/3201 Installing : texlive-berenisadf-9:svn32215.1.004-36.fc34.no 2960/3201 Installing : texlive-cfr-lm-9:svn36195.1.5-36.fc34.noarch 2961/3201 Installing : texlive-electrum-9:svn19705.1.005_b-36.fc34.no 2962/3201 Installing : texlive-libris-9:svn19409.1.007-36.fc34.noarch 2963/3201 Installing : texlive-romande-9:svn19537.1.008_v7_sc-36.fc34 2964/3201 Installing : texlive-venturisadf-9:svn19444.1.005-36.fc34.n 2965/3201 Installing : texlive-suftesi-9:svn53903-36.fc34.noarch 2966/3201 Installing : texlive-acroterm-9:svn20498.0.1-36.fc34.noarch 2967/3201 Installing : texlive-changes-9:svn52838-36.fc34.noarch 2968/3201 Installing : texlive-dithesis-9:svn34295.0.2-36.fc34.noarch 2969/3201 Installing : texlive-doclicense-9:svn54758-36.fc34.noarch 2970/3201 Installing : texlive-rec-thy-9:svn50047-36.fc34.noarch 2971/3201 Installing : texlive-romanbarpagenumber-9:svn36236.1.0-36.f 2972/3201 Installing : texlive-tablefootnote-9:svn32804.1.1c-36.fc34. 2973/3201 Installing : texlive-tufte-latex-9:svn37649.3.5.2-36.fc34.n 2974/3201 Installing : texlive-turabian-formatting-9:svn54436-36.fc34 2975/3201 Installing : texlive-yagusylo-9:svn29803.1.2-36.fc34.noarch 2976/3201 Installing : texlive-ebsthesis-9:svn15878.1.0-36.fc34.noarc 2977/3201 Installing : texlive-gaceta-9:svn15878.1.06-36.fc34.noarch 2978/3201 Installing : texlive-matc3mem-9:svn35773.1.1-36.fc34.noarch 2979/3201 Installing : texlive-tabriz-thesis-9:svn51729-36.fc34.noarc 2980/3201 Installing : texlive-uaclasses-9:svn15878.0-36.fc34.noarch 2981/3201 Installing : texlive-aastex-9:svn51836-36.fc34.noarch 2982/3201 Installing : texlive-active-conf-9:svn15878.0.3a-36.fc34.no 2983/3201 Installing : texlive-aiaa-9:svn15878.3.6-36.fc34.noarch 2984/3201 Installing : texlive-alg-9:svn15878.0-36.fc34.noarch 2985/3201 Installing : texlive-allrunes-9:svn42221-36.fc34.noarch 2986/3201 Installing : texlive-alnumsec-9:svn15878.v0.03-36.fc34.noar 2987/3201 Installing : texlive-altfont-9:svn15878.1.1-36.fc34.noarch 2988/3201 Installing : texlive-apa6e-9:svn23350.0.3-36.fc34.noarch 2989/3201 Installing : texlive-arraysort-9:svn31576.1.0-36.fc34.noarc 2990/3201 Installing : texlive-ascelike-9:svn29129.2.3-36.fc34.noarch 2991/3201 Installing : texlive-assignment-9:svn20431.0-36.fc34.noarch 2992/3201 Installing : texlive-autopdf-9:svn32377.1.1-36.fc34.noarch 2993/3201 Installing : texlive-baskervaldx-9:svn54512-36.fc34.noarch 2994/3201 Installing : texlive-binomexp-9:svn15878.1.0-36.fc34.noarch 2995/3201 Installing : texlive-biocon-9:svn15878.0-36.fc34.noarch 2996/3201 Installing : texlive-bizcard-9:svn15878.1.1-36.fc34.noarch 2997/3201 Installing : texlive-boxhandler-9:svn28031.1.30-36.fc34.noa 2998/3201 Installing : texlive-cascadilla-9:svn25144.1.8.2-36.fc34.no 2999/3201 Installing : texlive-catechis-9:svn49061-36.fc34.noarch 3000/3201 Installing : texlive-cbcoptic-9:svn16666.0.2-36.fc34.noarch 3001/3201 Installing : texlive-cellspace-9:svn50374-36.fc34.noarch 3002/3201 Installing : texlive-chapterfolder-9:svn15878.2.0.1-36.fc34 3003/3201 Installing : texlive-chscite-9:svn28552.2.9999-36.fc34.noar 3004/3201 Installing : texlive-cmll-9:svn17964.0-36.fc34.noarch 3005/3201 Installing : texlive-codedoc-9:svn17630.0.3-36.fc34.noarch 3006/3201 Installing : texlive-colordoc-9:svn18270.0-36.fc34.noarch 3007/3201 Installing : texlive-colorwav-9:svn15878.1.0-36.fc34.noarch 3008/3201 Installing : texlive-commath-9:svn15878.0.3-36.fc34.noarch 3009/3201 Installing : texlive-complexity-9:svn45322-36.fc34.noarch 3010/3201 Installing : texlive-computational-complexity-9:svn44847-36 3011/3201 Installing : texlive-concmath-9:svn17219.0-36.fc34.noarch 3012/3201 Installing : texlive-coseoul-9:svn23862.1.1-36.fc34.noarch 3013/3201 Installing : texlive-coverpage-9:svn15878.1.01-36.fc34.noar 3014/3201 Installing : texlive-ctib-9:svn15878.0-36.fc34.noarch 3015/3201 Installing : texlive-pecha-9:svn15878.0.1-36.fc34.noarch 3016/3201 Installing : texlive-currvita-9:svn15878.0-36.fc34.noarch 3017/3201 Installing : texlive-dashundergaps-9:svn53756-36.fc34.noarc 3018/3201 Installing : texlive-dblfloatfix-9:svn28983.1.0a-36.fc34.no 3019/3201 Installing : texlive-decorule-9:svn23487.0.6-36.fc34.noarch 3020/3201 Installing : texlive-dirtytalk-9:svn20520.1.0-36.fc34.noarc 3021/3201 Installing : texlive-dotarrow-9:svn15878.0.01a-36.fc34.noar 3022/3201 Installing : texlive-dozenal-9:svn47680-36.fc34.noarch 3023/3201 Installing : texlive-dvdcoll-9:svn15878.v1.1a-36.fc34.noarc 3024/3201 Installing : texlive-eco-9:svn29349.1.3-36.fc34.noarch 3025/3201 Installing : texlive-economic-9:svn32639.0-36.fc34.noarch 3026/3201 Installing : texlive-egplot-9:svn20617.1.02a-36.fc34.noarch 3027/3201 Installing : texlive-elbioimp-9:svn21758.1.2-36.fc34.noarch 3028/3201 Installing : texlive-elpres-9:svn46429-36.fc34.noarch 3029/3201 Installing : texlive-emulateapj-9:svn28469.0-36.fc34.noarch 3030/3201 Installing : texlive-epsdice-9:svn15878.2.1-36.fc34.noarch 3031/3201 Installing : texlive-erewhon-9:svn55705-36.fc34.noarch 3032/3201 Installing : texlive-exam-n-9:svn42755-36.fc34.noarch 3033/3201 Installing : texlive-exam-9:svn46084-36.fc34.noarch 3034/3201 Installing : texlive-exercise-9:svn35417.1.6-36.fc34.noarch 3035/3201 Installing : texlive-extsizes-9:svn17263.1.4a-36.fc34.noarc 3036/3201 Installing : texlive-fbb-9:svn55728-36.fc34.noarch 3037/3201 Installing : texlive-fdsymbol-9:svn26722.0.8-36.fc34.noarch 3038/3201 Installing : texlive-figsize-9:svn18784.0.1-36.fc34.noarch 3039/3201 Installing : texlive-fithesis-9:svn54483-36.fc34.noarch 3040/3201 Installing : texlive-fixmetodonotes-9:svn30168.0.2.2-36.fc3 3041/3201 Installing : texlive-flagderiv-9:svn15878.0.10-36.fc34.noar 3042/3201 Installing : texlive-flashcards-9:svn19667.1.0.1-36.fc34.no 3043/3201 Installing : texlive-flashmovie-9:svn25768.0.4-36.fc34.noar 3044/3201 Installing : texlive-flipbook-9:svn25584.0.2-36.fc34.noarch 3045/3201 Installing : texlive-flowfram-9:svn35291.1.17-36.fc34.noarc 3046/3201 Installing : texlive-fonetika-9:svn21326.0-36.fc34.noarch 3047/3201 Installing : texlive-frcursive-9:svn24559.0-36.fc34.noarch 3048/3201 Installing : texlive-g-brief-9:svn50415-36.fc34.noarch 3049/3201 Installing : texlive-getmap-9:20200327-25.fc34.noarch 3050/3201 Installing : texlive-gnuplottex-9:svn54758-36.fc34.noarch 3051/3201 Installing : texlive-gu-9:svn15878.0-36.fc34.noarch 3052/3201 Installing : texlive-hepthesis-9:svn46054-36.fc34.noarch 3053/3201 Installing : texlive-heuristica-9:svn51362-36.fc34.noarch 3054/3201 Installing : texlive-hletter-9:svn30002.4.2-36.fc34.noarch 3055/3201 Installing : texlive-hvindex-9:svn46051-36.fc34.noarch 3056/3201 Installing : texlive-icsv-9:svn15878.0.2-36.fc34.noarch 3057/3201 Installing : texlive-interactiveworkbook-9:svn15878.0-36.fc 3058/3201 Installing : texlive-invoice-9:svn48359-36.fc34.noarch 3059/3201 Installing : texlive-kerntest-9:svn15878.1.32-36.fc34.noarc 3060/3201 Installing : texlive-kluwer-9:svn54074-36.fc34.noarch 3061/3201 Installing : texlive-koma-moderncvclassic-9:svn25025.v0.5-3 3062/3201 Installing : texlive-labbook-9:svn15878.0-36.fc34.noarch 3063/3201 Installing : texlive-listofsymbols-9:svn16134.0.2-36.fc34.n 3064/3201 Installing : texlive-logicproof-9:svn33254.0-36.fc34.noarch 3065/3201 Installing : texlive-lpic-9:svn20843.0.8-36.fc34.noarch 3066/3201 Installing : texlive-luainputenc-9:svn20491.0.973-36.fc34.n 3067/3201 Installing : texlive-chextras-9:svn27118.1.01-36.fc34.noarc 3068/3201 Installing : texlive-mailmerge-9:svn15878.1.0-36.fc34.noarc 3069/3201 Installing : texlive-manuscript-9:svn36110.1.7-36.fc34.noar 3070/3201 Installing : texlive-mathexam-9:svn15878.1.00-36.fc34.noarc 3071/3201 Installing : texlive-mdsymbol-9:svn28399.0.5-36.fc34.noarch 3072/3201 Installing : texlive-meetingmins-9:svn31878.1.6-36.fc34.noa 3073/3201 Installing : texlive-miniplot-9:svn17483.0-36.fc34.noarch 3074/3201 Installing : texlive-msg-9:svn49578-36.fc34.noarch 3075/3201 Installing : texlive-multicap-9:svn15878.0-36.fc34.noarch 3076/3201 Installing : texlive-nature-9:svn21819.1.0-36.fc34.noarch 3077/3201 Installing : texlive-ncclatex-9:svn15878.1.5-36.fc34.noarch 3078/3201 Installing : texlive-nddiss-9:svn45107-36.fc34.noarch 3079/3201 Installing : texlive-newlfm-9:svn15878.9.4-36.fc34.noarch 3080/3201 Installing : texlive-nih-9:svn15878.0-36.fc34.noarch 3081/3201 Installing : texlive-nomentbl-9:svn16549.0.4-36.fc34.noarch 3082/3201 Installing : texlive-nonfloat-9:svn17598.1.0-36.fc34.noarch 3083/3201 Installing : texlive-nrc-9:svn29027.2.01a-36.fc34.noarch 3084/3201 Installing : texlive-nuc-9:svn22256.0.1-36.fc34.noarch 3085/3201 Installing : texlive-ocr-latex-9:svn15878.0-36.fc34.noarch 3086/3201 Installing : texlive-outlines-9:svn25192.1.1-36.fc34.noarch 3087/3201 Installing : texlive-pauldoc-9:svn16005.0.5-36.fc34.noarch 3088/3201 Installing : texlive-pdfscreen-9:svn42428-36.fc34.noarch 3089/3201 Installing : texlive-pdfslide-9:svn15878.0-36.fc34.noarch 3090/3201 Installing : texlive-philex-9:svn36396.1.3-36.fc34.noarch 3091/3201 Installing : texlive-philosophersimprint-9:svn41788-36.fc34 3092/3201 Installing : texlive-plates-9:svn15878.0.1-36.fc34.noarch 3093/3201 Installing : texlive-powerdot-fuberlin-9:svn52922-36.fc34.n 3094/3201 Installing : texlive-pracjourn-9:svn15878.0.4n-36.fc34.noar 3095/3201 Installing : texlive-probsoln-9:svn44783-36.fc34.noarch 3096/3201 Installing : texlive-pseudocode-9:svn54080-36.fc34.noarch 3097/3201 Installing : texlive-qcm-9:svn15878.2.1-36.fc34.noarch 3098/3201 Installing : texlive-realhats-9:svn52865-36.fc34.noarch 3099/3201 Installing : texlive-recipecard-9:svn15878.2.0-36.fc34.noar 3100/3201 Installing : texlive-refenums-9:svn44131-36.fc34.noarch 3101/3201 Installing : texlive-register-9:svn54485-36.fc34.noarch 3102/3201 Installing : texlive-repeatindex-9:svn24305.0.01-36.fc34.no 3103/3201 Installing : texlive-revtex-9:svn49751-36.fc34.noarch 3104/3201 Installing : texlive-rotpages-9:svn18740.3.0-36.fc34.noarch 3105/3201 Installing : texlive-scalebar-9:svn15878.1.0-36.fc34.noarch 3106/3201 Installing : texlive-sciposter-9:svn15878.1.18-36.fc34.noar 3107/3201 Installing : texlive-sectionbox-9:svn37749.1.01-36.fc34.noa 3108/3201 Installing : texlive-sffms-9:svn15878.2.0-36.fc34.noarch 3109/3201 Installing : texlive-shipunov-9:svn52334-36.fc34.noarch 3110/3201 Installing : texlive-sidecap-9:svn15878.1.6f-36.fc34.noarch 3111/3201 Installing : texlive-simplecd-9:svn29260.1.4-36.fc34.noarch 3112/3201 Installing : texlive-songbook-9:svn18136.4.5-36.fc34.noarch 3113/3201 Installing : texlive-epigraph-keys-9:svn54851-36.fc34.noarc 3114/3201 Installing : texlive-spie-9:svn15878.3.25-36.fc34.noarch 3115/3201 Installing : texlive-srcltx-9:svn15878.1.6-36.fc34.noarch 3116/3201 Installing : texlive-statex-9:svn20306.1.6-36.fc34.noarch 3117/3201 Installing : texlive-statex2-9:svn23961.2.1-36.fc34.noarch 3118/3201 Installing : texlive-stellenbosch-9:svn36696.11a-36.fc34.no 3119/3201 Installing : texlive-stix-9:svn54512-36.fc34.noarch 3120/3201 Installing : texlive-syllogism-9:svn15878.1.2-36.fc34.noarc 3121/3201 Installing : texlive-sympytexpackage-9:svn45818-36.fc34.noa 3122/3201 Installing : texlive-syntrace-9:svn15878.1.1-36.fc34.noarch 3123/3201 Installing : texlive-termcal-9:svn22514.1.8-36.fc34.noarch 3124/3201 Installing : texlive-texlogos-9:svn19083.1.3.1-36.fc34.noar 3125/3201 Installing : texlive-ticket-9:svn42280-36.fc34.noarch 3126/3201 Installing : texlive-tokenizer-9:svn15878.1.1.0-36.fc34.noa 3127/3201 Installing : texlive-turnstile-9:svn15878.1.0-36.fc34.noarc 3128/3201 Installing : texlive-typehtml-9:svn17134.0-36.fc34.noarch 3129/3201 Installing : texlive-collection-fontsrecommended-9:svn54074 3130/3201 Installing : texlive-collection-latexrecommended-9:svn54074 3131/3201 Installing : texlive-collection-latex-9:svn54074-36.fc34.no 3132/3201 Installing : texlive-collection-basic-9:svn54186-36.fc34.no 3133/3201 Installing : texlive-dvipdfmx-9:20200327-25.fc34.x86_64 3134/3201 Installing : texlive-xetex-9:20200327-25.fc34.x86_64 3135/3201 Installing : R-core-4.0.3-1.fc34.x86_64 3136/3201 Running scriptlet: R-core-4.0.3-1.fc34.x86_64 3136/3201 Installing : R-mime-0.9-4.fc33.x86_64 3137/3201 Installing : R-evaluate-0.14-6.fc33.noarch 3138/3201 Installing : R-stringi-1.5.3-1.fc34.x86_64 3139/3201 Installing : R-yaml-2.2.1-4.fc33.x86_64 3140/3201 Installing : R-highr-0.8-6.fc33.noarch 3141/3201 Installing : R-magrittr-1.5-11.fc33.noarch 3142/3201 Installing : R-glue-1.4.2-1.fc34.x86_64 3143/3201 Installing : R-stringr-1.4.0-7.fc33.noarch 3144/3201 Installing : R-markdown-1.1-5.fc33.x86_64 3145/3201 Installing : R-xfun-0.20-1.fc34.x86_64 3146/3201 Installing : R-knitr-1.30-1.fc34.noarch 3147/3201 Installing : texlive-includernw-9:svn47557-36.fc34.noarch 3148/3201 Installing : texlive-collection-pictures-9:svn54812-36.fc34 3149/3201 Installing : tex-preview-12.1-9.fc33.noarch 3150/3201 Running scriptlet: tex-preview-12.1-9.fc33.noarch 3150/3201 Installing : texlive-ptex-9:20200327-25.fc34.x86_64 3151/3201 Installing : texlive-platex-9:svn54758-36.fc34.noarch 3152/3201 Installing : texlive-platex-tools-9:svn52049-36.fc34.noarch 3153/3201 Installing : texlive-uplatex-9:svn54276-36.fc34.noarch 3154/3201 Installing : texlive-ctex-9:svn54841-36.fc34.noarch 3155/3201 Installing : texlive-resumecls-9:svn54815-36.fc34.noarch 3156/3201 Installing : texlive-uassign-9:svn38459-36.fc34.noarch 3157/3201 Installing : texlive-ucdavisthesis-9:svn40772-36.fc34.noarc 3158/3201 Installing : texlive-umthesis-9:svn15878.0.2-36.fc34.noarch 3159/3201 Installing : texlive-varsfromjobname-9:svn44154-36.fc34.noa 3160/3201 Installing : texlive-wallpaper-9:svn15878.1.10-36.fc34.noar 3161/3201 Installing : texlive-williams-9:svn15878.0-36.fc34.noarch 3162/3201 Installing : texlive-xyling-9:svn15878.1.1-36.fc34.noarch 3163/3201 Installing : texlive-sdrt-9:svn15878.1.0-36.fc34.noarch 3164/3201 Installing : texlive-york-thesis-9:svn23348.3.6-36.fc34.noa 3165/3201 Installing : texlive-yplan-9:20200327-25.fc34.noarch 3166/3201 Installing : poppler-glib-21.01.0-1.fc34.x86_64 3167/3201 Installing : orc-0.4.31-3.fc34.x86_64 3168/3201 Installing : gstreamer1-plugins-base-1.18.2-1.fc34.x86_64 3169/3201 Installing : libcbor-0.7.0-2.fc34.x86_64 3170/3201 Installing : libfido2-1.6.0-1.fc34.x86_64 3171/3201 Installing : device-mapper-1.02.173-1.fc33.x86_64 3172/3201 Installing : device-mapper-libs-1.02.173-1.fc33.x86_64 3173/3201 Installing : cryptsetup-libs-2.3.4-1.fc34.x86_64 3174/3201 Installing : dbus-1:1.12.20-2.fc33.x86_64 3175/3201 Installing : systemd-pam-247.2-1.fc34.x86_64 3176/3201 Running scriptlet: systemd-247.2-1.fc34.x86_64 3177/3201 Installing : systemd-247.2-1.fc34.x86_64 3177/3201 Running scriptlet: systemd-247.2-1.fc34.x86_64 3177/3201 Installing : dbus-common-1:1.12.20-2.fc33.noarch 3178/3201 Running scriptlet: dbus-common-1:1.12.20-2.fc33.noarch 3178/3201 Created symlink /etc/systemd/system/sockets.target.wants/dbus.socket → /usr/lib/systemd/system/dbus.socket. Created symlink /etc/systemd/user/sockets.target.wants/dbus.socket → /usr/lib/systemd/user/dbus.socket. Running scriptlet: dbus-broker-26-1.fc34.x86_64 3179/3201 Installing : dbus-broker-26-1.fc34.x86_64 3179/3201 Running scriptlet: dbus-broker-26-1.fc34.x86_64 3179/3201 Created symlink /etc/systemd/system/dbus.service → /usr/lib/systemd/system/dbus-broker.service. Created symlink /etc/systemd/user/dbus.service → /usr/lib/systemd/user/dbus-broker.service. Installing : at-spi2-core-2.38.0-2.fc34.x86_64 3180/3201 Installing : at-spi2-atk-2.38.0-1.fc34.x86_64 3181/3201 Installing : gtk3-3.24.24-2.fc34.x86_64 3182/3201 Installing : xdg-dbus-proxy-0.1.2-3.fc33.x86_64 3183/3201 Installing : webkit2gtk3-2.31.1-3.fc34.x86_64 3184/3201 Installing : pdfpc-4.5.0-2.fc34.x86_64 3185/3201 Installing : texlive-pdfpc-movie-9:svn48245-36.fc34.noarch 3186/3201 Installing : texlive-collection-latexextra-9:svn54851-36.fc 3187/3201 Installing : texlive-collection-publishers-9:svn54407-36.fc 3188/3201 Installing : texlive-collection-mathscience-9:svn54754-36.f 3189/3201 Installing : texlive-collection-fontsextra-9:svn54138-36.fc 3190/3201 Installing : texlive-collection-bibtexextra-9:svn54875-36.f 3191/3201 Installing : abc-1.01-28.git20201126.fc34.x86_64 3192/3201 Installing : annobin-9.58-1.fc34.x86_64 3193/3201 Installing : gcc-c++-11.0.0-0.17.fc34.x86_64 3194/3201 Installing : readline-devel-8.1-1.fc34.x86_64 3195/3201 Installing : tcl-devel-1:8.6.10-5.fc34.x86_64 3196/3201 Installing : bison-3.7.4-1.fc34.x86_64 3197/3201 Installing : flex-2.6.4-6.fc34.x86_64 3198/3201 Installing : txt2man-1.6.0-9.fc33.noarch 3199/3201 Installing : libffi-devel-3.1-27.fc34.x86_64 3200/3201 Installing : iverilog-11.0-1.fc34.x86_64 3201/3201 Running scriptlet: texlive-base-9:20200327-25.fc34.x86_64 3201/3201 Running scriptlet: fontconfig-2.13.93-4.fc34.x86_64 3201/3201 Running scriptlet: urw-base35-bookman-fonts-20200910-2.fc34.noarc 3201/3201 Running scriptlet: urw-base35-c059-fonts-20200910-2.fc34.noarch 3201/3201 Running scriptlet: urw-base35-d050000l-fonts-20200910-2.fc34.noar 3201/3201 Running scriptlet: urw-base35-gothic-fonts-20200910-2.fc34.noarch 3201/3201 Running scriptlet: urw-base35-nimbus-mono-ps-fonts-20200910-2.fc3 3201/3201 Running scriptlet: urw-base35-nimbus-roman-fonts-20200910-2.fc34. 3201/3201 Running scriptlet: urw-base35-nimbus-sans-fonts-20200910-2.fc34.n 3201/3201 Running scriptlet: urw-base35-p052-fonts-20200910-2.fc34.noarch 3201/3201 Running scriptlet: urw-base35-standard-symbols-ps-fonts-20200910- 3201/3201 Running scriptlet: urw-base35-z003-fonts-20200910-2.fc34.noarch 3201/3201 Running scriptlet: crypto-policies-scripts-20210127-2.gitb21c811. 3201/3201 Running scriptlet: nss-3.60.1-3.fc34.x86_64 3201/3201 Running scriptlet: R-core-4.0.3-1.fc34.x86_64 3201/3201 Running scriptlet: iverilog-11.0-1.fc34.x86_64 3201/3201 Verifying : at-spi2-core-2.38.0-2.fc34.x86_64 1/3201 Verifying : atk-2.36.0-2.fc34.x86_64 2/3201 Verifying : colord-libs-1.4.5-1.fc34.x86_64 3/3201 Verifying : gdk-pixbuf2-2.40.0-3.fc34.x86_64 4/3201 Verifying : gdk-pixbuf2-modules-2.40.0-3.fc34.x86_64 5/3201 Verifying : glib2-2.67.1-3.fc34.x86_64 6/3201 Verifying : graphene-1.10.2-5.fc34.x86_64 7/3201 Verifying : gtk-update-icon-cache-3.24.24-2.fc34.x86_64 8/3201 Verifying : gtk2-2.24.33-3.fc34.x86_64 9/3201 Verifying : gtk3-3.24.24-2.fc34.x86_64 10/3201 Verifying : harfbuzz-2.7.4-1.fc34.x86_64 11/3201 Verifying : harfbuzz-icu-2.7.4-1.fc34.x86_64 12/3201 Verifying : json-glib-1.6.0-1.fc34.x86_64 13/3201 Verifying : libcbor-0.7.0-2.fc34.x86_64 14/3201 Verifying : libgusb-0.3.5-1.fc34.x86_64 15/3201 Verifying : libnotify-0.7.9-3.fc34.x86_64 16/3201 Verifying : libraqm-0.7.0-6.fc34.x86_64 17/3201 Verifying : libsecret-0.20.4-1.fc34.x86_64 18/3201 Verifying : libsoup-2.72.0-3.fc34.x86_64 19/3201 Verifying : orc-0.4.31-3.fc34.x86_64 20/3201 Verifying : pango-1.48.1-1.fc34.x86_64 21/3201 Verifying : poppler-21.01.0-1.fc34.x86_64 22/3201 Verifying : poppler-glib-21.01.0-1.fc34.x86_64 23/3201 Verifying : python-pip-wheel-21.0-1.fc34.noarch 24/3201 Verifying : python3-pygments-2.7.4-1.fc34.noarch 25/3201 Verifying : rest-0.8.1-8.fc34.x86_64 26/3201 Verifying : ImageMagick-1:6.9.11.27-2.fc34.x86_64 27/3201 Verifying : ImageMagick-libs-1:6.9.11.27-2.fc34.x86_64 28/3201 Verifying : LibRaw-0.20.2-1.fc34.x86_64 29/3201 Verifying : R-core-4.0.3-1.fc34.x86_64 30/3201 Verifying : R-evaluate-0.14-6.fc33.noarch 31/3201 Verifying : R-glue-1.4.2-1.fc34.x86_64 32/3201 Verifying : R-highr-0.8-6.fc33.noarch 33/3201 Verifying : R-knitr-1.30-1.fc34.noarch 34/3201 Verifying : R-magrittr-1.5-11.fc33.noarch 35/3201 Verifying : R-markdown-1.1-5.fc33.x86_64 36/3201 Verifying : R-mime-0.9-4.fc33.x86_64 37/3201 Verifying : R-stringi-1.5.3-1.fc34.x86_64 38/3201 Verifying : R-stringr-1.4.0-7.fc33.noarch 39/3201 Verifying : R-xfun-0.20-1.fc34.x86_64 40/3201 Verifying : R-yaml-2.2.1-4.fc33.x86_64 41/3201 Verifying : abc-1.01-28.git20201126.fc34.x86_64 42/3201 Verifying : abc-libs-1.01-28.git20201126.fc34.x86_64 43/3201 Verifying : acl-2.2.53-9.fc34.x86_64 44/3201 Verifying : adobe-mappings-cmap-20171205-9.fc33.noarch 45/3201 Verifying : adobe-mappings-cmap-deprecated-20171205-9.fc33 46/3201 Verifying : adobe-mappings-pdf-20180407-7.fc33.noarch 47/3201 Verifying : adwaita-cursor-theme-3.38.0-1.fc34.noarch 48/3201 Verifying : adwaita-icon-theme-3.38.0-1.fc34.noarch 49/3201 Verifying : alsa-lib-1.2.4-4.fc34.x86_64 50/3201 Verifying : annobin-9.58-1.fc34.x86_64 51/3201 Verifying : at-spi2-atk-2.38.0-1.fc34.x86_64 52/3201 Verifying : avahi-libs-0.8-6.fc34.x86_64 53/3201 Verifying : biber-2.14-4.fc33.noarch 54/3201 Verifying : bison-3.7.4-1.fc34.x86_64 55/3201 Verifying : bubblewrap-0.4.1-2.fc33.x86_64 56/3201 Verifying : cairo-1.17.4-1.fc34.x86_64 57/3201 Verifying : cairo-gobject-1.17.4-1.fc34.x86_64 58/3201 Verifying : cdparanoia-libs-10.2-35.fc34.x86_64 59/3201 Verifying : cpp-11.0.0-0.17.fc34.x86_64 60/3201 Verifying : crypto-policies-scripts-20210127-2.gitb21c811. 61/3201 Verifying : cryptsetup-libs-2.3.4-1.fc34.x86_64 62/3201 Verifying : cups-libs-1:2.3.3op1-3.fc34.x86_64 63/3201 Verifying : dbus-1:1.12.20-2.fc33.x86_64 64/3201 Verifying : dbus-broker-26-1.fc34.x86_64 65/3201 Verifying : dbus-common-1:1.12.20-2.fc33.noarch 66/3201 Verifying : dbus-libs-1:1.12.20-2.fc33.x86_64 67/3201 Verifying : dejavu-sans-fonts-2.37-15.fc34.noarch 68/3201 Verifying : desktop-file-utils-0.26-2.fc33.x86_64 69/3201 Verifying : device-mapper-1.02.173-1.fc33.x86_64 70/3201 Verifying : device-mapper-libs-1.02.173-1.fc33.x86_64 71/3201 Verifying : emacs-filesystem-1:27.1-2.fc34.noarch 72/3201 Verifying : enchant2-2.2.15-1.fc34.x86_64 73/3201 Verifying : fftw-libs-double-3.3.8-9.fc34.x86_64 74/3201 Verifying : flex-2.6.4-6.fc34.x86_64 75/3201 Verifying : flexiblas-3.0.4-2.fc34.x86_64 76/3201 Verifying : flexiblas-netlib-3.0.4-2.fc34.x86_64 77/3201 Verifying : flexiblas-openblas-openmp-3.0.4-2.fc34.x86_64 78/3201 Verifying : fontawesome-fonts-1:4.7.0-10.fc33.noarch 79/3201 Verifying : fontconfig-2.13.93-4.fc34.x86_64 80/3201 Verifying : fonts-filesystem-1:2.0.5-4.fc33.noarch 81/3201 Verifying : freetype-2.10.4-1.fc34.x86_64 82/3201 Verifying : fribidi-1.0.10-3.fc33.x86_64 83/3201 Verifying : gcc-11.0.0-0.17.fc34.x86_64 84/3201 Verifying : gcc-c++-11.0.0-0.17.fc34.x86_64 85/3201 Verifying : gd-2.3.0-3.fc33.x86_64 86/3201 Verifying : gdbm-libs-1:1.19-1.fc34.x86_64 87/3201 Verifying : ghostscript-9.53.3-4.fc34.x86_64 88/3201 Verifying : glib-networking-2.66.0-1.fc34.x86_64 89/3201 Verifying : glibc-devel-2.32.9000-26.fc34.x86_64 90/3201 Verifying : glibc-headers-x86-2.32.9000-26.fc34.noarch 91/3201 Verifying : gnutls-3.6.15-2.fc34.x86_64 92/3201 Verifying : google-droid-sans-fonts-20200215-8.fc34.noarch 93/3201 Verifying : graphite2-1.3.14-6.fc34.x86_64 94/3201 Verifying : graphviz-2.44.0-16.fc34.x86_64 95/3201 Verifying : groff-base-1.22.4-4.fc34.x86_64 96/3201 Verifying : gsettings-desktop-schemas-40~alpha-1.fc34.x86_ 97/3201 Verifying : gstreamer1-1.18.2-1.fc34.x86_64 98/3201 Verifying : gstreamer1-plugins-base-1.18.2-1.fc34.x86_64 99/3201 Verifying : gts-0.7.6-38.20121130.fc33.x86_64 100/3201 Verifying : hicolor-icon-theme-0.17-9.fc33.noarch 101/3201 Verifying : hunspell-1.7.0-7.fc33.x86_64 102/3201 Verifying : hunspell-en-US-0.20140811.1-17.fc33.noarch 103/3201 Verifying : hwdata-0.343-1.fc34.noarch 104/3201 Verifying : hyphen-2.8.8-14.fc33.x86_64 105/3201 Verifying : iptables-libs-1.8.7-3.fc34.x86_64 106/3201 Verifying : isl-0.16.1-12.fc33.x86_64 107/3201 Verifying : iso-codes-4.5.0-2.fc33.noarch 108/3201 Verifying : iverilog-11.0-1.fc34.x86_64 109/3201 Verifying : jasper-libs-2.0.24-3.fc34.x86_64 110/3201 Verifying : jbig2dec-libs-0.19-3.fc34.x86_64 111/3201 Verifying : jbigkit-libs-2.1-20.fc34.x86_64 112/3201 Verifying : json-c-0.14-7.fc33.x86_64 113/3201 Verifying : kernel-headers-5.11.0-0.rc5.git0.1.fc34.x86_64 114/3201 Verifying : kmod-libs-28-1.fc34.x86_64 115/3201 Verifying : langpacks-core-font-en-3.0-6.fc34.noarch 116/3201 Verifying : lasi-1.1.3-4.fc33.x86_64 117/3201 Verifying : lcms2-2.11-2.fc33.x86_64 118/3201 Verifying : less-575-1.fc34.x86_64 119/3201 Verifying : libICE-1.0.10-5.fc34.x86_64 120/3201 Verifying : libRmath-4.0.3-1.fc34.x86_64 121/3201 Verifying : libSM-1.2.3-7.fc34.x86_64 122/3201 Verifying : libX11-1.7.0-2.fc34.x86_64 123/3201 Verifying : libX11-common-1.7.0-2.fc34.noarch 124/3201 Verifying : libX11-xcb-1.7.0-2.fc34.x86_64 125/3201 Verifying : libXau-1.0.9-5.fc34.x86_64 126/3201 Verifying : libXaw-1.0.13-16.fc34.x86_64 127/3201 Verifying : libXcomposite-0.4.5-4.fc34.x86_64 128/3201 Verifying : libXcursor-1.2.0-4.fc34.x86_64 129/3201 Verifying : libXdamage-1.1.5-4.fc34.x86_64 130/3201 Verifying : libXext-1.3.4-5.fc34.x86_64 131/3201 Verifying : libXfixes-5.0.3-13.fc34.x86_64 132/3201 Verifying : libXft-2.3.3-5.fc34.x86_64 133/3201 Verifying : libXi-1.7.10-5.fc34.x86_64 134/3201 Verifying : libXinerama-1.1.4-7.fc34.x86_64 135/3201 Verifying : libXmu-1.1.3-5.fc34.x86_64 136/3201 Verifying : libXpm-3.5.13-4.fc34.x86_64 137/3201 Verifying : libXrandr-1.5.2-5.fc34.x86_64 138/3201 Verifying : libXrender-0.9.10-13.fc34.x86_64 139/3201 Verifying : libXt-1.2.0-3.fc34.x86_64 140/3201 Verifying : libXtst-1.2.3-13.fc34.x86_64 141/3201 Verifying : libXv-1.0.11-13.fc34.x86_64 142/3201 Verifying : libXxf86vm-1.1.4-15.fc34.x86_64 143/3201 Verifying : libargon2-20171227-5.fc33.x86_64 144/3201 Verifying : libdatrie-0.2.9-13.fc34.x86_64 145/3201 Verifying : libdrm-2.4.103-1.fc34.x86_64 146/3201 Verifying : libedit-3.1-33.20191231cvs.fc33.x86_64 147/3201 Verifying : libepoxy-1.5.5-1.fc34.x86_64 148/3201 Verifying : libevent-2.1.12-2.fc34.x86_64 149/3201 Verifying : libffi-devel-3.1-27.fc34.x86_64 150/3201 Verifying : libfido2-1.6.0-1.fc34.x86_64 151/3201 Verifying : libfontenc-1.1.3-14.fc34.x86_64 152/3201 Verifying : libgee-0.20.3-2.fc33.x86_64 153/3201 Verifying : libgfortran-11.0.0-0.17.fc34.x86_64 154/3201 Verifying : libglvnd-1:1.3.2-2.fc33.x86_64 155/3201 Verifying : libglvnd-egl-1:1.3.2-2.fc33.x86_64 156/3201 Verifying : libglvnd-glx-1:1.3.2-2.fc33.x86_64 157/3201 Verifying : libgs-9.53.3-4.fc34.x86_64 158/3201 Verifying : libibverbs-33.0-3.fc34.x86_64 159/3201 Verifying : libicu-67.1-4.fc33.x86_64 160/3201 Verifying : libijs-0.35-12.fc33.x86_64 161/3201 Verifying : libimagequant-2.14.0-1.fc34.x86_64 162/3201 Verifying : libjpeg-turbo-2.0.90-1.fc34.x86_64 163/3201 Verifying : liblqr-1-0.4.2-15.fc33.x86_64 164/3201 Verifying : libmarkdown-2.2.4-5.fc33.x86_64 165/3201 Verifying : libmnl-1.0.4-12.fc33.x86_64 166/3201 Verifying : libmpc-1.2.1-1.fc34.x86_64 167/3201 Verifying : libnetfilter_conntrack-1.0.8-1.fc34.x86_64 168/3201 Verifying : libnfnetlink-1.0.1-18.fc33.x86_64 169/3201 Verifying : libnl3-3.5.0-5.fc33.x86_64 170/3201 Verifying : libogg-2:1.3.4-3.fc33.x86_64 171/3201 Verifying : libpaper-1.1.28-1.fc34.x86_64 172/3201 Verifying : libpcap-14:1.9.1-6.fc34.x86_64 173/3201 Verifying : libpciaccess-0.16-3.fc33.x86_64 174/3201 Verifying : libpng-2:1.6.37-6.fc34.x86_64 175/3201 Verifying : libproxy-0.4.15-29.fc34.x86_64 176/3201 Verifying : libquadmath-11.0.0-0.17.fc34.x86_64 177/3201 Verifying : librsvg2-2.50.3-1.fc34.x86_64 178/3201 Verifying : libseccomp-2.5.0-3.fc33.x86_64 179/3201 Verifying : libstdc++-devel-11.0.0-0.17.fc34.x86_64 180/3201 Verifying : libthai-0.1.28-5.fc33.x86_64 181/3201 Verifying : libtheora-1:1.1.1-28.fc33.x86_64 182/3201 Verifying : libtiff-4.1.0-6.fc34.x86_64 183/3201 Verifying : libunwind-1.4.0-4.fc34.x86_64 184/3201 Verifying : libusbx-1.0.23-2.fc33.x86_64 185/3201 Verifying : libvisual-1:0.4.0-31.fc34.x86_64 186/3201 Verifying : libvorbis-1:1.3.7-2.fc33.x86_64 187/3201 Verifying : libwayland-client-1.19.0-1.fc34.x86_64 188/3201 Verifying : libwayland-cursor-1.19.0-1.fc34.x86_64 189/3201 Verifying : libwayland-egl-1.19.0-1.fc34.x86_64 190/3201 Verifying : libwayland-server-1.19.0-1.fc34.x86_64 191/3201 Verifying : libwebp-1.1.0-6.fc34.x86_64 192/3201 Verifying : libwmf-lite-0.2.12-4.fc33.x86_64 193/3201 Verifying : libwpe-1.9.1-1.fc34.x86_64 194/3201 Verifying : libxcb-1.13.1-6.fc34.x86_64 195/3201 Verifying : libxcrypt-devel-4.4.17-1.fc34.x86_64 196/3201 Verifying : libxkbcommon-1.0.3-1.fc34.x86_64 197/3201 Verifying : libxshmfence-1.3-7.fc33.x86_64 198/3201 Verifying : libxslt-1.1.34-4.fc34.x86_64 199/3201 Verifying : llvm-libs-11.1.0-0.2.rc2.fc34.x86_64 200/3201 Verifying : m4-1.4.18-16.fc34.x86_64 201/3201 Verifying : mailcap-2.1.49-2.fc33.noarch 202/3201 Verifying : mesa-libEGL-20.3.3-5.fc34.x86_64 203/3201 Verifying : mesa-libGL-20.3.3-5.fc34.x86_64 204/3201 Verifying : mesa-libgbm-20.3.3-5.fc34.x86_64 205/3201 Verifying : mesa-libglapi-20.3.3-5.fc34.x86_64 206/3201 Verifying : ncurses-6.2-3.20200222.fc33.x86_64 207/3201 Verifying : ncurses-c++-libs-6.2-3.20200222.fc33.x86_64 208/3201 Verifying : ncurses-devel-6.2-3.20200222.fc33.x86_64 209/3201 Verifying : netpbm-10.93.00-1.fc34.x86_64 210/3201 Verifying : nettle-3.7-1.fc34.x86_64 211/3201 Verifying : nspr-4.29.0-11.fc34.x86_64 212/3201 Verifying : nss-3.60.1-3.fc34.x86_64 213/3201 Verifying : nss-softokn-3.60.1-3.fc34.x86_64 214/3201 Verifying : nss-softokn-freebl-3.60.1-3.fc34.x86_64 215/3201 Verifying : nss-sysinit-3.60.1-3.fc34.x86_64 216/3201 Verifying : nss-util-3.60.1-3.fc34.x86_64 217/3201 Verifying : oldstandard-sfd-fonts-2.0.2-28.fc33.noarch 218/3201 Verifying : openblas-0.3.12-1.fc34.x86_64 219/3201 Verifying : openblas-openmp-0.3.12-1.fc34.x86_64 220/3201 Verifying : openexr-libs-2.5.4-3.fc34.x86_64 221/3201 Verifying : openjpeg2-2.4.0-1.fc34.x86_64 222/3201 Verifying : opus-1.3.1-7.fc34.x86_64 223/3201 Verifying : pdfpc-4.5.0-2.fc34.x86_64 224/3201 Verifying : perl-Authen-SASL-2.16-22.fc33.noarch 225/3201 Verifying : perl-AutoLoader-5.74-469.fc34.noarch 226/3201 Verifying : perl-B-1.80-469.fc34.x86_64 227/3201 Verifying : perl-B-Hooks-EndOfScope-0.24-12.fc33.noarch 228/3201 Verifying : perl-BibTeX-Parser-1.02-10.fc34.noarch 229/3201 Verifying : perl-Bit-Vector-7.4-21.fc33.x86_64 230/3201 Verifying : perl-Business-ISBN-3.006-1.fc34.noarch 231/3201 Verifying : perl-Business-ISBN-Data-20210112.001-1.fc34.no 232/3201 Verifying : perl-Business-ISMN-1.201-7.fc33.noarch 233/3201 Verifying : perl-Business-ISSN-1.004-3.fc33.noarch 234/3201 Verifying : perl-Carp-1.50-457.fc33.noarch 235/3201 Verifying : perl-Carp-Clan-6.08-5.fc33.noarch 236/3201 Verifying : perl-Class-Accessor-0.51-10.fc33.noarch 237/3201 Verifying : perl-Class-Data-Inheritable-0.08-36.fc33.noarc 238/3201 Verifying : perl-Class-Inspector-1.36-4.fc33.noarch 239/3201 Verifying : perl-Class-Method-Modifiers-2.13-5.fc33.noarch 240/3201 Verifying : perl-Class-Singleton-1.6-1.fc34.noarch 241/3201 Verifying : perl-Class-Struct-0.66-469.fc34.noarch 242/3201 Verifying : perl-Clone-0.45-3.fc33.x86_64 243/3201 Verifying : perl-Compress-Raw-Bzip2-2.100-1.fc34.x86_64 244/3201 Verifying : perl-Compress-Raw-Zlib-2.100-1.fc34.x86_64 245/3201 Verifying : perl-Convert-ASN1-0.27-21.fc34.noarch 246/3201 Verifying : perl-Crypt-RC4-2.02-26.fc33.noarch 247/3201 Verifying : perl-Data-Compare-1.27-4.fc33.noarch 248/3201 Verifying : perl-Data-Dump-1.23-15.fc33.noarch 249/3201 Verifying : perl-Data-Dumper-2.174-459.fc34.x86_64 250/3201 Verifying : perl-Data-OptList-0.110-14.fc33.noarch 251/3201 Verifying : perl-Data-Uniqid-0.12-23.fc33.noarch 252/3201 Verifying : perl-Date-Calc-6.4-17.fc33.noarch 253/3201 Verifying : perl-Date-ISO8601-0.005-10.fc33.noarch 254/3201 Verifying : perl-Date-Manip-6.83-2.fc34.noarch 255/3201 Verifying : perl-DateTime-2:1.54-1.fc34.x86_64 256/3201 Verifying : perl-DateTime-Calendar-Julian-0.103-1.fc34.noa 257/3201 Verifying : perl-DateTime-Format-Builder-0.8300-1.fc33.noa 258/3201 Verifying : perl-DateTime-Format-Strptime-1:1.78-1.fc34.no 259/3201 Verifying : perl-DateTime-Locale-1.31-1.fc34.noarch 260/3201 Verifying : perl-DateTime-TimeZone-2.47-1.fc34.noarch 261/3201 Verifying : perl-DateTime-TimeZone-SystemV-0.010-11.fc33.n 262/3201 Verifying : perl-DateTime-TimeZone-Tzfile-0.011-11.fc33.no 263/3201 Verifying : perl-Devel-CallChecker-0.008-11.fc33.x86_64 264/3201 Verifying : perl-Devel-Caller-2.06-23.fc33.x86_64 265/3201 Verifying : perl-Devel-GlobalDestruction-0.14-13.fc33.noar 266/3201 Verifying : perl-Devel-LexAlias-0.05-24.fc33.x86_64 267/3201 Verifying : perl-Devel-StackTrace-1:2.04-7.fc33.noarch 268/3201 Verifying : perl-Digest-1.19-1.fc34.noarch 269/3201 Verifying : perl-Digest-HMAC-1.03-26.fc33.noarch 270/3201 Verifying : perl-Digest-MD4-1.9-26.fc33.x86_64 271/3201 Verifying : perl-Digest-MD5-2.58-1.fc34.x86_64 272/3201 Verifying : perl-Digest-Perl-MD5-1.9-21.fc33.noarch 273/3201 Verifying : perl-Digest-SHA-1:6.02-458.fc33.x86_64 274/3201 Verifying : perl-Digest-SHA1-2.13-31.fc33.x86_64 275/3201 Verifying : perl-Dist-CheckConflicts-0.11-20.fc33.noarch 276/3201 Verifying : perl-DynaLoader-1.47-469.fc34.x86_64 277/3201 Verifying : perl-DynaLoader-Functions-0.003-10.fc33.noarch 278/3201 Verifying : perl-Email-Date-Format-1.005-17.fc33.noarch 279/3201 Verifying : perl-Encode-4:3.08-458.fc34.x86_64 280/3201 Verifying : perl-Encode-EUCJPASCII-0.03-31.fc33.x86_64 281/3201 Verifying : perl-Encode-HanExtra-0.23-31.fc33.x86_64 282/3201 Verifying : perl-Encode-JIS2K-0.03-16.fc33.x86_64 283/3201 Verifying : perl-Encode-Locale-1.05-18.fc33.noarch 284/3201 Verifying : perl-Errno-1.30-469.fc34.x86_64 285/3201 Verifying : perl-Eval-Closure-0.14-13.fc33.noarch 286/3201 Verifying : perl-Exception-Class-1.44-10.fc33.noarch 287/3201 Verifying : perl-Exporter-5.74-458.fc33.noarch 288/3201 Verifying : perl-Exporter-Tiny-1.002002-3.fc33.noarch 289/3201 Verifying : perl-ExtUtils-MM-Utils-2:7.58-1.fc34.noarch 290/3201 Verifying : perl-Fcntl-1.13-469.fc34.x86_64 291/3201 Verifying : perl-File-Basename-2.85-469.fc34.noarch 292/3201 Verifying : perl-File-Compare-1.100.600-469.fc34.noarch 293/3201 Verifying : perl-File-Copy-2.34-469.fc34.noarch 294/3201 Verifying : perl-File-Find-1.37-469.fc34.noarch 295/3201 Verifying : perl-File-Find-Rule-0.34-16.fc33.noarch 296/3201 Verifying : perl-File-Listing-6.14-1.fc34.noarch 297/3201 Verifying : perl-File-Path-2.18-1.fc34.noarch 298/3201 Verifying : perl-File-ShareDir-1.118-1.fc34.noarch 299/3201 Verifying : perl-File-Slurper-0.012-9.fc33.noarch 300/3201 Verifying : perl-File-Temp-1:0.231.100-1.fc34.noarch 301/3201 Verifying : perl-File-Which-1.23-7.fc33.noarch 302/3201 Verifying : perl-File-stat-1.09-469.fc34.noarch 303/3201 Verifying : perl-FileHandle-2.03-469.fc34.noarch 304/3201 Verifying : perl-Filter-2:1.60-1.fc33.x86_64 305/3201 Verifying : perl-FindBin-1.51-469.fc34.noarch 306/3201 Verifying : perl-GSSAPI-0.28-32.fc33.x86_64 307/3201 Verifying : perl-Getopt-Long-1:2.52-1.fc34.noarch 308/3201 Verifying : perl-Getopt-Std-1.12-469.fc34.noarch 309/3201 Verifying : perl-HTML-Parser-3.75-2.fc34.x86_64 310/3201 Verifying : perl-HTML-Tagset-3.20-44.fc33.noarch 311/3201 Verifying : perl-HTTP-Cookies-6.10-1.fc34.noarch 312/3201 Verifying : perl-HTTP-Date-6.05-4.fc33.noarch 313/3201 Verifying : perl-HTTP-Message-6.27-1.fc34.noarch 314/3201 Verifying : perl-HTTP-Negotiate-6.01-27.fc33.noarch 315/3201 Verifying : perl-HTTP-Tiny-0.076-457.fc33.noarch 316/3201 Verifying : perl-Hash-Util-FieldHash-1.20-469.fc34.x86_64 317/3201 Verifying : perl-I18N-LangTags-0.44-469.fc34.noarch 318/3201 Verifying : perl-I18N-Langinfo-0.19-469.fc34.x86_64 319/3201 Verifying : perl-IO-1.43-469.fc34.x86_64 320/3201 Verifying : perl-IO-Compress-2.100-1.fc34.noarch 321/3201 Verifying : perl-IO-HTML-1.004-1.fc34.noarch 322/3201 Verifying : perl-IO-Socket-IP-0.41-2.fc34.noarch 323/3201 Verifying : perl-IO-Socket-SSL-2.069-1.fc34.noarch 324/3201 Verifying : perl-IO-String-1.08-40.fc33.noarch 325/3201 Verifying : perl-IO-stringy-2.113-4.fc33.noarch 326/3201 Verifying : perl-IPC-Cmd-2:1.04-458.fc33.noarch 327/3201 Verifying : perl-IPC-Open3-1.21-469.fc34.noarch 328/3201 Verifying : perl-IPC-Run3-0.048-20.fc33.noarch 329/3201 Verifying : perl-IPC-SysV-2.09-1.fc34.x86_64 330/3201 Verifying : perl-JSON-4.03-1.fc34.noarch 331/3201 Verifying : perl-Jcode-2.07-33.fc33.noarch 332/3201 Verifying : perl-LDAP-1:0.68-1.fc34.noarch 333/3201 Verifying : perl-LWP-MediaTypes-6.04-6.fc33.noarch 334/3201 Verifying : perl-LWP-Protocol-https-6.10-1.fc34.noarch 335/3201 Verifying : perl-LaTeX-ToUnicode-0.11-1.fc34.noarch 336/3201 Verifying : perl-List-AllUtils-0.18-1.fc34.noarch 337/3201 Verifying : perl-List-MoreUtils-0.430-1.fc34.noarch 338/3201 Verifying : perl-List-MoreUtils-XS-0.430-1.fc34.x86_64 339/3201 Verifying : perl-List-SomeUtils-0.58-4.fc33.noarch 340/3201 Verifying : perl-List-UtilsBy-0.11-10.fc33.noarch 341/3201 Verifying : perl-Locale-Maketext-1.29-458.fc33.noarch 342/3201 Verifying : perl-Locale-Maketext-Simple-1:0.21-469.fc34.no 343/3201 Verifying : perl-Log-Dispatch-2.70-2.fc34.noarch 344/3201 Verifying : perl-Log-Dispatch-FileRotate-1.36-7.fc33.noarc 345/3201 Verifying : perl-Log-Log4perl-1.53-1.fc34.noarch 346/3201 Verifying : perl-MIME-Base64-3.16-1.fc34.x86_64 347/3201 Verifying : perl-MIME-Charset-1.012.2-12.fc33.noarch 348/3201 Verifying : perl-MIME-Lite-3.031-4.fc33.noarch 349/3201 Verifying : perl-MIME-Types-2.18-1.fc34.noarch 350/3201 Verifying : perl-MRO-Compat-0.13-12.fc33.noarch 351/3201 Verifying : perl-Mail-Sender-1:0.903-13.fc33.noarch 352/3201 Verifying : perl-Mail-Sendmail-0.80-10.fc33.noarch 353/3201 Verifying : perl-MailTools-2.21-6.fc33.noarch 354/3201 Verifying : perl-Math-BigInt-1:1.9998.18-457.fc33.noarch 355/3201 Verifying : perl-Math-Complex-1.59-469.fc34.noarch 356/3201 Verifying : perl-Module-CoreList-1:5.20210123-1.fc34.noarc 357/3201 Verifying : perl-Module-Implementation-0.09-27.fc33.noarch 358/3201 Verifying : perl-Module-Load-1:0.36-1.fc34.noarch 359/3201 Verifying : perl-Module-Load-Conditional-0.74-1.fc34.noarc 360/3201 Verifying : perl-Module-Metadata-1.000037-457.fc33.noarch 361/3201 Verifying : perl-Module-Runtime-0.016-10.fc33.noarch 362/3201 Verifying : perl-Mozilla-CA-20200520-3.fc33.noarch 363/3201 Verifying : perl-NTLM-1.09-27.fc33.noarch 364/3201 Verifying : perl-Net-HTTP-6.20-1.fc34.noarch 365/3201 Verifying : perl-Net-SMTP-SSL-1.04-13.fc33.noarch 366/3201 Verifying : perl-Net-SSLeay-1.90-1.fc34.x86_64 367/3201 Verifying : perl-Number-Compare-0.03-27.fc33.noarch 368/3201 Verifying : perl-OLE-Storage_Lite-0.20-4.fc33.noarch 369/3201 Verifying : perl-Opcode-1.48-469.fc34.x86_64 370/3201 Verifying : perl-POSIX-1.94-469.fc34.x86_64 371/3201 Verifying : perl-Package-Generator-1.106-20.fc34.noarch 372/3201 Verifying : perl-Package-Stash-0.39-1.fc34.noarch 373/3201 Verifying : perl-Package-Stash-XS-0.29-8.fc33.x86_64 374/3201 Verifying : perl-PadWalker-2.5-1.fc34.x86_64 375/3201 Verifying : perl-Params-Check-1:0.38-458.fc34.noarch 376/3201 Verifying : perl-Params-Classify-0.015-11.fc33.x86_64 377/3201 Verifying : perl-Params-Util-1.102-2.fc34.x86_64 378/3201 Verifying : perl-Params-Validate-1.30-1.fc34.x86_64 379/3201 Verifying : perl-Params-ValidationCompiler-0.30-9.fc33.noa 380/3201 Verifying : perl-Parse-RecDescent-1.967015-12.fc33.noarch 381/3201 Verifying : perl-PathTools-3.78-458.fc34.x86_64 382/3201 Verifying : perl-PerlIO-utf8_strict-0.008-1.fc34.x86_64 383/3201 Verifying : perl-Pod-Escapes-1:1.07-457.fc33.noarch 384/3201 Verifying : perl-Pod-Html-1.25-469.fc34.noarch 385/3201 Verifying : perl-Pod-Perldoc-3.28.01-458.fc33.noarch 386/3201 Verifying : perl-Pod-Simple-1:3.42-1.fc34.noarch 387/3201 Verifying : perl-Pod-Usage-4:2.01-1.fc34.noarch 388/3201 Verifying : perl-Ref-Util-0.204-9.fc33.noarch 389/3201 Verifying : perl-Ref-Util-XS-0.117-10.fc33.x86_64 390/3201 Verifying : perl-Regexp-Common-2017060201-13.fc33.noarch 391/3201 Verifying : perl-Role-Tiny-2.002004-1.fc34.noarch 392/3201 Verifying : perl-Safe-2.41-469.fc34.noarch 393/3201 Verifying : perl-Scalar-List-Utils-4:1.55-457.fc33.x86_64 394/3201 Verifying : perl-SelectSaver-1.02-469.fc34.noarch 395/3201 Verifying : perl-Socket-4:2.031-1.fc34.x86_64 396/3201 Verifying : perl-Sort-Key-1.33-19.fc33.x86_64 397/3201 Verifying : perl-Specio-0.46-4.fc33.noarch 398/3201 Verifying : perl-Spreadsheet-ParseExcel-0.6500-27.fc33.x86 399/3201 Verifying : perl-Spreadsheet-WriteExcel-2.40-20.fc33.noarc 400/3201 Verifying : perl-Storable-1:3.21-457.fc33.x86_64 401/3201 Verifying : perl-Sub-Exporter-0.987-24.fc33.noarch 402/3201 Verifying : perl-Sub-Exporter-Progressive-0.001013-13.fc33 403/3201 Verifying : perl-Sub-Identify-0.14-14.fc33.x86_64 404/3201 Verifying : perl-Sub-Install-0.928-25.fc33.noarch 405/3201 Verifying : perl-Symbol-1.08-469.fc34.noarch 406/3201 Verifying : perl-Sys-Hostname-1.23-469.fc34.x86_64 407/3201 Verifying : perl-Sys-Syslog-0.36-458.fc33.x86_64 408/3201 Verifying : perl-Term-ANSIColor-5.01-458.fc33.noarch 409/3201 Verifying : perl-Term-Cap-1.17-457.fc33.noarch 410/3201 Verifying : perl-Text-Balanced-2.04-1.fc34.noarch 411/3201 Verifying : perl-Text-BibTeX-0.88-6.fc33.x86_64 412/3201 Verifying : perl-Text-CSV-2.00-5.fc33.noarch 413/3201 Verifying : perl-Text-CSV_XS-1.45-1.fc34.x86_64 414/3201 Verifying : perl-Text-Glob-0.11-12.fc33.noarch 415/3201 Verifying : perl-Text-ParseWords-3.30-457.fc33.noarch 416/3201 Verifying : perl-Text-Roman-3.5-17.fc33.noarch 417/3201 Verifying : perl-Text-Soundex-3.05-17.fc33.x86_64 418/3201 Verifying : perl-Text-Tabs+Wrap-2013.0523-457.fc33.noarch 419/3201 Verifying : perl-Text-Unidecode-1.30-13.fc33.noarch 420/3201 Verifying : perl-Tie-4.6-469.fc34.noarch 421/3201 Verifying : perl-Tie-Cycle-1.226-1.fc34.noarch 422/3201 Verifying : perl-Time-HiRes-4:1.9764-459.fc34.x86_64 423/3201 Verifying : perl-Time-Local-2:1.300-4.fc33.noarch 424/3201 Verifying : perl-TimeDate-1:2.33-3.fc33.noarch 425/3201 Verifying : perl-Try-Tiny-0.30-10.fc33.noarch 426/3201 Verifying : perl-UNIVERSAL-isa-1.20171012-10.fc33.noarch 427/3201 Verifying : perl-URI-5.06-1.fc34.noarch 428/3201 Verifying : perl-Unicode-Collate-1.29-1.fc34.x86_64 429/3201 Verifying : perl-Unicode-LineBreak-2019.001-8.fc33.x86_64 430/3201 Verifying : perl-Unicode-Map-0.112-52.fc33.x86_64 431/3201 Verifying : perl-Unicode-Normalize-1.27-458.fc33.x86_64 432/3201 Verifying : perl-Unicode-UCD-0.75-469.fc34.noarch 433/3201 Verifying : perl-Variable-Magic-0.62-11.fc33.x86_64 434/3201 Verifying : perl-WWW-RobotRules-6.02-27.fc34.noarch 435/3201 Verifying : perl-XML-LibXML-1:2.0206-2.fc34.x86_64 436/3201 Verifying : perl-XML-LibXML-Simple-1.01-4.fc33.noarch 437/3201 Verifying : perl-XML-LibXSLT-1.99-4.fc33.x86_64 438/3201 Verifying : perl-XML-NamespaceSupport-1.12-12.fc33.noarch 439/3201 Verifying : perl-XML-Parser-2.46-6.fc33.x86_64 440/3201 Verifying : perl-XML-SAX-1.02-5.fc33.noarch 441/3201 Verifying : perl-XML-SAX-Base-1.09-12.fc33.noarch 442/3201 Verifying : perl-XML-Writer-0.900-2.fc34.noarch 443/3201 Verifying : perl-XML-XPath-1.44-8.fc34.noarch 444/3201 Verifying : perl-XString-0.005-1.fc34.x86_64 445/3201 Verifying : perl-autouse-1.11-469.fc34.noarch 446/3201 Verifying : perl-autovivification-0.18-11.fc33.x86_64 447/3201 Verifying : perl-base-2.27-469.fc34.noarch 448/3201 Verifying : perl-constant-1.33-458.fc33.noarch 449/3201 Verifying : perl-deprecate-0.04-469.fc34.noarch 450/3201 Verifying : perl-encoding-4:3.00-458.fc34.x86_64 451/3201 Verifying : perl-if-0.60.800-469.fc34.noarch 452/3201 Verifying : perl-interpreter-4:5.32.1-469.fc34.x86_64 453/3201 Verifying : perl-lib-0.65-469.fc34.x86_64 454/3201 Verifying : perl-libnet-3.13-1.fc34.noarch 455/3201 Verifying : perl-libs-4:5.32.1-469.fc34.x86_64 456/3201 Verifying : perl-libwww-perl-6.52-1.fc34.noarch 457/3201 Verifying : perl-locale-1.09-469.fc34.noarch 458/3201 Verifying : perl-meta-notation-5.32.1-469.fc34.noarch 459/3201 Verifying : perl-mro-1.23-469.fc34.x86_64 460/3201 Verifying : perl-namespace-autoclean-0.29-5.fc33.noarch 461/3201 Verifying : perl-namespace-clean-0.27-15.fc33.noarch 462/3201 Verifying : perl-open-1.12-469.fc34.noarch 463/3201 Verifying : perl-overload-1.31-469.fc34.noarch 464/3201 Verifying : perl-overloading-0.02-469.fc34.noarch 465/3201 Verifying : perl-parent-1:0.238-457.fc33.noarch 466/3201 Verifying : perl-podlators-1:4.14-457.fc33.noarch 467/3201 Verifying : perl-sigtrap-1.09-469.fc34.noarch 468/3201 Verifying : perl-subs-1.03-469.fc34.noarch 469/3201 Verifying : perl-vars-1.05-469.fc34.noarch 470/3201 Verifying : perl-version-7:0.99.28-1.fc34.x86_64 471/3201 Verifying : perltidy-20210111-1.fc34.noarch 472/3201 Verifying : pixman-0.40.0-2.fc33.x86_64 473/3201 Verifying : poppler-data-0.4.9-6.fc33.noarch 474/3201 Verifying : python-setuptools-wheel-52.0.0-1.fc34.noarch 475/3201 Verifying : python-unversioned-command-3.9.1-4.fc34.noarch 476/3201 Verifying : python3-3.9.1-4.fc34.x86_64 477/3201 Verifying : python3-libs-3.9.1-4.fc34.x86_64 478/3201 Verifying : readline-devel-8.1-1.fc34.x86_64 479/3201 Verifying : shared-mime-info-2.1-1.fc34.x86_64 480/3201 Verifying : sombok-2.4.0-13.fc33.x86_64 481/3201 Verifying : systemd-247.2-1.fc34.x86_64 482/3201 Verifying : systemd-pam-247.2-1.fc34.x86_64 483/3201 Verifying : systemd-rpm-macros-247.2-1.fc34.noarch 484/3201 Verifying : tcl-1:8.6.10-5.fc34.x86_64 485/3201 Verifying : tcl-devel-1:8.6.10-5.fc34.x86_64 486/3201 Verifying : teckit-2.5.9-5.fc33.x86_64 487/3201 Verifying : tex-preview-12.1-9.fc33.noarch 488/3201 Verifying : texlive-12many-9:svn15878.0.3-36.fc34.noarch 489/3201 Verifying : texlive-2up-9:svn41578-36.fc34.noarch 490/3201 Verifying : texlive-ESIEEcv-9:svn15878.0-36.fc34.noarch 491/3201 Verifying : texlive-GS1-9:svn44822-36.fc34.noarch 492/3201 Verifying : texlive-HA-prosper-9:svn15878.4.21-36.fc34.noa 493/3201 Verifying : texlive-IEEEconf-9:svn15878.1.4-36.fc34.noarch 494/3201 Verifying : texlive-IEEEtran-9:svn51065-36.fc34.noarch 495/3201 Verifying : texlive-SIstyle-9:svn54080-36.fc34.noarch 496/3201 Verifying : texlive-SIunits-9:svn15878.1.36-36.fc34.noarch 497/3201 Verifying : texlive-Tabbing-9:svn17022.0-36.fc34.noarch 498/3201 Verifying : texlive-a0poster-9:svn54071-36.fc34.noarch 499/3201 Verifying : texlive-a4wide-9:svn20943.0-36.fc34.noarch 500/3201 Verifying : texlive-a5comb-9:svn17020.4-36.fc34.noarch 501/3201 Verifying : texlive-aaai-named-9:svn52470-36.fc34.noarch 502/3201 Verifying : texlive-aastex-9:svn51836-36.fc34.noarch 503/3201 Verifying : texlive-abnt-9:svn54927-36.fc34.noarch 504/3201 Verifying : texlive-abntex2-9:svn49248-36.fc34.noarch 505/3201 Verifying : texlive-abraces-9:svn27880.2-36.fc34.noarch 506/3201 Verifying : texlive-abstract-9:svn15878.1.2a-36.fc34.noarc 507/3201 Verifying : texlive-academicons-9:svn54512-36.fc34.noarch 508/3201 Verifying : texlive-accanthis-9:svn32089.0-36.fc34.noarch 509/3201 Verifying : texlive-accents-9:svn51497-36.fc34.noarch 510/3201 Verifying : texlive-accessibility-9:svn52650-36.fc34.noarc 511/3201 Verifying : texlive-accsupp-9:svn53052-36.fc34.noarch 512/3201 Verifying : texlive-achemso-9:svn50025-36.fc34.noarch 513/3201 Verifying : texlive-acmart-9:svn53899-36.fc34.noarch 514/3201 Verifying : texlive-acmconf-9:svn15878.1.3-36.fc34.noarch 515/3201 Verifying : texlive-acro-9:svn54512-36.fc34.noarch 516/3201 Verifying : texlive-acronym-9:svn54758-36.fc34.noarch 517/3201 Verifying : texlive-acroterm-9:svn20498.0.1-36.fc34.noarch 518/3201 Verifying : texlive-active-conf-9:svn15878.0.3a-36.fc34.no 519/3201 Verifying : texlive-actuarialangle-9:svn51376-36.fc34.noar 520/3201 Verifying : texlive-actuarialsymbol-9:svn54080-36.fc34.noa 521/3201 Verifying : texlive-addfont-9:svn41972-36.fc34.noarch 522/3201 Verifying : texlive-addlines-9:svn49326-36.fc34.noarch 523/3201 Verifying : texlive-adfathesis-9:svn26048.2.42-36.fc34.noa 524/3201 Verifying : texlive-adforn-9:svn54512-36.fc34.noarch 525/3201 Verifying : texlive-adfsymbols-9:svn54512-36.fc34.noarch 526/3201 Verifying : texlive-adigraph-9:svn49862-36.fc34.noarch 527/3201 Verifying : texlive-adjmulticol-9:svn54157-36.fc34.noarch 528/3201 Verifying : texlive-adjustbox-9:svn49596-36.fc34.noarch 529/3201 Verifying : texlive-adobemapping-9:svn51787-36.fc34.noarch 530/3201 Verifying : texlive-adrconv-9:svn46817-36.fc34.noarch 531/3201 Verifying : texlive-advdate-9:svn20538.0-36.fc34.noarch 532/3201 Verifying : texlive-ae-9:svn15878.1.4-36.fc34.noarch 533/3201 Verifying : texlive-aeguill-9:svn15878.0-36.fc34.noarch 534/3201 Verifying : texlive-afparticle-9:svn35900.1.3-36.fc34.noar 535/3201 Verifying : texlive-afthesis-9:svn15878.2.7-36.fc34.noarch 536/3201 Verifying : texlive-aguplus-9:svn17156.1.6b-36.fc34.noarch 537/3201 Verifying : texlive-aiaa-9:svn15878.3.6-36.fc34.noarch 538/3201 Verifying : texlive-aichej-9:svn15878.0-36.fc34.noarch 539/3201 Verifying : texlive-ajl-9:svn34016.0-36.fc34.noarch 540/3201 Verifying : texlive-akktex-9:svn26055.0.3.2-36.fc34.noarch 541/3201 Verifying : texlive-akletter-9:svn15878.1.5i-36.fc34.noarc 542/3201 Verifying : texlive-alegreya-9:svn54512-36.fc34.noarch 543/3201 Verifying : texlive-alertmessage-9:svn38055.1.1-36.fc34.no 544/3201 Verifying : texlive-alg-9:svn15878.0-36.fc34.noarch 545/3201 Verifying : texlive-algobox-9:svn52204-36.fc34.noarch 546/3201 Verifying : texlive-algolrevived-9:svn56788-36.fc34.noarch 547/3201 Verifying : texlive-algorithm2e-9:svn44846-36.fc34.noarch 548/3201 Verifying : texlive-algorithmicx-9:svn15878.0-36.fc34.noar 549/3201 Verifying : texlive-algorithms-9:svn42428-36.fc34.noarch 550/3201 Verifying : texlive-algxpar-9:svn52758-36.fc34.noarch 551/3201 Verifying : texlive-aligned-overset-9:svn47290-36.fc34.noa 552/3201 Verifying : texlive-allrunes-9:svn42221-36.fc34.noarch 553/3201 Verifying : texlive-almendra-9:svn56035-36.fc34.noarch 554/3201 Verifying : texlive-almfixed-9:svn35065.0.92-36.fc34.noarc 555/3201 Verifying : texlive-alnumsec-9:svn15878.v0.03-36.fc34.noar 556/3201 Verifying : texlive-alphalph-9:svn53087-36.fc34.noarch 557/3201 Verifying : texlive-altfont-9:svn15878.1.1-36.fc34.noarch 558/3201 Verifying : texlive-ametsoc-9:svn36030.4.3.2-36.fc34.noarc 559/3201 Verifying : texlive-amsaddr-9:svn29630.1.1-36.fc34.noarch 560/3201 Verifying : texlive-amscdx-9:svn51532-36.fc34.noarch 561/3201 Verifying : texlive-amscls-9:svn46099-36.fc34.noarch 562/3201 Verifying : texlive-amsfonts-9:svn29208.3.04-36.fc34.noarc 563/3201 Verifying : texlive-amsmath-9:svn53640-36.fc34.noarch 564/3201 Verifying : texlive-amsrefs-9:svn30646.2.14-36.fc34.noarch 565/3201 Verifying : texlive-amstex-9:20200327-25.fc34.noarch 566/3201 Verifying : texlive-animate-9:svn54874-36.fc34.noarch 567/3201 Verifying : texlive-annotate-9:svn52824-36.fc34.noarch 568/3201 Verifying : texlive-anonchap-9:svn17049.1.1a-36.fc34.noarc 569/3201 Verifying : texlive-anonymouspro-9:svn51631-36.fc34.noarch 570/3201 Verifying : texlive-answers-9:svn35032.2.16-36.fc34.noarch 571/3201 Verifying : texlive-antiqua-9:svn24266.001.003-36.fc34.noa 572/3201 Verifying : texlive-antt-9:svn18651.2.08-36.fc34.noarch 573/3201 Verifying : texlive-anufinalexam-doc-9:svn26053.0-36.fc34. 574/3201 Verifying : texlive-anyfontsize-9:svn17050.0-36.fc34.noarc 575/3201 Verifying : texlive-anysize-9:svn15878.0-36.fc34.noarch 576/3201 Verifying : texlive-aobs-tikz-9:svn32662.1.0-36.fc34.noarc 577/3201 Verifying : texlive-aomart-9:svn46091-36.fc34.noarch 578/3201 Verifying : texlive-apa-9:svn54080-36.fc34.noarch 579/3201 Verifying : texlive-apa6-9:svn53406-36.fc34.noarch 580/3201 Verifying : texlive-apa6e-9:svn23350.0.3-36.fc34.noarch 581/3201 Verifying : texlive-apa7-9:svn54775-36.fc34.noarch 582/3201 Verifying : texlive-apacite-9:svn54080-36.fc34.noarch 583/3201 Verifying : texlive-apalike2-9:svn54080-36.fc34.noarch 584/3201 Verifying : texlive-appendix-9:svn53718-36.fc34.noarch 585/3201 Verifying : texlive-appendixnumberbeamer-9:svn46317-36.fc3 586/3201 Verifying : texlive-apptools-9:svn28400.1.0-36.fc34.noarch 587/3201 Verifying : texlive-apxproof-9:svn52324-36.fc34.noarch 588/3201 Verifying : texlive-arabicfront-9:svn51474-36.fc34.noarch 589/3201 Verifying : texlive-archaeologie-9:svn53813-36.fc34.noarch 590/3201 Verifying : texlive-archaic-9:svn38005.0-36.fc34.noarch 591/3201 Verifying : texlive-arcs-9:svn15878.1-36.fc34.noarch 592/3201 Verifying : texlive-arev-9:svn15878.0-36.fc34.noarch 593/3201 Verifying : texlive-arimo-9:svn42880-36.fc34.noarch 594/3201 Verifying : texlive-arphic-9:svn15878.0-36.fc34.noarch 595/3201 Verifying : texlive-arraycols-9:svn51491-36.fc34.noarch 596/3201 Verifying : texlive-arrayjobx-9:svn18125.1.04-36.fc34.noar 597/3201 Verifying : texlive-arraysort-9:svn31576.1.0-36.fc34.noarc 598/3201 Verifying : texlive-arsclassica-9:svn45656-36.fc34.noarch 599/3201 Verifying : texlive-articleingud-9:svn38741-36.fc34.noarch 600/3201 Verifying : texlive-arydshln-9:svn50084-36.fc34.noarch 601/3201 Verifying : texlive-asaetr-9:svn15878.1.0a-36.fc34.noarch 602/3201 Verifying : texlive-asana-math-9:svn50999-36.fc34.noarch 603/3201 Verifying : texlive-asapsym-9:svn40201-36.fc34.noarch 604/3201 Verifying : texlive-ascelike-9:svn29129.2.3-36.fc34.noarch 605/3201 Verifying : texlive-ascii-font-9:svn29989.2.0-36.fc34.noar 606/3201 Verifying : texlive-asciilist-9:svn49060-36.fc34.noarch 607/3201 Verifying : texlive-ascmac-9:svn53411-36.fc34.noarch 608/3201 Verifying : texlive-askinclude-9:svn54725-36.fc34.noarch 609/3201 Verifying : texlive-askmaps-9:svn32320.0.1-36.fc34.noarch 610/3201 Verifying : texlive-asmeconf-9:svn54758-36.fc34.noarch 611/3201 Verifying : texlive-asmejour-9:svn54758-36.fc34.noarch 612/3201 Verifying : texlive-aspectratio-9:svn25243.2.0-36.fc34.noa 613/3201 Verifying : texlive-assignment-9:svn20431.0-36.fc34.noarch 614/3201 Verifying : texlive-assoccnt-9:svn38497-36.fc34.noarch 615/3201 Verifying : texlive-astro-9:svn15878.2.20-36.fc34.noarch 616/3201 Verifying : texlive-asyfig-9:svn17512.0.1c-36.fc34.noarch 617/3201 Verifying : texlive-asypictureb-9:svn33490.0.3-36.fc34.noa 618/3201 Verifying : texlive-atbegshi-9:svn53051-36.fc34.noarch 619/3201 Verifying : texlive-atenddvi-9:svn53107-36.fc34.noarch 620/3201 Verifying : texlive-attachfile-9:svn42099-36.fc34.noarch 621/3201 Verifying : texlive-attachfile2-9:20200327-25.fc34.noarch 622/3201 Verifying : texlive-atveryend-9:svn53108-36.fc34.noarch 623/3201 Verifying : texlive-aucklandthesis-9:svn51323-36.fc34.noar 624/3201 Verifying : texlive-augie-9:svn18948.0-36.fc34.noarch 625/3201 Verifying : texlive-auncial-new-9:svn15878.2.0-36.fc34.noa 626/3201 Verifying : texlive-aurical-9:svn15878.1.5-36.fc34.noarch 627/3201 Verifying : texlive-aurl-9:svn41853-36.fc34.noarch 628/3201 Verifying : texlive-authoraftertitle-9:svn24863.0.9-36.fc3 629/3201 Verifying : texlive-authorarchive-9:svn54512-36.fc34.noarc 630/3201 Verifying : texlive-authordate-9:svn52564-36.fc34.noarch 631/3201 Verifying : texlive-authorindex-9:20200327-25.fc34.noarch 632/3201 Verifying : texlive-auto-pst-pdf-9:svn52849-36.fc34.noarch 633/3201 Verifying : texlive-autoarea-9:svn15878.0.3a-36.fc34.noarc 634/3201 Verifying : texlive-autobreak-9:svn43337-36.fc34.noarch 635/3201 Verifying : texlive-autofancyhdr-9:svn54049-36.fc34.noarch 636/3201 Verifying : texlive-autonum-9:svn36084.0.3.11-36.fc34.noar 637/3201 Verifying : texlive-autopdf-9:svn32377.1.1-36.fc34.noarch 638/3201 Verifying : texlive-auxhook-9:svn53173-36.fc34.noarch 639/3201 Verifying : texlive-avantgar-9:svn31835.0-36.fc34.noarch 640/3201 Verifying : texlive-avremu-9:svn35373.0.1-36.fc34.noarch 641/3201 Verifying : texlive-axessibility-9:svn54080-36.fc34.noarch 642/3201 Verifying : texlive-axodraw2-9:20200327-25.fc34.x86_64 643/3201 Verifying : texlive-b1encoding-9:svn21271.1.0-36.fc34.noar 644/3201 Verifying : texlive-babel-english-9:svn44495-36.fc34.noarc 645/3201 Verifying : texlive-babel-9:svn54487-36.fc34.noarch 646/3201 Verifying : texlive-babelbib-9:svn50354-36.fc34.noarch 647/3201 Verifying : texlive-background-9:svn42428-36.fc34.noarch 648/3201 Verifying : texlive-backnaur-9:svn54080-36.fc34.noarch 649/3201 Verifying : texlive-bangorcsthesis-9:svn48834-36.fc34.noar 650/3201 Verifying : texlive-bangorexam-9:svn46626-36.fc34.noarch 651/3201 Verifying : texlive-bankstatement-9:svn38857-36.fc34.noarc 652/3201 Verifying : texlive-barcodes-9:svn15878.0-36.fc34.noarch 653/3201 Verifying : texlive-bardiag-9:svn22013.0.4a-36.fc34.noarch 654/3201 Verifying : texlive-base-9:20200327-25.fc34.x86_64 655/3201 Verifying : texlive-bashful-9:svn25597.0.93-36.fc34.noarch 656/3201 Verifying : texlive-basicarith-9:svn35460.1.1-36.fc34.noar 657/3201 Verifying : texlive-baskervald-9:svn19490.1.016-36.fc34.no 658/3201 Verifying : texlive-baskervaldx-9:svn54512-36.fc34.noarch 659/3201 Verifying : texlive-baskervillef-9:svn55475-36.fc34.noarch 660/3201 Verifying : texlive-bath-bst-9:svn53422-36.fc34.noarch 661/3201 Verifying : texlive-bbding-9:svn17186.1.01-36.fc34.noarch 662/3201 Verifying : texlive-bbm-macros-9:svn17224.0-36.fc34.noarch 663/3201 Verifying : texlive-bbm-9:svn15878.0-36.fc34.noarch 664/3201 Verifying : texlive-bbold-9:svn17187.1.01-36.fc34.noarch 665/3201 Verifying : texlive-bbold-type1-9:svn33143.0-36.fc34.noarc 666/3201 Verifying : texlive-bchart-9:svn43928-36.fc34.noarch 667/3201 Verifying : texlive-beamer-FUBerlin-doc-9:svn38159.0.02b-3 668/3201 Verifying : texlive-beamer-rl-9:svn52284-36.fc34.noarch 669/3201 Verifying : texlive-beamer-9:svn54841-36.fc34.noarch 670/3201 Verifying : texlive-beamer-verona-9:svn39180-36.fc34.noarc 671/3201 Verifying : texlive-beamer2thesis-9:svn27539.2.2-36.fc34.n 672/3201 Verifying : texlive-beameraudience-9:svn23427.0.1-36.fc34. 673/3201 Verifying : texlive-beamerauxtheme-9:svn51053-36.fc34.noar 674/3201 Verifying : texlive-beamercolorthemeowl-9:svn40105-36.fc34 675/3201 Verifying : texlive-beamerdarkthemes-9:svn35101.0.4.1-36.f 676/3201 Verifying : texlive-beamerposter-9:svn54512-36.fc34.noarch 677/3201 Verifying : texlive-beamersubframe-9:svn23510.0.2-36.fc34. 678/3201 Verifying : texlive-beamerswitch-9:svn53467-36.fc34.noarch 679/3201 Verifying : texlive-beamertheme-cuerna-9:svn42161-36.fc34. 680/3201 Verifying : texlive-beamertheme-detlevcm-9:svn39048-36.fc3 681/3201 Verifying : texlive-beamertheme-epyt-9:svn41404-36.fc34.no 682/3201 Verifying : texlive-beamertheme-focus-9:svn54772-36.fc34.n 683/3201 Verifying : texlive-beamertheme-light-9:svn49867-36.fc34.n 684/3201 Verifying : texlive-beamertheme-metropolis-9:svn43031-36.f 685/3201 Verifying : texlive-beamertheme-npbt-9:svn54512-36.fc34.no 686/3201 Verifying : texlive-beamertheme-phnompenh-9:svn39100-36.fc 687/3201 Verifying : texlive-beamertheme-saintpetersburg-9:svn45877 688/3201 Verifying : texlive-beamertheme-upenn-bc-9:svn29937.1.0-36 689/3201 Verifying : texlive-beamerthemejltree-9:svn21977.1.1-36.fc 690/3201 Verifying : texlive-beamerthemenirma-9:svn20765.0.1-36.fc3 691/3201 Verifying : texlive-bearwear-9:svn54826-36.fc34.noarch 692/3201 Verifying : texlive-beebe-9:svn54760-36.fc34.noarch 693/3201 Verifying : texlive-begriff-9:svn15878.1.6-36.fc34.noarch 694/3201 Verifying : texlive-beilstein-9:svn53925-36.fc34.noarch 695/3201 Verifying : texlive-belleek-9:svn18651.0-36.fc34.noarch 696/3201 Verifying : texlive-bera-9:svn20031.0-36.fc34.noarch 697/3201 Verifying : texlive-berenisadf-9:svn32215.1.004-36.fc34.no 698/3201 Verifying : texlive-besjournals-9:svn45662-36.fc34.noarch 699/3201 Verifying : texlive-bestpapers-9:svn38708-36.fc34.noarch 700/3201 Verifying : texlive-beton-9:svn15878.0-36.fc34.noarch 701/3201 Verifying : texlive-beuron-9:svn46374-36.fc34.noarch 702/3201 Verifying : texlive-bewerbung-9:svn37880.1.1-36.fc34.noarc 703/3201 Verifying : texlive-bez123-9:svn15878.1.1b-36.fc34.noarch 704/3201 Verifying : texlive-bgteubner-9:svn54080-36.fc34.noarch 705/3201 Verifying : texlive-bguq-9:svn27401.0.4-36.fc34.noarch 706/3201 Verifying : texlive-bhcexam-9:svn39041-36.fc34.noarch 707/3201 Verifying : texlive-bib2gls-9:20200327-25.fc34.noarch 708/3201 Verifying : texlive-bibarts-9:svn54080-36.fc34.noarch 709/3201 Verifying : texlive-bibexport-9:20200327-25.fc34.noarch 710/3201 Verifying : texlive-bibhtml-9:svn31607.2.0.2-36.fc34.noarc 711/3201 Verifying : texlive-biblatex-abnt-9:svn49179-36.fc34.noarc 712/3201 Verifying : texlive-biblatex-ajc2020unofficial-9:svn54401- 713/3201 Verifying : texlive-biblatex-anonymous-9:svn48548-36.fc34. 714/3201 Verifying : texlive-biblatex-apa-9:svn54896-36.fc34.noarch 715/3201 Verifying : texlive-biblatex-apa6-9:svn54995-36.fc34.noarc 716/3201 Verifying : texlive-biblatex-archaeology-9:svn53281-36.fc3 717/3201 Verifying : texlive-biblatex-arthistory-bonn-9:svn46637-36 718/3201 Verifying : texlive-biblatex-bath-9:svn53424-36.fc34.noarc 719/3201 Verifying : texlive-biblatex-bookinarticle-9:svn40323-36.f 720/3201 Verifying : texlive-biblatex-bookinother-9:svn54015-36.fc3 721/3201 Verifying : texlive-biblatex-bwl-9:svn26556.0.02-36.fc34.n 722/3201 Verifying : texlive-biblatex-caspervector-9:svn52802-36.fc 723/3201 Verifying : texlive-biblatex-chem-9:svn53012-36.fc34.noarc 724/3201 Verifying : texlive-biblatex-chicago-9:svn54809-36.fc34.no 725/3201 Verifying : texlive-biblatex-claves-9:svn43723-36.fc34.noa 726/3201 Verifying : texlive-biblatex-dw-9:svn42649-36.fc34.noarch 727/3201 Verifying : texlive-biblatex-enc-9:svn44627-36.fc34.noarch 728/3201 Verifying : texlive-biblatex-ext-9:svn54327-36.fc34.noarch 729/3201 Verifying : texlive-biblatex-fiwi-9:svn45876-36.fc34.noarc 730/3201 Verifying : texlive-biblatex-gb7714-2015-9:svn54512-36.fc3 731/3201 Verifying : texlive-biblatex-german-legal-9:svn54297-36.fc 732/3201 Verifying : texlive-biblatex-gost-9:svn53916-36.fc34.noarc 733/3201 Verifying : texlive-biblatex-historian-9:svn19787.0.4-36.f 734/3201 Verifying : texlive-biblatex-ieee-9:svn53931-36.fc34.noarc 735/3201 Verifying : texlive-biblatex-ijsra-9:svn41634-36.fc34.noar 736/3201 Verifying : texlive-biblatex-iso690-9:svn54561-36.fc34.noa 737/3201 Verifying : texlive-biblatex-jura2-9:svn53243-36.fc34.noar 738/3201 Verifying : texlive-biblatex-juradiss-9:svn54515-36.fc34.n 739/3201 Verifying : texlive-biblatex-lni-9:svn49935-36.fc34.noarch 740/3201 Verifying : texlive-biblatex-luh-ipw-9:svn32180.0.3-36.fc3 741/3201 Verifying : texlive-biblatex-manuscripts-philology-9:svn53 742/3201 Verifying : texlive-biblatex-mla-9:svn42445-36.fc34.noarch 743/3201 Verifying : texlive-biblatex-morenames-9:svn43049-36.fc34. 744/3201 Verifying : texlive-biblatex-multiple-dm-9:svn37081.1.0.1- 745/3201 Verifying : texlive-biblatex-musuos-9:svn24097.1.0-36.fc34 746/3201 Verifying : texlive-biblatex-nature-9:svn48940-36.fc34.noa 747/3201 Verifying : texlive-biblatex-nejm-9:svn49839-36.fc34.noarc 748/3201 Verifying : texlive-biblatex-nottsclassic-9:svn41596-36.fc 749/3201 Verifying : texlive-biblatex-opcit-booktitle-9:svn48983-36 750/3201 Verifying : texlive-biblatex-oxref-9:svn53571-36.fc34.noar 751/3201 Verifying : texlive-biblatex-philosophy-9:svn47283-36.fc34 752/3201 Verifying : texlive-biblatex-phys-9:svn53013-36.fc34.noarc 753/3201 Verifying : texlive-biblatex-publist-9:svn50989-36.fc34.no 754/3201 Verifying : texlive-biblatex-realauthor-9:svn45865-36.fc34 755/3201 Verifying : texlive-biblatex-sbl-9:svn49426-36.fc34.noarch 756/3201 Verifying : texlive-biblatex-science-9:svn48945-36.fc34.no 757/3201 Verifying : texlive-biblatex-shortfields-9:svn45858-36.fc3 758/3201 Verifying : texlive-biblatex-socialscienceshuberlin-9:svn4 759/3201 Verifying : texlive-biblatex-software-9:svn54950-36.fc34.n 760/3201 Verifying : texlive-biblatex-source-division-9:svn45379-36 761/3201 Verifying : texlive-biblatex-subseries-9:svn43330-36.fc34. 762/3201 Verifying : texlive-biblatex-9:svn53063-36.fc34.noarch 763/3201 Verifying : texlive-biblatex-swiss-legal-9:svn32750.1.1.2a 764/3201 Verifying : texlive-biblatex-trad-9:svn46668-36.fc34.noarc 765/3201 Verifying : texlive-biblatex-true-citepages-omit-9:svn4465 766/3201 Verifying : texlive-biblatex2bibitem-9:svn54030-36.fc34.no 767/3201 Verifying : texlive-bibletext-9:svn45196-36.fc34.noarch 768/3201 Verifying : texlive-biblist-9:svn17116.0-36.fc34.noarch 769/3201 Verifying : texlive-bibtex-9:20200327-25.fc34.x86_64 770/3201 Verifying : texlive-bibtexperllibs-9:svn54512-36.fc34.noar 771/3201 Verifying : texlive-bibtopic-9:svn15878.1.1a-36.fc34.noarc 772/3201 Verifying : texlive-bibtopicprefix-9:svn15878.1.10-36.fc34 773/3201 Verifying : texlive-bibunits-9:svn15878.2.2-36.fc34.noarch 774/3201 Verifying : texlive-bidi-9:svn53615-36.fc34.noarch 775/3201 Verifying : texlive-bigfoot-9:svn38248.2.1-36.fc34.noarch 776/3201 Verifying : texlive-bigintcalc-9:svn53172-36.fc34.noarch 777/3201 Verifying : texlive-bigints-9:svn29803.0-36.fc34.noarch 778/3201 Verifying : texlive-binarytree-9:svn41777-36.fc34.noarch 779/3201 Verifying : texlive-binomexp-9:svn15878.1.0-36.fc34.noarch 780/3201 Verifying : texlive-biochemistry-colors-9:svn54512-36.fc34 781/3201 Verifying : texlive-biocon-9:svn15878.0-36.fc34.noarch 782/3201 Verifying : texlive-biolett-bst-9:svn42217-36.fc34.noarch 783/3201 Verifying : texlive-bitpattern-9:svn39073-36.fc34.noarch 784/3201 Verifying : texlive-bitset-9:svn53837-36.fc34.noarch 785/3201 Verifying : texlive-bitter-9:svn56026-36.fc34.noarch 786/3201 Verifying : texlive-bizcard-9:svn15878.1.1-36.fc34.noarch 787/3201 Verifying : texlive-blacklettert1-9:svn15878.0-36.fc34.noa 788/3201 Verifying : texlive-blindtext-9:svn25039.2.0-36.fc34.noarc 789/3201 Verifying : texlive-blkarray-9:svn36406.0.07-36.fc34.noarc 790/3201 Verifying : texlive-blochsphere-9:svn38388-36.fc34.noarch 791/3201 Verifying : texlive-block-9:svn17209.0-36.fc34.noarch 792/3201 Verifying : texlive-bloques-9:svn22490.1.0-36.fc34.noarch 793/3201 Verifying : texlive-blowup-9:svn46213-36.fc34.noarch 794/3201 Verifying : texlive-blox-9:svn35014.2.5-36.fc34.noarch 795/3201 Verifying : texlive-bnumexpr-9:svn49643-36.fc34.noarch 796/3201 Verifying : texlive-bodegraph-9:svn20047.1.4-36.fc34.noarc 797/3201 Verifying : texlive-bohr-9:svn54512-36.fc34.noarch 798/3201 Verifying : texlive-boisik-9:svn15878.0.5-36.fc34.noarch 799/3201 Verifying : texlive-boites-9:svn32235.1.1-36.fc34.noarch 800/3201 Verifying : texlive-bold-extra-9:svn17076.0.1-36.fc34.noar 801/3201 Verifying : texlive-boldtensors-9:svn15878.0-36.fc34.noarc 802/3201 Verifying : texlive-bondgraph-9:svn21670.1.0-36.fc34.noarc 803/3201 Verifying : texlive-bondgraphs-9:svn36605.1.0.1-36.fc34.no 804/3201 Verifying : texlive-bookcover-9:svn46410-36.fc34.noarch 805/3201 Verifying : texlive-bookdb-9:svn37536.0.2-36.fc34.noarch 806/3201 Verifying : texlive-bookest-9:svn15878.1.1-36.fc34.noarch 807/3201 Verifying : texlive-bookhands-9:svn46480-36.fc34.noarch 808/3201 Verifying : texlive-booklet-9:svn15878.0.7b-36.fc34.noarch 809/3201 Verifying : texlive-bookman-9:svn31835.0-36.fc34.noarch 810/3201 Verifying : texlive-bookmark-9:svn53026-36.fc34.noarch 811/3201 Verifying : texlive-booktabs-9:svn53402-36.fc34.noarch 812/3201 Verifying : texlive-boolexpr-9:svn17830.3.14-36.fc34.noarc 813/3201 Verifying : texlive-boondox-9:svn54512-36.fc34.noarch 814/3201 Verifying : texlive-bophook-9:svn17062.0.02-36.fc34.noarch 815/3201 Verifying : texlive-bosisio-9:svn16989.0-36.fc34.noarch 816/3201 Verifying : texlive-boxedminipage-9:svn54827-36.fc34.noarc 817/3201 Verifying : texlive-boxhandler-9:svn28031.1.30-36.fc34.noa 818/3201 Verifying : texlive-bpchem-9:svn45120-36.fc34.noarch 819/3201 Verifying : texlive-br-lex-9:svn44939-36.fc34.noarch 820/3201 Verifying : texlive-bracketkey-9:svn17129.1.0-36.fc34.noar 821/3201 Verifying : texlive-braids-9:svn54080-36.fc34.noarch 822/3201 Verifying : texlive-braille-9:svn20655.0-36.fc34.noarch 823/3201 Verifying : texlive-braket-9:svn17127.0-36.fc34.noarch 824/3201 Verifying : texlive-brandeis-dissertation-9:svn54758-36.fc 825/3201 Verifying : texlive-brandeis-problemset-9:svn50991-36.fc34 826/3201 Verifying : texlive-brandeis-thesis-9:svn54758-36.fc34.noa 827/3201 Verifying : texlive-breakcites-9:svn21014-36.fc34.noarch 828/3201 Verifying : texlive-breakurl-9:svn29901.1.40-36.fc34.noarc 829/3201 Verifying : texlive-breqn-9:svn54801-36.fc34.noarch 830/3201 Verifying : texlive-bropd-9:svn35383.1.2-36.fc34.noarch 831/3201 Verifying : texlive-brushscr-9:svn28363.0-36.fc34.noarch 832/3201 Verifying : texlive-bullcntr-9:svn15878.0.04-36.fc34.noarc 833/3201 Verifying : texlive-bussproofs-extra-9:svn51299-36.fc34.no 834/3201 Verifying : texlive-bussproofs-9:svn54080-36.fc34.noarch 835/3201 Verifying : texlive-bxcalc-9:svn52947-36.fc34.noarch 836/3201 Verifying : texlive-bxdpx-beamer-9:svn41813-36.fc34.noarch 837/3201 Verifying : texlive-bxdvidriver-9:svn43219-36.fc34.noarch 838/3201 Verifying : texlive-bxeepic-9:svn30559.0.2-36.fc34.noarch 839/3201 Verifying : texlive-bxenclose-9:svn40213-36.fc34.noarch 840/3201 Verifying : texlive-bxnewfont-9:svn44173-36.fc34.noarch 841/3201 Verifying : texlive-bxpapersize-9:svn52304-36.fc34.noarch 842/3201 Verifying : texlive-bxpdfver-9:svn54802-36.fc34.noarch 843/3201 Verifying : texlive-bxtexlogo-9:svn47230-36.fc34.noarch 844/3201 Verifying : texlive-bytefield-9:svn45339-36.fc34.noarch 845/3201 Verifying : texlive-cabin-9:svn55907-36.fc34.noarch 846/3201 Verifying : texlive-cachepic-9:20200327-25.fc34.noarch 847/3201 Verifying : texlive-caladea-9:svn34991.0-36.fc34.noarch 848/3201 Verifying : texlive-calcage-9:svn27725.0.90-36.fc34.noarch 849/3201 Verifying : texlive-calctab-9:svn15878.v0.6.1-36.fc34.noar 850/3201 Verifying : texlive-calculation-9:svn35973.1.0-36.fc34.noa 851/3201 Verifying : texlive-calculator-9:svn33041.2.0-36.fc34.noar 852/3201 Verifying : texlive-calligra-9:svn15878.0-36.fc34.noarch 853/3201 Verifying : texlive-calligra-type1-9:svn24302.001.000-36.f 854/3201 Verifying : texlive-callouts-9:svn44899-36.fc34.noarch 855/3201 Verifying : texlive-calrsfs-9:svn17125.0-36.fc34.noarch 856/3201 Verifying : texlive-cals-9:svn43003-36.fc34.noarch 857/3201 Verifying : texlive-calxxxx-yyyy-9:svn53290-36.fc34.noarch 858/3201 Verifying : texlive-cancel-9:svn32508.2.2-36.fc34.noarch 859/3201 Verifying : texlive-canoniclayout-9:svn54758-36.fc34.noarc 860/3201 Verifying : texlive-cantarell-9:svn54512-36.fc34.noarch 861/3201 Verifying : texlive-capt-of-9:svn29803.0-36.fc34.noarch 862/3201 Verifying : texlive-captcont-9:svn15878.2.0-36.fc34.noarch 863/3201 Verifying : texlive-captdef-9:svn17353.0-36.fc34.noarch 864/3201 Verifying : texlive-caption-9:svn53517-36.fc34.noarch 865/3201 Verifying : texlive-carbohydrates-9:svn39000-36.fc34.noarc 866/3201 Verifying : texlive-carlisle-9:svn47876-36.fc34.noarch 867/3201 Verifying : texlive-carlito-9:svn35002.0-36.fc34.noarch 868/3201 Verifying : texlive-carolmin-ps-9:svn15878.0-36.fc34.noarc 869/3201 Verifying : texlive-cascade-9:svn48200-36.fc34.noarch 870/3201 Verifying : texlive-cascadilla-9:svn25144.1.8.2-36.fc34.no 871/3201 Verifying : texlive-cases-9:svn54682-36.fc34.noarch 872/3201 Verifying : texlive-casyl-9:svn15878.2.0-36.fc34.noarch 873/3201 Verifying : texlive-catchfile-9:svn53084-36.fc34.noarch 874/3201 Verifying : texlive-catchfilebetweentags-9:svn21476.1.1-36 875/3201 Verifying : texlive-catcodes-9:svn38859-36.fc34.noarch 876/3201 Verifying : texlive-catechis-9:svn49061-36.fc34.noarch 877/3201 Verifying : texlive-catoptions-9:svn35069.0.2.7h-36.fc34.n 878/3201 Verifying : texlive-cbcoptic-9:svn16666.0.2-36.fc34.noarch 879/3201 Verifying : texlive-cbfonts-fd-9:svn54080-36.fc34.noarch 880/3201 Verifying : texlive-cbfonts-9:svn54080-36.fc34.noarch 881/3201 Verifying : texlive-ccaption-9:svn23443.3.2c-36.fc34.noarc 882/3201 Verifying : texlive-ccfonts-9:svn54686-36.fc34.noarch 883/3201 Verifying : texlive-ccicons-9:svn54512-36.fc34.noarch 884/3201 Verifying : texlive-cclicenses-9:svn15878.0-36.fc34.noarch 885/3201 Verifying : texlive-ccool-9:svn54753-36.fc34.noarch 886/3201 Verifying : texlive-cd-cover-9:svn17121.1.0-36.fc34.noarch 887/3201 Verifying : texlive-cd-9:svn34452.1.4-36.fc34.noarch 888/3201 Verifying : texlive-cdpbundl-9:svn46613-36.fc34.noarch 889/3201 Verifying : texlive-cell-9:svn42428-36.fc34.noarch 890/3201 Verifying : texlive-cellprops-9:svn52205-36.fc34.noarch 891/3201 Verifying : texlive-cellspace-9:svn50374-36.fc34.noarch 892/3201 Verifying : texlive-celtic-9:svn39797-36.fc34.noarch 893/3201 Verifying : texlive-censor-9:svn49168-36.fc34.noarch 894/3201 Verifying : texlive-centeredline-9:svn50971-36.fc34.noarch 895/3201 Verifying : texlive-cesenaexam-9:svn44960-36.fc34.noarch 896/3201 Verifying : texlive-cfr-initials-9:svn36728.1.01-36.fc34.n 897/3201 Verifying : texlive-cfr-lm-9:svn36195.1.5-36.fc34.noarch 898/3201 Verifying : texlive-changebar-9:svn46919-36.fc34.noarch 899/3201 Verifying : texlive-changelayout-9:svn16094.1.0-36.fc34.no 900/3201 Verifying : texlive-changelog-9:svn54864-36.fc34.noarch 901/3201 Verifying : texlive-changepage-9:svn15878.1.0c-36.fc34.noa 902/3201 Verifying : texlive-changes-9:svn52838-36.fc34.noarch 903/3201 Verifying : texlive-chappg-9:svn15878.2.1b-36.fc34.noarch 904/3201 Verifying : texlive-chapterfolder-9:svn15878.2.0.1-36.fc34 905/3201 Verifying : texlive-charter-9:svn15878.0-36.fc34.noarch 906/3201 Verifying : texlive-chbibref-9:svn17120.1.0-36.fc34.noarch 907/3201 Verifying : texlive-cheatsheet-9:svn45069-36.fc34.noarch 908/3201 Verifying : texlive-checkend-9:svn51475-36.fc34.noarch 909/3201 Verifying : texlive-chem-journal-9:svn15878.0-36.fc34.noar 910/3201 Verifying : texlive-chemarrow-9:svn17146.0.9-36.fc34.noarc 911/3201 Verifying : texlive-chembst-9:svn15878.0.2.5-36.fc34.noarc 912/3201 Verifying : texlive-chemcompounds-9:svn15878.0-36.fc34.noa 913/3201 Verifying : texlive-chemcono-9:svn17119.1.3-36.fc34.noarch 914/3201 Verifying : texlive-chemexec-9:svn21632.1.0-36.fc34.noarch 915/3201 Verifying : texlive-chemfig-9:svn54914-36.fc34.noarch 916/3201 Verifying : texlive-chemformula-9:svn54158-36.fc34.noarch 917/3201 Verifying : texlive-chemgreek-9:svn53437-36.fc34.noarch 918/3201 Verifying : texlive-chemmacros-9:svn54191-36.fc34.noarch 919/3201 Verifying : texlive-chemnum-9:svn52256-36.fc34.noarch 920/3201 Verifying : texlive-chemplants-9:svn52863-36.fc34.noarch 921/3201 Verifying : texlive-chemschemex-9:svn46723-36.fc34.noarch 922/3201 Verifying : texlive-chemsec-9:svn46972-36.fc34.noarch 923/3201 Verifying : texlive-chemstyle-9:svn31096.2.0m-36.fc34.noar 924/3201 Verifying : texlive-cherokee-9:svn21046.0-36.fc34.noarch 925/3201 Verifying : texlive-chessfss-9:svn19440.1.2a-36.fc34.noarc 926/3201 Verifying : texlive-chet-9:svn45081-36.fc34.noarch 927/3201 Verifying : texlive-chextras-9:svn27118.1.01-36.fc34.noarc 928/3201 Verifying : texlive-chicago-annote-9:svn15878.0-36.fc34.no 929/3201 Verifying : texlive-chicago-9:svn15878.0-36.fc34.noarch 930/3201 Verifying : texlive-childdoc-9:svn49543-36.fc34.noarch 931/3201 Verifying : texlive-chivo-9:svn54512-36.fc34.noarch 932/3201 Verifying : texlive-chkfloat-9:svn27473.0.1-36.fc34.noarch 933/3201 Verifying : texlive-chletter-9:svn20060.2.0-36.fc34.noarch 934/3201 Verifying : texlive-chngcntr-9:svn47577-36.fc34.noarch 935/3201 Verifying : texlive-chronology-9:svn37934.1.1.1-36.fc34.no 936/3201 Verifying : texlive-chs-physics-report-9:svn54512-36.fc34. 937/3201 Verifying : texlive-chscite-9:svn28552.2.9999-36.fc34.noar 938/3201 Verifying : texlive-cinzel-9:svn54512-36.fc34.noarch 939/3201 Verifying : texlive-circ-9:svn15878.1.1-36.fc34.noarch 940/3201 Verifying : texlive-circledsteps-9:svn53382-36.fc34.noarch 941/3201 Verifying : texlive-circuit-macros-9:svn54994-36.fc34.noar 942/3201 Verifying : texlive-circuitikz-9:svn54873-36.fc34.noarch 943/3201 Verifying : texlive-cite-9:svn36428.5.5-36.fc34.noarch 944/3201 Verifying : texlive-citeall-9:svn45975-36.fc34.noarch 945/3201 Verifying : texlive-citeref-9:svn47407-36.fc34.noarch 946/3201 Verifying : texlive-cje-9:svn46721-36.fc34.noarch 947/3201 Verifying : texlive-cjk-9:svn36951.4.8.4-36.fc34.noarch 948/3201 Verifying : texlive-cjkpunct-9:svn41119-36.fc34.noarch 949/3201 Verifying : texlive-clara-9:svn54512-36.fc34.noarch 950/3201 Verifying : texlive-classics-9:svn53671-36.fc34.noarch 951/3201 Verifying : texlive-classicthesis-9:svn48041-36.fc34.noarc 952/3201 Verifying : texlive-classpack-9:svn33101.0.77-36.fc34.noar 953/3201 Verifying : texlive-cleanthesis-9:svn51472-36.fc34.noarch 954/3201 Verifying : texlive-clearsans-9:svn54512-36.fc34.noarch 955/3201 Verifying : texlive-clefval-9:svn16549.0-36.fc34.noarch 956/3201 Verifying : texlive-cleveref-9:svn47525-36.fc34.noarch 957/3201 Verifying : texlive-clipboard-9:svn47747-36.fc34.noarch 958/3201 Verifying : texlive-clock-9:svn15878.0-36.fc34.noarch 959/3201 Verifying : texlive-cloze-9:svn41531-36.fc34.noarch 960/3201 Verifying : texlive-clrdblpg-9:svn47511-36.fc34.noarch 961/3201 Verifying : texlive-clrscode-9:svn51136-36.fc34.noarch 962/3201 Verifying : texlive-clrscode3e-9:svn51137-36.fc34.noarch 963/3201 Verifying : texlive-clrstrip-9:svn53537-36.fc34.noarch 964/3201 Verifying : texlive-cm-lgc-9:svn28250.0.5-36.fc34.noarch 965/3201 Verifying : texlive-cm-mf-extra-bold-9:svn54512-36.fc34.no 966/3201 Verifying : texlive-cm-super-9:svn15878.0-36.fc34.noarch 967/3201 Verifying : texlive-cm-9:svn49028-36.fc34.noarch 968/3201 Verifying : texlive-cm-unicode-9:svn19445.0.7.0-36.fc34.no 969/3201 Verifying : texlive-cmap-9:svn42428-36.fc34.noarch 970/3201 Verifying : texlive-cmbright-9:svn21107.8.1-36.fc34.noarch 971/3201 Verifying : texlive-cmdstring-9:svn15878.1.1-36.fc34.noarc 972/3201 Verifying : texlive-cmdtrack-9:svn28910-36.fc34.noarch 973/3201 Verifying : texlive-cmexb-9:svn54074-36.fc34.noarch 974/3201 Verifying : texlive-cmextra-9:svn42428-36.fc34.noarch 975/3201 Verifying : texlive-cmll-9:svn17964.0-36.fc34.noarch 976/3201 Verifying : texlive-cmpica-9:svn15878.0-36.fc34.noarch 977/3201 Verifying : texlive-cmpj-9:svn51661-36.fc34.noarch 978/3201 Verifying : texlive-cmsd-9:svn18787.0-36.fc34.noarch 979/3201 Verifying : texlive-cmsrb-9:svn54706-36.fc34.noarch 980/3201 Verifying : texlive-cmtiup-9:svn39728-36.fc34.noarch 981/3201 Verifying : texlive-cnltx-9:svn52601-36.fc34.noarch 982/3201 Verifying : texlive-cns-9:svn45677-36.fc34.noarch 983/3201 Verifying : texlive-cntformats-9:svn34668.0.7-36.fc34.noar 984/3201 Verifying : texlive-cntperchap-9:svn37572.0.3-36.fc34.noar 985/3201 Verifying : texlive-cochineal-9:svn56418-36.fc34.noarch 986/3201 Verifying : texlive-codeanatomy-9:svn51627-36.fc34.noarch 987/3201 Verifying : texlive-codedoc-9:svn17630.0.3-36.fc34.noarch 988/3201 Verifying : texlive-codepage-9:svn51502-36.fc34.noarch 989/3201 Verifying : texlive-codesection-9:svn34481.0.1-36.fc34.noa 990/3201 Verifying : texlive-coelacanth-9:svn54736-36.fc34.noarch 991/3201 Verifying : texlive-collcell-9:svn21539.0.5-36.fc34.noarch 992/3201 Verifying : texlive-collectbox-9:svn26557.0.4b-36.fc34.noa 993/3201 Verifying : texlive-collection-basic-9:svn54186-36.fc34.no 994/3201 Verifying : texlive-collection-bibtexextra-9:svn54875-36.f 995/3201 Verifying : texlive-collection-fontsextra-9:svn54138-36.fc 996/3201 Verifying : texlive-collection-fontsrecommended-9:svn54074 997/3201 Verifying : texlive-collection-latex-9:svn54074-36.fc34.no 998/3201 Verifying : texlive-collection-latexextra-9:svn54851-36.fc 999/3201 Verifying : texlive-collection-latexrecommended-9:svn54074 1000/3201 Verifying : texlive-collection-mathscience-9:svn54754-36.f 1001/3201 Verifying : texlive-collection-pictures-9:svn54812-36.fc34 1002/3201 Verifying : texlive-collection-publishers-9:svn54407-36.fc 1003/3201 Verifying : texlive-collref-9:svn46358-36.fc34.noarch 1004/3201 Verifying : texlive-colophon-9:svn47913-36.fc34.noarch 1005/3201 Verifying : texlive-colordoc-9:svn18270.0-36.fc34.noarch 1006/3201 Verifying : texlive-colorinfo-9:svn15878.0.3c-36.fc34.noar 1007/3201 Verifying : texlive-coloring-9:svn41042-36.fc34.noarch 1008/3201 Verifying : texlive-colorprofiles-9:svn49086-36.fc34.noarc 1009/3201 Verifying : texlive-colorspace-9:svn50585-36.fc34.noarch 1010/3201 Verifying : texlive-colortab-9:svn22155.1.0-36.fc34.noarch 1011/3201 Verifying : texlive-colortbl-9:svn53545-36.fc34.noarch 1012/3201 Verifying : texlive-colorwav-9:svn15878.1.0-36.fc34.noarch 1013/3201 Verifying : texlive-colorweb-9:svn31490.1.3-36.fc34.noarch 1014/3201 Verifying : texlive-colourchange-9:svn21741.1.22-36.fc34.n 1015/3201 Verifying : texlive-combelow-9:svn18462.0.99f-36.fc34.noar 1016/3201 Verifying : texlive-combine-9:svn19361.0.7a-36.fc34.noarch 1017/3201 Verifying : texlive-combinedgraphics-9:svn27198.0.2.2-36.f 1018/3201 Verifying : texlive-comfortaa-9:svn54512-36.fc34.noarch 1019/3201 Verifying : texlive-comicneue-9:svn54891-36.fc34.noarch 1020/3201 Verifying : texlive-comma-9:svn18259.1.2-36.fc34.noarch 1021/3201 Verifying : texlive-commado-9:svn38875-36.fc34.noarch 1022/3201 Verifying : texlive-commath-9:svn15878.0.3-36.fc34.noarch 1023/3201 Verifying : texlive-commedit-9:svn50116-36.fc34.noarch 1024/3201 Verifying : texlive-comment-9:svn41927-36.fc34.noarch 1025/3201 Verifying : texlive-compactbib-9:svn15878.0-36.fc34.noarch 1026/3201 Verifying : texlive-competences-9:svn47573-36.fc34.noarch 1027/3201 Verifying : texlive-complexity-9:svn45322-36.fc34.noarch 1028/3201 Verifying : texlive-computational-complexity-9:svn44847-36 1029/3201 Verifying : texlive-concepts-9:svn29020.0.0.5_r1-36.fc34.n 1030/3201 Verifying : texlive-concmath-fonts-9:svn17218.0-36.fc34.no 1031/3201 Verifying : texlive-concmath-9:svn17219.0-36.fc34.noarch 1032/3201 Verifying : texlive-concprog-9:svn18791.0-36.fc34.noarch 1033/3201 Verifying : texlive-concrete-9:svn15878.0-36.fc34.noarch 1034/3201 Verifying : texlive-confproc-9:svn29349.0.8-36.fc34.noarch 1035/3201 Verifying : texlive-constants-9:svn15878.1.0-36.fc34.noarc 1036/3201 Verifying : texlive-conteq-9:svn37868.0.1.1-36.fc34.noarch 1037/3201 Verifying : texlive-continue-9:svn49449-36.fc34.noarch 1038/3201 Verifying : texlive-contour-9:svn18950.2.14-36.fc34.noarch 1039/3201 Verifying : texlive-contracard-9:svn50217-36.fc34.noarch 1040/3201 Verifying : texlive-conv-xkv-9:svn43558-36.fc34.noarch 1041/3201 Verifying : texlive-cooking-9:svn15878.0.9b-36.fc34.noarch 1042/3201 Verifying : texlive-cooking-units-9:svn53403-36.fc34.noarc 1043/3201 Verifying : texlive-cookingsymbols-9:svn35929.1.1-36.fc34. 1044/3201 Verifying : texlive-cool-9:svn15878.1.35-36.fc34.noarch 1045/3201 Verifying : texlive-coollist-9:svn15878.1.4-36.fc34.noarch 1046/3201 Verifying : texlive-coolstr-9:svn15878.2.2-36.fc34.noarch 1047/3201 Verifying : texlive-coolthms-9:svn29062.1.2-36.fc34.noarch 1048/3201 Verifying : texlive-cooltooltips-9:svn15878.1.0-36.fc34.no 1049/3201 Verifying : texlive-coordsys-9:svn15878.1.4-36.fc34.noarch 1050/3201 Verifying : texlive-copyedit-9:svn37928.1.6-36.fc34.noarch 1051/3201 Verifying : texlive-copyrightbox-9:svn24829.0.1-36.fc34.no 1052/3201 Verifying : texlive-cormorantgaramond-9:svn54696-36.fc34.n 1053/3201 Verifying : texlive-correctmathalign-9:svn44131-36.fc34.no 1054/3201 Verifying : texlive-coseoul-9:svn23862.1.1-36.fc34.noarch 1055/3201 Verifying : texlive-countriesofeurope-9:svn54512-36.fc34.n 1056/3201 Verifying : texlive-counttexruns-9:svn27576.1.00a-36.fc34. 1057/3201 Verifying : texlive-courier-scaled-9:svn24940.0-36.fc34.no 1058/3201 Verifying : texlive-courier-9:svn35058.0-36.fc34.noarch 1059/3201 Verifying : texlive-courseoutline-9:svn15878.1.0-36.fc34.n 1060/3201 Verifying : texlive-coursepaper-9:svn15878.2.0-36.fc34.noa 1061/3201 Verifying : texlive-coverpage-9:svn15878.1.01-36.fc34.noar 1062/3201 Verifying : texlive-cprotect-9:svn21209.1.0e-36.fc34.noarc 1063/3201 Verifying : texlive-cquthesis-9:svn52355-36.fc34.noarch 1064/3201 Verifying : texlive-crbox-9:svn29803.0.1-36.fc34.noarch 1065/3201 Verifying : texlive-crimson-9:svn54512-36.fc34.noarch 1066/3201 Verifying : texlive-crimsonpro-9:svn54512-36.fc34.noarch 1067/3201 Verifying : texlive-crop-9:svn15878.1.5-36.fc34.noarch 1068/3201 Verifying : texlive-crossreference-9:svn15878.0-36.fc34.no 1069/3201 Verifying : texlive-crossreftools-9:svn54080-36.fc34.noarc 1070/3201 Verifying : texlive-crossrefware-9:20200327-25.fc34.noarch 1071/3201 Verifying : texlive-cryptocode-9:svn49131-36.fc34.noarch 1072/3201 Verifying : texlive-cryst-9:svn15878.0-36.fc34.noarch 1073/3201 Verifying : texlive-csquotes-9:svn53041-36.fc34.noarch 1074/3201 Verifying : texlive-css-colors-9:svn54512-36.fc34.noarch 1075/3201 Verifying : texlive-csvmerge-9:svn51857-36.fc34.noarch 1076/3201 Verifying : texlive-csvsimple-9:svn51010-36.fc34.noarch 1077/3201 Verifying : texlive-ctable-9:svn38672-36.fc34.noarch 1078/3201 Verifying : texlive-ctablestack-9:svn38514-36.fc34.noarch 1079/3201 Verifying : texlive-ctex-9:svn54841-36.fc34.noarch 1080/3201 Verifying : texlive-ctib-9:svn15878.0-36.fc34.noarch 1081/3201 Verifying : texlive-cuisine-9:svn34453.0.7-36.fc34.noarch 1082/3201 Verifying : texlive-cuprum-9:svn49909-36.fc34.noarch 1083/3201 Verifying : texlive-currency-9:svn48990-36.fc34.noarch 1084/3201 Verifying : texlive-currfile-9:svn40725-36.fc34.noarch 1085/3201 Verifying : texlive-currvita-9:svn15878.0-36.fc34.noarch 1086/3201 Verifying : texlive-curve-9:svn20745.1.16-36.fc34.noarch 1087/3201 Verifying : texlive-curve2e-9:svn54758-36.fc34.noarch 1088/3201 Verifying : texlive-curves-9:svn45255-36.fc34.noarch 1089/3201 Verifying : texlive-custom-bib-9:svn24729.4.33-36.fc34.noa 1090/3201 Verifying : texlive-cutwin-9:svn29803.0.1-36.fc34.noarch 1091/3201 Verifying : texlive-cv-9:svn15878.0-36.fc34.noarch 1092/3201 Verifying : texlive-cv4tw-9:svn34577.0.2-36.fc34.noarch 1093/3201 Verifying : texlive-cweb-latex-9:svn28878.0-36.fc34.noarch 1094/3201 Verifying : texlive-cyber-9:svn46776-36.fc34.noarch 1095/3201 Verifying : texlive-cybercic-9:svn37659.2.1-36.fc34.noarch 1096/3201 Verifying : texlive-cyklop-9:svn18651.0.915-36.fc34.noarch 1097/3201 Verifying : texlive-dancers-9:svn13293.0-36.fc34.noarch 1098/3201 Verifying : texlive-dantelogo-9:svn38599-36.fc34.noarch 1099/3201 Verifying : texlive-dashbox-9:svn23425.1.14-36.fc34.noarch 1100/3201 Verifying : texlive-dashrule-9:svn29579.1.3-36.fc34.noarch 1101/3201 Verifying : texlive-dashundergaps-9:svn53756-36.fc34.noarc 1102/3201 Verifying : texlive-dataref-9:svn42883-36.fc34.noarch 1103/3201 Verifying : texlive-datatool-9:svn52663-36.fc34.noarch 1104/3201 Verifying : texlive-dateiliste-9:svn27974.0.6-36.fc34.noar 1105/3201 Verifying : texlive-datenumber-9:svn18951.0.02-36.fc34.noa 1106/3201 Verifying : texlive-datetime-9:svn36650.2.60-36.fc34.noarc 1107/3201 Verifying : texlive-datetime2-bahasai-9:svn46287-36.fc34.n 1108/3201 Verifying : texlive-datetime2-basque-9:svn47064-36.fc34.no 1109/3201 Verifying : texlive-datetime2-breton-9:svn52647-36.fc34.no 1110/3201 Verifying : texlive-datetime2-bulgarian-9:svn47031-36.fc34 1111/3201 Verifying : texlive-datetime2-catalan-9:svn47032-36.fc34.n 1112/3201 Verifying : texlive-datetime2-croatian-9:svn36682.1.0-36.f 1113/3201 Verifying : texlive-datetime2-czech-9:svn47033-36.fc34.noa 1114/3201 Verifying : texlive-datetime2-danish-9:svn47034-36.fc34.no 1115/3201 Verifying : texlive-datetime2-dutch-9:svn47355-36.fc34.noa 1116/3201 Verifying : texlive-datetime2-en-fulltext-9:svn36705.1.0-3 1117/3201 Verifying : texlive-datetime2-english-9:svn52479-36.fc34.n 1118/3201 Verifying : texlive-datetime2-esperanto-9:svn47356-36.fc34 1119/3201 Verifying : texlive-datetime2-estonian-9:svn47565-36.fc34. 1120/3201 Verifying : texlive-datetime2-finnish-9:svn47047-36.fc34.n 1121/3201 Verifying : texlive-datetime2-french-9:svn43742-36.fc34.no 1122/3201 Verifying : texlive-datetime2-galician-9:svn47631-36.fc34. 1123/3201 Verifying : texlive-datetime2-german-9:svn53125-36.fc34.no 1124/3201 Verifying : texlive-datetime2-greek-9:svn47533-36.fc34.noa 1125/3201 Verifying : texlive-datetime2-hebrew-9:svn47534-36.fc34.no 1126/3201 Verifying : texlive-datetime2-icelandic-9:svn47501-36.fc34 1127/3201 Verifying : texlive-datetime2-irish-9:svn47632-36.fc34.noa 1128/3201 Verifying : texlive-datetime2-it-fulltext-9:svn54779-36.fc 1129/3201 Verifying : texlive-datetime2-italian-9:svn37146.1.3-36.fc 1130/3201 Verifying : texlive-datetime2-latin-9:svn47748-36.fc34.noa 1131/3201 Verifying : texlive-datetime2-lsorbian-9:svn47749-36.fc34. 1132/3201 Verifying : texlive-datetime2-magyar-9:svn48266-36.fc34.no 1133/3201 Verifying : texlive-datetime2-norsk-9:svn48267-36.fc34.noa 1134/3201 Verifying : texlive-datetime2-polish-9:svn48456-36.fc34.no 1135/3201 Verifying : texlive-datetime2-portuges-9:svn48457-36.fc34. 1136/3201 Verifying : texlive-datetime2-romanian-9:svn43743-36.fc34. 1137/3201 Verifying : texlive-datetime2-russian-9:svn49345-36.fc34.n 1138/3201 Verifying : texlive-datetime2-samin-9:svn49346-36.fc34.noa 1139/3201 Verifying : texlive-datetime2-scottish-9:svn52101-36.fc34. 1140/3201 Verifying : texlive-datetime2-serbian-9:svn52893-36.fc34.n 1141/3201 Verifying : texlive-datetime2-slovak-9:svn52281-36.fc34.no 1142/3201 Verifying : texlive-datetime2-slovene-9:svn52282-36.fc34.n 1143/3201 Verifying : texlive-datetime2-spanish-9:svn45785-36.fc34.n 1144/3201 Verifying : texlive-datetime2-9:svn54080-36.fc34.noarch 1145/3201 Verifying : texlive-datetime2-swedish-9:svn36700.1.0-36.fc 1146/3201 Verifying : texlive-datetime2-turkish-9:svn52331-36.fc34.n 1147/3201 Verifying : texlive-datetime2-ukrainian-9:svn47552-36.fc34 1148/3201 Verifying : texlive-datetime2-usorbian-9:svn52375-36.fc34. 1149/3201 Verifying : texlive-datetime2-welsh-9:svn52553-36.fc34.noa 1150/3201 Verifying : texlive-dblfloatfix-9:svn28983.1.0a-36.fc34.no 1151/3201 Verifying : texlive-dccpaper-9:svn54512-36.fc34.noarch 1152/3201 Verifying : texlive-dcpic-9:svn30206.5.0.0-36.fc34.noarch 1153/3201 Verifying : texlive-decimal-9:svn23374.0-36.fc34.noarch 1154/3201 Verifying : texlive-decorule-9:svn23487.0.6-36.fc34.noarch 1155/3201 Verifying : texlive-dehyph-9:svn48599-36.fc34.noarch 1156/3201 Verifying : texlive-dejavu-otf-9:svn45991-36.fc34.noarch 1157/3201 Verifying : texlive-dejavu-9:svn31771.2.34-36.fc34.noarch 1158/3201 Verifying : texlive-delim-9:svn23974.1.0-36.fc34.noarch 1159/3201 Verifying : texlive-delimseasy-9:svn39589-36.fc34.noarch 1160/3201 Verifying : texlive-delimset-9:svn49544-36.fc34.noarch 1161/3201 Verifying : texlive-delimtxt-9:svn16549.0-36.fc34.noarch 1162/3201 Verifying : texlive-denisbdoc-9:svn54584-36.fc34.noarch 1163/3201 Verifying : texlive-derivative-9:svn53654-36.fc34.noarch 1164/3201 Verifying : texlive-dhua-9:svn24035.0.11-36.fc34.noarch 1165/3201 Verifying : texlive-diabetes-logbook-9:svn54810-36.fc34.no 1166/3201 Verifying : texlive-diagbox-9:svn54080-36.fc34.noarch 1167/3201 Verifying : texlive-diagmac2-9:svn15878.2.1-36.fc34.noarch 1168/3201 Verifying : texlive-diagnose-9:svn19387.0.2-36.fc34.noarch 1169/3201 Verifying : texlive-dialogl-9:svn28946.0-36.fc34.noarch 1170/3201 Verifying : texlive-dice-9:svn28501.0-36.fc34.noarch 1171/3201 Verifying : texlive-dichokey-9:svn17192.0-36.fc34.noarch 1172/3201 Verifying : texlive-dictsym-9:svn20031.0-36.fc34.noarch 1173/3201 Verifying : texlive-diffcoeff-9:svn53244-36.fc34.noarch 1174/3201 Verifying : texlive-digiconfigs-9:svn15878.0.5-36.fc34.noa 1175/3201 Verifying : texlive-dijkstra-9:svn45256-36.fc34.noarch 1176/3201 Verifying : texlive-din1505-9:svn19441.0-36.fc34.noarch 1177/3201 Verifying : texlive-dinbrief-9:svn15878.0-36.fc34.noarch 1178/3201 Verifying : texlive-dingbat-9:svn27918.1.0-36.fc34.noarch 1179/3201 Verifying : texlive-directory-9:svn15878.1.20-36.fc34.noar 1180/3201 Verifying : texlive-dirtree-9:svn42428-36.fc34.noarch 1181/3201 Verifying : texlive-dirtytalk-9:svn20520.1.0-36.fc34.noarc 1182/3201 Verifying : texlive-ditaa-9:svn48932-36.fc34.noarch 1183/3201 Verifying : texlive-dithesis-9:svn34295.0.2-36.fc34.noarch 1184/3201 Verifying : texlive-dk-bib-9:svn15878.0.6-36.fc34.noarch 1185/3201 Verifying : texlive-dlfltxb-9:svn17337.0-36.fc34.noarch 1186/3201 Verifying : texlive-dnaseq-9:svn17194.0.01-36.fc34.noarch 1187/3201 Verifying : texlive-doc-pictex-doc-9:svn24927.0-36.fc34.no 1188/3201 Verifying : texlive-doclicense-9:svn54758-36.fc34.noarch 1189/3201 Verifying : texlive-docmfp-9:svn15878.1.2d-36.fc34.noarch 1190/3201 Verifying : texlive-docmute-9:svn25741.1.4-36.fc34.noarch 1191/3201 Verifying : texlive-doctools-9:svn34474.0.1-36.fc34.noarch 1192/3201 Verifying : texlive-documentation-9:svn34521.0.1-36.fc34.n 1193/3201 Verifying : texlive-doi-9:svn48634-36.fc34.noarch 1194/3201 Verifying : texlive-doipubmed-9:svn15878.1.01-36.fc34.noar 1195/3201 Verifying : texlive-domitian-9:svn55286-36.fc34.noarch 1196/3201 Verifying : texlive-dot2texi-9:svn26237.3.0-36.fc34.noarch 1197/3201 Verifying : texlive-dotarrow-9:svn15878.0.01a-36.fc34.noar 1198/3201 Verifying : texlive-dotlessi-9:svn51476-36.fc34.noarch 1199/3201 Verifying : texlive-dotseqn-9:svn17195.1.1-36.fc34.noarch 1200/3201 Verifying : texlive-dottex-9:svn15878.0.6-36.fc34.noarch 1201/3201 Verifying : texlive-doublestroke-9:svn15878.1.111-36.fc34. 1202/3201 Verifying : texlive-dowith-9:svn38860-36.fc34.noarch 1203/3201 Verifying : texlive-download-9:svn52257-36.fc34.noarch 1204/3201 Verifying : texlive-dox-9:svn46011-36.fc34.noarch 1205/3201 Verifying : texlive-dozenal-9:svn47680-36.fc34.noarch 1206/3201 Verifying : texlive-dpcircling-9:svn54994-36.fc34.noarch 1207/3201 Verifying : texlive-dpfloat-9:svn17196.0-36.fc34.noarch 1208/3201 Verifying : texlive-dprogress-9:svn15878.0.1-36.fc34.noarc 1209/3201 Verifying : texlive-drac-9:svn15878.1-36.fc34.noarch 1210/3201 Verifying : texlive-draftcopy-9:svn15878.2.16-36.fc34.noar 1211/3201 Verifying : texlive-draftfigure-9:svn44854-36.fc34.noarch 1212/3201 Verifying : texlive-draftwatermark-9:svn54317-36.fc34.noar 1213/3201 Verifying : texlive-dratex-9:svn15878.0-36.fc34.noarch 1214/3201 Verifying : texlive-drawmatrix-9:svn44471-36.fc34.noarch 1215/3201 Verifying : texlive-drawstack-9:svn28582.0-36.fc34.noarch 1216/3201 Verifying : texlive-drm-9:svn38157.4.4-36.fc34.noarch 1217/3201 Verifying : texlive-droid-9:svn54512-36.fc34.noarch 1218/3201 Verifying : texlive-drs-9:svn19232.1.1b-36.fc34.noarch 1219/3201 Verifying : texlive-dsserif-9:svn54512-36.fc34.noarch 1220/3201 Verifying : texlive-dtk-9:svn54080-36.fc34.noarch 1221/3201 Verifying : texlive-dtxdescribe-9:svn51652-36.fc34.noarch 1222/3201 Verifying : texlive-dtxgallery-doc-9:svn49504-36.fc34.noar 1223/3201 Verifying : texlive-ducksay-9:svn53631-36.fc34.noarch 1224/3201 Verifying : texlive-duckuments-9:svn52271-36.fc34.noarch 1225/3201 Verifying : texlive-duerer-latex-9:svn15878.1.1-36.fc34.no 1226/3201 Verifying : texlive-duerer-9:svn20741.0-36.fc34.noarch 1227/3201 Verifying : texlive-duotenzor-9:svn18728.1.00-36.fc34.noar 1228/3201 Verifying : texlive-dutchcal-9:svn54080-36.fc34.noarch 1229/3201 Verifying : texlive-dvdcoll-9:svn15878.v1.1a-36.fc34.noarc 1230/3201 Verifying : texlive-dvipdfmx-9:20200327-25.fc34.x86_64 1231/3201 Verifying : texlive-dvips-9:20200327-25.fc34.x86_64 1232/3201 Verifying : texlive-dvisvgm-9:20200327-25.fc34.x86_64 1233/3201 Verifying : texlive-dynamicnumber-9:svn38726-36.fc34.noarc 1234/3201 Verifying : texlive-dynblocks-9:svn35193.0.2b-36.fc34.noar 1235/3201 Verifying : texlive-dynkin-diagrams-9:svn54489-36.fc34.noa 1236/3201 Verifying : texlive-dyntree-9:svn15878.1.0-36.fc34.noarch 1237/3201 Verifying : texlive-ean-9:svn20851.0-36.fc34.noarch 1238/3201 Verifying : texlive-ean13isbn-9:svn15878.0-36.fc34.noarch 1239/3201 Verifying : texlive-easy-9:svn19440.0.99-36.fc34.noarch 1240/3201 Verifying : texlive-easy-todo-9:svn32677.0-36.fc34.noarch 1241/3201 Verifying : texlive-easyfig-9:svn47193-36.fc34.noarch 1242/3201 Verifying : texlive-easyformat-9:svn44543-36.fc34.noarch 1243/3201 Verifying : texlive-easylist-9:svn32661.1.3-36.fc34.noarch 1244/3201 Verifying : texlive-easyreview-9:svn38352.1.0-36.fc34.noar 1245/3201 Verifying : texlive-ebezier-9:svn15878.4-36.fc34.noarch 1246/3201 Verifying : texlive-ebgaramond-maths-9:svn52168-36.fc34.no 1247/3201 Verifying : texlive-ebgaramond-9:svn54721-36.fc34.noarch 1248/3201 Verifying : texlive-ebook-9:svn29466.0-36.fc34.noarch 1249/3201 Verifying : texlive-ebproof-9:svn44392-36.fc34.noarch 1250/3201 Verifying : texlive-ebsthesis-9:svn15878.1.0-36.fc34.noarc 1251/3201 Verifying : texlive-ec-9:svn25033.1.0-36.fc34.noarch 1252/3201 Verifying : texlive-ecc-9:svn15878.0-36.fc34.noarch 1253/3201 Verifying : texlive-ecclesiastic-9:svn38172.0.3-36.fc34.no 1254/3201 Verifying : texlive-ecgdraw-9:svn41617-36.fc34.noarch 1255/3201 Verifying : texlive-eco-9:svn29349.1.3-36.fc34.noarch 1256/3201 Verifying : texlive-ecobiblatex-9:svn39233-36.fc34.noarch 1257/3201 Verifying : texlive-econ-bst-9:svn54191-36.fc34.noarch 1258/3201 Verifying : texlive-econometrics-9:svn39396-36.fc34.noarch 1259/3201 Verifying : texlive-economic-9:svn32639.0-36.fc34.noarch 1260/3201 Verifying : texlive-ecothesis-9:svn48007-36.fc34.noarch 1261/3201 Verifying : texlive-ecv-9:svn24928.0.3-36.fc34.noarch 1262/3201 Verifying : texlive-ed-9:svn25231.1.8-36.fc34.noarch 1263/3201 Verifying : texlive-edmargin-9:svn27599.1.2-36.fc34.noarch 1264/3201 Verifying : texlive-eemeir-9:svn15878.1.1b-36.fc34.noarch 1265/3201 Verifying : texlive-eepic-9:svn15878.1.1e-36.fc34.noarch 1266/3201 Verifying : texlive-efbox-9:svn33236.1.0-36.fc34.noarch 1267/3201 Verifying : texlive-egplot-9:svn20617.1.02a-36.fc34.noarch 1268/3201 Verifying : texlive-ehhline-9:svn54676-36.fc34.noarch 1269/3201 Verifying : texlive-eiad-ltx-9:svn15878.1.0-36.fc34.noarch 1270/3201 Verifying : texlive-eiad-9:svn15878.0-36.fc34.noarch 1271/3201 Verifying : texlive-ejpecp-9:svn50761-36.fc34.noarch 1272/3201 Verifying : texlive-ekaia-9:svn49594-36.fc34.noarch 1273/3201 Verifying : texlive-elbioimp-9:svn21758.1.2-36.fc34.noarch 1274/3201 Verifying : texlive-electrum-9:svn19705.1.005_b-36.fc34.no 1275/3201 Verifying : texlive-elegantbook-9:svn54758-36.fc34.noarch 1276/3201 Verifying : texlive-elegantnote-9:svn54758-36.fc34.noarch 1277/3201 Verifying : texlive-elegantpaper-9:svn54758-36.fc34.noarch 1278/3201 Verifying : texlive-elements-9:svn52398-36.fc34.noarch 1279/3201 Verifying : texlive-ellipse-9:svn39025-36.fc34.noarch 1280/3201 Verifying : texlive-ellipsis-9:svn15878.0-36.fc34.noarch 1281/3201 Verifying : texlive-elmath-9:svn15878.v1.2-36.fc34.noarch 1282/3201 Verifying : texlive-elocalloc-9:svn42712-36.fc34.noarch 1283/3201 Verifying : texlive-elpres-9:svn46429-36.fc34.noarch 1284/3201 Verifying : texlive-els-cas-templates-9:svn54317-36.fc34.n 1285/3201 Verifying : texlive-elsarticle-9:svn50786-36.fc34.noarch 1286/3201 Verifying : texlive-elteikthesis-9:svn53926-36.fc34.noarch 1287/3201 Verifying : texlive-eltex-9:svn15878.2.0-36.fc34.noarch 1288/3201 Verifying : texlive-elvish-9:svn15878.0-36.fc34.noarch 1289/3201 Verifying : texlive-elzcards-9:svn51894-36.fc34.noarch 1290/3201 Verifying : texlive-emarks-9:svn24504.1.0-36.fc34.noarch 1291/3201 Verifying : texlive-embedall-9:svn51177-36.fc34.noarch 1292/3201 Verifying : texlive-embedfile-9:svn54865-36.fc34.noarch 1293/3201 Verifying : texlive-embrac-9:svn54512-36.fc34.noarch 1294/3201 Verifying : texlive-emf-9:svn42023-36.fc34.noarch 1295/3201 Verifying : texlive-emisa-9:svn46734-36.fc34.noarch 1296/3201 Verifying : texlive-emptypage-9:svn18064.1.2-36.fc34.noarc 1297/3201 Verifying : texlive-emulateapj-9:svn28469.0-36.fc34.noarch 1298/3201 Verifying : texlive-enctex-9:svn34957.0-36.fc34.noarch 1299/3201 Verifying : texlive-endfloat-9:svn51003-36.fc34.noarch 1300/3201 Verifying : texlive-endheads-9:svn43750-36.fc34.noarch 1301/3201 Verifying : texlive-endiagram-9:svn34486.0.1d-36.fc34.noar 1302/3201 Verifying : texlive-endnotes-hy-9:svn54758-36.fc34.noarch 1303/3201 Verifying : texlive-endnotes-9:svn53319-36.fc34.noarch 1304/3201 Verifying : texlive-endofproofwd-9:svn45116-36.fc34.noarch 1305/3201 Verifying : texlive-engpron-9:svn16558.2-36.fc34.noarch 1306/3201 Verifying : texlive-engrec-9:svn15878.1.1-36.fc34.noarch 1307/3201 Verifying : texlive-engtlc-9:svn28571.3.2-36.fc34.noarch 1308/3201 Verifying : texlive-enotez-9:svn54512-36.fc34.noarch 1309/3201 Verifying : texlive-enumitem-9:svn51423-36.fc34.noarch 1310/3201 Verifying : texlive-enumitem-zref-9:svn21472.1.8-36.fc34.n 1311/3201 Verifying : texlive-envbig-9:svn15878.0-36.fc34.noarch 1312/3201 Verifying : texlive-environ-9:svn54080-36.fc34.noarch 1313/3201 Verifying : texlive-envlab-9:svn15878.1.2-36.fc34.noarch 1314/3201 Verifying : texlive-epigrafica-9:svn17210.1.01-36.fc34.noa 1315/3201 Verifying : texlive-epigraph-keys-9:svn54851-36.fc34.noarc 1316/3201 Verifying : texlive-epigraph-9:svn54857-36.fc34.noarch 1317/3201 Verifying : texlive-epiolmec-9:svn15878.0-36.fc34.noarch 1318/3201 Verifying : texlive-epsdice-9:svn15878.2.1-36.fc34.noarch 1319/3201 Verifying : texlive-epsf-9:svn21461.2.7.4-36.fc34.noarch 1320/3201 Verifying : texlive-epspdf-9:20200327-25.fc34.noarch 1321/3201 Verifying : texlive-epspdfconversion-9:svn18703.0.61-36.fc 1322/3201 Verifying : texlive-epstopdf-pkg-9:svn53546-36.fc34.noarch 1323/3201 Verifying : texlive-eqell-9:svn22931.0-36.fc34.noarch 1324/3201 Verifying : texlive-eqexpl-9:svn54080-36.fc34.noarch 1325/3201 Verifying : texlive-eqlist-9:svn32257.2.1-36.fc34.noarch 1326/3201 Verifying : texlive-eqnalign-9:svn43278-36.fc34.noarch 1327/3201 Verifying : texlive-eqname-9:svn20678.0-36.fc34.noarch 1328/3201 Verifying : texlive-eqnarray-9:svn20641.1.3-36.fc34.noarch 1329/3201 Verifying : texlive-eqnnumwarn-9:svn45511-36.fc34.noarch 1330/3201 Verifying : texlive-eqparbox-9:svn45215-36.fc34.noarch 1331/3201 Verifying : texlive-erdc-9:svn15878.1.1-36.fc34.noarch 1332/3201 Verifying : texlive-erewhon-math-9:svn54769-36.fc34.noarch 1333/3201 Verifying : texlive-erewhon-9:svn55705-36.fc34.noarch 1334/3201 Verifying : texlive-errata-9:svn42428-36.fc34.noarch 1335/3201 Verifying : texlive-erw-l3-9:svn53694-36.fc34.noarch 1336/3201 Verifying : texlive-esami-9:svn47639-36.fc34.noarch 1337/3201 Verifying : texlive-esdiff-9:svn21385.1.2-36.fc34.noarch 1338/3201 Verifying : texlive-esindex-9:svn52342-36.fc34.noarch 1339/3201 Verifying : texlive-esint-9:svn52240-36.fc34.noarch 1340/3201 Verifying : texlive-esint-type1-9:svn15878.0-36.fc34.noarc 1341/3201 Verifying : texlive-esk-9:svn18115.1.0-36.fc34.noarch 1342/3201 Verifying : texlive-eso-pic-9:svn47694-36.fc34.noarch 1343/3201 Verifying : texlive-esrelation-9:svn37236.0-36.fc34.noarch 1344/3201 Verifying : texlive-esstix-9:svn22426.1.0-36.fc34.noarch 1345/3201 Verifying : texlive-estcpmm-9:svn17335.0.4-36.fc34.noarch 1346/3201 Verifying : texlive-esvect-9:svn32098.1.3-36.fc34.noarch 1347/3201 Verifying : texlive-etaremune-9:svn15878.v1.2-36.fc34.noar 1348/3201 Verifying : texlive-etbb-9:svn56390-36.fc34.noarch 1349/3201 Verifying : texlive-etex-pkg-9:svn41784-36.fc34.noarch 1350/3201 Verifying : texlive-etex-9:svn37057.0-36.fc34.noarch 1351/3201 Verifying : texlive-etexcmds-9:svn53171-36.fc34.noarch 1352/3201 Verifying : texlive-etextools-9:svn20694.3.1415926-36.fc34 1353/3201 Verifying : texlive-etoc-9:svn52842-36.fc34.noarch 1354/3201 Verifying : texlive-etoolbox-9:svn52153-36.fc34.noarch 1355/3201 Verifying : texlive-etsvthor-9:svn48186-36.fc34.noarch 1356/3201 Verifying : texlive-euclideangeometry-9:svn54897-36.fc34.n 1357/3201 Verifying : texlive-euenc-9:svn19795.0.1h-36.fc34.noarch 1358/3201 Verifying : texlive-euflag-9:svn49970-36.fc34.noarch 1359/3201 Verifying : texlive-eukdate-9:svn15878.1.04-36.fc34.noarch 1360/3201 Verifying : texlive-euler-9:svn42428-36.fc34.noarch 1361/3201 Verifying : texlive-eulerpx-9:svn43735-36.fc34.noarch 1362/3201 Verifying : texlive-eulervm-9:svn15878.4.0-36.fc34.noarch 1363/3201 Verifying : texlive-euro-ce-9:svn25714-36.fc34.noarch 1364/3201 Verifying : texlive-euro-9:svn22191.1.1-36.fc34.noarch 1365/3201 Verifying : texlive-europasscv-9:svn49703-36.fc34.noarch 1366/3201 Verifying : texlive-europecv-9:svn54080-36.fc34.noarch 1367/3201 Verifying : texlive-eurosym-9:svn17265.1.4_subrfix-36.fc34 1368/3201 Verifying : texlive-euxm-9:svn54074-36.fc34.noarch 1369/3201 Verifying : texlive-everyhook-9:svn35675.1.2-36.fc34.noarc 1370/3201 Verifying : texlive-everypage-9:svn15878.1.1-36.fc34.noarc 1371/3201 Verifying : texlive-exam-n-9:svn42755-36.fc34.noarch 1372/3201 Verifying : texlive-exam-randomizechoices-9:svn49662-36.fc 1373/3201 Verifying : texlive-exam-9:svn46084-36.fc34.noarch 1374/3201 Verifying : texlive-examdesign-9:svn15878.1.02-36.fc34.noa 1375/3201 Verifying : texlive-example-9:svn33398.0-36.fc34.noarch 1376/3201 Verifying : texlive-examplep-9:svn16916.0.04-36.fc34.noarc 1377/3201 Verifying : texlive-exceltex-9:20200327-25.fc34.noarch 1378/3201 Verifying : texlive-excludeonly-9:svn17262.1.0-36.fc34.noa 1379/3201 Verifying : texlive-exercise-9:svn35417.1.6-36.fc34.noarch 1380/3201 Verifying : texlive-exercisebank-9:svn50448-36.fc34.noarch 1381/3201 Verifying : texlive-exercisepoints-9:svn49590-36.fc34.noar 1382/3201 Verifying : texlive-exercises-9:svn42428-36.fc34.noarch 1383/3201 Verifying : texlive-exframe-9:svn53911-36.fc34.noarch 1384/3201 Verifying : texlive-exp-testopt-9:svn15878.0.3-36.fc34.noa 1385/3201 Verifying : texlive-expdlist-9:svn15878.2.4-36.fc34.noarch 1386/3201 Verifying : texlive-export-9:svn27206.1.8-36.fc34.noarch 1387/3201 Verifying : texlive-exsheets-9:svn52227-36.fc34.noarch 1388/3201 Verifying : texlive-exsol-9:svn48977-36.fc34.noarch 1389/3201 Verifying : texlive-extarrows-9:svn54400-36.fc34.noarch 1390/3201 Verifying : texlive-extpfeil-9:svn16243.0.4-36.fc34.noarch 1391/3201 Verifying : texlive-extract-9:svn52117-36.fc34.noarch 1392/3201 Verifying : texlive-extsizes-9:svn17263.1.4a-36.fc34.noarc 1393/3201 Verifying : texlive-facsimile-9:svn21328.1.0-36.fc34.noarc 1394/3201 Verifying : texlive-factura-9:svn54803-36.fc34.noarch 1395/3201 Verifying : texlive-facture-belge-simple-sans-tva-9:svn490 1396/3201 Verifying : texlive-faktor-9:svn15878.0.1b-36.fc34.noarch 1397/3201 Verifying : texlive-fancybox-9:svn18304.1.4-36.fc34.noarch 1398/3201 Verifying : texlive-fancyhandout-9:svn46411-36.fc34.noarch 1399/3201 Verifying : texlive-fancyhdr-9:svn49886-36.fc34.noarch 1400/3201 Verifying : texlive-fancylabel-9:svn46736-36.fc34.noarch 1401/3201 Verifying : texlive-fancynum-9:svn15878.0.92-36.fc34.noarc 1402/3201 Verifying : texlive-fancypar-9:svn49801-36.fc34.noarch 1403/3201 Verifying : texlive-fancyref-9:svn15878.0.9c-36.fc34.noarc 1404/3201 Verifying : texlive-fancyslides-9:svn36263.1.0-36.fc34.noa 1405/3201 Verifying : texlive-fancytabs-9:svn41549-36.fc34.noarch 1406/3201 Verifying : texlive-fancytooltips-9:svn27129.1.8-36.fc34.n 1407/3201 Verifying : texlive-fancyvrb-9:svn53392-36.fc34.noarch 1408/3201 Verifying : texlive-fandol-9:svn37889.0.3-36.fc34.noarch 1409/3201 Verifying : texlive-fascicules-9:svn54080-36.fc34.noarch 1410/3201 Verifying : texlive-fast-diagram-9:svn29264.1.1-36.fc34.no 1411/3201 Verifying : texlive-fbb-9:svn55728-36.fc34.noarch 1412/3201 Verifying : texlive-fbithesis-9:svn21340.1.2m-36.fc34.noar 1413/3201 Verifying : texlive-fbox-9:svn53320-36.fc34.noarch 1414/3201 Verifying : texlive-fbs-9:svn15878.0-36.fc34.noarch 1415/3201 Verifying : texlive-fcavtex-9:svn38074.1.1-36.fc34.noarch 1416/3201 Verifying : texlive-fcltxdoc-9:svn24500.1.0-36.fc34.noarch 1417/3201 Verifying : texlive-fcolumn-9:svn50937-36.fc34.noarch 1418/3201 Verifying : texlive-fdsymbol-9:svn26722.0.8-36.fc34.noarch 1419/3201 Verifying : texlive-fei-9:svn54178-36.fc34.noarch 1420/3201 Verifying : texlive-fetamont-9:svn43812-36.fc34.noarch 1421/3201 Verifying : texlive-fetchcls-9:svn45245-36.fc34.noarch 1422/3201 Verifying : texlive-fewerfloatpages-9:svn53779-36.fc34.noa 1423/3201 Verifying : texlive-feyn-9:svn45679-36.fc34.noarch 1424/3201 Verifying : texlive-feynmf-9:svn17259.1.08-36.fc34.noarch 1425/3201 Verifying : texlive-ffslides-9:svn38895-36.fc34.noarch 1426/3201 Verifying : texlive-fge-9:svn37628.1.25-36.fc34.noarch 1427/3201 Verifying : texlive-fgruler-9:svn42966-36.fc34.noarch 1428/3201 Verifying : texlive-fibeamer-9:svn53146-36.fc34.noarch 1429/3201 Verifying : texlive-fifo-stack-9:svn33288.1.0-36.fc34.noar 1430/3201 Verifying : texlive-fig4latex-9:20200327-25.fc34.noarch 1431/3201 Verifying : texlive-figbib-9:svn19388.0-36.fc34.noarch 1432/3201 Verifying : texlive-figsize-9:svn18784.0.1-36.fc34.noarch 1433/3201 Verifying : texlive-filecontents-9:svn52142-36.fc34.noarch 1434/3201 Verifying : texlive-filecontentsdef-9:svn52208-36.fc34.noa 1435/3201 Verifying : texlive-filedate-9:svn29529.0-36.fc34.noarch 1436/3201 Verifying : texlive-filehook-9:svn53655-36.fc34.noarch 1437/3201 Verifying : texlive-fileinfo-9:svn28421.0.81a-36.fc34.noar 1438/3201 Verifying : texlive-filemod-9:svn24042.1.2-36.fc34.noarch 1439/3201 Verifying : texlive-fink-9:svn24329.2.2.1-36.fc34.noarch 1440/3201 Verifying : texlive-finstrut-9:svn21719.0.5-36.fc34.noarch 1441/3201 Verifying : texlive-fira-9:svn54512-36.fc34.noarch 1442/3201 Verifying : texlive-firamath-otf-9:svn50732-36.fc34.noarch 1443/3201 Verifying : texlive-firamath-9:svn53388-36.fc34.noarch 1444/3201 Verifying : texlive-fitbox-9:svn50088-36.fc34.noarch 1445/3201 Verifying : texlive-fithesis-9:svn54483-36.fc34.noarch 1446/3201 Verifying : texlive-fix2col-9:svn38770-36.fc34.noarch 1447/3201 Verifying : texlive-fixcmex-9:svn51825-36.fc34.noarch 1448/3201 Verifying : texlive-fixfoot-9:svn17131.0.3a-36.fc34.noarch 1449/3201 Verifying : texlive-fixltxhyph-9:svn25832.0.4-36.fc34.noar 1450/3201 Verifying : texlive-fixme-9:svn49591-36.fc34.noarch 1451/3201 Verifying : texlive-fixmetodonotes-9:svn30168.0.2.2-36.fc3 1452/3201 Verifying : texlive-fjodor-9:svn53207-36.fc34.noarch 1453/3201 Verifying : texlive-flabels-9:svn17272.1.0-36.fc34.noarch 1454/3201 Verifying : texlive-flacards-9:svn19440.0.1.1b-36.fc34.noa 1455/3201 Verifying : texlive-flagderiv-9:svn15878.0.10-36.fc34.noar 1456/3201 Verifying : texlive-flashcards-9:svn19667.1.0.1-36.fc34.no 1457/3201 Verifying : texlive-flashmovie-9:svn25768.0.4-36.fc34.noar 1458/3201 Verifying : texlive-flipbook-9:svn25584.0.2-36.fc34.noarch 1459/3201 Verifying : texlive-flippdf-9:svn15878.1.0-36.fc34.noarch 1460/3201 Verifying : texlive-float-9:svn15878.1.3d-36.fc34.noarch 1461/3201 Verifying : texlive-floatflt-9:svn25540.1.31-36.fc34.noarc 1462/3201 Verifying : texlive-floatrow-9:svn15878.0.3b-36.fc34.noarc 1463/3201 Verifying : texlive-flowchart-9:svn36572.3.3-36.fc34.noarc 1464/3201 Verifying : texlive-flowfram-9:svn35291.1.17-36.fc34.noarc 1465/3201 Verifying : texlive-fltpoint-9:svn15878.1.1b-36.fc34.noarc 1466/3201 Verifying : texlive-fmp-9:svn15878.0-36.fc34.noarch 1467/3201 Verifying : texlive-fmtcount-9:svn53912-36.fc34.noarch 1468/3201 Verifying : texlive-fn2end-9:svn15878.1.1-36.fc34.noarch 1469/3201 Verifying : texlive-fnbreak-9:svn25003.1.30-36.fc34.noarch 1470/3201 Verifying : texlive-fncychap-9:svn20710.v1.34-36.fc34.noar 1471/3201 Verifying : texlive-fncylab-9:svn52090-36.fc34.noarch 1472/3201 Verifying : texlive-fnpara-9:svn25607.0-36.fc34.noarch 1473/3201 Verifying : texlive-fnpct-9:svn54512-36.fc34.noarch 1474/3201 Verifying : texlive-fnspe-9:svn45360-36.fc34.noarch 1475/3201 Verifying : texlive-fnumprint-9:svn29173.1.1a-36.fc34.noar 1476/3201 Verifying : texlive-foekfont-9:svn15878.0-36.fc34.noarch 1477/3201 Verifying : texlive-foilhtml-9:svn21855.1.2-36.fc34.noarch 1478/3201 Verifying : texlive-fonetika-9:svn21326.0-36.fc34.noarch 1479/3201 Verifying : texlive-fontawesome-9:svn48145-36.fc34.noarch 1480/3201 Verifying : texlive-fontawesome5-9:svn54517-36.fc34.noarch 1481/3201 Verifying : texlive-fontaxes-9:svn33276.1.0d-36.fc34.noarc 1482/3201 Verifying : texlive-fontmfizz-9:svn43546-36.fc34.noarch 1483/3201 Verifying : texlive-fonts-churchslavonic-9:svn43121-36.fc3 1484/3201 Verifying : texlive-fonts-tlwg-9:svn54512-36.fc34.noarch 1485/3201 Verifying : texlive-fontsetup-9:svn53195-36.fc34.noarch 1486/3201 Verifying : texlive-fontsize-9:svn53874-36.fc34.noarch 1487/3201 Verifying : texlive-fontspec-9:svn53860-36.fc34.noarch 1488/3201 Verifying : texlive-fonttable-9:svn44799-36.fc34.noarch 1489/3201 Verifying : texlive-footbib-9:svn17115.2.0.7-36.fc34.noarc 1490/3201 Verifying : texlive-footmisc-9:svn23330.5.5b-36.fc34.noarc 1491/3201 Verifying : texlive-footmisx-9:svn42621-36.fc34.noarch 1492/3201 Verifying : texlive-footnotebackref-9:svn27034.1.0-36.fc34 1493/3201 Verifying : texlive-footnotehyper-9:svn52676-36.fc34.noarc 1494/3201 Verifying : texlive-footnoterange-9:svn52910-36.fc34.noarc 1495/3201 Verifying : texlive-footnpag-9:svn15878.0-36.fc34.noarch 1496/3201 Verifying : texlive-forarray-9:svn15878.1.01-36.fc34.noarc 1497/3201 Verifying : texlive-foreign-9:svn27819.2.7-36.fc34.noarch 1498/3201 Verifying : texlive-forest-9:svn44797-36.fc34.noarch 1499/3201 Verifying : texlive-forloop-9:svn15878.3.0-36.fc34.noarch 1500/3201 Verifying : texlive-formlett-9:svn21480.2.3-36.fc34.noarch 1501/3201 Verifying : texlive-forms16be-9:svn51305-36.fc34.noarch 1502/3201 Verifying : texlive-formular-9:svn15878.1.0a-36.fc34.noarc 1503/3201 Verifying : texlive-forum-9:svn56025-36.fc34.noarch 1504/3201 Verifying : texlive-fouridx-9:svn32214.2.00-36.fc34.noarch 1505/3201 Verifying : texlive-fourier-9:svn54090-36.fc34.noarch 1506/3201 Verifying : texlive-fouriernc-9:svn29646.0-36.fc34.noarch 1507/3201 Verifying : texlive-fp-9:svn49719-36.fc34.noarch 1508/3201 Verifying : texlive-fpl-9:svn54512-36.fc34.noarch 1509/3201 Verifying : texlive-fragments-9:svn15878.0-36.fc34.noarch 1510/3201 Verifying : texlive-frame-9:svn18312.1.0-36.fc34.noarch 1511/3201 Verifying : texlive-framed-9:svn26789.0.96-36.fc34.noarch 1512/3201 Verifying : texlive-francais-bst-9:svn38922-36.fc34.noarch 1513/3201 Verifying : texlive-frankenstein-9:svn15878.0-36.fc34.noar 1514/3201 Verifying : texlive-frcursive-9:svn24559.0-36.fc34.noarch 1515/3201 Verifying : texlive-frederika2016-9:svn42157-36.fc34.noarc 1516/3201 Verifying : texlive-frege-9:svn27417.1.3-36.fc34.noarch 1517/3201 Verifying : texlive-ftc-notebook-9:svn50043-36.fc34.noarch 1518/3201 Verifying : texlive-ftcap-9:svn17275.1.4-36.fc34.noarch 1519/3201 Verifying : texlive-ftnxtra-9:svn29652.0.1-36.fc34.noarch 1520/3201 Verifying : texlive-fullblck-9:svn25434.1.03-36.fc34.noarc 1521/3201 Verifying : texlive-fullminipage-9:svn34545.0.1.1-36.fc34. 1522/3201 Verifying : texlive-fullwidth-9:svn24684.0.1-36.fc34.noarc 1523/3201 Verifying : texlive-functan-9:svn15878.0-36.fc34.noarch 1524/3201 Verifying : texlive-fundus-calligra-9:svn26018.1.2-36.fc34 1525/3201 Verifying : texlive-fundus-cyr-9:svn26019.0-36.fc34.noarch 1526/3201 Verifying : texlive-fundus-sueterlin-9:svn26030.1.2-36.fc3 1527/3201 Verifying : texlive-fvextra-9:svn49947-36.fc34.noarch 1528/3201 Verifying : texlive-fwlw-9:svn29803.0-36.fc34.noarch 1529/3201 Verifying : texlive-g-brief-9:svn50415-36.fc34.noarch 1530/3201 Verifying : texlive-gaceta-9:svn15878.1.06-36.fc34.noarch 1531/3201 Verifying : texlive-galois-9:svn15878.1.5-36.fc34.noarch 1532/3201 Verifying : texlive-gammas-9:svn50012-36.fc34.noarch 1533/3201 Verifying : texlive-garamond-libre-9:svn55166-36.fc34.noar 1534/3201 Verifying : texlive-garamond-math-9:svn52820-36.fc34.noarc 1535/3201 Verifying : texlive-garuda-c90-9:svn37677.0-36.fc34.noarch 1536/3201 Verifying : texlive-gastex-9:svn54080-36.fc34.noarch 1537/3201 Verifying : texlive-gatech-thesis-9:svn19886.1.8-36.fc34.n 1538/3201 Verifying : texlive-gatherenum-9:svn52209-36.fc34.noarch 1539/3201 Verifying : texlive-gauss-9:svn32934.0-36.fc34.noarch 1540/3201 Verifying : texlive-gb4e-9:svn19216.0-36.fc34.noarch 1541/3201 Verifying : texlive-gbt7714-9:svn54758-36.fc34.noarch 1542/3201 Verifying : texlive-gcard-9:svn15878.0-36.fc34.noarch 1543/3201 Verifying : texlive-gcite-9:svn15878.1.0.1-36.fc34.noarch 1544/3201 Verifying : texlive-gender-9:svn36464.1.0-36.fc34.noarch 1545/3201 Verifying : texlive-gene-logic-9:svn15878.1.4-36.fc34.noar 1546/3201 Verifying : texlive-genealogy-9:svn25112.0-36.fc34.noarch 1547/3201 Verifying : texlive-genealogytree-9:svn50872-36.fc34.noarc 1548/3201 Verifying : texlive-genmpage-9:svn15878.0.3.1-36.fc34.noar 1549/3201 Verifying : texlive-gentium-tug-9:svn54512-36.fc34.noarch 1550/3201 Verifying : texlive-geometry-9:svn54080-36.fc34.noarch 1551/3201 Verifying : texlive-geschichtsfrkl-9:svn42121-36.fc34.noar 1552/3201 Verifying : texlive-getfiledate-9:svn16189.1.2-36.fc34.noa 1553/3201 Verifying : texlive-getitems-9:svn39365-36.fc34.noarch 1554/3201 Verifying : texlive-getmap-9:20200327-25.fc34.noarch 1555/3201 Verifying : texlive-gettitlestring-9:svn53170-36.fc34.noar 1556/3201 Verifying : texlive-gfsartemisia-9:svn19469.1.0-36.fc34.no 1557/3201 Verifying : texlive-gfsbodoni-9:svn28484.1.01-36.fc34.noar 1558/3201 Verifying : texlive-gfscomplutum-9:svn19469.1.0-36.fc34.no 1559/3201 Verifying : texlive-gfsdidot-9:svn54080-36.fc34.noarch 1560/3201 Verifying : texlive-gfsdidotclassic-9:svn52778-36.fc34.noa 1561/3201 Verifying : texlive-gfsneohellenic-9:svn54080-36.fc34.noar 1562/3201 Verifying : texlive-gfsneohellenicmath-9:svn52570-36.fc34. 1563/3201 Verifying : texlive-gfssolomos-9:svn18651.1.0-36.fc34.noar 1564/3201 Verifying : texlive-ghsystem-9:svn53822-36.fc34.noarch 1565/3201 Verifying : texlive-gillcm-9:svn19878.1.1-36.fc34.noarch 1566/3201 Verifying : texlive-gillius-9:svn32068.0-36.fc34.noarch 1567/3201 Verifying : texlive-gincltex-9:svn23835.0.3-36.fc34.noarch 1568/3201 Verifying : texlive-gindex-9:svn52311-36.fc34.noarch 1569/3201 Verifying : texlive-ginpenc-9:svn24980.1.0-36.fc34.noarch 1570/3201 Verifying : texlive-gitfile-info-9:svn51928-36.fc34.noarch 1571/3201 Verifying : texlive-gitinfo-9:svn34049.1.0-36.fc34.noarch 1572/3201 Verifying : texlive-gitinfo2-9:svn38913-36.fc34.noarch 1573/3201 Verifying : texlive-gitlog-9:svn38932-36.fc34.noarch 1574/3201 Verifying : texlive-gitver-9:svn49980-36.fc34.noarch 1575/3201 Verifying : texlive-globalvals-9:svn49962-36.fc34.noarch 1576/3201 Verifying : texlive-glosmathtools-9:svn54558-36.fc34.noarc 1577/3201 Verifying : texlive-gloss-9:svn15878.1.5.2-36.fc34.noarch 1578/3201 Verifying : texlive-glossaries-9:20200327-25.fc34.noarch 1579/3201 Verifying : texlive-glossaries-danish-9:svn35665.1.0-36.fc 1580/3201 Verifying : texlive-glossaries-dutch-9:svn35685.1.1-36.fc3 1581/3201 Verifying : texlive-glossaries-english-9:svn35665.1.0-36.f 1582/3201 Verifying : texlive-glossaries-estonian-9:svn49928-36.fc34 1583/3201 Verifying : texlive-glossaries-extra-9:svn54688-36.fc34.no 1584/3201 Verifying : texlive-glossaries-finnish-9:svn54080-36.fc34. 1585/3201 Verifying : texlive-glossaries-french-9:svn42873-36.fc34.n 1586/3201 Verifying : texlive-glossaries-german-9:svn35665.1.0-36.fc 1587/3201 Verifying : texlive-glossaries-irish-9:svn35665.1.0-36.fc3 1588/3201 Verifying : texlive-glossaries-italian-9:svn35665.1.0-36.f 1589/3201 Verifying : texlive-glossaries-magyar-9:svn35665.1.0-36.fc 1590/3201 Verifying : texlive-glossaries-polish-9:svn35665.1.0-36.fc 1591/3201 Verifying : texlive-glossaries-portuges-9:svn36064.1.1-36. 1592/3201 Verifying : texlive-glossaries-serbian-9:svn35665.1.0-36.f 1593/3201 Verifying : texlive-glossaries-slovene-9:svn51211-36.fc34. 1594/3201 Verifying : texlive-glossaries-spanish-9:svn35665.1.0-36.f 1595/3201 Verifying : texlive-glyphlist-9:20200327-25.fc34.noarch 1596/3201 Verifying : texlive-gmdoc-enhance-9:svn15878.v0.2-36.fc34. 1597/3201 Verifying : texlive-gmdoc-9:svn21292.0.993-36.fc34.noarch 1598/3201 Verifying : texlive-gmiflink-9:svn15878.v0.97-36.fc34.noar 1599/3201 Verifying : texlive-gmp-9:svn21691.1.0-36.fc34.noarch 1600/3201 Verifying : texlive-gmutils-9:svn24287.v0.996-36.fc34.noar 1601/3201 Verifying : texlive-gmverb-9:svn24288.v0.98-36.fc34.noarch 1602/3201 Verifying : texlive-gnu-freefont-9:svn29349.0-36.fc34.noar 1603/3201 Verifying : texlive-gnuplottex-9:svn54758-36.fc34.noarch 1604/3201 Verifying : texlive-gofonts-9:svn54512-36.fc34.noarch 1605/3201 Verifying : texlive-gothic-9:svn49869-36.fc34.noarch 1606/3201 Verifying : texlive-gotoh-9:svn44764-36.fc34.noarch 1607/3201 Verifying : texlive-grabbox-9:svn51052-36.fc34.noarch 1608/3201 Verifying : texlive-gradientframe-9:svn21387.0.2-36.fc34.n 1609/3201 Verifying : texlive-gradstudentresume-9:svn38832-36.fc34.n 1610/3201 Verifying : texlive-grafcet-9:svn22509.1.3.5-36.fc34.noarc 1611/3201 Verifying : texlive-grant-9:svn41905-36.fc34.noarch 1612/3201 Verifying : texlive-graph35-9:svn47522-36.fc34.noarch 1613/3201 Verifying : texlive-graphbox-9:svn46360-36.fc34.noarch 1614/3201 Verifying : texlive-graphics-cfg-9:svn41448-36.fc34.noarch 1615/3201 Verifying : texlive-graphics-def-9:svn54522-36.fc34.noarch 1616/3201 Verifying : texlive-graphics-9:svn53640-36.fc34.noarch 1617/3201 Verifying : texlive-graphicx-psmin-9:svn15878.1.1-36.fc34. 1618/3201 Verifying : texlive-graphicxbox-9:svn32630.1.0-36.fc34.noa 1619/3201 Verifying : texlive-graphicxpsd-9:svn46477-36.fc34.noarch 1620/3201 Verifying : texlive-graphviz-9:svn31517.0.94-36.fc34.noarc 1621/3201 Verifying : texlive-grayhints-9:svn49052-36.fc34.noarch 1622/3201 Verifying : texlive-greek-fontenc-9:svn53955-36.fc34.noarc 1623/3201 Verifying : texlive-greek-inputenc-9:svn51612-36.fc34.noar 1624/3201 Verifying : texlive-greenpoint-9:svn15878.0-36.fc34.noarch 1625/3201 Verifying : texlive-grfext-9:svn53024-36.fc34.noarch 1626/3201 Verifying : texlive-grffile-9:svn52756-36.fc34.noarch 1627/3201 Verifying : texlive-grfpaste-9:svn17354.0.2-36.fc34.noarch 1628/3201 Verifying : texlive-grid-9:svn15878.1.0-36.fc34.noarch 1629/3201 Verifying : texlive-grid-system-9:svn32981.0.3.0-36.fc34.n 1630/3201 Verifying : texlive-gridset-9:svn53762-36.fc34.noarch 1631/3201 Verifying : texlive-gridslides-9:svn54512-36.fc34.noarch 1632/3201 Verifying : texlive-grotesq-9:svn35859.0-36.fc34.noarch 1633/3201 Verifying : texlive-grundgesetze-9:svn34439.1.02-36.fc34.n 1634/3201 Verifying : texlive-gsemthesis-9:svn36244.0.9.4-36.fc34.no 1635/3201 Verifying : texlive-gtl-9:svn49527-36.fc34.noarch 1636/3201 Verifying : texlive-gtrlib-largetrees-9:svn49062-36.fc34.n 1637/3201 Verifying : texlive-gu-9:svn15878.0-36.fc34.noarch 1638/3201 Verifying : texlive-guitlogo-9:svn51582-36.fc34.noarch 1639/3201 Verifying : texlive-gzt-9:svn54390-36.fc34.noarch 1640/3201 Verifying : texlive-h2020proposal-9:svn38428-36.fc34.noarc 1641/3201 Verifying : texlive-hackthefootline-9:svn46494-36.fc34.noa 1642/3201 Verifying : texlive-hacm-9:svn27671.0.1-36.fc34.noarch 1643/3201 Verifying : texlive-hagenberg-thesis-9:svn51150-36.fc34.no 1644/3201 Verifying : texlive-halloweenmath-9:svn52602-36.fc34.noarc 1645/3201 Verifying : texlive-handin-9:svn48255-36.fc34.noarch 1646/3201 Verifying : texlive-handout-9:svn43962-36.fc34.noarch 1647/3201 Verifying : texlive-hands-9:svn13293.0-36.fc34.noarch 1648/3201 Verifying : texlive-hang-9:svn43280-36.fc34.noarch 1649/3201 Verifying : texlive-hanging-9:svn15878.1.2b-36.fc34.noarch 1650/3201 Verifying : texlive-har2nat-9:svn54080-36.fc34.noarch 1651/3201 Verifying : texlive-hardwrap-9:svn21396.0.2-36.fc34.noarch 1652/3201 Verifying : texlive-harnon-cv-9:svn26543.1.0-36.fc34.noarc 1653/3201 Verifying : texlive-harpoon-9:svn21327.1.0-36.fc34.noarch 1654/3201 Verifying : texlive-harvard-9:svn15878.2.0.5-36.fc34.noarc 1655/3201 Verifying : texlive-harveyballs-9:svn32003.1.1-36.fc34.noa 1656/3201 Verifying : texlive-harvmac-9:svn15878.0-36.fc34.noarch 1657/3201 Verifying : texlive-hc-9:svn15878.0-36.fc34.noarch 1658/3201 Verifying : texlive-he-she-9:svn41359-36.fc34.noarch 1659/3201 Verifying : texlive-hecthese-9:svn50590-36.fc34.noarch 1660/3201 Verifying : texlive-helvetic-9:svn31835.0-36.fc34.noarch 1661/3201 Verifying : texlive-hep-paper-9:svn54994-36.fc34.noarch 1662/3201 Verifying : texlive-hep-9:svn15878.1.0-36.fc34.noarch 1663/3201 Verifying : texlive-hepnames-9:svn35722.2.0-36.fc34.noarch 1664/3201 Verifying : texlive-hepparticles-9:svn35723.2.0-36.fc34.no 1665/3201 Verifying : texlive-hepthesis-9:svn46054-36.fc34.noarch 1666/3201 Verifying : texlive-hepunits-9:svn54758-36.fc34.noarch 1667/3201 Verifying : texlive-here-9:svn16135.0-36.fc34.noarch 1668/3201 Verifying : texlive-heuristica-9:svn51362-36.fc34.noarch 1669/3201 Verifying : texlive-hf-tikz-9:svn34733.0.3a-36.fc34.noarch 1670/3201 Verifying : texlive-hfbright-9:svn29349.0-36.fc34.noarch 1671/3201 Verifying : texlive-hfoldsty-9:svn29349.1.15-36.fc34.noarc 1672/3201 Verifying : texlive-hhtensor-9:svn54080-36.fc34.noarch 1673/3201 Verifying : texlive-histogr-9:svn15878.1.01-36.fc34.noarch 1674/3201 Verifying : texlive-historische-zeitschrift-9:svn42635-36. 1675/3201 Verifying : texlive-hitec-9:svn15878.0.0_beta_-36.fc34.noa 1676/3201 Verifying : texlive-hithesis-9:svn53362-36.fc34.noarch 1677/3201 Verifying : texlive-hitszbeamer-9:svn54381-36.fc34.noarch 1678/3201 Verifying : texlive-hitszthesis-9:svn54709-36.fc34.noarch 1679/3201 Verifying : texlive-hletter-9:svn30002.4.2-36.fc34.noarch 1680/3201 Verifying : texlive-hobby-9:svn44474-36.fc34.noarch 1681/3201 Verifying : texlive-hobete-9:svn27036.0-36.fc34.noarch 1682/3201 Verifying : texlive-hobsub-9:svn52810-36.fc34.noarch 1683/3201 Verifying : texlive-hologo-9:svn53048-36.fc34.noarch 1684/3201 Verifying : texlive-hpsdiss-9:svn15878.1.0-36.fc34.noarch 1685/3201 Verifying : texlive-hrefhide-9:svn22255.1.0f-36.fc34.noarc 1686/3201 Verifying : texlive-hu-berlin-bundle-9:svn54512-36.fc34.no 1687/3201 Verifying : texlive-hustthesis-9:svn42547-36.fc34.noarch 1688/3201 Verifying : texlive-hvfloat-9:svn52010-36.fc34.noarch 1689/3201 Verifying : texlive-hvindex-9:svn46051-36.fc34.noarch 1690/3201 Verifying : texlive-hvqrurl-9:svn52993-36.fc34.noarch 1691/3201 Verifying : texlive-hycolor-9:svn53584-36.fc34.noarch 1692/3201 Verifying : texlive-hypdvips-9:svn53197-36.fc34.noarch 1693/3201 Verifying : texlive-hyper-9:svn17357.4.2d-36.fc34.noarch 1694/3201 Verifying : texlive-hyperbar-9:svn48147-36.fc34.noarch 1695/3201 Verifying : texlive-hypernat-9:svn17358.1.0b-36.fc34.noarc 1696/3201 Verifying : texlive-hyperref-9:svn54841-36.fc34.noarch 1697/3201 Verifying : texlive-hyperxmp-9:svn54758-36.fc34.noarch 1698/3201 Verifying : texlive-hyph-utf8-9:svn54568-36.fc34.noarch 1699/3201 Verifying : texlive-hyphen-base-9:svn54763-36.fc34.noarch 1700/3201 Verifying : texlive-hyphen-polish-9:svn54568-36.fc34.noarc 1701/3201 Verifying : texlive-hyphenat-9:svn15878.2.3c-36.fc34.noarc 1702/3201 Verifying : texlive-hyphenex-9:svn37354.0-36.fc34.noarch 1703/3201 Verifying : texlive-icite-9:svn54512-36.fc34.noarch 1704/3201 Verifying : texlive-icsv-9:svn15878.0.2-36.fc34.noarch 1705/3201 Verifying : texlive-identkey-9:svn49018-36.fc34.noarch 1706/3201 Verifying : texlive-idxcmds-9:svn54554-36.fc34.noarch 1707/3201 Verifying : texlive-idxlayout-9:svn25821.0.4d-36.fc34.noar 1708/3201 Verifying : texlive-ieeepes-9:svn17359.4.0-36.fc34.noarch 1709/3201 Verifying : texlive-ietfbibs-doc-9:svn41332-36.fc34.noarch 1710/3201 Verifying : texlive-iffont-9:svn38823-36.fc34.noarch 1711/3201 Verifying : texlive-ifmslide-9:svn20727.0.47-36.fc34.noarc 1712/3201 Verifying : texlive-ifmtarg-9:svn47544-36.fc34.noarch 1713/3201 Verifying : texlive-ifnextok-9:svn23379.0.3-36.fc34.noarch 1714/3201 Verifying : texlive-ifoddpage-9:svn40726-36.fc34.noarch 1715/3201 Verifying : texlive-ifplatform-9:svn45533-36.fc34.noarch 1716/3201 Verifying : texlive-ifsym-9:svn24868.0-36.fc34.noarch 1717/3201 Verifying : texlive-iftex-9:svn54159-36.fc34.noarch 1718/3201 Verifying : texlive-ifthenx-9:svn25819.0.1a-36.fc34.noarch 1719/3201 Verifying : texlive-iitem-9:svn29613.1.0-36.fc34.noarch 1720/3201 Verifying : texlive-ijmart-9:svn30958.1.7-36.fc34.noarch 1721/3201 Verifying : texlive-ijqc-9:svn15878.1.2-36.fc34.noarch 1722/3201 Verifying : texlive-ijsra-9:svn44886-36.fc34.noarch 1723/3201 Verifying : texlive-imac-9:svn17347.0-36.fc34.noarch 1724/3201 Verifying : texlive-image-gallery-9:svn15878.v1.0j-36.fc34 1725/3201 Verifying : texlive-imakeidx-9:svn42287-36.fc34.noarch 1726/3201 Verifying : texlive-imfellenglish-9:svn38547-36.fc34.noarc 1727/3201 Verifying : texlive-import-9:svn54683-36.fc34.noarch 1728/3201 Verifying : texlive-imtekda-9:svn17667.1.7-36.fc34.noarch 1729/3201 Verifying : texlive-incgraph-9:svn36500.1.12-36.fc34.noarc 1730/3201 Verifying : texlive-includernw-9:svn47557-36.fc34.noarch 1731/3201 Verifying : texlive-inconsolata-9:svn54512-36.fc34.noarch 1732/3201 Verifying : texlive-index-9:svn24099.4.1beta-36.fc34.noarc 1733/3201 Verifying : texlive-indextools-9:svn38931-36.fc34.noarch 1734/3201 Verifying : texlive-infwarerr-9:svn53023-36.fc34.noarch 1735/3201 Verifying : texlive-initials-9:svn54080-36.fc34.noarch 1736/3201 Verifying : texlive-inkpaper-9:svn54080-36.fc34.noarch 1737/3201 Verifying : texlive-inline-images-9:svn54080-36.fc34.noarc 1738/3201 Verifying : texlive-inlinebib-9:svn22018.0-36.fc34.noarch 1739/3201 Verifying : texlive-inlinedef-9:svn15878.1.0-36.fc34.noarc 1740/3201 Verifying : texlive-inputenx-9:svn52986-36.fc34.noarch 1741/3201 Verifying : texlive-inputtrc-9:svn28019.0.3-36.fc34.noarch 1742/3201 Verifying : texlive-inriafonts-9:svn54512-36.fc34.noarch 1743/3201 Verifying : texlive-intcalc-9:svn53168-36.fc34.noarch 1744/3201 Verifying : texlive-interactiveworkbook-9:svn15878.0-36.fc 1745/3201 Verifying : texlive-interfaces-9:svn21474.3.1-36.fc34.noar 1746/3201 Verifying : texlive-interval-9:svn50265-36.fc34.noarch 1747/3201 Verifying : texlive-intopdf-9:svn51247-36.fc34.noarch 1748/3201 Verifying : texlive-inversepath-9:svn15878.0.2-36.fc34.noa 1749/3201 Verifying : texlive-invoice-class-9:svn49749-36.fc34.noarc 1750/3201 Verifying : texlive-invoice-9:svn48359-36.fc34.noarch 1751/3201 Verifying : texlive-invoice2-9:svn46364-36.fc34.noarch 1752/3201 Verifying : texlive-iodhbwm-9:svn54734-36.fc34.noarch 1753/3201 Verifying : texlive-ionumbers-9:svn33457.0.3.3-36.fc34.noa 1754/3201 Verifying : texlive-iopart-num-9:svn15878.2.1-36.fc34.noar 1755/3201 Verifying : texlive-ipaex-9:svn52032-36.fc34.noarch 1756/3201 Verifying : texlive-ipaex-type1-9:svn47700-36.fc34.noarch 1757/3201 Verifying : texlive-is-bst-9:svn52623-36.fc34.noarch 1758/3201 Verifying : texlive-iscram-9:svn45801-36.fc34.noarch 1759/3201 Verifying : texlive-iso-9:svn15878.2.4-36.fc34.noarch 1760/3201 Verifying : texlive-iso10303-9:svn15878.1.5-36.fc34.noarch 1761/3201 Verifying : texlive-isodate-9:svn16613.2.28-36.fc34.noarch 1762/3201 Verifying : texlive-isodoc-9:svn47868-36.fc34.noarch 1763/3201 Verifying : texlive-isomath-9:svn27654.0.6.1-36.fc34.noarc 1764/3201 Verifying : texlive-isonums-9:svn17362.1.0-36.fc34.noarch 1765/3201 Verifying : texlive-isopt-9:svn45509-36.fc34.noarch 1766/3201 Verifying : texlive-isorot-9:svn15878.0-36.fc34.noarch 1767/3201 Verifying : texlive-isotope-9:svn23711.v0.3-36.fc34.noarch 1768/3201 Verifying : texlive-issuulinks-9:svn25742.1.1-36.fc34.noar 1769/3201 Verifying : texlive-istgame-9:svn49848-36.fc34.noarch 1770/3201 Verifying : texlive-itnumpar-9:svn15878.1.0-36.fc34.noarch 1771/3201 Verifying : texlive-iwhdp-9:svn37552.0.50-36.fc34.noarch 1772/3201 Verifying : texlive-iwona-9:svn19611.0.995b-36.fc34.noarch 1773/3201 Verifying : texlive-jablantile-9:svn16364.0-36.fc34.noarch 1774/3201 Verifying : texlive-jacow-9:svn50870-36.fc34.noarch 1775/3201 Verifying : texlive-jamtimes-9:svn20408.1.12-36.fc34.noarc 1776/3201 Verifying : texlive-japanese-otf-9:svn50769-36.fc34.noarch 1777/3201 Verifying : texlive-japanese-otf-uptex-9:svn54080-36.fc34. 1778/3201 Verifying : texlive-jbact-9:svn52717-36.fc34.noarch 1779/3201 Verifying : texlive-jkmath-9:svn47109-36.fc34.noarch 1780/3201 Verifying : texlive-jknapltx-9:svn19440.0-36.fc34.noarch 1781/3201 Verifying : texlive-jlabels-9:svn24858.0-36.fc34.noarch 1782/3201 Verifying : texlive-jmb-9:svn52718-36.fc34.noarch 1783/3201 Verifying : texlive-jmlr-9:svn54562-36.fc34.noarch 1784/3201 Verifying : texlive-jneurosci-9:svn17346.1.00-36.fc34.noar 1785/3201 Verifying : texlive-jnuexam-9:svn52696-36.fc34.noarch 1786/3201 Verifying : texlive-jpsj-9:svn15878.1.2.2-36.fc34.noarch 1787/3201 Verifying : texlive-jslectureplanner-9:svn53672-36.fc34.no 1788/3201 Verifying : texlive-jumplines-9:svn37553.0.2-36.fc34.noarc 1789/3201 Verifying : texlive-junicode-9:svn53954-36.fc34.noarch 1790/3201 Verifying : texlive-jurabib-9:svn15878.0.6-36.fc34.noarch 1791/3201 Verifying : texlive-jvlisting-9:svn24638.0.7-36.fc34.noarc 1792/3201 Verifying : texlive-kalendarium-9:svn48744-36.fc34.noarch 1793/3201 Verifying : texlive-kantlipsum-9:svn51727-36.fc34.noarch 1794/3201 Verifying : texlive-karnaugh-map-9:svn44131-36.fc34.noarch 1795/3201 Verifying : texlive-karnaugh-9:svn21338.0-36.fc34.noarch 1796/3201 Verifying : texlive-karnaughmap-9:svn36989.2.0-36.fc34.noa 1797/3201 Verifying : texlive-kastrup-9:svn15878.0-36.fc34.noarch 1798/3201 Verifying : texlive-kblocks-9:svn52382-36.fc34.noarch 1799/3201 Verifying : texlive-kdgdocs-9:svn24498.1.0-36.fc34.noarch 1800/3201 Verifying : texlive-kerntest-9:svn15878.1.32-36.fc34.noarc 1801/3201 Verifying : texlive-keycommand-9:svn18042.3.1415-36.fc34.n 1802/3201 Verifying : texlive-keyfloat-9:svn52160-36.fc34.noarch 1803/3201 Verifying : texlive-keyindex-9:svn50828-36.fc34.noarch 1804/3201 Verifying : texlive-keyreader-9:svn28195.0.5b-36.fc34.noar 1805/3201 Verifying : texlive-keystroke-9:svn17992.v1.6-36.fc34.noar 1806/3201 Verifying : texlive-keyval2e-9:svn23698.0.0.2-36.fc34.noar 1807/3201 Verifying : texlive-keyvaltable-9:svn54677-36.fc34.noarch 1808/3201 Verifying : texlive-kix-9:svn21606.0-36.fc34.noarch 1809/3201 Verifying : texlive-kixfont-9:svn18488.0-36.fc34.noarch 1810/3201 Verifying : texlive-kluwer-9:svn54074-36.fc34.noarch 1811/3201 Verifying : texlive-knitting-9:svn50782-36.fc34.noarch 1812/3201 Verifying : texlive-knittingpattern-9:svn17205.0-36.fc34.n 1813/3201 Verifying : texlive-knowledge-9:svn54876-36.fc34.noarch 1814/3201 Verifying : texlive-knuth-lib-9:svn35820.0-36.fc34.noarch 1815/3201 Verifying : texlive-knuth-local-9:svn38627-36.fc34.noarch 1816/3201 Verifying : texlive-koma-moderncvclassic-9:svn25025.v0.5-3 1817/3201 Verifying : texlive-koma-script-sfs-9:svn26137.1.0-36.fc34 1818/3201 Verifying : texlive-koma-script-9:svn54825-36.fc34.noarch 1819/3201 Verifying : texlive-komacv-rg-9:svn49064-36.fc34.noarch 1820/3201 Verifying : texlive-komacv-9:svn43902-36.fc34.noarch 1821/3201 Verifying : texlive-kpathsea-9:20200327-25.fc34.x86_64 1822/3201 Verifying : texlive-kpfonts-9:svn48470-36.fc34.noarch 1823/3201 Verifying : texlive-ksfh_nat-9:svn24825.1.1-36.fc34.noarch 1824/3201 Verifying : texlive-ksp-thesis-9:svn39080-36.fc34.noarch 1825/3201 Verifying : texlive-ktv-texdata-9:svn27369.05.34-36.fc34.n 1826/3201 Verifying : texlive-ku-template-9:svn45935-36.fc34.noarch 1827/3201 Verifying : texlive-kurier-9:svn19612.0.995b-36.fc34.noarc 1828/3201 Verifying : texlive-kvdefinekeys-9:svn53193-36.fc34.noarch 1829/3201 Verifying : texlive-kvmap-9:svn54898-36.fc34.noarch 1830/3201 Verifying : texlive-kvoptions-9:svn52985-36.fc34.noarch 1831/3201 Verifying : texlive-kvsetkeys-9:svn53166-36.fc34.noarch 1832/3201 Verifying : texlive-l3backend-9:svn54259-36.fc34.noarch 1833/3201 Verifying : texlive-l3build-9:20200327-25.fc34.noarch 1834/3201 Verifying : texlive-l3experimental-9:svn53394-36.fc34.noar 1835/3201 Verifying : texlive-l3kernel-9:svn54672-36.fc34.noarch 1836/3201 Verifying : texlive-l3packages-9:svn54131-36.fc34.noarch 1837/3201 Verifying : texlive-labbook-9:svn15878.0-36.fc34.noarch 1838/3201 Verifying : texlive-labels-9:svn15878.13-36.fc34.noarch 1839/3201 Verifying : texlive-labels4easylist-9:svn51124-36.fc34.noa 1840/3201 Verifying : texlive-labelschanged-9:svn46040-36.fc34.noarc 1841/3201 Verifying : texlive-ladder-9:svn44394-36.fc34.noarch 1842/3201 Verifying : texlive-lambda-lists-9:svn31402.0-36.fc34.noar 1843/3201 Verifying : texlive-langcode-9:svn27764.0.2-36.fc34.noarch 1844/3201 Verifying : texlive-langsci-avm-9:svn54239-36.fc34.noarch 1845/3201 Verifying : texlive-langsci-9:svn54393-36.fc34.noarch 1846/3201 Verifying : texlive-lapdf-9:svn23806.1.1-36.fc34.noarch 1847/3201 Verifying : texlive-lastpackage-9:svn34481.0.1-36.fc34.noa 1848/3201 Verifying : texlive-lastpage-9:svn36680.1.2m-36.fc34.noarc 1849/3201 Verifying : texlive-latex-9:20200327-25.fc34.noarch 1850/3201 Verifying : texlive-latex-base-dev-9:svn54146-36.fc34.noar 1851/3201 Verifying : texlive-latex-fonts-9:svn28888.0-36.fc34.noarc 1852/3201 Verifying : texlive-latex-make-9:svn48925-36.fc34.noarch 1853/3201 Verifying : texlive-latex-tds-doc-9:svn54758-36.fc34.noarc 1854/3201 Verifying : texlive-latex-uni8-9:svn49729-36.fc34.noarch 1855/3201 Verifying : texlive-latexbug-9:svn52414-36.fc34.noarch 1856/3201 Verifying : texlive-latexcolors-9:svn49888-36.fc34.noarch 1857/3201 Verifying : texlive-latexconfig-9:svn53525-36.fc34.noarch 1858/3201 Verifying : texlive-latexdemo-9:svn34481.0.1-36.fc34.noarc 1859/3201 Verifying : texlive-latexgit-9:svn54811-36.fc34.noarch 1860/3201 Verifying : texlive-lato-9:svn54512-36.fc34.noarch 1861/3201 Verifying : texlive-layouts-9:svn42428-36.fc34.noarch 1862/3201 Verifying : texlive-lazylist-9:svn17691.1.0a-36.fc34.noarc 1863/3201 Verifying : texlive-lccaps-9:svn46432-36.fc34.noarch 1864/3201 Verifying : texlive-lcd-9:svn16549.0.3-36.fc34.noarch 1865/3201 Verifying : texlive-lcg-9:svn31474.1.3-36.fc34.noarch 1866/3201 Verifying : texlive-leading-9:svn15878.0.3-36.fc34.noarch 1867/3201 Verifying : texlive-leaflet-9:svn43523-36.fc34.noarch 1868/3201 Verifying : texlive-lectures-9:svn53642-36.fc34.noarch 1869/3201 Verifying : texlive-leftidx-9:svn15878.0-36.fc34.noarch 1870/3201 Verifying : texlive-leipzig-9:svn52450-36.fc34.noarch 1871/3201 Verifying : texlive-lengthconvert-9:svn30867.1.0a-36.fc34. 1872/3201 Verifying : texlive-letltxmacro-9:svn53022-36.fc34.noarch 1873/3201 Verifying : texlive-letterswitharrows-9:svn53709-36.fc34.n 1874/3201 Verifying : texlive-lettre-9:svn54722-36.fc34.noarch 1875/3201 Verifying : texlive-lettrine-9:svn54560-36.fc34.noarch 1876/3201 Verifying : texlive-lewis-9:svn15878.0.1-36.fc34.noarch 1877/3201 Verifying : texlive-lexend-9:svn54512-36.fc34.noarch 1878/3201 Verifying : texlive-lfb-9:svn15878.1.0-36.fc34.noarch 1879/3201 Verifying : texlive-lhelp-9:svn23638.2.0-36.fc34.noarch 1880/3201 Verifying : texlive-lib-9:20200327-25.fc34.x86_64 1881/3201 Verifying : texlive-libertine-9:svn54583-36.fc34.noarch 1882/3201 Verifying : texlive-libertinegc-9:svn44616-36.fc34.noarch 1883/3201 Verifying : texlive-libertinus-fonts-9:svn56656-36.fc34.no 1884/3201 Verifying : texlive-libertinus-otf-9:svn56649-36.fc34.noar 1885/3201 Verifying : texlive-libertinus-9:svn55064-36.fc34.noarch 1886/3201 Verifying : texlive-libertinus-type1-9:svn56160-36.fc34.no 1887/3201 Verifying : texlive-libertinust1math-9:svn55517-36.fc34.no 1888/3201 Verifying : texlive-libgreek-9:svn27789.1.0-36.fc34.noarch 1889/3201 Verifying : texlive-librebaskerville-9:svn56018-36.fc34.no 1890/3201 Verifying : texlive-librebodoni-9:svn39375-36.fc34.noarch 1891/3201 Verifying : texlive-librecaslon-9:svn56003-36.fc34.noarch 1892/3201 Verifying : texlive-librefranklin-9:svn54512-36.fc34.noarc 1893/3201 Verifying : texlive-libris-9:svn19409.1.007-36.fc34.noarch 1894/3201 Verifying : texlive-lie-hasse-9:svn53653-36.fc34.noarch 1895/3201 Verifying : texlive-limap-9:svn44863-36.fc34.noarch 1896/3201 Verifying : texlive-limecv-9:svn54329-36.fc34.noarch 1897/3201 Verifying : texlive-lineara-9:svn15878.0-36.fc34.noarch 1898/3201 Verifying : texlive-linegoal-9:svn21523.2.9-36.fc34.noarch 1899/3201 Verifying : texlive-lineno-9:svn21442.4.41-36.fc34.noarch 1900/3201 Verifying : texlive-linguex-9:svn30815.4.3-36.fc34.noarch 1901/3201 Verifying : texlive-linguisticspro-9:svn54512-36.fc34.noar 1902/3201 Verifying : texlive-linop-9:svn41304-36.fc34.noarch 1903/3201 Verifying : texlive-lion-msc-9:svn51143-36.fc34.noarch 1904/3201 Verifying : texlive-lipsum-9:svn49610-36.fc34.noarch 1905/3201 Verifying : texlive-lisp-on-tex-9:svn38722-36.fc34.noarch 1906/3201 Verifying : texlive-listbib-9:20200327-25.fc34.noarch 1907/3201 Verifying : texlive-listing-9:svn17373.1.2-36.fc34.noarch 1908/3201 Verifying : texlive-listings-9:svn54554-36.fc34.noarch 1909/3201 Verifying : texlive-listingsutf8-9:svn53097-36.fc34.noarch 1910/3201 Verifying : texlive-listlbls-9:svn34893.1.03-36.fc34.noarc 1911/3201 Verifying : texlive-listliketab-9:svn15878.0-36.fc34.noarc 1912/3201 Verifying : texlive-listofitems-9:svn51923-36.fc34.noarch 1913/3201 Verifying : texlive-listofsymbols-9:svn16134.0.2-36.fc34.n 1914/3201 Verifying : texlive-lkproof-9:svn20021.3.1-36.fc34.noarch 1915/3201 Verifying : texlive-llncsconf-9:svn46707-36.fc34.noarch 1916/3201 Verifying : texlive-lm-math-9:svn36915.1.959-36.fc34.noarc 1917/3201 Verifying : texlive-lm-9:svn48145-36.fc34.noarch 1918/3201 Verifying : texlive-lmake-9:svn25552.1.0-36.fc34.noarch 1919/3201 Verifying : texlive-lni-9:svn52401-36.fc34.noarch 1920/3201 Verifying : texlive-lobster2-9:svn56019-36.fc34.noarch 1921/3201 Verifying : texlive-locality-9:svn20422.0.2-36.fc34.noarch 1922/3201 Verifying : texlive-localloc-9:svn21934.0-36.fc34.noarch 1923/3201 Verifying : texlive-logbox-9:svn24499.1.0-36.fc34.noarch 1924/3201 Verifying : texlive-logical-markup-utils-9:svn15878.0-36.f 1925/3201 Verifying : texlive-logicproof-9:svn33254.0-36.fc34.noarch 1926/3201 Verifying : texlive-logix-9:svn54512-36.fc34.noarch 1927/3201 Verifying : texlive-logpap-9:svn15878.0.6-36.fc34.noarch 1928/3201 Verifying : texlive-logreq-9:svn53003-36.fc34.noarch 1929/3201 Verifying : texlive-longdivision-9:svn50586-36.fc34.noarch 1930/3201 Verifying : texlive-longfbox-9:svn39028-36.fc34.noarch 1931/3201 Verifying : texlive-longfigure-9:svn34302.1.0-36.fc34.noar 1932/3201 Verifying : texlive-longnamefilelist-9:svn27889.0.2-36.fc3 1933/3201 Verifying : texlive-loops-9:svn30704.1.3-36.fc34.noarch 1934/3201 Verifying : texlive-lpform-9:svn36918.0-36.fc34.noarch 1935/3201 Verifying : texlive-lpic-9:svn20843.0.8-36.fc34.noarch 1936/3201 Verifying : texlive-lplfitch-9:svn31077.0.9-36.fc34.noarch 1937/3201 Verifying : texlive-lps-9:svn21322.0.7-36.fc34.noarch 1938/3201 Verifying : texlive-lroundrect-9:svn39804-36.fc34.noarch 1939/3201 Verifying : texlive-lsc-9:svn15878.0-36.fc34.noarch 1940/3201 Verifying : texlive-lstaddons-9:svn26196.0.1-36.fc34.noarc 1941/3201 Verifying : texlive-lstbayes-9:svn48160-36.fc34.noarch 1942/3201 Verifying : texlive-lstfiracode-9:svn49503-36.fc34.noarch 1943/3201 Verifying : texlive-lt3graph-9:svn45913-36.fc34.noarch 1944/3201 Verifying : texlive-ltablex-9:svn34923.1.1-36.fc34.noarch 1945/3201 Verifying : texlive-ltabptch-9:svn17533.1.74d-36.fc34.noar 1946/3201 Verifying : texlive-ltb2bib-9:svn43746-36.fc34.noarch 1947/3201 Verifying : texlive-ltxcmds-9:svn53165-36.fc34.noarch 1948/3201 Verifying : texlive-ltxdockit-9:svn21869.1.2d-36.fc34.noar 1949/3201 Verifying : texlive-ltxguidex-9:svn50992-36.fc34.noarch 1950/3201 Verifying : texlive-ltxkeys-9:svn28332.0.0.3c-36.fc34.noar 1951/3201 Verifying : texlive-ltxmisc-9:svn21927.0-36.fc34.noarch 1952/3201 Verifying : texlive-ltxnew-9:svn21586.1.3-36.fc34.noarch 1953/3201 Verifying : texlive-ltxtools-9:svn24897.0.0.1a-36.fc34.noa 1954/3201 Verifying : texlive-lua-alt-getopt-9:svn29349.0.7.0-36.fc3 1955/3201 Verifying : texlive-lua-check-hyphen-9:svn47527-36.fc34.no 1956/3201 Verifying : texlive-luabibentry-9:svn31783.0.1a-36.fc34.no 1957/3201 Verifying : texlive-luabidi-9:svn54512-36.fc34.noarch 1958/3201 Verifying : texlive-luacode-9:svn25193.1.2a-36.fc34.noarch 1959/3201 Verifying : texlive-luacolor-9:svn53933-36.fc34.noarch 1960/3201 Verifying : texlive-luahbtex-9:20200327-25.fc34.x86_64 1961/3201 Verifying : texlive-luainputenc-9:svn20491.0.973-36.fc34.n 1962/3201 Verifying : texlive-lualatex-math-9:svn52663-36.fc34.noarc 1963/3201 Verifying : texlive-lualibs-9:svn53682-36.fc34.noarch 1964/3201 Verifying : texlive-luamesh-9:svn43814-36.fc34.noarch 1965/3201 Verifying : texlive-luaotfload-9:20200327-25.fc34.noarch 1966/3201 Verifying : texlive-luasseq-9:svn37877.0-36.fc34.noarch 1967/3201 Verifying : texlive-luatex-9:20200327-25.fc34.x86_64 1968/3201 Verifying : texlive-luatexbase-9:svn52663-36.fc34.noarch 1969/3201 Verifying : texlive-luatexja-9:svn54758-36.fc34.noarch 1970/3201 Verifying : texlive-luatextra-9:svn20747.1.0.1-36.fc34.noa 1971/3201 Verifying : texlive-luatodonotes-9:svn53825-36.fc34.noarch 1972/3201 Verifying : texlive-lwarp-9:20200327-25.fc34.noarch 1973/3201 Verifying : texlive-lxfonts-9:svn32354.2.0b-36.fc34.noarch 1974/3201 Verifying : texlive-ly1-9:svn47848-36.fc34.noarch 1975/3201 Verifying : texlive-macroswap-9:svn31498.1.1-36.fc34.noarc 1976/3201 Verifying : texlive-magaz-9:svn24694.0.4-36.fc34.noarch 1977/3201 Verifying : texlive-mailing-9:svn15878.0-36.fc34.noarch 1978/3201 Verifying : texlive-mailmerge-9:svn15878.1.0-36.fc34.noarc 1979/3201 Verifying : texlive-make4ht-9:20200327-25.fc34.noarch 1980/3201 Verifying : texlive-makebarcode-9:svn15878.1.0-36.fc34.noa 1981/3201 Verifying : texlive-makebase-9:svn41012-36.fc34.noarch 1982/3201 Verifying : texlive-makebox-9:svn15878.0.1-36.fc34.noarch 1983/3201 Verifying : texlive-makecell-9:svn15878.0.1e-36.fc34.noarc 1984/3201 Verifying : texlive-makecirc-9:svn15878.0-36.fc34.noarch 1985/3201 Verifying : texlive-makecmds-9:svn15878.0-36.fc34.noarch 1986/3201 Verifying : texlive-makecookbook-9:svn49311-36.fc34.noarch 1987/3201 Verifying : texlive-makedtx-9:20200327-25.fc34.noarch 1988/3201 Verifying : texlive-makeglos-9:svn15878.0-36.fc34.noarch 1989/3201 Verifying : texlive-makeindex-9:20200327-25.fc34.x86_64 1990/3201 Verifying : texlive-maker-9:svn44823-36.fc34.noarch 1991/3201 Verifying : texlive-makerobust-9:svn52811-36.fc34.noarch 1992/3201 Verifying : texlive-makeshape-9:svn28973.2.1-36.fc34.noarc 1993/3201 Verifying : texlive-mandi-9:svn49720-36.fc34.noarch 1994/3201 Verifying : texlive-manfnt-font-9:svn54684-36.fc34.noarch 1995/3201 Verifying : texlive-manfnt-9:svn42428-36.fc34.noarch 1996/3201 Verifying : texlive-manuscript-9:svn36110.1.7-36.fc34.noar 1997/3201 Verifying : texlive-manyind-9:svn49874-36.fc34.noarch 1998/3201 Verifying : texlive-marcellus-9:svn56016-36.fc34.noarch 1999/3201 Verifying : texlive-margbib-9:svn15878.1.0c-36.fc34.noarch 2000/3201 Verifying : texlive-marginfit-9:svn48281-36.fc34.noarch 2001/3201 Verifying : texlive-marginfix-9:svn31598.1.1-36.fc34.noarc 2002/3201 Verifying : texlive-marginnote-9:svn48383-36.fc34.noarch 2003/3201 Verifying : texlive-markdown-9:svn54482-36.fc34.noarch 2004/3201 Verifying : texlive-marvosym-9:svn29349.2.2a-36.fc34.noarc 2005/3201 Verifying : texlive-matc3-9:svn29845.1.0.1-36.fc34.noarch 2006/3201 Verifying : texlive-matc3mem-9:svn35773.1.1-36.fc34.noarch 2007/3201 Verifying : texlive-mathabx-9:svn15878.0-36.fc34.noarch 2008/3201 Verifying : texlive-mathabx-type1-9:svn21129.0-36.fc34.noa 2009/3201 Verifying : texlive-mathalpha-9:svn52305-36.fc34.noarch 2010/3201 Verifying : texlive-mathastext-9:svn52840-36.fc34.noarch 2011/3201 Verifying : texlive-mathcommand-9:svn53044-36.fc34.noarch 2012/3201 Verifying : texlive-mathcomp-9:svn15878.0.1f-36.fc34.noarc 2013/3201 Verifying : texlive-mathdesign-9:svn31639.2.31-36.fc34.noa 2014/3201 Verifying : texlive-mathexam-9:svn15878.1.00-36.fc34.noarc 2015/3201 Verifying : texlive-mathfam256-9:svn53519-36.fc34.noarch 2016/3201 Verifying : texlive-mathfixs-9:svn49547-36.fc34.noarch 2017/3201 Verifying : texlive-mathfont-9:svn53035-36.fc34.noarch 2018/3201 Verifying : texlive-mathlig-9:svn54244-36.fc34.noarch 2019/3201 Verifying : texlive-mathpartir-9:svn39864-36.fc34.noarch 2020/3201 Verifying : texlive-mathpazo-9:svn52663-36.fc34.noarch 2021/3201 Verifying : texlive-mathpunctspace-9:svn46754-36.fc34.noar 2022/3201 Verifying : texlive-mathspec-9:svn42773-36.fc34.noarch 2023/3201 Verifying : texlive-mathspic-9:20200327-25.fc34.noarch 2024/3201 Verifying : texlive-mathtools-9:svn54516-36.fc34.noarch 2025/3201 Verifying : texlive-matlab-prettifier-9:svn34323.0.3-36.fc 2026/3201 Verifying : texlive-matrix-skeleton-9:svn54080-36.fc34.noa 2027/3201 Verifying : texlive-mattens-9:svn17582.1.3-36.fc34.noarch 2028/3201 Verifying : texlive-maybemath-9:svn15878.0-36.fc34.noarch 2029/3201 Verifying : texlive-mcaption-9:svn15878.3.0-36.fc34.noarch 2030/3201 Verifying : texlive-mceinleger-9:svn15878.0-36.fc34.noarch 2031/3201 Verifying : texlive-mcexam-9:svn46155-36.fc34.noarch 2032/3201 Verifying : texlive-mcite-9:svn18173.1.6-36.fc34.noarch 2033/3201 Verifying : texlive-mciteplus-9:svn31648.1.2-36.fc34.noarc 2034/3201 Verifying : texlive-mcmthesis-9:svn53513-36.fc34.noarch 2035/3201 Verifying : texlive-mdframed-9:svn31075.1.9b-36.fc34.noarc 2036/3201 Verifying : texlive-mdputu-9:svn20298.1.2-36.fc34.noarch 2037/3201 Verifying : texlive-mdsymbol-9:svn28399.0.5-36.fc34.noarch 2038/3201 Verifying : texlive-mdwtools-9:svn15878.1.05.4-36.fc34.noa 2039/3201 Verifying : texlive-media4svg-9:svn54773-36.fc34.noarch 2040/3201 Verifying : texlive-media9-9:svn54554-36.fc34.noarch 2041/3201 Verifying : texlive-medstarbeamer-9:svn38828-36.fc34.noarc 2042/3201 Verifying : texlive-meetingmins-9:svn31878.1.6-36.fc34.noa 2043/3201 Verifying : texlive-memexsupp-9:svn15878.0.1-36.fc34.noarc 2044/3201 Verifying : texlive-memoir-9:svn54554-36.fc34.noarch 2045/3201 Verifying : texlive-memory-9:svn30452.1.2-36.fc34.noarch 2046/3201 Verifying : texlive-memorygraphs-9:svn49631-36.fc34.noarch 2047/3201 Verifying : texlive-mensa-tex-9:svn45997-36.fc34.noarch 2048/3201 Verifying : texlive-mentis-9:svn15878.1.5-36.fc34.noarch 2049/3201 Verifying : texlive-menu-9:svn15878.0.994-36.fc34.noarch 2050/3201 Verifying : texlive-menukeys-9:svn41823-36.fc34.noarch 2051/3201 Verifying : texlive-mercatormap-9:svn54812-36.fc34.noarch 2052/3201 Verifying : texlive-merriweather-9:svn56365-36.fc34.noarch 2053/3201 Verifying : texlive-metafont-9:20200327-25.fc34.x86_64 2054/3201 Verifying : texlive-metalogo-9:svn18611.0.12-36.fc34.noarc 2055/3201 Verifying : texlive-metalogox-9:svn49774-36.fc34.noarch 2056/3201 Verifying : texlive-metastr-9:svn53700-36.fc34.noarch 2057/3201 Verifying : texlive-method-9:svn17485.2.0b-36.fc34.noarch 2058/3201 Verifying : texlive-metre-9:svn18489.1.0-36.fc34.noarch 2059/3201 Verifying : texlive-mfirstuc-9:svn45803-36.fc34.noarch 2060/3201 Verifying : texlive-mflogo-font-9:svn54512-36.fc34.noarch 2061/3201 Verifying : texlive-mflogo-9:svn42428-36.fc34.noarch 2062/3201 Verifying : texlive-mfnfss-9:svn46036-36.fc34.noarch 2063/3201 Verifying : texlive-mftinc-9:svn15878.1.0a-36.fc34.noarch 2064/3201 Verifying : texlive-mfware-9:20200327-25.fc34.x86_64 2065/3201 Verifying : texlive-mgltex-9:svn41676-36.fc34.noarch 2066/3201 Verifying : texlive-mhchem-9:svn52662-36.fc34.noarch 2067/3201 Verifying : texlive-mhequ-9:svn38224.1.7-36.fc34.noarch 2068/3201 Verifying : texlive-mi-solns-9:svn49651-36.fc34.noarch 2069/3201 Verifying : texlive-miama-9:svn54512-36.fc34.noarch 2070/3201 Verifying : texlive-microtype-9:svn52853-36.fc34.noarch 2071/3201 Verifying : texlive-midpage-9:svn17484.1.1a-36.fc34.noarch 2072/3201 Verifying : texlive-miller-9:svn18789.1.2-36.fc34.noarch 2073/3201 Verifying : texlive-milsymb-9:svn54361-36.fc34.noarch 2074/3201 Verifying : texlive-minibox-9:svn30914.0.2a-36.fc34.noarch 2075/3201 Verifying : texlive-minidocument-9:svn43752-36.fc34.noarch 2076/3201 Verifying : texlive-minifp-9:svn32559.0.96-36.fc34.noarch 2077/3201 Verifying : texlive-minipage-marginpar-9:svn15878.v0.2-36. 2078/3201 Verifying : texlive-miniplot-9:svn17483.0-36.fc34.noarch 2079/3201 Verifying : texlive-minitoc-9:svn48196-36.fc34.noarch 2080/3201 Verifying : texlive-minorrevision-9:svn32165.1.1-36.fc34.n 2081/3201 Verifying : texlive-minted-9:svn44855-36.fc34.noarch 2082/3201 Verifying : texlive-mintspirit-9:svn32069.0-36.fc34.noarch 2083/3201 Verifying : texlive-minutes-9:svn42186-36.fc34.noarch 2084/3201 Verifying : texlive-mismath-9:svn53245-36.fc34.noarch 2085/3201 Verifying : texlive-missaali-9:svn54512-36.fc34.noarch 2086/3201 Verifying : texlive-mkpic-9:20200327-25.fc34.noarch 2087/3201 Verifying : texlive-mla-paper-9:svn54080-36.fc34.noarch 2088/3201 Verifying : texlive-mlacls-9:svn51865-36.fc34.noarch 2089/3201 Verifying : texlive-mleftright-9:svn53021-36.fc34.noarch 2090/3201 Verifying : texlive-mlist-9:svn15878.0.6a-36.fc34.noarch 2091/3201 Verifying : texlive-mmap-9:svn15878.1.03-36.fc34.noarch 2092/3201 Verifying : texlive-mnotes-9:svn35521.0.8-36.fc34.noarch 2093/3201 Verifying : texlive-mnras-9:svn37579.3.0-36.fc34.noarch 2094/3201 Verifying : texlive-mnsymbol-9:svn18651.1.4-36.fc34.noarch 2095/3201 Verifying : texlive-modeles-factures-belges-assocs-9:svn50 2096/3201 Verifying : texlive-moderncv-9:svn52669-36.fc34.noarch 2097/3201 Verifying : texlive-modernposter-9:svn47269-36.fc34.noarch 2098/3201 Verifying : texlive-moderntimeline-9:svn50228-36.fc34.noar 2099/3201 Verifying : texlive-modes-9:svn53604-36.fc34.noarch 2100/3201 Verifying : texlive-modiagram-9:svn52589-36.fc34.noarch 2101/3201 Verifying : texlive-modref-9:svn15878.1.0-36.fc34.noarch 2102/3201 Verifying : texlive-modroman-9:svn29803.1-36.fc34.noarch 2103/3201 Verifying : texlive-modular-9:svn44142-36.fc34.noarch 2104/3201 Verifying : texlive-monofill-9:svn28140.0.2-36.fc34.noarch 2105/3201 Verifying : texlive-montserrat-9:svn54512-36.fc34.noarch 2106/3201 Verifying : texlive-moodle-9:svn39367-36.fc34.noarch 2107/3201 Verifying : texlive-moreenum-9:svn24479.1.03-36.fc34.noarc 2108/3201 Verifying : texlive-morefloats-9:svn37927.1.0h-36.fc34.noa 2109/3201 Verifying : texlive-morehype-9:svn38815-36.fc34.noarch 2110/3201 Verifying : texlive-moresize-9:svn17513.1.9-36.fc34.noarch 2111/3201 Verifying : texlive-moreverb-9:svn22126.2.3a-36.fc34.noarc 2112/3201 Verifying : texlive-morewrites-9:svn49531-36.fc34.noarch 2113/3201 Verifying : texlive-mparhack-9:svn15878.1.4-36.fc34.noarch 2114/3201 Verifying : texlive-mpfonts-9:svn54512-36.fc34.noarch 2115/3201 Verifying : texlive-mpostinl-9:svn49559-36.fc34.noarch 2116/3201 Verifying : texlive-mptopdf-9:20200327-25.fc34.noarch 2117/3201 Verifying : texlive-ms-9:svn51784-36.fc34.noarch 2118/3201 Verifying : texlive-msc-9:svn15878.1.16-36.fc34.noarch 2119/3201 Verifying : texlive-msg-9:svn49578-36.fc34.noarch 2120/3201 Verifying : texlive-mslapa-9:svn54080-36.fc34.noarch 2121/3201 Verifying : texlive-msu-thesis-9:svn46106-36.fc34.noarch 2122/3201 Verifying : texlive-mtgreek-9:svn17967.1.1+-36.fc34.noarch 2123/3201 Verifying : texlive-mucproc-9:svn43445-36.fc34.noarch 2124/3201 Verifying : texlive-mugsthesis-9:svn34878.0-36.fc34.noarch 2125/3201 Verifying : texlive-multenum-9:svn21775.0-36.fc34.noarch 2126/3201 Verifying : texlive-multiaudience-9:svn38035.1.03-36.fc34. 2127/3201 Verifying : texlive-multibbl-9:svn15878.v1.1-36.fc34.noarc 2128/3201 Verifying : texlive-multibib-9:svn15878.1.4-36.fc34.noarch 2129/3201 Verifying : texlive-multibibliography-9:20200327-25.fc34.n 2130/3201 Verifying : texlive-multicap-9:svn15878.0-36.fc34.noarch 2131/3201 Verifying : texlive-multicolrule-9:svn52283-36.fc34.noarch 2132/3201 Verifying : texlive-multidef-9:svn40637-36.fc34.noarch 2133/3201 Verifying : texlive-multido-9:svn18302.1.42-36.fc34.noarch 2134/3201 Verifying : texlive-multienv-9:svn26544.1.0-36.fc34.noarch 2135/3201 Verifying : texlive-multiexpand-9:svn45943-36.fc34.noarch 2136/3201 Verifying : texlive-multilang-9:svn49065-36.fc34.noarch 2137/3201 Verifying : texlive-multiobjective-9:svn15878.1.0-36.fc34. 2138/3201 Verifying : texlive-multirow-9:svn51278-36.fc34.noarch 2139/3201 Verifying : texlive-munich-9:svn15878.0-36.fc34.noarch 2140/3201 Verifying : texlive-musuos-9:svn24857.1.1d-36.fc34.noarch 2141/3201 Verifying : texlive-muthesis-9:svn23861.0-36.fc34.noarch 2142/3201 Verifying : texlive-mversion-9:svn29370.1.0.1-36.fc34.noar 2143/3201 Verifying : texlive-mwe-9:svn47194-36.fc34.noarch 2144/3201 Verifying : texlive-mweights-9:svn53520-36.fc34.noarch 2145/3201 Verifying : texlive-mycv-9:svn26807.1.5.6-36.fc34.noarch 2146/3201 Verifying : texlive-mylatexformat-9:svn21392.3.4-36.fc34.n 2147/3201 Verifying : texlive-mynsfc-9:svn41996-36.fc34.noarch 2148/3201 Verifying : texlive-nag-9:svn24741.0.7-36.fc34.noarch 2149/3201 Verifying : texlive-nameauth-9:svn53940-36.fc34.noarch 2150/3201 Verifying : texlive-namespc-9:svn15878.0-36.fc34.noarch 2151/3201 Verifying : texlive-nar-9:svn38100.3.19-36.fc34.noarch 2152/3201 Verifying : texlive-natbib-9:svn20668.8.31b-36.fc34.noarch 2153/3201 Verifying : texlive-natded-9:svn32693.0.1-36.fc34.noarch 2154/3201 Verifying : texlive-nath-9:svn15878.0-36.fc34.noarch 2155/3201 Verifying : texlive-nature-9:svn21819.1.0-36.fc34.noarch 2156/3201 Verifying : texlive-navydocs-9:svn41643-36.fc34.noarch 2157/3201 Verifying : texlive-ncclatex-9:svn15878.1.5-36.fc34.noarch 2158/3201 Verifying : texlive-ncctools-9:svn51810-36.fc34.noarch 2159/3201 Verifying : texlive-ncntrsbk-9:svn31835.0-36.fc34.noarch 2160/3201 Verifying : texlive-nddiss-9:svn45107-36.fc34.noarch 2161/3201 Verifying : texlive-ndsu-thesis-9:svn46639-36.fc34.noarch 2162/3201 Verifying : texlive-needspace-9:svn29601.1.3d-36.fc34.noar 2163/3201 Verifying : texlive-nestquot-9:svn27323.0-36.fc34.noarch 2164/3201 Verifying : texlive-neuralnetwork-9:svn31500.1.0-36.fc34.n 2165/3201 Verifying : texlive-newcommand-doc-9:svn18704.2.0-36.fc34. 2166/3201 Verifying : texlive-newcomputermodern-9:svn52877-36.fc34.n 2167/3201 Verifying : texlive-newenviron-9:svn29331.1.0-36.fc34.noar 2168/3201 Verifying : texlive-newfile-9:svn15878.1.0c-36.fc34.noarch 2169/3201 Verifying : texlive-newfloat-9:svn52906-36.fc34.noarch 2170/3201 Verifying : texlive-newlfm-9:svn15878.9.4-36.fc34.noarch 2171/3201 Verifying : texlive-newpx-9:svn53792-36.fc34.noarch 2172/3201 Verifying : texlive-newspaper-9:svn15878.1.0-36.fc34.noarc 2173/3201 Verifying : texlive-newtx-9:svn56384-36.fc34.noarch 2174/3201 Verifying : texlive-newtxsf-9:svn56527-36.fc34.noarch 2175/3201 Verifying : texlive-newtxtt-9:svn54512-36.fc34.noarch 2176/3201 Verifying : texlive-newunicodechar-9:svn47382-36.fc34.noar 2177/3201 Verifying : texlive-newvbtm-9:svn23996.1.1-36.fc34.noarch 2178/3201 Verifying : texlive-newverbs-9:svn52074-36.fc34.noarch 2179/3201 Verifying : texlive-nextpage-9:svn15878.1.1a-36.fc34.noarc 2180/3201 Verifying : texlive-nfssext-cfr-9:svn43640-36.fc34.noarch 2181/3201 Verifying : texlive-nicefilelist-9:svn28527.0.7a-36.fc34.n 2182/3201 Verifying : texlive-niceframe-9:svn36086.1.1c-36.fc34.noar 2183/3201 Verifying : texlive-niceframe-type1-9:svn44671-36.fc34.noa 2184/3201 Verifying : texlive-nicematrix-9:svn54758-36.fc34.noarch 2185/3201 Verifying : texlive-nicetext-9:svn38914-36.fc34.noarch 2186/3201 Verifying : texlive-nidanfloat-9:svn48295-36.fc34.noarch 2187/3201 Verifying : texlive-nih-9:svn15878.0-36.fc34.noarch 2188/3201 Verifying : texlive-nihbiosketch-9:svn54191-36.fc34.noarch 2189/3201 Verifying : texlive-nimbus15-9:svn54512-36.fc34.noarch 2190/3201 Verifying : texlive-nkarta-9:svn16437.0.2-36.fc34.noarch 2191/3201 Verifying : texlive-nlctdoc-9:svn53768-36.fc34.noarch 2192/3201 Verifying : texlive-nmbib-9:svn37984.1.04-36.fc34.noarch 2193/3201 Verifying : texlive-noconflict-9:svn30140.1.0-36.fc34.noar 2194/3201 Verifying : texlive-noindentafter-9:svn35709.0.2.2-36.fc34 2195/3201 Verifying : texlive-noitcrul-9:svn15878.0.2-36.fc34.noarch 2196/3201 Verifying : texlive-nolbreaks-9:svn26786.1.2-36.fc34.noarc 2197/3201 Verifying : texlive-nomencl-9:svn54080-36.fc34.noarch 2198/3201 Verifying : texlive-nomentbl-9:svn16549.0.4-36.fc34.noarch 2199/3201 Verifying : texlive-nonfloat-9:svn17598.1.0-36.fc34.noarch 2200/3201 Verifying : texlive-nonumonpart-9:svn22114.1-36.fc34.noarc 2201/3201 Verifying : texlive-nopageno-9:svn18128.0-36.fc34.noarch 2202/3201 Verifying : texlive-norasi-c90-9:svn37675.0-36.fc34.noarch 2203/3201 Verifying : texlive-normalcolor-9:svn40125-36.fc34.noarch 2204/3201 Verifying : texlive-nostarch-9:svn15878.1.3-36.fc34.noarch 2205/3201 Verifying : texlive-notes-9:svn42428-36.fc34.noarch 2206/3201 Verifying : texlive-notes2bib-9:svn52231-36.fc34.noarch 2207/3201 Verifying : texlive-notespages-9:svn41906-36.fc34.noarch 2208/3201 Verifying : texlive-notestex-9:svn45396-36.fc34.noarch 2209/3201 Verifying : texlive-notex-bst-9:svn42361-36.fc34.noarch 2210/3201 Verifying : texlive-noto-emoji-9:svn53968-36.fc34.noarch 2211/3201 Verifying : texlive-noto-9:svn54512-36.fc34.noarch 2212/3201 Verifying : texlive-notoccite-9:svn18129.0-36.fc34.noarch 2213/3201 Verifying : texlive-novel-9:svn54512-36.fc34.noarch 2214/3201 Verifying : texlive-nowidow-9:svn24066.1.0-36.fc34.noarch 2215/3201 Verifying : texlive-nox-9:svn30991.1.0-36.fc34.noarch 2216/3201 Verifying : texlive-nrc-9:svn29027.2.01a-36.fc34.noarch 2217/3201 Verifying : texlive-ntgclass-9:svn53882-36.fc34.noarch 2218/3201 Verifying : texlive-nth-9:svn54252-36.fc34.noarch 2219/3201 Verifying : texlive-ntheorem-9:svn27609.1.33-36.fc34.noarc 2220/3201 Verifying : texlive-nuc-9:svn22256.0.1-36.fc34.noarch 2221/3201 Verifying : texlive-nucleardata-9:svn47307-36.fc34.noarch 2222/3201 Verifying : texlive-numberedblock-9:svn33109.1.10-36.fc34. 2223/3201 Verifying : texlive-numericplots-9:svn31729.2.0.2-36.fc34. 2224/3201 Verifying : texlive-numname-9:svn18130.0-36.fc34.noarch 2225/3201 Verifying : texlive-numprint-9:svn27498.1.39-36.fc34.noarc 2226/3201 Verifying : texlive-numspell-9:svn45441-36.fc34.noarch 2227/3201 Verifying : texlive-nwejm-9:svn54392-36.fc34.noarch 2228/3201 Verifying : texlive-oberdiek-9:20200327-25.fc34.noarch 2229/3201 Verifying : texlive-objectz-9:svn19389.0-36.fc34.noarch 2230/3201 Verifying : texlive-obnov-9:svn33355.0.11-36.fc34.noarch 2231/3201 Verifying : texlive-ocg-p-9:svn28803.0.4-36.fc34.noarch 2232/3201 Verifying : texlive-ocgx-9:svn54512-36.fc34.noarch 2233/3201 Verifying : texlive-ocgx2-9:svn52730-36.fc34.noarch 2234/3201 Verifying : texlive-ocherokee-9:svn25689.0-36.fc34.noarch 2235/3201 Verifying : texlive-ocr-b-outline-9:svn20969.0-36.fc34.noa 2236/3201 Verifying : texlive-ocr-b-9:svn20852.0-36.fc34.noarch 2237/3201 Verifying : texlive-ocr-latex-9:svn15878.0-36.fc34.noarch 2238/3201 Verifying : texlive-octavo-9:svn15878.1.2-36.fc34.noarch 2239/3201 Verifying : texlive-ogham-9:svn24876.0-36.fc34.noarch 2240/3201 Verifying : texlive-oinuit-9:svn28668.0-36.fc34.noarch 2241/3201 Verifying : texlive-old-arrows-9:svn42872-36.fc34.noarch 2242/3201 Verifying : texlive-oldlatin-9:svn17932.1.00-36.fc34.noarc 2243/3201 Verifying : texlive-oldstandard-9:svn54512-36.fc34.noarch 2244/3201 Verifying : texlive-oldstyle-9:svn15878.0.2-36.fc34.noarch 2245/3201 Verifying : texlive-onlyamsmath-9:svn42927-36.fc34.noarch 2246/3201 Verifying : texlive-onrannual-9:svn17474.1.1-36.fc34.noarc 2247/3201 Verifying : texlive-opcit-9:svn15878.1.1-36.fc34.noarch 2248/3201 Verifying : texlive-opensans-9:svn54512-36.fc34.noarch 2249/3201 Verifying : texlive-oplotsymbl-9:svn44951-36.fc34.noarch 2250/3201 Verifying : texlive-opteng-9:svn27331.1.0-36.fc34.noarch 2251/3201 Verifying : texlive-optidef-9:svn50941-36.fc34.noarch 2252/3201 Verifying : texlive-optional-9:svn18131.2.2b-36.fc34.noarc 2253/3201 Verifying : texlive-options-9:svn39030-36.fc34.noarch 2254/3201 Verifying : texlive-orkhun-9:svn15878.0-36.fc34.noarch 2255/3201 Verifying : texlive-oscola-9:svn54328-36.fc34.noarch 2256/3201 Verifying : texlive-ot-tableau-9:svn44889-36.fc34.noarch 2257/3201 Verifying : texlive-oubraces-9:svn21833.0-36.fc34.noarch 2258/3201 Verifying : texlive-outline-9:svn18360.0-36.fc34.noarch 2259/3201 Verifying : texlive-outliner-9:svn21095.0.94-36.fc34.noarc 2260/3201 Verifying : texlive-outlines-9:svn25192.1.1-36.fc34.noarch 2261/3201 Verifying : texlive-outlining-9:svn45601-36.fc34.noarch 2262/3201 Verifying : texlive-overlays-9:svn46122-36.fc34.noarch 2263/3201 Verifying : texlive-overlock-9:svn56079-36.fc34.noarch 2264/3201 Verifying : texlive-overpic-9:svn53889-36.fc34.noarch 2265/3201 Verifying : texlive-pacioli-9:svn24947.0-36.fc34.noarch 2266/3201 Verifying : texlive-padcount-9:svn47621-36.fc34.noarch 2267/3201 Verifying : texlive-pagecolor-9:svn44487-36.fc34.noarch 2268/3201 Verifying : texlive-pagecont-9:svn15878.1.0-36.fc34.noarch 2269/3201 Verifying : texlive-pagenote-9:svn15878.1.1a-36.fc34.noarc 2270/3201 Verifying : texlive-pagerange-9:svn16915.0.5-36.fc34.noarc 2271/3201 Verifying : texlive-pageslts-9:svn39164-36.fc34.noarch 2272/3201 Verifying : texlive-palatino-9:svn31835.0-36.fc34.noarch 2273/3201 Verifying : texlive-paper-9:svn34521.1.0l-36.fc34.noarch 2274/3201 Verifying : texlive-papercdcase-9:svn15878.0-36.fc34.noarc 2275/3201 Verifying : texlive-papermas-9:svn23667.1.0h-36.fc34.noarc 2276/3201 Verifying : texlive-papertex-9:svn19230.1.2b-36.fc34.noarc 2277/3201 Verifying : texlive-paracol-9:svn49560-36.fc34.noarch 2278/3201 Verifying : texlive-parades-9:svn40042-36.fc34.noarch 2279/3201 Verifying : texlive-paralist-9:svn43021-36.fc34.noarch 2280/3201 Verifying : texlive-parallel-9:svn15878.0-36.fc34.noarch 2281/3201 Verifying : texlive-paratype-9:svn32859.0-36.fc34.noarch 2282/3201 Verifying : texlive-paresse-9:svn29803.4.1-36.fc34.noarch 2283/3201 Verifying : texlive-parnotes-9:svn51720-36.fc34.noarch 2284/3201 Verifying : texlive-parselines-9:svn21475.1.4-36.fc34.noar 2285/3201 Verifying : texlive-parskip-9:svn53503-36.fc34.noarch 2286/3201 Verifying : texlive-pas-cours-9:svn42036-36.fc34.noarch 2287/3201 Verifying : texlive-pas-cv-9:svn32263.2.01-36.fc34.noarch 2288/3201 Verifying : texlive-pas-tableur-9:svn39542-36.fc34.noarch 2289/3201 Verifying : texlive-patchcmd-9:svn41379-36.fc34.noarch 2290/3201 Verifying : texlive-path-9:svn22045.3.05-36.fc34.noarch 2291/3201 Verifying : texlive-pauldoc-9:svn16005.0.5-36.fc34.noarch 2292/3201 Verifying : texlive-pawpict-9:svn21629.1.0-36.fc34.noarch 2293/3201 Verifying : texlive-pax-9:20200327-25.fc34.noarch 2294/3201 Verifying : texlive-pb-diagram-9:svn15878.5.0-36.fc34.noar 2295/3201 Verifying : texlive-pbox-9:svn24807.1.2-36.fc34.noarch 2296/3201 Verifying : texlive-pbsheet-9:svn24830.0.1-36.fc34.noarch 2297/3201 Verifying : texlive-pdf14-9:svn17583.0.1-36.fc34.noarch 2298/3201 Verifying : texlive-pdfcolmk-9:svn52912-36.fc34.noarch 2299/3201 Verifying : texlive-pdfcomment-9:svn49047-36.fc34.noarch 2300/3201 Verifying : texlive-pdfcprot-9:svn18735.1.7a-36.fc34.noarc 2301/3201 Verifying : texlive-pdfescape-9:svn53082-36.fc34.noarch 2302/3201 Verifying : texlive-pdflscape-9:svn53047-36.fc34.noarch 2303/3201 Verifying : texlive-pdfmarginpar-9:svn23492.0.92-36.fc34.n 2304/3201 Verifying : texlive-pdfoverlay-9:svn52627-36.fc34.noarch 2305/3201 Verifying : texlive-pdfpagediff-9:svn37946.1.4-36.fc34.noa 2306/3201 Verifying : texlive-pdfpages-9:svn53583-36.fc34.noarch 2307/3201 Verifying : texlive-pdfpc-movie-9:svn48245-36.fc34.noarch 2308/3201 Verifying : texlive-pdfpc-9:svn54160-36.fc34.noarch 2309/3201 Verifying : texlive-pdfprivacy-9:svn45985-36.fc34.noarch 2310/3201 Verifying : texlive-pdfreview-9:svn50100-36.fc34.noarch 2311/3201 Verifying : texlive-pdfscreen-9:svn42428-36.fc34.noarch 2312/3201 Verifying : texlive-pdfslide-9:svn15878.0-36.fc34.noarch 2313/3201 Verifying : texlive-pdfsync-9:svn20373.0-36.fc34.noarch 2314/3201 Verifying : texlive-pdftex-9:20200327-25.fc34.x86_64 2315/3201 Verifying : texlive-pdftexcmds-9:svn52913-36.fc34.noarch 2316/3201 Verifying : texlive-pdfwin-9:svn54074-36.fc34.noarch 2317/3201 Verifying : texlive-pdfx-9:svn50338-36.fc34.noarch 2318/3201 Verifying : texlive-pecha-9:svn15878.0.1-36.fc34.noarch 2319/3201 Verifying : texlive-penrose-9:svn48202-36.fc34.noarch 2320/3201 Verifying : texlive-perception-9:svn48861-36.fc34.noarch 2321/3201 Verifying : texlive-perfectcut-9:svn54080-36.fc34.noarch 2322/3201 Verifying : texlive-perltex-9:20200327-25.fc34.noarch 2323/3201 Verifying : texlive-permute-9:svn15878.0-36.fc34.noarch 2324/3201 Verifying : texlive-petiteannonce-9:svn25915.1.0001-36.fc3 2325/3201 Verifying : texlive-petri-nets-9:20200327-25.fc34.noarch 2326/3201 Verifying : texlive-pgf-blur-9:svn54512-36.fc34.noarch 2327/3201 Verifying : texlive-pgf-cmykshadings-9:svn52635-36.fc34.no 2328/3201 Verifying : texlive-pgf-soroban-9:svn32269.1.1-36.fc34.noa 2329/3201 Verifying : texlive-pgf-spectra-9:svn42986-36.fc34.noarch 2330/3201 Verifying : texlive-pgf-9:svn54512-36.fc34.noarch 2331/3201 Verifying : texlive-pgf-umlcd-9:svn33307.0.2.1.1-36.fc34.n 2332/3201 Verifying : texlive-pgf-umlsd-9:svn33045.0.7-36.fc34.noarc 2333/3201 Verifying : texlive-pgfgantt-9:svn52662-36.fc34.noarch 2334/3201 Verifying : texlive-pgfkeyx-9:svn26093.0.0.1-36.fc34.noarc 2335/3201 Verifying : texlive-pgfmolbio-9:svn35152.0.21-36.fc34.noar 2336/3201 Verifying : texlive-pgfmorepages-9:svn54770-36.fc34.noarch 2337/3201 Verifying : texlive-pgfopts-9:svn34573.2.1a-36.fc34.noarch 2338/3201 Verifying : texlive-pgfornament-9:svn54670-36.fc34.noarch 2339/3201 Verifying : texlive-pgfplots-9:svn54080-36.fc34.noarch 2340/3201 Verifying : texlive-phaistos-9:svn18651.1.0-36.fc34.noarch 2341/3201 Verifying : texlive-phffullpagefigure-9:svn41857-36.fc34.n 2342/3201 Verifying : texlive-phfnote-9:svn41858-36.fc34.noarch 2343/3201 Verifying : texlive-phfparen-9:svn41859-36.fc34.noarch 2344/3201 Verifying : texlive-phfqit-9:svn45084-36.fc34.noarch 2345/3201 Verifying : texlive-phfquotetext-9:svn41869-36.fc34.noarch 2346/3201 Verifying : texlive-phfsvnwatermark-9:svn41870-36.fc34.noa 2347/3201 Verifying : texlive-phfthm-9:svn41871-36.fc34.noarch 2348/3201 Verifying : texlive-philex-9:svn36396.1.3-36.fc34.noarch 2349/3201 Verifying : texlive-philosophersimprint-9:svn41788-36.fc34 2350/3201 Verifying : texlive-phonenumbers-9:svn51933-36.fc34.noarch 2351/3201 Verifying : texlive-phonetic-9:svn21871.0-36.fc34.noarch 2352/3201 Verifying : texlive-photo-9:svn18739.0-36.fc34.noarch 2353/3201 Verifying : texlive-physconst-9:svn54587-36.fc34.noarch 2354/3201 Verifying : texlive-physics-9:svn28590.1.3-36.fc34.noarch 2355/3201 Verifying : texlive-physunits-9:svn54588-36.fc34.noarch 2356/3201 Verifying : texlive-picinpar-9:svn20374.1.2a-36.fc34.noarc 2357/3201 Verifying : texlive-pict2e-9:svn51918-36.fc34.noarch 2358/3201 Verifying : texlive-pictex-9:svn21943.1.1-36.fc34.noarch 2359/3201 Verifying : texlive-pictex2-9:svn15878.0-36.fc34.noarch 2360/3201 Verifying : texlive-picture-9:svn54867-36.fc34.noarch 2361/3201 Verifying : texlive-piff-9:svn21894.0-36.fc34.noarch 2362/3201 Verifying : texlive-pigpen-9:svn15878.0.2-36.fc34.noarch 2363/3201 Verifying : texlive-pinlabel-9:svn24769.1.2-36.fc34.noarch 2364/3201 Verifying : texlive-pinoutikz-9:svn52999-36.fc34.noarch 2365/3201 Verifying : texlive-pittetd-9:svn15878.1.618-36.fc34.noarc 2366/3201 Verifying : texlive-pixelart-9:svn46740-36.fc34.noarch 2367/3201 Verifying : texlive-pkgloader-9:svn47486-36.fc34.noarch 2368/3201 Verifying : texlive-pkuthss-9:svn54512-36.fc34.noarch 2369/3201 Verifying : texlive-pl-9:svn36012.1.09-36.fc34.noarch 2370/3201 Verifying : texlive-placeins-9:svn19848.2.2-36.fc34.noarch 2371/3201 Verifying : texlive-plain-9:svn43076-36.fc34.noarch 2372/3201 Verifying : texlive-plainpkg-9:svn27765.0.4a-36.fc34.noarc 2373/3201 Verifying : texlive-plainyr-9:svn52783-36.fc34.noarch 2374/3201 Verifying : texlive-plantslabels-9:svn29803.1.0-36.fc34.no 2375/3201 Verifying : texlive-plates-9:svn15878.0.1-36.fc34.noarch 2376/3201 Verifying : texlive-platex-9:svn54758-36.fc34.noarch 2377/3201 Verifying : texlive-platex-tools-9:svn52049-36.fc34.noarch 2378/3201 Verifying : texlive-playfair-9:svn56005-36.fc34.noarch 2379/3201 Verifying : texlive-plex-otf-9:svn47562-36.fc34.noarch 2380/3201 Verifying : texlive-plex-9:svn54512-36.fc34.noarch 2381/3201 Verifying : texlive-plweb-9:svn15878.3.0-36.fc34.noarch 2382/3201 Verifying : texlive-pm-isomath-9:svn54799-36.fc34.noarch 2383/3201 Verifying : texlive-pmboxdraw-9:svn53046-36.fc34.noarch 2384/3201 Verifying : texlive-pmgraph-9:svn15878.1.0-36.fc34.noarch 2385/3201 Verifying : texlive-pnas2009-9:svn16287.1.0-36.fc34.noarch 2386/3201 Verifying : texlive-poiretone-9:svn54512-36.fc34.noarch 2387/3201 Verifying : texlive-polexpr-9:svn53633-36.fc34.noarch 2388/3201 Verifying : texlive-polski-9:svn44213-36.fc34.noarch 2389/3201 Verifying : texlive-poltawski-9:svn20075.1.101-36.fc34.noa 2390/3201 Verifying : texlive-polyglossia-9:svn54758-36.fc34.noarch 2391/3201 Verifying : texlive-polynom-9:svn44832-36.fc34.noarch 2392/3201 Verifying : texlive-polynomial-9:svn15878.1.0-36.fc34.noar 2393/3201 Verifying : texlive-polytable-9:svn31235.0.8.2-36.fc34.noa 2394/3201 Verifying : texlive-postage-9:svn47893-36.fc34.noarch 2395/3201 Verifying : texlive-postcards-9:svn21641.0-36.fc34.noarch 2396/3201 Verifying : texlive-poster-mac-9:svn18305.1.1-36.fc34.noar 2397/3201 Verifying : texlive-powerdot-fuberlin-9:svn52922-36.fc34.n 2398/3201 Verifying : texlive-powerdot-9:svn45165-36.fc34.noarch 2399/3201 Verifying : texlive-powerdot-tuliplab-9:svn47963-36.fc34.n 2400/3201 Verifying : texlive-ppr-prv-9:svn15878.0.13c-36.fc34.noarc 2401/3201 Verifying : texlive-pracjourn-9:svn15878.0.4n-36.fc34.noar 2402/3201 Verifying : texlive-practicalreports-9:svn52312-36.fc34.no 2403/3201 Verifying : texlive-preprint-9:svn30447.2011-36.fc34.noarc 2404/3201 Verifying : texlive-prerex-9:svn54512-36.fc34.noarch 2405/3201 Verifying : texlive-pressrelease-9:svn35147.1.0-36.fc34.no 2406/3201 Verifying : texlive-prettyref-9:svn15878.3.0-36.fc34.noarc 2407/3201 Verifying : texlive-prftree-9:svn54080-36.fc34.noarch 2408/3201 Verifying : texlive-printlen-9:svn19847.1.1a-36.fc34.noarc 2409/3201 Verifying : texlive-proba-9:svn15878.0-36.fc34.noarch 2410/3201 Verifying : texlive-probsoln-9:svn44783-36.fc34.noarch 2411/3201 Verifying : texlive-procIAGssymp-9:svn51771-36.fc34.noarch 2412/3201 Verifying : texlive-prodint-9:svn21893.0-36.fc34.noarch 2413/3201 Verifying : texlive-productbox-9:svn20886.1.1-36.fc34.noar 2414/3201 Verifying : texlive-program-9:svn44214-36.fc34.noarch 2415/3201 Verifying : texlive-progress-9:svn19519.1.10-36.fc34.noarc 2416/3201 Verifying : texlive-progressbar-9:svn33822.v1.0b_4-36.fc34 2417/3201 Verifying : texlive-proof-at-the-end-9:svn51194-36.fc34.no 2418/3201 Verifying : texlive-proofread-9:svn50938-36.fc34.noarch 2419/3201 Verifying : texlive-prooftrees-9:svn52221-36.fc34.noarch 2420/3201 Verifying : texlive-properties-9:svn15878.0.2-36.fc34.noar 2421/3201 Verifying : texlive-proposal-9:svn40538-36.fc34.noarch 2422/3201 Verifying : texlive-prosper-9:svn33033.1.0h-36.fc34.noarch 2423/3201 Verifying : texlive-protex-9:svn41633-36.fc34.noarch 2424/3201 Verifying : texlive-protocol-9:svn25562.1.13-36.fc34.noarc 2425/3201 Verifying : texlive-prtec-9:svn51919-36.fc34.noarch 2426/3201 Verifying : texlive-pseudo-9:svn52582-36.fc34.noarch 2427/3201 Verifying : texlive-pseudocode-9:svn54080-36.fc34.noarch 2428/3201 Verifying : texlive-psfrag-9:svn15878.3.04-36.fc34.noarch 2429/3201 Verifying : texlive-psfragx-9:svn26243.1.1-36.fc34.noarch 2430/3201 Verifying : texlive-pslatex-9:svn16416.0-36.fc34.noarch 2431/3201 Verifying : texlive-psnfss-9:svn54694-36.fc34.noarch 2432/3201 Verifying : texlive-pspicture-9:svn15878.0-36.fc34.noarch 2433/3201 Verifying : texlive-pst-3d-9:svn17257.1.10-36.fc34.noarch 2434/3201 Verifying : texlive-pst-blur-9:svn15878.2.0-36.fc34.noarch 2435/3201 Verifying : texlive-pst-coil-9:svn37377.1.07-36.fc34.noarc 2436/3201 Verifying : texlive-pst-eps-9:svn15878.1.0-36.fc34.noarch 2437/3201 Verifying : texlive-pst-fill-9:svn15878.1.01-36.fc34.noarc 2438/3201 Verifying : texlive-pst-grad-9:svn15878.1.06-36.fc34.noarc 2439/3201 Verifying : texlive-pst-math-9:svn49425-36.fc34.noarch 2440/3201 Verifying : texlive-pst-node-9:svn54687-36.fc34.noarch 2441/3201 Verifying : texlive-pst-ovl-9:svn45506-36.fc34.noarch 2442/3201 Verifying : texlive-pst-plot-9:svn54080-36.fc34.noarch 2443/3201 Verifying : texlive-pst-slpe-9:svn24391.1.31-36.fc34.noarc 2444/3201 Verifying : texlive-pst-text-9:svn49542-36.fc34.noarch 2445/3201 Verifying : texlive-pst-tools-9:svn54518-36.fc34.noarch 2446/3201 Verifying : texlive-pst-tree-9:svn43272-36.fc34.noarch 2447/3201 Verifying : texlive-pstool-9:svn46393-36.fc34.noarch 2448/3201 Verifying : texlive-pstricks-add-9:svn53763-36.fc34.noarch 2449/3201 Verifying : texlive-pstricks-9:svn54080-36.fc34.noarch 2450/3201 Verifying : texlive-pstring-9:svn42857-36.fc34.noarch 2451/3201 Verifying : texlive-ptex-9:20200327-25.fc34.x86_64 2452/3201 Verifying : texlive-ptex-base-9:svn54153-36.fc34.noarch 2453/3201 Verifying : texlive-ptex-fonts-9:svn46940-36.fc34.noarch 2454/3201 Verifying : texlive-ptolemaicastronomy-9:svn50810-36.fc34. 2455/3201 Verifying : texlive-ptptex-9:svn19440.0.91-36.fc34.noarch 2456/3201 Verifying : texlive-punk-latex-9:svn27389.1.1-36.fc34.noar 2457/3201 Verifying : texlive-punk-9:svn27388.0-36.fc34.noarch 2458/3201 Verifying : texlive-punknova-9:svn24649.1.003-36.fc34.noar 2459/3201 Verifying : texlive-pxfonts-9:svn15878.0-36.fc34.noarch 2460/3201 Verifying : texlive-pxgreeks-9:svn21838.1.0-36.fc34.noarch 2461/3201 Verifying : texlive-pxpgfmark-9:svn30212.0.2-36.fc34.noarc 2462/3201 Verifying : texlive-pxtxalfa-9:svn54080-36.fc34.noarch 2463/3201 Verifying : texlive-pygmentex-9:20200327-25.fc34.noarch 2464/3201 Verifying : texlive-python-9:svn27064.0.21-36.fc34.noarch 2465/3201 Verifying : texlive-pythonhighlight-9:svn43191-36.fc34.noa 2466/3201 Verifying : texlive-qcircuit-9:svn48400-36.fc34.noarch 2467/3201 Verifying : texlive-qcm-9:svn15878.2.1-36.fc34.noarch 2468/3201 Verifying : texlive-qrcode-9:svn36065.1.51-36.fc34.noarch 2469/3201 Verifying : texlive-qsharp-9:svn49722-36.fc34.noarch 2470/3201 Verifying : texlive-qstest-9:svn15878.0-36.fc34.noarch 2471/3201 Verifying : texlive-qsymbols-9:svn15878.0-36.fc34.noarch 2472/3201 Verifying : texlive-qtree-9:svn15878.3.1b-36.fc34.noarch 2473/3201 Verifying : texlive-qualitype-9:svn54512-36.fc34.noarch 2474/3201 Verifying : texlive-quantikz-9:svn54911-36.fc34.noarch 2475/3201 Verifying : texlive-quantumarticle-9:svn54080-36.fc34.noar 2476/3201 Verifying : texlive-quattrocento-9:svn56020-36.fc34.noarch 2477/3201 Verifying : texlive-quicktype-9:svn42183-36.fc34.noarch 2478/3201 Verifying : texlive-quiz2socrative-9:svn52276-36.fc34.noar 2479/3201 Verifying : texlive-quotchap-9:svn51591-36.fc34.noarch 2480/3201 Verifying : texlive-quoting-9:svn32818.v0.1c-36.fc34.noarc 2481/3201 Verifying : texlive-quotmark-9:svn15878.1.0-36.fc34.noarch 2482/3201 Verifying : texlive-ragged2e-9:svn51780-36.fc34.noarch 2483/3201 Verifying : texlive-raleway-9:svn42629-36.fc34.noarch 2484/3201 Verifying : texlive-ran_toks-9:svn53271-36.fc34.noarch 2485/3201 Verifying : texlive-randbild-9:svn15878.0.2-36.fc34.noarch 2486/3201 Verifying : texlive-random-9:svn54723-36.fc34.noarch 2487/3201 Verifying : texlive-randomwalk-9:svn49513-36.fc34.noarch 2488/3201 Verifying : texlive-randtext-9:svn15878.0-36.fc34.noarch 2489/3201 Verifying : texlive-rank-2-roots-9:svn48515-36.fc34.noarch 2490/3201 Verifying : texlive-rccol-9:svn15878.1.2c-36.fc34.noarch 2491/3201 Verifying : texlive-rcs-multi-9:svn21939.0.1a-36.fc34.noar 2492/3201 Verifying : texlive-rcs-9:svn15878.0-36.fc34.noarch 2493/3201 Verifying : texlive-rcsinfo-9:svn15878.1.11-36.fc34.noarch 2494/3201 Verifying : texlive-readarray-9:svn42467-36.fc34.noarch 2495/3201 Verifying : texlive-realboxes-9:svn23581.0.2-36.fc34.noarc 2496/3201 Verifying : texlive-realhats-9:svn52865-36.fc34.noarch 2497/3201 Verifying : texlive-realscripts-9:svn39706-36.fc34.noarch 2498/3201 Verifying : texlive-rec-thy-9:svn50047-36.fc34.noarch 2499/3201 Verifying : texlive-recipe-9:svn54080-36.fc34.noarch 2500/3201 Verifying : texlive-recipebook-9:svn37026.0-36.fc34.noarch 2501/3201 Verifying : texlive-recipecard-9:svn15878.2.0-36.fc34.noar 2502/3201 Verifying : texlive-rectopma-9:svn19980.0-36.fc34.noarch 2503/3201 Verifying : texlive-recycle-9:svn15878.0-36.fc34.noarch 2504/3201 Verifying : texlive-refcheck-9:svn29128.1.9.1-36.fc34.noar 2505/3201 Verifying : texlive-refcount-9:svn53164-36.fc34.noarch 2506/3201 Verifying : texlive-refenums-9:svn44131-36.fc34.noarch 2507/3201 Verifying : texlive-reflectgraphics-9:svn40612-36.fc34.noa 2508/3201 Verifying : texlive-refman-9:svn15878.2.0e-36.fc34.noarch 2509/3201 Verifying : texlive-refstyle-9:svn20318.0.5-36.fc34.noarch 2510/3201 Verifying : texlive-regcount-9:svn19979.1.0-36.fc34.noarch 2511/3201 Verifying : texlive-regexpatch-9:svn47601-36.fc34.noarch 2512/3201 Verifying : texlive-register-9:svn54485-36.fc34.noarch 2513/3201 Verifying : texlive-regstats-9:svn25050.1.0h-36.fc34.noarc 2514/3201 Verifying : texlive-relenc-9:svn22050.0-36.fc34.noarch 2515/3201 Verifying : texlive-relsize-9:svn30707.4.1-36.fc34.noarch 2516/3201 Verifying : texlive-reotex-9:svn34924.1.1-36.fc34.noarch 2517/3201 Verifying : texlive-repeatindex-9:svn24305.0.01-36.fc34.no 2518/3201 Verifying : texlive-repltext-9:svn33442.1.0-36.fc34.noarch 2519/3201 Verifying : texlive-rerunfilecheck-9:svn54841-36.fc34.noar 2520/3201 Verifying : texlive-resphilosophica-9:svn50935-36.fc34.noa 2521/3201 Verifying : texlive-rest-api-9:svn54486-36.fc34.noarch 2522/3201 Verifying : texlive-resumecls-9:svn54815-36.fc34.noarch 2523/3201 Verifying : texlive-returntogrid-9:svn48485-36.fc34.noarch 2524/3201 Verifying : texlive-revquantum-9:svn43505-36.fc34.noarch 2525/3201 Verifying : texlive-revtex-9:svn49751-36.fc34.noarch 2526/3201 Verifying : texlive-revtex4-9:svn45873-36.fc34.noarch 2527/3201 Verifying : texlive-rgltxdoc-9:svn53858-36.fc34.noarch 2528/3201 Verifying : texlive-ribbonproofs-9:svn31137.1.0-36.fc34.no 2529/3201 Verifying : texlive-rjlparshap-9:svn15878.1.0-36.fc34.noar 2530/3201 Verifying : texlive-rlepsf-9:svn19082.0-36.fc34.noarch 2531/3201 Verifying : texlive-rmathbr-9:svn40415-36.fc34.noarch 2532/3201 Verifying : texlive-rmpage-9:svn54080-36.fc34.noarch 2533/3201 Verifying : texlive-roboto-9:svn54512-36.fc34.noarch 2534/3201 Verifying : texlive-robustcommand-9:svn15878.0.1-36.fc34.n 2535/3201 Verifying : texlive-robustindex-9:svn49877-36.fc34.noarch 2536/3201 Verifying : texlive-romanbar-9:svn25005.1.0f-36.fc34.noarc 2537/3201 Verifying : texlive-romanbarpagenumber-9:svn36236.1.0-36.f 2538/3201 Verifying : texlive-romande-9:svn19537.1.008_v7_sc-36.fc34 2539/3201 Verifying : texlive-romanneg-9:svn20087.0-36.fc34.noarch 2540/3201 Verifying : texlive-romannum-9:svn15878.1.0b-36.fc34.noarc 2541/3201 Verifying : texlive-rosario-9:svn51688-36.fc34.noarch 2542/3201 Verifying : texlive-rotfloat-9:svn18292.1.2-36.fc34.noarch 2543/3201 Verifying : texlive-rotpages-9:svn18740.3.0-36.fc34.noarch 2544/3201 Verifying : texlive-roundbox-9:svn29675.0.2-36.fc34.noarch 2545/3201 Verifying : texlive-rsc-9:svn41923-36.fc34.noarch 2546/3201 Verifying : texlive-rsfs-9:svn15878.0-36.fc34.noarch 2547/3201 Verifying : texlive-rsfso-9:svn37965.1.02-36.fc34.noarch 2548/3201 Verifying : texlive-rterface-9:svn30084.0-36.fc34.noarch 2549/3201 Verifying : texlive-rtkinenc-9:svn20003.1.0-36.fc34.noarch 2550/3201 Verifying : texlive-rulerbox-9:svn50984-36.fc34.noarch 2551/3201 Verifying : texlive-rulercompass-9:svn32392.1-36.fc34.noar 2552/3201 Verifying : texlive-rutitlepage-9:svn51073-36.fc34.noarch 2553/3201 Verifying : texlive-rviewport-9:svn23739.v1.0-36.fc34.noar 2554/3201 Verifying : texlive-rvwrite-9:svn19614.1.2-36.fc34.noarch 2555/3201 Verifying : texlive-ryersonsgsthesis-9:svn50119-36.fc34.no 2556/3201 Verifying : texlive-ryethesis-9:svn33945.1.36-36.fc34.noar 2557/3201 Verifying : texlive-sa-tikz-9:svn32815.0.7a-36.fc34.noarch 2558/3201 Verifying : texlive-sageep-9:svn15878.1.0-36.fc34.noarch 2559/3201 Verifying : texlive-sanitize-umlaut-9:svn53292-36.fc34.noa 2560/3201 Verifying : texlive-sansmath-9:svn17997.1.1-36.fc34.noarch 2561/3201 Verifying : texlive-sansmathaccent-9:svn53628-36.fc34.noar 2562/3201 Verifying : texlive-sansmathfonts-9:svn51356-36.fc34.noarc 2563/3201 Verifying : texlive-sapthesis-9:svn48365-36.fc34.noarch 2564/3201 Verifying : texlive-sasnrdisplay-9:svn45963-36.fc34.noarch 2565/3201 Verifying : texlive-sauerj-9:svn15878.0-36.fc34.noarch 2566/3201 Verifying : texlive-sauter-9:svn13293.2.4-36.fc34.noarch 2567/3201 Verifying : texlive-sauterfonts-9:svn15878.0-36.fc34.noarc 2568/3201 Verifying : texlive-savefnmark-9:svn15878.1.0-36.fc34.noar 2569/3201 Verifying : texlive-savesym-9:svn31565.1.2-36.fc34.noarch 2570/3201 Verifying : texlive-savetrees-9:svn40525-36.fc34.noarch 2571/3201 Verifying : texlive-scale-9:svn15878.1.1.2-36.fc34.noarch 2572/3201 Verifying : texlive-scalebar-9:svn15878.1.0-36.fc34.noarch 2573/3201 Verifying : texlive-scalerel-9:svn42809-36.fc34.noarch 2574/3201 Verifying : texlive-scanpages-9:svn42633-36.fc34.noarch 2575/3201 Verifying : texlive-schedule-9:svn51805-36.fc34.noarch 2576/3201 Verifying : texlive-schemabloc-9:svn15878.1.5-36.fc34.noar 2577/3201 Verifying : texlive-scholax-9:svn55400-36.fc34.noarch 2578/3201 Verifying : texlive-schule-9:svn48471-36.fc34.noarch 2579/3201 Verifying : texlive-schulschriften-9:svn35730.4-36.fc34.no 2580/3201 Verifying : texlive-scientific-thesis-cover-9:svn47923-36. 2581/3201 Verifying : texlive-sciposter-9:svn15878.1.18-36.fc34.noar 2582/3201 Verifying : texlive-sclang-prettifier-9:svn35087.0.1-36.fc 2583/3201 Verifying : texlive-scontents-9:svn53504-36.fc34.noarch 2584/3201 Verifying : texlive-scratch-9:svn50073-36.fc34.noarch 2585/3201 Verifying : texlive-scratch3-9:svn54916-36.fc34.noarch 2586/3201 Verifying : texlive-scratchx-9:svn44906-36.fc34.noarch 2587/3201 Verifying : texlive-scrjrnl-9:svn27810.0.1-36.fc34.noarch 2588/3201 Verifying : texlive-scrlttr2copy-9:svn39734-36.fc34.noarch 2589/3201 Verifying : texlive-scsnowman-9:svn54080-36.fc34.noarch 2590/3201 Verifying : texlive-sdaps-9:svn54678-36.fc34.noarch 2591/3201 Verifying : texlive-sdrt-9:svn15878.1.0-36.fc34.noarch 2592/3201 Verifying : texlive-sduthesis-9:svn41401-36.fc34.noarch 2593/3201 Verifying : texlive-secdot-9:svn20208.1.0-36.fc34.noarch 2594/3201 Verifying : texlive-secnum-9:svn53657-36.fc34.noarch 2595/3201 Verifying : texlive-section-9:svn20180.0-36.fc34.noarch 2596/3201 Verifying : texlive-sectionbox-9:svn37749.1.01-36.fc34.noa 2597/3201 Verifying : texlive-sectionbreak-9:svn50339-36.fc34.noarch 2598/3201 Verifying : texlive-sectsty-9:svn15878.2.0.2-36.fc34.noarc 2599/3201 Verifying : texlive-seealso-9:svn43595-36.fc34.noarch 2600/3201 Verifying : texlive-selectp-9:svn20185.1.0-36.fc34.noarch 2601/3201 Verifying : texlive-selinput-9:svn53098-36.fc34.noarch 2602/3201 Verifying : texlive-semantic-markup-9:svn53607-36.fc34.noa 2603/3201 Verifying : texlive-semantic-9:svn15878.2.0-36.fc34.noarch 2604/3201 Verifying : texlive-semaphor-9:svn18651.0-36.fc34.noarch 2605/3201 Verifying : texlive-seminar-9:svn34011.1.62-36.fc34.noarch 2606/3201 Verifying : texlive-semioneside-9:svn15878.v0.41-36.fc34.n 2607/3201 Verifying : texlive-semproc-9:svn37568.0.1-36.fc34.noarch 2608/3201 Verifying : texlive-sepfootnotes-9:svn41732-36.fc34.noarch 2609/3201 Verifying : texlive-sepnum-9:svn20186.2.0-36.fc34.noarch 2610/3201 Verifying : texlive-seqsplit-9:svn15878.0.1-36.fc34.noarch 2611/3201 Verifying : texlive-sesamanuel-9:svn36613.0.6-36.fc34.noar 2612/3201 Verifying : texlive-sesstime-9:svn49750-36.fc34.noarch 2613/3201 Verifying : texlive-setdeck-9:svn40613-36.fc34.noarch 2614/3201 Verifying : texlive-setspace-9:svn24881.6.7a-36.fc34.noarc 2615/3201 Verifying : texlive-seuthesis-9:svn33042.2.1.2-36.fc34.noa 2616/3201 Verifying : texlive-seuthesix-9:svn40088-36.fc34.noarch 2617/3201 Verifying : texlive-sf298-9:svn41653-36.fc34.noarch 2618/3201 Verifying : texlive-sffms-9:svn15878.2.0-36.fc34.noarch 2619/3201 Verifying : texlive-sfg-9:svn20209.0.91-36.fc34.noarch 2620/3201 Verifying : texlive-sfmath-9:svn15878.0.8-36.fc34.noarch 2621/3201 Verifying : texlive-shadethm-9:svn53350-36.fc34.noarch 2622/3201 Verifying : texlive-shadow-9:svn20312.0-36.fc34.noarch 2623/3201 Verifying : texlive-shadowtext-9:svn26522.0.3-36.fc34.noar 2624/3201 Verifying : texlive-shapepar-9:svn30708.2.2-36.fc34.noarch 2625/3201 Verifying : texlive-shdoc-9:svn41991-36.fc34.noarch 2626/3201 Verifying : texlive-shipunov-9:svn52334-36.fc34.noarch 2627/3201 Verifying : texlive-shobhika-9:svn50555-36.fc34.noarch 2628/3201 Verifying : texlive-shortmathj-9:svn54407-36.fc34.noarch 2629/3201 Verifying : texlive-shorttoc-9:svn15878.1.3-36.fc34.noarch 2630/3201 Verifying : texlive-show2e-9:svn15878.1.0-36.fc34.noarch 2631/3201 Verifying : texlive-showcharinbox-9:svn29803.0.1-36.fc34.n 2632/3201 Verifying : texlive-showdim-9:svn28918.1.2-36.fc34.noarch 2633/3201 Verifying : texlive-showexpl-9:svn42677-36.fc34.noarch 2634/3201 Verifying : texlive-showhyphens-9:svn39787-36.fc34.noarch 2635/3201 Verifying : texlive-showlabels-9:svn41322-36.fc34.noarch 2636/3201 Verifying : texlive-showtags-9:svn20336.1.05-36.fc34.noarc 2637/3201 Verifying : texlive-shuffle-9:svn15878.1.0-36.fc34.noarch 2638/3201 Verifying : texlive-sidecap-9:svn15878.1.6f-36.fc34.noarch 2639/3201 Verifying : texlive-sidenotes-9:svn54524-36.fc34.noarch 2640/3201 Verifying : texlive-signchart-9:svn39707-36.fc34.noarch 2641/3201 Verifying : texlive-silence-9:svn27028.1.5b-36.fc34.noarch 2642/3201 Verifying : texlive-simplebnf-9:svn53370-36.fc34.noarch 2643/3201 Verifying : texlive-simplecd-9:svn29260.1.4-36.fc34.noarch 2644/3201 Verifying : texlive-simplecv-9:svn35537.1.6a-36.fc34.noarc 2645/3201 Verifying : texlive-simpleinvoice-9:svn45673-36.fc34.noarc 2646/3201 Verifying : texlive-simplekv-9:svn54915-36.fc34.noarch 2647/3201 Verifying : texlive-simpleoptics-9:svn54080-36.fc34.noarch 2648/3201 Verifying : texlive-simpler-wick-9:svn39074-36.fc34.noarch 2649/3201 Verifying : texlive-simplewick-9:svn15878.1.2a-36.fc34.noa 2650/3201 Verifying : texlive-sitem-9:svn22136.1.0-36.fc34.noarch 2651/3201 Verifying : texlive-siunitx-9:svn53914-36.fc34.noarch 2652/3201 Verifying : texlive-skak-9:svn46259-36.fc34.noarch 2653/3201 Verifying : texlive-skb-9:svn22781.0.52-36.fc34.noarch 2654/3201 Verifying : texlive-skdoc-9:svn52211-36.fc34.noarch 2655/3201 Verifying : texlive-skeycommand-9:svn24652.0.4-36.fc34.noa 2656/3201 Verifying : texlive-skeyval-9:svn30560.1.3-36.fc34.noarch 2657/3201 Verifying : texlive-skmath-9:svn52411-36.fc34.noarch 2658/3201 Verifying : texlive-skrapport-9:svn52412-36.fc34.noarch 2659/3201 Verifying : texlive-skull-9:svn51907-36.fc34.noarch 2660/3201 Verifying : texlive-slantsc-9:svn25007.2.11-36.fc34.noarch 2661/3201 Verifying : texlive-smalltableof-9:svn20333.0-36.fc34.noar 2662/3201 Verifying : texlive-smartdiagram-9:svn42781-36.fc34.noarch 2663/3201 Verifying : texlive-smartref-9:svn20311.1.9-36.fc34.noarch 2664/3201 Verifying : texlive-smartunits-9:svn39592-36.fc34.noarch 2665/3201 Verifying : texlive-snapshot-9:svn52115-36.fc34.noarch 2666/3201 Verifying : texlive-snotez-9:svn30355.0.3-36.fc34.noarch 2667/3201 Verifying : texlive-songbook-9:svn18136.4.5-36.fc34.noarch 2668/3201 Verifying : texlive-sort-by-letters-9:svn27128.0-36.fc34.n 2669/3201 Verifying : texlive-soton-9:svn16215.0.1-36.fc34.noarch 2670/3201 Verifying : texlive-soul-9:svn15878.2.4-36.fc34.noarch 2671/3201 Verifying : texlive-soulpos-9:svn52663-36.fc34.noarch 2672/3201 Verifying : texlive-soulutf8-9:svn53163-36.fc34.noarch 2673/3201 Verifying : texlive-sourcecodepro-9:svn54512-36.fc34.noarc 2674/3201 Verifying : texlive-sourcesanspro-9:svn54892-36.fc34.noarc 2675/3201 Verifying : texlive-sourceserifpro-9:svn54512-36.fc34.noar 2676/3201 Verifying : texlive-spacingtricks-9:svn52063-36.fc34.noarc 2677/3201 Verifying : texlive-spalign-9:svn42225-36.fc34.noarch 2678/3201 Verifying : texlive-spark-otf-9:svn51005-36.fc34.noarch 2679/3201 Verifying : texlive-sparklines-9:svn42821-36.fc34.noarch 2680/3201 Verifying : texlive-spath3-9:svn50018-36.fc34.noarch 2681/3201 Verifying : texlive-spectralsequences-9:svn50072-36.fc34.n 2682/3201 Verifying : texlive-sphack-9:svn20842.0-36.fc34.noarch 2683/3201 Verifying : texlive-sphdthesis-9:svn34374.1.0-36.fc34.noar 2684/3201 Verifying : texlive-spie-9:svn15878.3.25-36.fc34.noarch 2685/3201 Verifying : texlive-splitbib-9:svn15878.1.17-36.fc34.noarc 2686/3201 Verifying : texlive-splitindex-9:20200327-25.fc34.noarch 2687/3201 Verifying : texlive-spot-9:svn22408.1.1-36.fc34.noarch 2688/3201 Verifying : texlive-spotcolor-9:svn15878.1.2-36.fc34.noarc 2689/3201 Verifying : texlive-spreadtab-9:svn50147-36.fc34.noarch 2690/3201 Verifying : texlive-spverbatim-9:svn15878.v1.0-36.fc34.noa 2691/3201 Verifying : texlive-sr-vorl-9:svn39529-36.fc34.noarch 2692/3201 Verifying : texlive-srbook-mem-9:svn45818-36.fc34.noarch 2693/3201 Verifying : texlive-srcltx-9:svn15878.1.6-36.fc34.noarch 2694/3201 Verifying : texlive-srdp-mathematik-9:svn54685-36.fc34.noa 2695/3201 Verifying : texlive-sseq-9:svn31585.2.01-36.fc34.noarch 2696/3201 Verifying : texlive-sslides-9:svn32293.0-36.fc34.noarch 2697/3201 Verifying : texlive-stack-9:svn15878.1.00-36.fc34.noarch 2698/3201 Verifying : texlive-stackengine-9:svn53843-36.fc34.noarch 2699/3201 Verifying : texlive-standalone-9:svn47136-36.fc34.noarch 2700/3201 Verifying : texlive-stanli-9:svn54512-36.fc34.noarch 2701/3201 Verifying : texlive-starfont-9:svn19982.1.2-36.fc34.noarch 2702/3201 Verifying : texlive-statex-9:svn20306.1.6-36.fc34.noarch 2703/3201 Verifying : texlive-statex2-9:svn23961.2.1-36.fc34.noarch 2704/3201 Verifying : texlive-statistics-9:svn52212-36.fc34.noarch 2705/3201 Verifying : texlive-statistik-9:svn20334.0.03-36.fc34.noar 2706/3201 Verifying : texlive-statmath-9:svn46925-36.fc34.noarch 2707/3201 Verifying : texlive-staves-9:svn15878.0-36.fc34.noarch 2708/3201 Verifying : texlive-stdclsdv-9:svn15878.1.1a-36.fc34.noarc 2709/3201 Verifying : texlive-stdpage-9:svn15878.0.6-36.fc34.noarch 2710/3201 Verifying : texlive-stealcaps-9:svn46434-36.fc34.noarch 2711/3201 Verifying : texlive-steinmetz-9:svn15878.1.0-36.fc34.noarc 2712/3201 Verifying : texlive-stellenbosch-9:svn36696.11a-36.fc34.no 2713/3201 Verifying : texlive-step-9:svn53731-36.fc34.noarch 2714/3201 Verifying : texlive-stex-9:svn50489-36.fc34.noarch 2715/3201 Verifying : texlive-stickstoo-9:svn54512-36.fc34.noarch 2716/3201 Verifying : texlive-stix-9:svn54512-36.fc34.noarch 2717/3201 Verifying : texlive-stix2-otf-9:svn54080-36.fc34.noarch 2718/3201 Verifying : texlive-stix2-type1-9:svn54512-36.fc34.noarch 2719/3201 Verifying : texlive-stmaryrd-9:svn22027.0-36.fc34.noarch 2720/3201 Verifying : texlive-storebox-9:svn24895.1.3a-36.fc34.noarc 2721/3201 Verifying : texlive-storecmd-9:svn24431.0.0.2-36.fc34.noar 2722/3201 Verifying : texlive-stringenc-9:svn52982-36.fc34.noarch 2723/3201 Verifying : texlive-stringstrings-9:svn36203.1.23-36.fc34. 2724/3201 Verifying : texlive-structmech-9:svn47859-36.fc34.noarch 2725/3201 Verifying : texlive-struktex-9:svn47931-36.fc34.noarch 2726/3201 Verifying : texlive-sttools-9:svn43684-36.fc34.noarch 2727/3201 Verifying : texlive-stubs-9:svn19440.0.1.1-36.fc34.noarch 2728/3201 Verifying : texlive-studenthandouts-9:svn43516-36.fc34.noa 2729/3201 Verifying : texlive-subdepth-9:svn15878.0.1-36.fc34.noarch 2730/3201 Verifying : texlive-subdocs-9:svn51480-36.fc34.noarch 2731/3201 Verifying : texlive-subeqn-9:svn15878.2.0b-36.fc34.noarch 2732/3201 Verifying : texlive-subeqnarray-9:svn15878.2.1c-36.fc34.no 2733/3201 Verifying : texlive-subfig-9:svn15878.1.3-36.fc34.noarch 2734/3201 Verifying : texlive-subfigmat-9:svn20308.1.0-36.fc34.noarc 2735/3201 Verifying : texlive-subfigure-9:svn15878.2.1.5-36.fc34.noa 2736/3201 Verifying : texlive-subfiles-9:svn53782-36.fc34.noarch 2737/3201 Verifying : texlive-subfloat-9:svn29349.2.14-36.fc34.noarc 2738/3201 Verifying : texlive-substances-9:svn40989-36.fc34.noarch 2739/3201 Verifying : texlive-substitutefont-9:svn32066.0.1.4-36.fc3 2740/3201 Verifying : texlive-substr-9:svn16117.1.2-36.fc34.noarch 2741/3201 Verifying : texlive-subsupscripts-9:svn16080.1.0-36.fc34.n 2742/3201 Verifying : texlive-subtext-9:svn51273-36.fc34.noarch 2743/3201 Verifying : texlive-suftesi-9:svn53903-36.fc34.noarch 2744/3201 Verifying : texlive-sugconf-9:svn15878.0-36.fc34.noarch 2745/3201 Verifying : texlive-superiors-9:svn51909-36.fc34.noarch 2746/3201 Verifying : texlive-supertabular-9:svn53658-36.fc34.noarch 2747/3201 Verifying : texlive-susy-9:svn19440.0-36.fc34.noarch 2748/3201 Verifying : texlive-svg-9:svn55643-36.fc34.noarch 2749/3201 Verifying : texlive-svgcolor-9:svn15878.1.0-36.fc34.noarch 2750/3201 Verifying : texlive-svn-multi-9:20200327-25.fc34.noarch 2751/3201 Verifying : texlive-svn-prov-9:svn18017.3.1862-36.fc34.noa 2752/3201 Verifying : texlive-svn-9:svn15878.43-36.fc34.noarch 2753/3201 Verifying : texlive-svninfo-9:svn17554.0.7.4-36.fc34.noarc 2754/3201 Verifying : texlive-svrsymbols-9:svn50019-36.fc34.noarch 2755/3201 Verifying : texlive-swimgraf-9:svn25446.0-36.fc34.noarch 2756/3201 Verifying : texlive-syllogism-9:svn15878.1.2-36.fc34.noarc 2757/3201 Verifying : texlive-symbol-9:svn31835.0-36.fc34.noarch 2758/3201 Verifying : texlive-sympytexpackage-9:svn45818-36.fc34.noa 2759/3201 Verifying : texlive-synproof-9:svn15878.1.0-36.fc34.noarch 2760/3201 Verifying : texlive-syntax-9:svn15878.0-36.fc34.noarch 2761/3201 Verifying : texlive-syntrace-9:svn15878.1.1-36.fc34.noarch 2762/3201 Verifying : texlive-synttree-9:svn16252.1.4.2-36.fc34.noar 2763/3201 Verifying : texlive-t-angles-9:svn15878.0-36.fc34.noarch 2764/3201 Verifying : texlive-tabfigures-9:svn25202.1.1-36.fc34.noar 2765/3201 Verifying : texlive-table-fct-9:svn41849-36.fc34.noarch 2766/3201 Verifying : texlive-tableaux-9:svn42413-36.fc34.noarch 2767/3201 Verifying : texlive-tablefootnote-9:svn32804.1.1c-36.fc34. 2768/3201 Verifying : texlive-tableof-9:svn48815-36.fc34.noarch 2769/3201 Verifying : texlive-tablestyles-9:svn34495.0-36.fc34.noarc 2770/3201 Verifying : texlive-tablists-9:svn15878.0.0e-36.fc34.noarc 2771/3201 Verifying : texlive-tablor-9:svn31855.4.07_g-36.fc34.noarc 2772/3201 Verifying : texlive-tabls-9:svn17255.3.5-36.fc34.noarch 2773/3201 Verifying : texlive-tablvar-9:svn51543-36.fc34.noarch 2774/3201 Verifying : texlive-tabriz-thesis-9:svn51729-36.fc34.noarc 2775/3201 Verifying : texlive-tabstackengine-9:svn46848-36.fc34.noar 2776/3201 Verifying : texlive-tabto-ltx-9:svn54080-36.fc34.noarch 2777/3201 Verifying : texlive-tabu-9:svn49707-36.fc34.noarch 2778/3201 Verifying : texlive-tabularborder-9:svn17885.1.0a-36.fc34. 2779/3201 Verifying : texlive-tabularcalc-9:svn15878.0.2-36.fc34.noa 2780/3201 Verifying : texlive-tabularew-9:svn15878.0.1-36.fc34.noarc 2781/3201 Verifying : texlive-tabulary-9:svn34368.0.10-36.fc34.noarc 2782/3201 Verifying : texlive-tagging-9:svn52064-36.fc34.noarch 2783/3201 Verifying : texlive-tagpair-9:svn42138-36.fc34.noarch 2784/3201 Verifying : texlive-tagpdf-9:svn51535-36.fc34.noarch 2785/3201 Verifying : texlive-talk-9:svn42428-36.fc34.noarch 2786/3201 Verifying : texlive-tamefloats-9:svn27345.v0.42-36.fc34.no 2787/3201 Verifying : texlive-tapir-9:svn20484.0.2-36.fc34.noarch 2788/3201 Verifying : texlive-tasks-9:svn54814-36.fc34.noarch 2789/3201 Verifying : texlive-tcldoc-9:svn22018.2.40-36.fc34.noarch 2790/3201 Verifying : texlive-tcolorbox-9:svn54928-36.fc34.noarch 2791/3201 Verifying : texlive-tdclock-9:svn33043.v2.5-36.fc34.noarch 2792/3201 Verifying : texlive-technics-9:svn29349.1.0-36.fc34.noarch 2793/3201 Verifying : texlive-technion-thesis-template-9:svn49889-36 2794/3201 Verifying : texlive-ted-9:svn15878.1.06-36.fc34.noarch 2795/3201 Verifying : texlive-templatetools-9:svn34495.0-36.fc34.noa 2796/3201 Verifying : texlive-tempora-9:svn39596-36.fc34.noarch 2797/3201 Verifying : texlive-tengwarscript-9:svn34594.1.3.1-36.fc34 2798/3201 Verifying : texlive-tensind-9:svn51481-36.fc34.noarch 2799/3201 Verifying : texlive-tensor-9:svn15878.2.1-36.fc34.noarch 2800/3201 Verifying : texlive-termcal-9:svn22514.1.8-36.fc34.noarch 2801/3201 Verifying : texlive-termlist-9:svn18923.1.1-36.fc34.noarch 2802/3201 Verifying : texlive-testhyphens-9:svn38928-36.fc34.noarch 2803/3201 Verifying : texlive-testidx-9:svn52213-36.fc34.noarch 2804/3201 Verifying : texlive-tex-9:20200327-25.fc34.x86_64 2805/3201 Verifying : texlive-tex-ewd-9:svn15878.0-36.fc34.noarch 2806/3201 Verifying : texlive-tex-gyre-math-9:svn41264-36.fc34.noarc 2807/3201 Verifying : texlive-tex-gyre-9:svn48058-36.fc34.noarch 2808/3201 Verifying : texlive-tex-ini-files-9:svn40533-36.fc34.noarc 2809/3201 Verifying : texlive-tex-label-9:svn16372.0-36.fc34.noarch 2810/3201 Verifying : texlive-tex-locale-9:svn48500-36.fc34.noarch 2811/3201 Verifying : texlive-tex4ht-9:20200327-25.fc34.x86_64 2812/3201 Verifying : texlive-texdraw-9:svn51030-36.fc34.noarch 2813/3201 Verifying : texlive-texilikechaps-9:svn28553.1.0a-36.fc34. 2814/3201 Verifying : texlive-texilikecover-9:svn15878.0.1-36.fc34.n 2815/3201 Verifying : texlive-texlive-common-doc-9:svn54176-36.fc34. 2816/3201 Verifying : texlive-texlive-docindex-9:svn54903-36.fc34.no 2817/3201 Verifying : texlive-texlive-en-9:20200327-25.fc34.noarch 2818/3201 Verifying : texlive-texlive-msg-translations-9:svn54919-36 2819/3201 Verifying : texlive-texlive-scripts-9:20200327-25.fc34.noa 2820/3201 Verifying : texlive-texlive.infra-9:20200327-25.fc34.noarc 2821/3201 Verifying : texlive-texlogos-9:svn19083.1.3.1-36.fc34.noar 2822/3201 Verifying : texlive-texmate-9:svn15878.2-36.fc34.noarch 2823/3201 Verifying : texlive-texments-9:svn15878.0.2.0-36.fc34.noar 2824/3201 Verifying : texlive-texpower-9:svn29349.0.2-36.fc34.noarch 2825/3201 Verifying : texlive-texshade-9:svn46559-36.fc34.noarch 2826/3201 Verifying : texlive-textcase-9:svn52092-36.fc34.noarch 2827/3201 Verifying : texlive-textfit-9:svn20591.5-36.fc34.noarch 2828/3201 Verifying : texlive-textgreek-9:svn44192-36.fc34.noarch 2829/3201 Verifying : texlive-textmerg-9:svn20677.2.01-36.fc34.noarc 2830/3201 Verifying : texlive-textopo-9:svn23796.1.5-36.fc34.noarch 2831/3201 Verifying : texlive-textpos-9:svn50988-36.fc34.noarch 2832/3201 Verifying : texlive-textualicomma-9:svn48474-36.fc34.noarc 2833/3201 Verifying : texlive-texvc-9:svn46844-36.fc34.noarch 2834/3201 Verifying : texlive-tfrupee-9:svn20770.1.02-36.fc34.noarch 2835/3201 Verifying : texlive-theanodidot-9:svn54512-36.fc34.noarch 2836/3201 Verifying : texlive-theanomodern-9:svn54512-36.fc34.noarch 2837/3201 Verifying : texlive-theanooldstyle-9:svn54512-36.fc34.noar 2838/3201 Verifying : texlive-theoremref-9:svn54512-36.fc34.noarch 2839/3201 Verifying : texlive-thesis-ekf-9:svn53685-36.fc34.noarch 2840/3201 Verifying : texlive-thesis-gwu-9:svn54287-36.fc34.noarch 2841/3201 Verifying : texlive-thesis-qom-9:svn49124-36.fc34.noarch 2842/3201 Verifying : texlive-thesis-titlepage-fhac-9:svn15878.0.1-3 2843/3201 Verifying : texlive-thinsp-9:svn39669-36.fc34.noarch 2844/3201 Verifying : texlive-thmbox-9:svn15878.0-36.fc34.noarch 2845/3201 Verifying : texlive-thmtools-9:svn53219-36.fc34.noarch 2846/3201 Verifying : texlive-threadcol-9:svn28754.1.0-36.fc34.noarc 2847/3201 Verifying : texlive-threeparttable-9:svn17383.0-36.fc34.no 2848/3201 Verifying : texlive-threeparttablex-9:svn34206.0.3-36.fc34 2849/3201 Verifying : texlive-thuaslogos-9:svn51347-36.fc34.noarch 2850/3201 Verifying : texlive-thucoursework-9:svn53891-36.fc34.noarc 2851/3201 Verifying : texlive-thumb-9:svn16549.1.0-36.fc34.noarch 2852/3201 Verifying : texlive-thumbpdf-9:20200327-25.fc34.noarch 2853/3201 Verifying : texlive-thumbs-9:svn33134.1.0q-36.fc34.noarch 2854/3201 Verifying : texlive-thumby-9:svn16736.0.1-36.fc34.noarch 2855/3201 Verifying : texlive-thuthesis-9:svn53892-36.fc34.noarch 2856/3201 Verifying : texlive-ticket-9:svn42280-36.fc34.noarch 2857/3201 Verifying : texlive-ticollege-9:svn36306.1.0-36.fc34.noarc 2858/3201 Verifying : texlive-tikz-3dplot-9:svn25087.0-36.fc34.noarc 2859/3201 Verifying : texlive-tikz-bayesnet-9:svn38295.0.1-36.fc34.n 2860/3201 Verifying : texlive-tikz-cd-9:svn49201-36.fc34.noarch 2861/3201 Verifying : texlive-tikz-dependency-9:svn54512-36.fc34.noa 2862/3201 Verifying : texlive-tikz-dimline-9:svn35805.1.0-36.fc34.no 2863/3201 Verifying : texlive-tikz-feynhand-9:svn51915-36.fc34.noarc 2864/3201 Verifying : texlive-tikz-feynman-9:svn39582-36.fc34.noarch 2865/3201 Verifying : texlive-tikz-imagelabels-9:svn51490-36.fc34.no 2866/3201 Verifying : texlive-tikz-inet-9:svn15878.0.1-36.fc34.noarc 2867/3201 Verifying : texlive-tikz-kalender-9:svn52890-36.fc34.noarc 2868/3201 Verifying : texlive-tikz-karnaugh-9:svn47026-36.fc34.noarc 2869/3201 Verifying : texlive-tikz-ladder-9:svn46555-36.fc34.noarch 2870/3201 Verifying : texlive-tikz-layers-9:svn46660-36.fc34.noarch 2871/3201 Verifying : texlive-tikz-nef-9:svn48240-36.fc34.noarch 2872/3201 Verifying : texlive-tikz-network-9:svn51884-36.fc34.noarch 2873/3201 Verifying : texlive-tikz-opm-9:svn32769.0.1.1-36.fc34.noar 2874/3201 Verifying : texlive-tikz-optics-9:svn43466-36.fc34.noarch 2875/3201 Verifying : texlive-tikz-page-9:svn42039-36.fc34.noarch 2876/3201 Verifying : texlive-tikz-palattice-9:svn43442-36.fc34.noar 2877/3201 Verifying : texlive-tikz-planets-9:svn54857-36.fc34.noarch 2878/3201 Verifying : texlive-tikz-qtree-9:svn26108.1.2-36.fc34.noar 2879/3201 Verifying : texlive-tikz-relay-9:svn51355-36.fc34.noarch 2880/3201 Verifying : texlive-tikz-sfc-9:svn49424-36.fc34.noarch 2881/3201 Verifying : texlive-tikz-timing-9:svn46111-36.fc34.noarch 2882/3201 Verifying : texlive-tikz-trackschematic-9:svn53754-36.fc34 2883/3201 Verifying : texlive-tikz-truchet-9:svn50020-36.fc34.noarch 2884/3201 Verifying : texlive-tikzcodeblocks-9:svn54758-36.fc34.noar 2885/3201 Verifying : texlive-tikzducks-9:svn54837-36.fc34.noarch 2886/3201 Verifying : texlive-tikzinclude-9:svn28715.1.0-36.fc34.noa 2887/3201 Verifying : texlive-tikzlings-9:svn54838-36.fc34.noarch 2888/3201 Verifying : texlive-tikzmark-9:svn52293-36.fc34.noarch 2889/3201 Verifying : texlive-tikzmarmots-9:svn54080-36.fc34.noarch 2890/3201 Verifying : texlive-tikzorbital-9:svn36439.0-36.fc34.noarc 2891/3201 Verifying : texlive-tikzpagenodes-9:svn27723.1.1-36.fc34.n 2892/3201 Verifying : texlive-tikzpeople-9:svn43978-36.fc34.noarch 2893/3201 Verifying : texlive-tikzpfeile-9:svn25777.1.0-36.fc34.noar 2894/3201 Verifying : texlive-tikzposter-9:svn32732.2.0-36.fc34.noar 2895/3201 Verifying : texlive-tikzscale-9:svn30637.0.2.6-36.fc34.noa 2896/3201 Verifying : texlive-tikzsymbols-9:svn49975-36.fc34.noarch 2897/3201 Verifying : texlive-timbreicmc-9:svn49740-36.fc34.noarch 2898/3201 Verifying : texlive-times-9:svn35058.0-36.fc34.noarch 2899/3201 Verifying : texlive-timing-diagrams-9:svn31491.0-36.fc34.n 2900/3201 Verifying : texlive-tinos-9:svn42882-36.fc34.noarch 2901/3201 Verifying : texlive-tipa-9:svn29349.1.3-36.fc34.noarch 2902/3201 Verifying : texlive-tipfr-doc-9:svn38646-36.fc34.noarch 2903/3201 Verifying : texlive-titlecaps-9:svn36170.1.2-36.fc34.noarc 2904/3201 Verifying : texlive-titlefoot-9:svn15878.0-36.fc34.noarch 2905/3201 Verifying : texlive-titlepic-9:svn43497-36.fc34.noarch 2906/3201 Verifying : texlive-titleref-9:svn18729.3.1-36.fc34.noarch 2907/3201 Verifying : texlive-titlesec-9:svn52413-36.fc34.noarch 2908/3201 Verifying : texlive-titling-9:svn15878.2.1d-36.fc34.noarch 2909/3201 Verifying : texlive-tkz-base-9:svn54758-36.fc34.noarch 2910/3201 Verifying : texlive-tkz-doc-9:svn54758-36.fc34.noarch 2911/3201 Verifying : texlive-tkz-euclide-9:svn54758-36.fc34.noarch 2912/3201 Verifying : texlive-tkz-fct-9:svn54703-36.fc34.noarch 2913/3201 Verifying : texlive-tkz-orm-9:svn54512-36.fc34.noarch 2914/3201 Verifying : texlive-tkz-tab-9:svn54662-36.fc34.noarch 2915/3201 Verifying : texlive-tlc-article-9:svn51431-36.fc34.noarch 2916/3201 Verifying : texlive-tocbibind-9:svn20085.1.5k-36.fc34.noar 2917/3201 Verifying : texlive-tocdata-9:svn51654-36.fc34.noarch 2918/3201 Verifying : texlive-tocloft-9:svn53364-36.fc34.noarch 2919/3201 Verifying : texlive-tocvsec2-9:svn33146.1.3a-36.fc34.noarc 2920/3201 Verifying : texlive-todo-9:svn17746.2.142-36.fc34.noarch 2921/3201 Verifying : texlive-todonotes-9:svn52662-36.fc34.noarch 2922/3201 Verifying : texlive-tokcycle-9:svn53755-36.fc34.noarch 2923/3201 Verifying : texlive-tokenizer-9:svn15878.1.1.0-36.fc34.noa 2924/3201 Verifying : texlive-toolbox-9:svn32260.5.1-36.fc34.noarch 2925/3201 Verifying : texlive-tools-9:svn53640-36.fc34.noarch 2926/3201 Verifying : texlive-topfloat-9:svn19084.0-36.fc34.noarch 2927/3201 Verifying : texlive-topiclongtable-9:svn54758-36.fc34.noar 2928/3201 Verifying : texlive-topletter-9:svn48182-36.fc34.noarch 2929/3201 Verifying : texlive-toptesi-9:svn51743-36.fc34.noarch 2930/3201 Verifying : texlive-totcount-9:svn21178.1.2-36.fc34.noarch 2931/3201 Verifying : texlive-totpages-9:svn15878.2.00-36.fc34.noarc 2932/3201 Verifying : texlive-tpslifonts-9:svn42428-36.fc34.noarch 2933/3201 Verifying : texlive-tqft-9:svn44455-36.fc34.noarch 2934/3201 Verifying : texlive-tracklang-9:svn52991-36.fc34.noarch 2935/3201 Verifying : texlive-trajan-9:svn15878.1.1-36.fc34.noarch 2936/3201 Verifying : texlive-translations-9:svn54929-36.fc34.noarch 2937/3201 Verifying : texlive-translator-9:svn54512-36.fc34.noarch 2938/3201 Verifying : texlive-transparent-9:svn52981-36.fc34.noarch 2939/3201 Verifying : texlive-tree-dvips-9:svn21751.91-36.fc34.noarc 2940/3201 Verifying : texlive-trfsigns-9:svn15878.1.01-36.fc34.noarc 2941/3201 Verifying : texlive-trimspaces-9:svn15878.1.1-36.fc34.noar 2942/3201 Verifying : texlive-trivfloat-9:svn15878.1.3b-36.fc34.noar 2943/3201 Verifying : texlive-trsym-9:svn18732.1.0-36.fc34.noarch 2944/3201 Verifying : texlive-truncate-9:svn18921.3.6-36.fc34.noarch 2945/3201 Verifying : texlive-tsemlines-9:svn23440.1.0-36.fc34.noarc 2946/3201 Verifying : texlive-ttfutils-9:20200327-25.fc34.x86_64 2947/3201 Verifying : texlive-tucv-9:svn20680.1.0-36.fc34.noarch 2948/3201 Verifying : texlive-tuda-ci-9:svn54878-36.fc34.noarch 2949/3201 Verifying : texlive-tudscr-9:LPPL-36.fc34.noarch 2950/3201 Verifying : texlive-tufte-latex-9:svn37649.3.5.2-36.fc34.n 2951/3201 Verifying : texlive-tugboat-plain-9:svn51373-36.fc34.noarc 2952/3201 Verifying : texlive-tugboat-9:svn54261-36.fc34.noarch 2953/3201 Verifying : texlive-tui-9:svn27253.1.9-36.fc34.noarch 2954/3201 Verifying : texlive-turabian-formatting-9:svn54436-36.fc34 2955/3201 Verifying : texlive-turabian-9:svn36298.0.1.0-36.fc34.noar 2956/3201 Verifying : texlive-turnstile-9:svn15878.1.0-36.fc34.noarc 2957/3201 Verifying : texlive-turnthepage-9:svn29803.1.3a-36.fc34.no 2958/3201 Verifying : texlive-twemoji-colr-9:svn54512-36.fc34.noarch 2959/3201 Verifying : texlive-twoinone-9:svn17024.0-36.fc34.noarch 2960/3201 Verifying : texlive-twoup-9:svn15878.1.3-36.fc34.noarch 2961/3201 Verifying : texlive-txfonts-9:svn15878.0-36.fc34.noarch 2962/3201 Verifying : texlive-txfontsb-9:svn54512-36.fc34.noarch 2963/3201 Verifying : texlive-txgreeks-9:svn21839.1.0-36.fc34.noarch 2964/3201 Verifying : texlive-txuprcal-9:svn43327-36.fc34.noarch 2965/3201 Verifying : texlive-type1cm-9:svn21820.0-36.fc34.noarch 2966/3201 Verifying : texlive-typed-checklist-9:svn49731-36.fc34.noa 2967/3201 Verifying : texlive-typeface-9:svn27046.0.1-36.fc34.noarch 2968/3201 Verifying : texlive-typehtml-9:svn17134.0-36.fc34.noarch 2969/3201 Verifying : texlive-typicons-9:svn37623.2.0.7-36.fc34.noar 2970/3201 Verifying : texlive-typoaid-9:svn44238-36.fc34.noarch 2971/3201 Verifying : texlive-typogrid-9:svn24994.0.21-36.fc34.noarc 2972/3201 Verifying : texlive-uaclasses-9:svn15878.0-36.fc34.noarch 2973/3201 Verifying : texlive-uafthesis-9:svn29349.12.12-36.fc34.noa 2974/3201 Verifying : texlive-uantwerpendocs-9:svn51007-36.fc34.noar 2975/3201 Verifying : texlive-uassign-9:svn38459-36.fc34.noarch 2976/3201 Verifying : texlive-ucalgmthesis-9:svn52527-36.fc34.noarch 2977/3201 Verifying : texlive-ucbthesis-9:svn51690-36.fc34.noarch 2978/3201 Verifying : texlive-ucdavisthesis-9:svn40772-36.fc34.noarc 2979/3201 Verifying : texlive-ucharcat-9:svn38907-36.fc34.noarch 2980/3201 Verifying : texlive-ucs-9:svn35853.2.2-36.fc34.noarch 2981/3201 Verifying : texlive-ucsmonograph-9:svn52698-36.fc34.noarch 2982/3201 Verifying : texlive-ucthesis-9:svn15878.3.2-36.fc34.noarch 2983/3201 Verifying : texlive-uebungsblatt-9:svn15878.1.5.0-36.fc34. 2984/3201 Verifying : texlive-uestcthesis-9:svn36371.1.1.0-36.fc34.n 2985/3201 Verifying : texlive-uhc-9:svn16791.0-36.fc34.noarch 2986/3201 Verifying : texlive-uhhassignment-9:svn44026-36.fc34.noarc 2987/3201 Verifying : texlive-uiucredborder-9:svn29974.1.00-36.fc34. 2988/3201 Verifying : texlive-uiucthesis-9:svn15878.2.25-36.fc34.noa 2989/3201 Verifying : texlive-ulem-9:svn53365-36.fc34.noarch 2990/3201 Verifying : texlive-ulqda-9:20200327-25.fc34.noarch 2991/3201 Verifying : texlive-ulthese-9:svn52972-36.fc34.noarch 2992/3201 Verifying : texlive-umbclegislation-9:svn41348-36.fc34.noa 2993/3201 Verifying : texlive-umich-thesis-9:svn15878.1.20-36.fc34.n 2994/3201 Verifying : texlive-umoline-9:svn19085.0-36.fc34.noarch 2995/3201 Verifying : texlive-umthesis-9:svn15878.0.2-36.fc34.noarch 2996/3201 Verifying : texlive-umtypewriter-9:svn18651.001.002-36.fc3 2997/3201 Verifying : texlive-unam-thesis-9:svn51207-36.fc34.noarch 2998/3201 Verifying : texlive-unamth-template-doc-9:svn33625.2.0-36. 2999/3201 Verifying : texlive-unamthesis-9:svn43639-36.fc34.noarch 3000/3201 Verifying : texlive-underlin-9:svn15878.1.01-36.fc34.noarc 3001/3201 Verifying : texlive-underoverlap-9:svn29019.0.0.1_r1-36.fc 3002/3201 Verifying : texlive-underscore-9:svn18261.0-36.fc34.noarch 3003/3201 Verifying : texlive-undolabl-9:svn36681.1.0l-36.fc34.noarc 3004/3201 Verifying : texlive-uni-wtal-ger-9:svn31541.0.2-36.fc34.no 3005/3201 Verifying : texlive-uni-wtal-lin-9:svn31409.0.2-36.fc34.no 3006/3201 Verifying : texlive-unicode-data-9:svn54758-36.fc34.noarch 3007/3201 Verifying : texlive-unicode-math-9:svn53609-36.fc34.noarch 3008/3201 Verifying : texlive-unifith-9:svn51968-36.fc34.noarch 3009/3201 Verifying : texlive-uniquecounter-9:svn53162-36.fc34.noarc 3010/3201 Verifying : texlive-unitn-bimrep-9:svn45581-36.fc34.noarch 3011/3201 Verifying : texlive-units-9:svn42428-36.fc34.noarch 3012/3201 Verifying : texlive-unitsdef-9:svn15878.0.2-36.fc34.noarch 3013/3201 Verifying : texlive-universa-9:svn51984-36.fc34.noarch 3014/3201 Verifying : texlive-universalis-9:svn33860.0-36.fc34.noarc 3015/3201 Verifying : texlive-univie-ling-9:svn49785-36.fc34.noarch 3016/3201 Verifying : texlive-unravel-9:svn52822-36.fc34.noarch 3017/3201 Verifying : texlive-unswcover-9:svn29476.1.0-36.fc34.noarc 3018/3201 Verifying : texlive-uothesis-9:svn25355.2.5.6-36.fc34.noar 3019/3201 Verifying : texlive-uowthesis-9:svn19700.1.0a-36.fc34.noar 3020/3201 Verifying : texlive-uowthesistitlepage-9:svn54512-36.fc34. 3021/3201 Verifying : texlive-updmap-map-9:svn56618-36.fc34.noarch 3022/3201 Verifying : texlive-uplatex-9:svn54276-36.fc34.noarch 3023/3201 Verifying : texlive-upmethodology-9:svn54758-36.fc34.noarc 3024/3201 Verifying : texlive-uppunctlm-9:svn42334-36.fc34.noarch 3025/3201 Verifying : texlive-upquote-9:svn26059.v1.3-36.fc34.noarch 3026/3201 Verifying : texlive-uptex-fonts-9:svn54045-36.fc34.noarch 3027/3201 Verifying : texlive-urcls-9:svn49903-36.fc34.noarch 3028/3201 Verifying : texlive-uri-9:svn48602-36.fc34.noarch 3029/3201 Verifying : texlive-url-9:svn32528.3.4-36.fc34.noarch 3030/3201 Verifying : texlive-urlbst-9:20200327-25.fc34.noarch 3031/3201 Verifying : texlive-urwchancal-9:svn21701.1-36.fc34.noarch 3032/3201 Verifying : texlive-usebib-9:svn25969.1.0a-36.fc34.noarch 3033/3201 Verifying : texlive-ushort-9:svn32261.2.2-36.fc34.noarch 3034/3201 Verifying : texlive-uspace-9:svn42456-36.fc34.noarch 3035/3201 Verifying : texlive-uspatent-9:svn27744.1.0-36.fc34.noarch 3036/3201 Verifying : texlive-ut-thesis-9:svn38269.2.1-36.fc34.noarc 3037/3201 Verifying : texlive-utexasthesis-9:svn48648-36.fc34.noarch 3038/3201 Verifying : texlive-utopia-9:svn15878.0-36.fc34.noarch 3039/3201 Verifying : texlive-uwthesis-9:svn15878.6.13-36.fc34.noarc 3040/3201 Verifying : texlive-vak-9:svn23431.0-36.fc34.noarch 3041/3201 Verifying : texlive-vancouver-9:svn34470.0-36.fc34.noarch 3042/3201 Verifying : texlive-variablelm-9:svn46611-36.fc34.noarch 3043/3201 Verifying : texlive-varindex-9:svn32262.2.3-36.fc34.noarch 3044/3201 Verifying : texlive-varsfromjobname-9:svn44154-36.fc34.noa 3045/3201 Verifying : texlive-varwidth-9:svn24104.0.92-36.fc34.noarc 3046/3201 Verifying : texlive-vdmlisting-9:svn29944.1.0-36.fc34.noar 3047/3201 Verifying : texlive-venn-9:svn15878.0-36.fc34.noarch 3048/3201 Verifying : texlive-venndiagram-9:svn47952-36.fc34.noarch 3049/3201 Verifying : texlive-venturisadf-9:svn19444.1.005-36.fc34.n 3050/3201 Verifying : texlive-verbasef-9:svn21922.1.1-36.fc34.noarch 3051/3201 Verifying : texlive-verbatimbox-9:svn33197.3.13-36.fc34.no 3052/3201 Verifying : texlive-verbatimcopy-9:svn15878.0.06-36.fc34.n 3053/3201 Verifying : texlive-verbdef-9:svn17177.0.2-36.fc34.noarch 3054/3201 Verifying : texlive-verbments-9:svn23670.1.2-36.fc34.noarc 3055/3201 Verifying : texlive-verse-9:svn34017.2.4b-36.fc34.noarch 3056/3201 Verifying : texlive-version-9:svn21920.2.0-36.fc34.noarch 3057/3201 Verifying : texlive-versions-9:svn21921.0.55-36.fc34.noarc 3058/3201 Verifying : texlive-versonotes-9:svn51568-36.fc34.noarch 3059/3201 Verifying : texlive-vertbars-9:svn49429-36.fc34.noarch 3060/3201 Verifying : texlive-vgrid-9:svn32457.0.1-36.fc34.noarch 3061/3201 Verifying : texlive-vhistory-9:svn30080.1.6.1-36.fc34.noar 3062/3201 Verifying : texlive-visualpstricks-doc-9:svn39799-36.fc34. 3063/3201 Verifying : texlive-vmargin-9:svn15878.2.5-36.fc34.noarch 3064/3201 Verifying : texlive-volumes-9:svn15878.1.0-36.fc34.noarch 3065/3201 Verifying : texlive-vpe-9:20200327-25.fc34.noarch 3066/3201 Verifying : texlive-vruler-9:svn21598.2.3-36.fc34.noarch 3067/3201 Verifying : texlive-vtable-9:svn51126-36.fc34.noarch 3068/3201 Verifying : texlive-vwcol-9:svn36254.0.2-36.fc34.noarch 3069/3201 Verifying : texlive-wadalab-9:svn42428-36.fc34.noarch 3070/3201 Verifying : texlive-wallcalendar-9:svn45568-36.fc34.noarch 3071/3201 Verifying : texlive-wallpaper-9:svn15878.1.10-36.fc34.noar 3072/3201 Verifying : texlive-warning-9:svn22028.0.01-36.fc34.noarch 3073/3201 Verifying : texlive-warpcol-9:svn15878.1.0c-36.fc34.noarch 3074/3201 Verifying : texlive-was-9:svn21439.0-36.fc34.noarch 3075/3201 Verifying : texlive-wasy-9:svn53533-36.fc34.noarch 3076/3201 Verifying : texlive-wasy-type1-9:svn53534-36.fc34.noarch 3077/3201 Verifying : texlive-wasysym-9:svn54080-36.fc34.noarch 3078/3201 Verifying : texlive-webquiz-9:20200327-25.fc34.noarch 3079/3201 Verifying : texlive-widetable-9:svn53409-36.fc34.noarch 3080/3201 Verifying : texlive-widows-and-orphans-9:svn53505-36.fc34. 3081/3201 Verifying : texlive-williams-9:svn15878.0-36.fc34.noarch 3082/3201 Verifying : texlive-willowtreebook-9:svn54866-36.fc34.noar 3083/3201 Verifying : texlive-windycity-9:svn51668-36.fc34.noarch 3084/3201 Verifying : texlive-withargs-9:svn52641-36.fc34.noarch 3085/3201 Verifying : texlive-witharrows-9:svn54707-36.fc34.noarch 3086/3201 Verifying : texlive-wordcount-9:20200327-25.fc34.noarch 3087/3201 Verifying : texlive-wordlike-9:svn15878.1.2b-36.fc34.noarc 3088/3201 Verifying : texlive-worksheet-9:svn48423-36.fc34.noarch 3089/3201 Verifying : texlive-wrapfig-9:svn22048.3.6-36.fc34.noarch 3090/3201 Verifying : texlive-wsemclassic-9:svn31532.1.0.1-36.fc34.n 3091/3201 Verifying : texlive-wsuipa-9:svn25469.0-36.fc34.noarch 3092/3201 Verifying : texlive-wtref-9:svn42981-36.fc34.noarch 3093/3201 Verifying : texlive-xargs-9:svn15878.1.1-36.fc34.noarch 3094/3201 Verifying : texlive-xassoccnt-9:svn54080-36.fc34.noarch 3095/3201 Verifying : texlive-xbmks-9:svn53448-36.fc34.noarch 3096/3201 Verifying : texlive-xcharter-9:svn56692-36.fc34.noarch 3097/3201 Verifying : texlive-xcite-9:svn53486-36.fc34.noarch 3098/3201 Verifying : texlive-xcjk2uni-9:svn50848-36.fc34.noarch 3099/3201 Verifying : texlive-xcntperchap-9:svn54080-36.fc34.noarch 3100/3201 Verifying : texlive-xcolor-material-9:svn42289-36.fc34.noa 3101/3201 Verifying : texlive-xcolor-solarized-9:svn41809-36.fc34.no 3102/3201 Verifying : texlive-xcolor-9:svn41044-36.fc34.noarch 3103/3201 Verifying : texlive-xcomment-9:svn20031.1.3-36.fc34.noarch 3104/3201 Verifying : texlive-xcookybooky-9:svn36435.1.5-36.fc34.noa 3105/3201 Verifying : texlive-xcpdftips-9:svn50449-36.fc34.noarch 3106/3201 Verifying : texlive-xdoc-9:svn15878.prot2.5-36.fc34.noarch 3107/3201 Verifying : texlive-xduthesis-9:svn39694-36.fc34.noarch 3108/3201 Verifying : texlive-xdvi-9:20200327-25.fc34.x86_64 3109/3201 Verifying : texlive-xecjk-9:svn53835-36.fc34.noarch 3110/3201 Verifying : texlive-xellipsis-9:svn47546-36.fc34.noarch 3111/3201 Verifying : texlive-xetex-9:20200327-25.fc34.x86_64 3112/3201 Verifying : texlive-xetexconfig-9:svn45845-36.fc34.noarch 3113/3201 Verifying : texlive-xfakebold-9:svn54779-36.fc34.noarch 3114/3201 Verifying : texlive-xfor-9:svn15878.1.05-36.fc34.noarch 3115/3201 Verifying : texlive-xhfill-9:svn22575.1.01-36.fc34.noarch 3116/3201 Verifying : texlive-xifthen-9:svn38929-36.fc34.noarch 3117/3201 Verifying : texlive-xint-9:svn53930-36.fc34.noarch 3118/3201 Verifying : texlive-xits-9:svn52046-36.fc34.noarch 3119/3201 Verifying : texlive-xkcdcolors-9:svn54512-36.fc34.noarch 3120/3201 Verifying : texlive-xkeyval-9:svn35741.2.7a-36.fc34.noarch 3121/3201 Verifying : texlive-xltabular-9:svn54437-36.fc34.noarch 3122/3201 Verifying : texlive-xltxtra-9:svn49555-36.fc34.noarch 3123/3201 Verifying : texlive-xmpincl-9:svn15878.2.2-36.fc34.noarch 3124/3201 Verifying : texlive-xnewcommand-9:svn15878.1.2-36.fc34.noa 3125/3201 Verifying : texlive-xoptarg-9:svn15878.1.0-36.fc34.noarch 3126/3201 Verifying : texlive-xpatch-9:svn54563-36.fc34.noarch 3127/3201 Verifying : texlive-xpeek-9:svn27442.0.2-36.fc34.noarch 3128/3201 Verifying : texlive-xpicture-9:svn28770.1.2a-36.fc34.noarc 3129/3201 Verifying : texlive-xpinyin-9:svn50849-36.fc34.noarch 3130/3201 Verifying : texlive-xprintlen-9:svn35928.1.0-36.fc34.noarc 3131/3201 Verifying : texlive-xpunctuate-9:svn26641.1.0-36.fc34.noar 3132/3201 Verifying : texlive-xsavebox-9:svn54097-36.fc34.noarch 3133/3201 Verifying : texlive-xsim-9:svn54681-36.fc34.noarch 3134/3201 Verifying : texlive-xstring-9:svn49946-36.fc34.noarch 3135/3201 Verifying : texlive-xtab-9:svn23347.2.3f-36.fc34.noarch 3136/3201 Verifying : texlive-xunicode-9:svn30466.0.981-36.fc34.noar 3137/3201 Verifying : texlive-xurl-9:svn53538-36.fc34.noarch 3138/3201 Verifying : texlive-xwatermark-9:svn28090.1.5.2d-36.fc34.n 3139/3201 Verifying : texlive-xyling-9:svn15878.1.1-36.fc34.noarch 3140/3201 Verifying : texlive-xymtex-9:svn32182.5.06-36.fc34.noarch 3141/3201 Verifying : texlive-xypic-9:svn31859.3.8.9-36.fc34.noarch 3142/3201 Verifying : texlive-xytree-9:svn15878.1.5-36.fc34.noarch 3143/3201 Verifying : texlive-yafoot-9:svn48568-36.fc34.noarch 3144/3201 Verifying : texlive-yagusylo-9:svn29803.1.2-36.fc34.noarch 3145/3201 Verifying : texlive-yaletter-9:svn42830-36.fc34.noarch 3146/3201 Verifying : texlive-yathesis-9:svn54740-36.fc34.noarch 3147/3201 Verifying : texlive-yazd-thesis-9:svn51725-36.fc34.noarch 3148/3201 Verifying : texlive-ycbook-9:svn46201-36.fc34.noarch 3149/3201 Verifying : texlive-ydoc-9:svn26202.0.6alpha-36.fc34.noarc 3150/3201 Verifying : texlive-yfonts-9:svn50755-36.fc34.noarch 3151/3201 Verifying : texlive-yfonts-t1-9:svn36013-36.fc34.noarch 3152/3201 Verifying : texlive-yhmath-9:svn54377-36.fc34.noarch 3153/3201 Verifying : texlive-yinit-otf-9:svn40207-36.fc34.noarch 3154/3201 Verifying : texlive-york-thesis-9:svn23348.3.6-36.fc34.noa 3155/3201 Verifying : texlive-youngtab-9:svn17635.1.1-36.fc34.noarch 3156/3201 Verifying : texlive-yplan-9:20200327-25.fc34.noarch 3157/3201 Verifying : texlive-yquant-9:svn54737-36.fc34.noarch 3158/3201 Verifying : texlive-ytableau-9:svn27430.1.3-36.fc34.noarch 3159/3201 Verifying : texlive-zapfchan-9:svn31835.0-36.fc34.noarch 3160/3201 Verifying : texlive-zapfding-9:svn31835.0-36.fc34.noarch 3161/3201 Verifying : texlive-zebra-goodies-9:svn51554-36.fc34.noarc 3162/3201 Verifying : texlive-zed-csp-9:svn17258.0-36.fc34.noarch 3163/3201 Verifying : texlive-zhmetrics-9:svn22207.r206-36.fc34.noar 3164/3201 Verifying : texlive-zhmetrics-uptex-9:svn40728-36.fc34.noa 3165/3201 Verifying : texlive-zhnumber-9:svn50850-36.fc34.noarch 3166/3201 Verifying : texlive-ziffer-9:svn32279.2.1-36.fc34.noarch 3167/3201 Verifying : texlive-zlmtt-9:svn51368-36.fc34.noarch 3168/3201 Verifying : texlive-zootaxa-bst-9:svn50619-36.fc34.noarch 3169/3201 Verifying : texlive-zref-9:svn54098-36.fc34.noarch 3170/3201 Verifying : texlive-zwgetfdate-9:svn15878.0-36.fc34.noarch 3171/3201 Verifying : texlive-zwpagelayout-9:svn53965-36.fc34.noarch 3172/3201 Verifying : tk-1:8.6.10-5.fc33.x86_64 3173/3201 Verifying : tre-0.8.0-31.20140228gitc2f5d13.fc33.x86_64 3174/3201 Verifying : tre-common-0.8.0-31.20140228gitc2f5d13.fc33.no 3175/3201 Verifying : txt2man-1.6.0-9.fc33.noarch 3176/3201 Verifying : urw-base35-bookman-fonts-20200910-2.fc34.noarc 3177/3201 Verifying : urw-base35-c059-fonts-20200910-2.fc34.noarch 3178/3201 Verifying : urw-base35-d050000l-fonts-20200910-2.fc34.noar 3179/3201 Verifying : urw-base35-fonts-20200910-2.fc34.noarch 3180/3201 Verifying : urw-base35-fonts-common-20200910-2.fc34.noarch 3181/3201 Verifying : urw-base35-gothic-fonts-20200910-2.fc34.noarch 3182/3201 Verifying : urw-base35-nimbus-mono-ps-fonts-20200910-2.fc3 3183/3201 Verifying : urw-base35-nimbus-roman-fonts-20200910-2.fc34. 3184/3201 Verifying : urw-base35-nimbus-sans-fonts-20200910-2.fc34.n 3185/3201 Verifying : urw-base35-p052-fonts-20200910-2.fc34.noarch 3186/3201 Verifying : urw-base35-standard-symbols-ps-fonts-20200910- 3187/3201 Verifying : urw-base35-z003-fonts-20200910-2.fc34.noarch 3188/3201 Verifying : vim-minimal-2:8.2.2311-1.fc34.x86_64 3189/3201 Verifying : webkit2gtk3-2.31.1-3.fc34.x86_64 3190/3201 Verifying : webkit2gtk3-jsc-2.31.1-3.fc34.x86_64 3191/3201 Verifying : woff2-1.0.2-9.fc33.x86_64 3192/3201 Verifying : wpebackend-fdo-1.8.0-1.fc34.x86_64 3193/3201 Verifying : xdg-dbus-proxy-0.1.2-3.fc33.x86_64 3194/3201 Verifying : xdg-utils-1.1.3-7.fc33.noarch 3195/3201 Verifying : xkeyboard-config-2.31-3.fc34.noarch 3196/3201 Verifying : xml-common-0.6.3-55.fc33.noarch 3197/3201 Verifying : xorg-x11-font-utils-1:7.5-47.fc34.x86_64 3198/3201 Verifying : xorg-x11-fonts-ISO8859-1-100dpi-7.5-26.fc34.no 3199/3201 Verifying : zlib-devel-1.2.11-23.fc34.x86_64 3200/3201 Verifying : zziplib-0.13.71-1.fc34.x86_64 3201/3201 Installed: ImageMagick-1:6.9.11.27-2.fc34.x86_64 ImageMagick-libs-1:6.9.11.27-2.fc34.x86_64 LibRaw-0.20.2-1.fc34.x86_64 R-core-4.0.3-1.fc34.x86_64 R-evaluate-0.14-6.fc33.noarch R-glue-1.4.2-1.fc34.x86_64 R-highr-0.8-6.fc33.noarch R-knitr-1.30-1.fc34.noarch R-magrittr-1.5-11.fc33.noarch R-markdown-1.1-5.fc33.x86_64 R-mime-0.9-4.fc33.x86_64 R-stringi-1.5.3-1.fc34.x86_64 R-stringr-1.4.0-7.fc33.noarch R-xfun-0.20-1.fc34.x86_64 R-yaml-2.2.1-4.fc33.x86_64 abc-1.01-28.git20201126.fc34.x86_64 abc-libs-1.01-28.git20201126.fc34.x86_64 acl-2.2.53-9.fc34.x86_64 adobe-mappings-cmap-20171205-9.fc33.noarch adobe-mappings-cmap-deprecated-20171205-9.fc33.noarch adobe-mappings-pdf-20180407-7.fc33.noarch adwaita-cursor-theme-3.38.0-1.fc34.noarch adwaita-icon-theme-3.38.0-1.fc34.noarch alsa-lib-1.2.4-4.fc34.x86_64 annobin-9.58-1.fc34.x86_64 at-spi2-atk-2.38.0-1.fc34.x86_64 at-spi2-core-2.38.0-2.fc34.x86_64 atk-2.36.0-2.fc34.x86_64 avahi-libs-0.8-6.fc34.x86_64 biber-2.14-4.fc33.noarch bison-3.7.4-1.fc34.x86_64 bubblewrap-0.4.1-2.fc33.x86_64 cairo-1.17.4-1.fc34.x86_64 cairo-gobject-1.17.4-1.fc34.x86_64 cdparanoia-libs-10.2-35.fc34.x86_64 colord-libs-1.4.5-1.fc34.x86_64 cpp-11.0.0-0.17.fc34.x86_64 crypto-policies-scripts-20210127-2.gitb21c811.fc34.noarch cryptsetup-libs-2.3.4-1.fc34.x86_64 cups-libs-1:2.3.3op1-3.fc34.x86_64 dbus-1:1.12.20-2.fc33.x86_64 dbus-broker-26-1.fc34.x86_64 dbus-common-1:1.12.20-2.fc33.noarch dbus-libs-1:1.12.20-2.fc33.x86_64 dejavu-sans-fonts-2.37-15.fc34.noarch desktop-file-utils-0.26-2.fc33.x86_64 device-mapper-1.02.173-1.fc33.x86_64 device-mapper-libs-1.02.173-1.fc33.x86_64 emacs-filesystem-1:27.1-2.fc34.noarch enchant2-2.2.15-1.fc34.x86_64 fftw-libs-double-3.3.8-9.fc34.x86_64 flex-2.6.4-6.fc34.x86_64 flexiblas-3.0.4-2.fc34.x86_64 flexiblas-netlib-3.0.4-2.fc34.x86_64 flexiblas-openblas-openmp-3.0.4-2.fc34.x86_64 fontawesome-fonts-1:4.7.0-10.fc33.noarch fontconfig-2.13.93-4.fc34.x86_64 fonts-filesystem-1:2.0.5-4.fc33.noarch freetype-2.10.4-1.fc34.x86_64 fribidi-1.0.10-3.fc33.x86_64 gcc-11.0.0-0.17.fc34.x86_64 gcc-c++-11.0.0-0.17.fc34.x86_64 gd-2.3.0-3.fc33.x86_64 gdbm-libs-1:1.19-1.fc34.x86_64 gdk-pixbuf2-2.40.0-3.fc34.x86_64 gdk-pixbuf2-modules-2.40.0-3.fc34.x86_64 ghostscript-9.53.3-4.fc34.x86_64 glib-networking-2.66.0-1.fc34.x86_64 glib2-2.67.1-3.fc34.x86_64 glibc-devel-2.32.9000-26.fc34.x86_64 glibc-headers-x86-2.32.9000-26.fc34.noarch gnutls-3.6.15-2.fc34.x86_64 google-droid-sans-fonts-20200215-8.fc34.noarch graphene-1.10.2-5.fc34.x86_64 graphite2-1.3.14-6.fc34.x86_64 graphviz-2.44.0-16.fc34.x86_64 groff-base-1.22.4-4.fc34.x86_64 gsettings-desktop-schemas-40~alpha-1.fc34.x86_64 gstreamer1-1.18.2-1.fc34.x86_64 gstreamer1-plugins-base-1.18.2-1.fc34.x86_64 gtk-update-icon-cache-3.24.24-2.fc34.x86_64 gtk2-2.24.33-3.fc34.x86_64 gtk3-3.24.24-2.fc34.x86_64 gts-0.7.6-38.20121130.fc33.x86_64 harfbuzz-2.7.4-1.fc34.x86_64 harfbuzz-icu-2.7.4-1.fc34.x86_64 hicolor-icon-theme-0.17-9.fc33.noarch hunspell-1.7.0-7.fc33.x86_64 hunspell-en-US-0.20140811.1-17.fc33.noarch hwdata-0.343-1.fc34.noarch hyphen-2.8.8-14.fc33.x86_64 iptables-libs-1.8.7-3.fc34.x86_64 isl-0.16.1-12.fc33.x86_64 iso-codes-4.5.0-2.fc33.noarch iverilog-11.0-1.fc34.x86_64 jasper-libs-2.0.24-3.fc34.x86_64 jbig2dec-libs-0.19-3.fc34.x86_64 jbigkit-libs-2.1-20.fc34.x86_64 json-c-0.14-7.fc33.x86_64 json-glib-1.6.0-1.fc34.x86_64 kernel-headers-5.11.0-0.rc5.git0.1.fc34.x86_64 kmod-libs-28-1.fc34.x86_64 langpacks-core-font-en-3.0-6.fc34.noarch lasi-1.1.3-4.fc33.x86_64 lcms2-2.11-2.fc33.x86_64 less-575-1.fc34.x86_64 libICE-1.0.10-5.fc34.x86_64 libRmath-4.0.3-1.fc34.x86_64 libSM-1.2.3-7.fc34.x86_64 libX11-1.7.0-2.fc34.x86_64 libX11-common-1.7.0-2.fc34.noarch libX11-xcb-1.7.0-2.fc34.x86_64 libXau-1.0.9-5.fc34.x86_64 libXaw-1.0.13-16.fc34.x86_64 libXcomposite-0.4.5-4.fc34.x86_64 libXcursor-1.2.0-4.fc34.x86_64 libXdamage-1.1.5-4.fc34.x86_64 libXext-1.3.4-5.fc34.x86_64 libXfixes-5.0.3-13.fc34.x86_64 libXft-2.3.3-5.fc34.x86_64 libXi-1.7.10-5.fc34.x86_64 libXinerama-1.1.4-7.fc34.x86_64 libXmu-1.1.3-5.fc34.x86_64 libXpm-3.5.13-4.fc34.x86_64 libXrandr-1.5.2-5.fc34.x86_64 libXrender-0.9.10-13.fc34.x86_64 libXt-1.2.0-3.fc34.x86_64 libXtst-1.2.3-13.fc34.x86_64 libXv-1.0.11-13.fc34.x86_64 libXxf86vm-1.1.4-15.fc34.x86_64 libargon2-20171227-5.fc33.x86_64 libcbor-0.7.0-2.fc34.x86_64 libdatrie-0.2.9-13.fc34.x86_64 libdrm-2.4.103-1.fc34.x86_64 libedit-3.1-33.20191231cvs.fc33.x86_64 libepoxy-1.5.5-1.fc34.x86_64 libevent-2.1.12-2.fc34.x86_64 libffi-devel-3.1-27.fc34.x86_64 libfido2-1.6.0-1.fc34.x86_64 libfontenc-1.1.3-14.fc34.x86_64 libgee-0.20.3-2.fc33.x86_64 libgfortran-11.0.0-0.17.fc34.x86_64 libglvnd-1:1.3.2-2.fc33.x86_64 libglvnd-egl-1:1.3.2-2.fc33.x86_64 libglvnd-glx-1:1.3.2-2.fc33.x86_64 libgs-9.53.3-4.fc34.x86_64 libgusb-0.3.5-1.fc34.x86_64 libibverbs-33.0-3.fc34.x86_64 libicu-67.1-4.fc33.x86_64 libijs-0.35-12.fc33.x86_64 libimagequant-2.14.0-1.fc34.x86_64 libjpeg-turbo-2.0.90-1.fc34.x86_64 liblqr-1-0.4.2-15.fc33.x86_64 libmarkdown-2.2.4-5.fc33.x86_64 libmnl-1.0.4-12.fc33.x86_64 libmpc-1.2.1-1.fc34.x86_64 libnetfilter_conntrack-1.0.8-1.fc34.x86_64 libnfnetlink-1.0.1-18.fc33.x86_64 libnl3-3.5.0-5.fc33.x86_64 libnotify-0.7.9-3.fc34.x86_64 libogg-2:1.3.4-3.fc33.x86_64 libpaper-1.1.28-1.fc34.x86_64 libpcap-14:1.9.1-6.fc34.x86_64 libpciaccess-0.16-3.fc33.x86_64 libpng-2:1.6.37-6.fc34.x86_64 libproxy-0.4.15-29.fc34.x86_64 libquadmath-11.0.0-0.17.fc34.x86_64 libraqm-0.7.0-6.fc34.x86_64 librsvg2-2.50.3-1.fc34.x86_64 libseccomp-2.5.0-3.fc33.x86_64 libsecret-0.20.4-1.fc34.x86_64 libsoup-2.72.0-3.fc34.x86_64 libstdc++-devel-11.0.0-0.17.fc34.x86_64 libthai-0.1.28-5.fc33.x86_64 libtheora-1:1.1.1-28.fc33.x86_64 libtiff-4.1.0-6.fc34.x86_64 libunwind-1.4.0-4.fc34.x86_64 libusbx-1.0.23-2.fc33.x86_64 libvisual-1:0.4.0-31.fc34.x86_64 libvorbis-1:1.3.7-2.fc33.x86_64 libwayland-client-1.19.0-1.fc34.x86_64 libwayland-cursor-1.19.0-1.fc34.x86_64 libwayland-egl-1.19.0-1.fc34.x86_64 libwayland-server-1.19.0-1.fc34.x86_64 libwebp-1.1.0-6.fc34.x86_64 libwmf-lite-0.2.12-4.fc33.x86_64 libwpe-1.9.1-1.fc34.x86_64 libxcb-1.13.1-6.fc34.x86_64 libxcrypt-devel-4.4.17-1.fc34.x86_64 libxkbcommon-1.0.3-1.fc34.x86_64 libxshmfence-1.3-7.fc33.x86_64 libxslt-1.1.34-4.fc34.x86_64 llvm-libs-11.1.0-0.2.rc2.fc34.x86_64 m4-1.4.18-16.fc34.x86_64 mailcap-2.1.49-2.fc33.noarch mesa-libEGL-20.3.3-5.fc34.x86_64 mesa-libGL-20.3.3-5.fc34.x86_64 mesa-libgbm-20.3.3-5.fc34.x86_64 mesa-libglapi-20.3.3-5.fc34.x86_64 ncurses-6.2-3.20200222.fc33.x86_64 ncurses-c++-libs-6.2-3.20200222.fc33.x86_64 ncurses-devel-6.2-3.20200222.fc33.x86_64 netpbm-10.93.00-1.fc34.x86_64 nettle-3.7-1.fc34.x86_64 nspr-4.29.0-11.fc34.x86_64 nss-3.60.1-3.fc34.x86_64 nss-softokn-3.60.1-3.fc34.x86_64 nss-softokn-freebl-3.60.1-3.fc34.x86_64 nss-sysinit-3.60.1-3.fc34.x86_64 nss-util-3.60.1-3.fc34.x86_64 oldstandard-sfd-fonts-2.0.2-28.fc33.noarch openblas-0.3.12-1.fc34.x86_64 openblas-openmp-0.3.12-1.fc34.x86_64 openexr-libs-2.5.4-3.fc34.x86_64 openjpeg2-2.4.0-1.fc34.x86_64 opus-1.3.1-7.fc34.x86_64 orc-0.4.31-3.fc34.x86_64 pango-1.48.1-1.fc34.x86_64 pdfpc-4.5.0-2.fc34.x86_64 perl-Authen-SASL-2.16-22.fc33.noarch perl-AutoLoader-5.74-469.fc34.noarch perl-B-1.80-469.fc34.x86_64 perl-B-Hooks-EndOfScope-0.24-12.fc33.noarch perl-BibTeX-Parser-1.02-10.fc34.noarch perl-Bit-Vector-7.4-21.fc33.x86_64 perl-Business-ISBN-3.006-1.fc34.noarch perl-Business-ISBN-Data-20210112.001-1.fc34.noarch perl-Business-ISMN-1.201-7.fc33.noarch perl-Business-ISSN-1.004-3.fc33.noarch perl-Carp-1.50-457.fc33.noarch perl-Carp-Clan-6.08-5.fc33.noarch perl-Class-Accessor-0.51-10.fc33.noarch perl-Class-Data-Inheritable-0.08-36.fc33.noarch perl-Class-Inspector-1.36-4.fc33.noarch perl-Class-Method-Modifiers-2.13-5.fc33.noarch perl-Class-Singleton-1.6-1.fc34.noarch perl-Class-Struct-0.66-469.fc34.noarch perl-Clone-0.45-3.fc33.x86_64 perl-Compress-Raw-Bzip2-2.100-1.fc34.x86_64 perl-Compress-Raw-Zlib-2.100-1.fc34.x86_64 perl-Convert-ASN1-0.27-21.fc34.noarch perl-Crypt-RC4-2.02-26.fc33.noarch perl-Data-Compare-1.27-4.fc33.noarch perl-Data-Dump-1.23-15.fc33.noarch perl-Data-Dumper-2.174-459.fc34.x86_64 perl-Data-OptList-0.110-14.fc33.noarch perl-Data-Uniqid-0.12-23.fc33.noarch perl-Date-Calc-6.4-17.fc33.noarch perl-Date-ISO8601-0.005-10.fc33.noarch perl-Date-Manip-6.83-2.fc34.noarch perl-DateTime-2:1.54-1.fc34.x86_64 perl-DateTime-Calendar-Julian-0.103-1.fc34.noarch perl-DateTime-Format-Builder-0.8300-1.fc33.noarch perl-DateTime-Format-Strptime-1:1.78-1.fc34.noarch perl-DateTime-Locale-1.31-1.fc34.noarch perl-DateTime-TimeZone-2.47-1.fc34.noarch perl-DateTime-TimeZone-SystemV-0.010-11.fc33.noarch perl-DateTime-TimeZone-Tzfile-0.011-11.fc33.noarch perl-Devel-CallChecker-0.008-11.fc33.x86_64 perl-Devel-Caller-2.06-23.fc33.x86_64 perl-Devel-GlobalDestruction-0.14-13.fc33.noarch perl-Devel-LexAlias-0.05-24.fc33.x86_64 perl-Devel-StackTrace-1:2.04-7.fc33.noarch perl-Digest-1.19-1.fc34.noarch perl-Digest-HMAC-1.03-26.fc33.noarch perl-Digest-MD4-1.9-26.fc33.x86_64 perl-Digest-MD5-2.58-1.fc34.x86_64 perl-Digest-Perl-MD5-1.9-21.fc33.noarch perl-Digest-SHA-1:6.02-458.fc33.x86_64 perl-Digest-SHA1-2.13-31.fc33.x86_64 perl-Dist-CheckConflicts-0.11-20.fc33.noarch perl-DynaLoader-1.47-469.fc34.x86_64 perl-DynaLoader-Functions-0.003-10.fc33.noarch perl-Email-Date-Format-1.005-17.fc33.noarch perl-Encode-4:3.08-458.fc34.x86_64 perl-Encode-EUCJPASCII-0.03-31.fc33.x86_64 perl-Encode-HanExtra-0.23-31.fc33.x86_64 perl-Encode-JIS2K-0.03-16.fc33.x86_64 perl-Encode-Locale-1.05-18.fc33.noarch perl-Errno-1.30-469.fc34.x86_64 perl-Eval-Closure-0.14-13.fc33.noarch perl-Exception-Class-1.44-10.fc33.noarch perl-Exporter-5.74-458.fc33.noarch perl-Exporter-Tiny-1.002002-3.fc33.noarch perl-ExtUtils-MM-Utils-2:7.58-1.fc34.noarch perl-Fcntl-1.13-469.fc34.x86_64 perl-File-Basename-2.85-469.fc34.noarch perl-File-Compare-1.100.600-469.fc34.noarch perl-File-Copy-2.34-469.fc34.noarch perl-File-Find-1.37-469.fc34.noarch perl-File-Find-Rule-0.34-16.fc33.noarch perl-File-Listing-6.14-1.fc34.noarch perl-File-Path-2.18-1.fc34.noarch perl-File-ShareDir-1.118-1.fc34.noarch perl-File-Slurper-0.012-9.fc33.noarch perl-File-Temp-1:0.231.100-1.fc34.noarch perl-File-Which-1.23-7.fc33.noarch perl-File-stat-1.09-469.fc34.noarch perl-FileHandle-2.03-469.fc34.noarch perl-Filter-2:1.60-1.fc33.x86_64 perl-FindBin-1.51-469.fc34.noarch perl-GSSAPI-0.28-32.fc33.x86_64 perl-Getopt-Long-1:2.52-1.fc34.noarch perl-Getopt-Std-1.12-469.fc34.noarch perl-HTML-Parser-3.75-2.fc34.x86_64 perl-HTML-Tagset-3.20-44.fc33.noarch perl-HTTP-Cookies-6.10-1.fc34.noarch perl-HTTP-Date-6.05-4.fc33.noarch perl-HTTP-Message-6.27-1.fc34.noarch perl-HTTP-Negotiate-6.01-27.fc33.noarch perl-HTTP-Tiny-0.076-457.fc33.noarch perl-Hash-Util-FieldHash-1.20-469.fc34.x86_64 perl-I18N-LangTags-0.44-469.fc34.noarch perl-I18N-Langinfo-0.19-469.fc34.x86_64 perl-IO-1.43-469.fc34.x86_64 perl-IO-Compress-2.100-1.fc34.noarch perl-IO-HTML-1.004-1.fc34.noarch perl-IO-Socket-IP-0.41-2.fc34.noarch perl-IO-Socket-SSL-2.069-1.fc34.noarch perl-IO-String-1.08-40.fc33.noarch perl-IO-stringy-2.113-4.fc33.noarch perl-IPC-Cmd-2:1.04-458.fc33.noarch perl-IPC-Open3-1.21-469.fc34.noarch perl-IPC-Run3-0.048-20.fc33.noarch perl-IPC-SysV-2.09-1.fc34.x86_64 perl-JSON-4.03-1.fc34.noarch perl-Jcode-2.07-33.fc33.noarch perl-LDAP-1:0.68-1.fc34.noarch perl-LWP-MediaTypes-6.04-6.fc33.noarch perl-LWP-Protocol-https-6.10-1.fc34.noarch perl-LaTeX-ToUnicode-0.11-1.fc34.noarch perl-List-AllUtils-0.18-1.fc34.noarch perl-List-MoreUtils-0.430-1.fc34.noarch perl-List-MoreUtils-XS-0.430-1.fc34.x86_64 perl-List-SomeUtils-0.58-4.fc33.noarch perl-List-UtilsBy-0.11-10.fc33.noarch perl-Locale-Maketext-1.29-458.fc33.noarch perl-Locale-Maketext-Simple-1:0.21-469.fc34.noarch perl-Log-Dispatch-2.70-2.fc34.noarch perl-Log-Dispatch-FileRotate-1.36-7.fc33.noarch perl-Log-Log4perl-1.53-1.fc34.noarch perl-MIME-Base64-3.16-1.fc34.x86_64 perl-MIME-Charset-1.012.2-12.fc33.noarch perl-MIME-Lite-3.031-4.fc33.noarch perl-MIME-Types-2.18-1.fc34.noarch perl-MRO-Compat-0.13-12.fc33.noarch perl-Mail-Sender-1:0.903-13.fc33.noarch perl-Mail-Sendmail-0.80-10.fc33.noarch perl-MailTools-2.21-6.fc33.noarch perl-Math-BigInt-1:1.9998.18-457.fc33.noarch perl-Math-Complex-1.59-469.fc34.noarch perl-Module-CoreList-1:5.20210123-1.fc34.noarch perl-Module-Implementation-0.09-27.fc33.noarch perl-Module-Load-1:0.36-1.fc34.noarch perl-Module-Load-Conditional-0.74-1.fc34.noarch perl-Module-Metadata-1.000037-457.fc33.noarch perl-Module-Runtime-0.016-10.fc33.noarch perl-Mozilla-CA-20200520-3.fc33.noarch perl-NTLM-1.09-27.fc33.noarch perl-Net-HTTP-6.20-1.fc34.noarch perl-Net-SMTP-SSL-1.04-13.fc33.noarch perl-Net-SSLeay-1.90-1.fc34.x86_64 perl-Number-Compare-0.03-27.fc33.noarch perl-OLE-Storage_Lite-0.20-4.fc33.noarch perl-Opcode-1.48-469.fc34.x86_64 perl-POSIX-1.94-469.fc34.x86_64 perl-Package-Generator-1.106-20.fc34.noarch perl-Package-Stash-0.39-1.fc34.noarch perl-Package-Stash-XS-0.29-8.fc33.x86_64 perl-PadWalker-2.5-1.fc34.x86_64 perl-Params-Check-1:0.38-458.fc34.noarch perl-Params-Classify-0.015-11.fc33.x86_64 perl-Params-Util-1.102-2.fc34.x86_64 perl-Params-Validate-1.30-1.fc34.x86_64 perl-Params-ValidationCompiler-0.30-9.fc33.noarch perl-Parse-RecDescent-1.967015-12.fc33.noarch perl-PathTools-3.78-458.fc34.x86_64 perl-PerlIO-utf8_strict-0.008-1.fc34.x86_64 perl-Pod-Escapes-1:1.07-457.fc33.noarch perl-Pod-Html-1.25-469.fc34.noarch perl-Pod-Perldoc-3.28.01-458.fc33.noarch perl-Pod-Simple-1:3.42-1.fc34.noarch perl-Pod-Usage-4:2.01-1.fc34.noarch perl-Ref-Util-0.204-9.fc33.noarch perl-Ref-Util-XS-0.117-10.fc33.x86_64 perl-Regexp-Common-2017060201-13.fc33.noarch perl-Role-Tiny-2.002004-1.fc34.noarch perl-Safe-2.41-469.fc34.noarch perl-Scalar-List-Utils-4:1.55-457.fc33.x86_64 perl-SelectSaver-1.02-469.fc34.noarch perl-Socket-4:2.031-1.fc34.x86_64 perl-Sort-Key-1.33-19.fc33.x86_64 perl-Specio-0.46-4.fc33.noarch perl-Spreadsheet-ParseExcel-0.6500-27.fc33.x86_64 perl-Spreadsheet-WriteExcel-2.40-20.fc33.noarch perl-Storable-1:3.21-457.fc33.x86_64 perl-Sub-Exporter-0.987-24.fc33.noarch perl-Sub-Exporter-Progressive-0.001013-13.fc33.noarch perl-Sub-Identify-0.14-14.fc33.x86_64 perl-Sub-Install-0.928-25.fc33.noarch perl-Symbol-1.08-469.fc34.noarch perl-Sys-Hostname-1.23-469.fc34.x86_64 perl-Sys-Syslog-0.36-458.fc33.x86_64 perl-Term-ANSIColor-5.01-458.fc33.noarch perl-Term-Cap-1.17-457.fc33.noarch perl-Text-Balanced-2.04-1.fc34.noarch perl-Text-BibTeX-0.88-6.fc33.x86_64 perl-Text-CSV-2.00-5.fc33.noarch perl-Text-CSV_XS-1.45-1.fc34.x86_64 perl-Text-Glob-0.11-12.fc33.noarch perl-Text-ParseWords-3.30-457.fc33.noarch perl-Text-Roman-3.5-17.fc33.noarch perl-Text-Soundex-3.05-17.fc33.x86_64 perl-Text-Tabs+Wrap-2013.0523-457.fc33.noarch perl-Text-Unidecode-1.30-13.fc33.noarch perl-Tie-4.6-469.fc34.noarch perl-Tie-Cycle-1.226-1.fc34.noarch perl-Time-HiRes-4:1.9764-459.fc34.x86_64 perl-Time-Local-2:1.300-4.fc33.noarch perl-TimeDate-1:2.33-3.fc33.noarch perl-Try-Tiny-0.30-10.fc33.noarch perl-UNIVERSAL-isa-1.20171012-10.fc33.noarch perl-URI-5.06-1.fc34.noarch perl-Unicode-Collate-1.29-1.fc34.x86_64 perl-Unicode-LineBreak-2019.001-8.fc33.x86_64 perl-Unicode-Map-0.112-52.fc33.x86_64 perl-Unicode-Normalize-1.27-458.fc33.x86_64 perl-Unicode-UCD-0.75-469.fc34.noarch perl-Variable-Magic-0.62-11.fc33.x86_64 perl-WWW-RobotRules-6.02-27.fc34.noarch perl-XML-LibXML-1:2.0206-2.fc34.x86_64 perl-XML-LibXML-Simple-1.01-4.fc33.noarch perl-XML-LibXSLT-1.99-4.fc33.x86_64 perl-XML-NamespaceSupport-1.12-12.fc33.noarch perl-XML-Parser-2.46-6.fc33.x86_64 perl-XML-SAX-1.02-5.fc33.noarch perl-XML-SAX-Base-1.09-12.fc33.noarch perl-XML-Writer-0.900-2.fc34.noarch perl-XML-XPath-1.44-8.fc34.noarch perl-XString-0.005-1.fc34.x86_64 perl-autouse-1.11-469.fc34.noarch perl-autovivification-0.18-11.fc33.x86_64 perl-base-2.27-469.fc34.noarch perl-constant-1.33-458.fc33.noarch perl-deprecate-0.04-469.fc34.noarch perl-encoding-4:3.00-458.fc34.x86_64 perl-if-0.60.800-469.fc34.noarch perl-interpreter-4:5.32.1-469.fc34.x86_64 perl-lib-0.65-469.fc34.x86_64 perl-libnet-3.13-1.fc34.noarch perl-libs-4:5.32.1-469.fc34.x86_64 perl-libwww-perl-6.52-1.fc34.noarch perl-locale-1.09-469.fc34.noarch perl-meta-notation-5.32.1-469.fc34.noarch perl-mro-1.23-469.fc34.x86_64 perl-namespace-autoclean-0.29-5.fc33.noarch perl-namespace-clean-0.27-15.fc33.noarch perl-open-1.12-469.fc34.noarch perl-overload-1.31-469.fc34.noarch perl-overloading-0.02-469.fc34.noarch perl-parent-1:0.238-457.fc33.noarch perl-podlators-1:4.14-457.fc33.noarch perl-sigtrap-1.09-469.fc34.noarch perl-subs-1.03-469.fc34.noarch perl-vars-1.05-469.fc34.noarch perl-version-7:0.99.28-1.fc34.x86_64 perltidy-20210111-1.fc34.noarch pixman-0.40.0-2.fc33.x86_64 poppler-21.01.0-1.fc34.x86_64 poppler-data-0.4.9-6.fc33.noarch poppler-glib-21.01.0-1.fc34.x86_64 python-pip-wheel-21.0-1.fc34.noarch python-setuptools-wheel-52.0.0-1.fc34.noarch python-unversioned-command-3.9.1-4.fc34.noarch python3-3.9.1-4.fc34.x86_64 python3-libs-3.9.1-4.fc34.x86_64 python3-pygments-2.7.4-1.fc34.noarch readline-devel-8.1-1.fc34.x86_64 rest-0.8.1-8.fc34.x86_64 shared-mime-info-2.1-1.fc34.x86_64 sombok-2.4.0-13.fc33.x86_64 systemd-247.2-1.fc34.x86_64 systemd-pam-247.2-1.fc34.x86_64 systemd-rpm-macros-247.2-1.fc34.noarch tcl-1:8.6.10-5.fc34.x86_64 tcl-devel-1:8.6.10-5.fc34.x86_64 teckit-2.5.9-5.fc33.x86_64 tex-preview-12.1-9.fc33.noarch texlive-12many-9:svn15878.0.3-36.fc34.noarch texlive-2up-9:svn41578-36.fc34.noarch texlive-ESIEEcv-9:svn15878.0-36.fc34.noarch texlive-GS1-9:svn44822-36.fc34.noarch texlive-HA-prosper-9:svn15878.4.21-36.fc34.noarch texlive-IEEEconf-9:svn15878.1.4-36.fc34.noarch texlive-IEEEtran-9:svn51065-36.fc34.noarch texlive-SIstyle-9:svn54080-36.fc34.noarch texlive-SIunits-9:svn15878.1.36-36.fc34.noarch texlive-Tabbing-9:svn17022.0-36.fc34.noarch texlive-a0poster-9:svn54071-36.fc34.noarch texlive-a4wide-9:svn20943.0-36.fc34.noarch texlive-a5comb-9:svn17020.4-36.fc34.noarch texlive-aaai-named-9:svn52470-36.fc34.noarch texlive-aastex-9:svn51836-36.fc34.noarch texlive-abnt-9:svn54927-36.fc34.noarch texlive-abntex2-9:svn49248-36.fc34.noarch texlive-abraces-9:svn27880.2-36.fc34.noarch texlive-abstract-9:svn15878.1.2a-36.fc34.noarch texlive-academicons-9:svn54512-36.fc34.noarch texlive-accanthis-9:svn32089.0-36.fc34.noarch texlive-accents-9:svn51497-36.fc34.noarch texlive-accessibility-9:svn52650-36.fc34.noarch texlive-accsupp-9:svn53052-36.fc34.noarch texlive-achemso-9:svn50025-36.fc34.noarch texlive-acmart-9:svn53899-36.fc34.noarch texlive-acmconf-9:svn15878.1.3-36.fc34.noarch texlive-acro-9:svn54512-36.fc34.noarch texlive-acronym-9:svn54758-36.fc34.noarch texlive-acroterm-9:svn20498.0.1-36.fc34.noarch texlive-active-conf-9:svn15878.0.3a-36.fc34.noarch texlive-actuarialangle-9:svn51376-36.fc34.noarch texlive-actuarialsymbol-9:svn54080-36.fc34.noarch texlive-addfont-9:svn41972-36.fc34.noarch texlive-addlines-9:svn49326-36.fc34.noarch texlive-adfathesis-9:svn26048.2.42-36.fc34.noarch texlive-adforn-9:svn54512-36.fc34.noarch texlive-adfsymbols-9:svn54512-36.fc34.noarch texlive-adigraph-9:svn49862-36.fc34.noarch texlive-adjmulticol-9:svn54157-36.fc34.noarch texlive-adjustbox-9:svn49596-36.fc34.noarch texlive-adobemapping-9:svn51787-36.fc34.noarch texlive-adrconv-9:svn46817-36.fc34.noarch texlive-advdate-9:svn20538.0-36.fc34.noarch texlive-ae-9:svn15878.1.4-36.fc34.noarch texlive-aeguill-9:svn15878.0-36.fc34.noarch texlive-afparticle-9:svn35900.1.3-36.fc34.noarch texlive-afthesis-9:svn15878.2.7-36.fc34.noarch texlive-aguplus-9:svn17156.1.6b-36.fc34.noarch texlive-aiaa-9:svn15878.3.6-36.fc34.noarch texlive-aichej-9:svn15878.0-36.fc34.noarch texlive-ajl-9:svn34016.0-36.fc34.noarch texlive-akktex-9:svn26055.0.3.2-36.fc34.noarch texlive-akletter-9:svn15878.1.5i-36.fc34.noarch texlive-alegreya-9:svn54512-36.fc34.noarch texlive-alertmessage-9:svn38055.1.1-36.fc34.noarch texlive-alg-9:svn15878.0-36.fc34.noarch texlive-algobox-9:svn52204-36.fc34.noarch texlive-algolrevived-9:svn56788-36.fc34.noarch texlive-algorithm2e-9:svn44846-36.fc34.noarch texlive-algorithmicx-9:svn15878.0-36.fc34.noarch texlive-algorithms-9:svn42428-36.fc34.noarch texlive-algxpar-9:svn52758-36.fc34.noarch texlive-aligned-overset-9:svn47290-36.fc34.noarch texlive-allrunes-9:svn42221-36.fc34.noarch texlive-almendra-9:svn56035-36.fc34.noarch texlive-almfixed-9:svn35065.0.92-36.fc34.noarch texlive-alnumsec-9:svn15878.v0.03-36.fc34.noarch texlive-alphalph-9:svn53087-36.fc34.noarch texlive-altfont-9:svn15878.1.1-36.fc34.noarch texlive-ametsoc-9:svn36030.4.3.2-36.fc34.noarch texlive-amsaddr-9:svn29630.1.1-36.fc34.noarch texlive-amscdx-9:svn51532-36.fc34.noarch texlive-amscls-9:svn46099-36.fc34.noarch texlive-amsfonts-9:svn29208.3.04-36.fc34.noarch texlive-amsmath-9:svn53640-36.fc34.noarch texlive-amsrefs-9:svn30646.2.14-36.fc34.noarch texlive-amstex-9:20200327-25.fc34.noarch texlive-animate-9:svn54874-36.fc34.noarch texlive-annotate-9:svn52824-36.fc34.noarch texlive-anonchap-9:svn17049.1.1a-36.fc34.noarch texlive-anonymouspro-9:svn51631-36.fc34.noarch texlive-answers-9:svn35032.2.16-36.fc34.noarch texlive-antiqua-9:svn24266.001.003-36.fc34.noarch texlive-antt-9:svn18651.2.08-36.fc34.noarch texlive-anufinalexam-doc-9:svn26053.0-36.fc34.noarch texlive-anyfontsize-9:svn17050.0-36.fc34.noarch texlive-anysize-9:svn15878.0-36.fc34.noarch texlive-aobs-tikz-9:svn32662.1.0-36.fc34.noarch texlive-aomart-9:svn46091-36.fc34.noarch texlive-apa-9:svn54080-36.fc34.noarch texlive-apa6-9:svn53406-36.fc34.noarch texlive-apa6e-9:svn23350.0.3-36.fc34.noarch texlive-apa7-9:svn54775-36.fc34.noarch texlive-apacite-9:svn54080-36.fc34.noarch texlive-apalike2-9:svn54080-36.fc34.noarch texlive-appendix-9:svn53718-36.fc34.noarch texlive-appendixnumberbeamer-9:svn46317-36.fc34.noarch texlive-apptools-9:svn28400.1.0-36.fc34.noarch texlive-apxproof-9:svn52324-36.fc34.noarch texlive-arabicfront-9:svn51474-36.fc34.noarch texlive-archaeologie-9:svn53813-36.fc34.noarch texlive-archaic-9:svn38005.0-36.fc34.noarch texlive-arcs-9:svn15878.1-36.fc34.noarch texlive-arev-9:svn15878.0-36.fc34.noarch texlive-arimo-9:svn42880-36.fc34.noarch texlive-arphic-9:svn15878.0-36.fc34.noarch texlive-arraycols-9:svn51491-36.fc34.noarch texlive-arrayjobx-9:svn18125.1.04-36.fc34.noarch texlive-arraysort-9:svn31576.1.0-36.fc34.noarch texlive-arsclassica-9:svn45656-36.fc34.noarch texlive-articleingud-9:svn38741-36.fc34.noarch texlive-arydshln-9:svn50084-36.fc34.noarch texlive-asaetr-9:svn15878.1.0a-36.fc34.noarch texlive-asana-math-9:svn50999-36.fc34.noarch texlive-asapsym-9:svn40201-36.fc34.noarch texlive-ascelike-9:svn29129.2.3-36.fc34.noarch texlive-ascii-font-9:svn29989.2.0-36.fc34.noarch texlive-asciilist-9:svn49060-36.fc34.noarch texlive-ascmac-9:svn53411-36.fc34.noarch texlive-askinclude-9:svn54725-36.fc34.noarch texlive-askmaps-9:svn32320.0.1-36.fc34.noarch texlive-asmeconf-9:svn54758-36.fc34.noarch texlive-asmejour-9:svn54758-36.fc34.noarch texlive-aspectratio-9:svn25243.2.0-36.fc34.noarch texlive-assignment-9:svn20431.0-36.fc34.noarch texlive-assoccnt-9:svn38497-36.fc34.noarch texlive-astro-9:svn15878.2.20-36.fc34.noarch texlive-asyfig-9:svn17512.0.1c-36.fc34.noarch texlive-asypictureb-9:svn33490.0.3-36.fc34.noarch texlive-atbegshi-9:svn53051-36.fc34.noarch texlive-atenddvi-9:svn53107-36.fc34.noarch texlive-attachfile-9:svn42099-36.fc34.noarch texlive-attachfile2-9:20200327-25.fc34.noarch texlive-atveryend-9:svn53108-36.fc34.noarch texlive-aucklandthesis-9:svn51323-36.fc34.noarch texlive-augie-9:svn18948.0-36.fc34.noarch texlive-auncial-new-9:svn15878.2.0-36.fc34.noarch texlive-aurical-9:svn15878.1.5-36.fc34.noarch texlive-aurl-9:svn41853-36.fc34.noarch texlive-authoraftertitle-9:svn24863.0.9-36.fc34.noarch texlive-authorarchive-9:svn54512-36.fc34.noarch texlive-authordate-9:svn52564-36.fc34.noarch texlive-authorindex-9:20200327-25.fc34.noarch texlive-auto-pst-pdf-9:svn52849-36.fc34.noarch texlive-autoarea-9:svn15878.0.3a-36.fc34.noarch texlive-autobreak-9:svn43337-36.fc34.noarch texlive-autofancyhdr-9:svn54049-36.fc34.noarch texlive-autonum-9:svn36084.0.3.11-36.fc34.noarch texlive-autopdf-9:svn32377.1.1-36.fc34.noarch texlive-auxhook-9:svn53173-36.fc34.noarch texlive-avantgar-9:svn31835.0-36.fc34.noarch texlive-avremu-9:svn35373.0.1-36.fc34.noarch texlive-axessibility-9:svn54080-36.fc34.noarch texlive-axodraw2-9:20200327-25.fc34.x86_64 texlive-b1encoding-9:svn21271.1.0-36.fc34.noarch texlive-babel-9:svn54487-36.fc34.noarch texlive-babel-english-9:svn44495-36.fc34.noarch texlive-babelbib-9:svn50354-36.fc34.noarch texlive-background-9:svn42428-36.fc34.noarch texlive-backnaur-9:svn54080-36.fc34.noarch texlive-bangorcsthesis-9:svn48834-36.fc34.noarch texlive-bangorexam-9:svn46626-36.fc34.noarch texlive-bankstatement-9:svn38857-36.fc34.noarch texlive-barcodes-9:svn15878.0-36.fc34.noarch texlive-bardiag-9:svn22013.0.4a-36.fc34.noarch texlive-base-9:20200327-25.fc34.x86_64 texlive-bashful-9:svn25597.0.93-36.fc34.noarch texlive-basicarith-9:svn35460.1.1-36.fc34.noarch texlive-baskervald-9:svn19490.1.016-36.fc34.noarch texlive-baskervaldx-9:svn54512-36.fc34.noarch texlive-baskervillef-9:svn55475-36.fc34.noarch texlive-bath-bst-9:svn53422-36.fc34.noarch texlive-bbding-9:svn17186.1.01-36.fc34.noarch texlive-bbm-9:svn15878.0-36.fc34.noarch texlive-bbm-macros-9:svn17224.0-36.fc34.noarch texlive-bbold-9:svn17187.1.01-36.fc34.noarch texlive-bbold-type1-9:svn33143.0-36.fc34.noarch texlive-bchart-9:svn43928-36.fc34.noarch texlive-beamer-9:svn54841-36.fc34.noarch texlive-beamer-FUBerlin-doc-9:svn38159.0.02b-36.fc34.noarch texlive-beamer-rl-9:svn52284-36.fc34.noarch texlive-beamer-verona-9:svn39180-36.fc34.noarch texlive-beamer2thesis-9:svn27539.2.2-36.fc34.noarch texlive-beameraudience-9:svn23427.0.1-36.fc34.noarch texlive-beamerauxtheme-9:svn51053-36.fc34.noarch texlive-beamercolorthemeowl-9:svn40105-36.fc34.noarch texlive-beamerdarkthemes-9:svn35101.0.4.1-36.fc34.noarch texlive-beamerposter-9:svn54512-36.fc34.noarch texlive-beamersubframe-9:svn23510.0.2-36.fc34.noarch texlive-beamerswitch-9:svn53467-36.fc34.noarch texlive-beamertheme-cuerna-9:svn42161-36.fc34.noarch texlive-beamertheme-detlevcm-9:svn39048-36.fc34.noarch texlive-beamertheme-epyt-9:svn41404-36.fc34.noarch texlive-beamertheme-focus-9:svn54772-36.fc34.noarch texlive-beamertheme-light-9:svn49867-36.fc34.noarch texlive-beamertheme-metropolis-9:svn43031-36.fc34.noarch texlive-beamertheme-npbt-9:svn54512-36.fc34.noarch texlive-beamertheme-phnompenh-9:svn39100-36.fc34.noarch texlive-beamertheme-saintpetersburg-9:svn45877-36.fc34.noarch texlive-beamertheme-upenn-bc-9:svn29937.1.0-36.fc34.noarch texlive-beamerthemejltree-9:svn21977.1.1-36.fc34.noarch texlive-beamerthemenirma-9:svn20765.0.1-36.fc34.noarch texlive-bearwear-9:svn54826-36.fc34.noarch texlive-beebe-9:svn54760-36.fc34.noarch texlive-begriff-9:svn15878.1.6-36.fc34.noarch texlive-beilstein-9:svn53925-36.fc34.noarch texlive-belleek-9:svn18651.0-36.fc34.noarch texlive-bera-9:svn20031.0-36.fc34.noarch texlive-berenisadf-9:svn32215.1.004-36.fc34.noarch texlive-besjournals-9:svn45662-36.fc34.noarch texlive-bestpapers-9:svn38708-36.fc34.noarch texlive-beton-9:svn15878.0-36.fc34.noarch texlive-beuron-9:svn46374-36.fc34.noarch texlive-bewerbung-9:svn37880.1.1-36.fc34.noarch texlive-bez123-9:svn15878.1.1b-36.fc34.noarch texlive-bgteubner-9:svn54080-36.fc34.noarch texlive-bguq-9:svn27401.0.4-36.fc34.noarch texlive-bhcexam-9:svn39041-36.fc34.noarch texlive-bib2gls-9:20200327-25.fc34.noarch texlive-bibarts-9:svn54080-36.fc34.noarch texlive-bibexport-9:20200327-25.fc34.noarch texlive-bibhtml-9:svn31607.2.0.2-36.fc34.noarch texlive-biblatex-9:svn53063-36.fc34.noarch texlive-biblatex-abnt-9:svn49179-36.fc34.noarch texlive-biblatex-ajc2020unofficial-9:svn54401-36.fc34.noarch texlive-biblatex-anonymous-9:svn48548-36.fc34.noarch texlive-biblatex-apa-9:svn54896-36.fc34.noarch texlive-biblatex-apa6-9:svn54995-36.fc34.noarch texlive-biblatex-archaeology-9:svn53281-36.fc34.noarch texlive-biblatex-arthistory-bonn-9:svn46637-36.fc34.noarch texlive-biblatex-bath-9:svn53424-36.fc34.noarch texlive-biblatex-bookinarticle-9:svn40323-36.fc34.noarch texlive-biblatex-bookinother-9:svn54015-36.fc34.noarch texlive-biblatex-bwl-9:svn26556.0.02-36.fc34.noarch texlive-biblatex-caspervector-9:svn52802-36.fc34.noarch texlive-biblatex-chem-9:svn53012-36.fc34.noarch texlive-biblatex-chicago-9:svn54809-36.fc34.noarch texlive-biblatex-claves-9:svn43723-36.fc34.noarch texlive-biblatex-dw-9:svn42649-36.fc34.noarch texlive-biblatex-enc-9:svn44627-36.fc34.noarch texlive-biblatex-ext-9:svn54327-36.fc34.noarch texlive-biblatex-fiwi-9:svn45876-36.fc34.noarch texlive-biblatex-gb7714-2015-9:svn54512-36.fc34.noarch texlive-biblatex-german-legal-9:svn54297-36.fc34.noarch texlive-biblatex-gost-9:svn53916-36.fc34.noarch texlive-biblatex-historian-9:svn19787.0.4-36.fc34.noarch texlive-biblatex-ieee-9:svn53931-36.fc34.noarch texlive-biblatex-ijsra-9:svn41634-36.fc34.noarch texlive-biblatex-iso690-9:svn54561-36.fc34.noarch texlive-biblatex-jura2-9:svn53243-36.fc34.noarch texlive-biblatex-juradiss-9:svn54515-36.fc34.noarch texlive-biblatex-lni-9:svn49935-36.fc34.noarch texlive-biblatex-luh-ipw-9:svn32180.0.3-36.fc34.noarch texlive-biblatex-manuscripts-philology-9:svn53344-36.fc34.noarch texlive-biblatex-mla-9:svn42445-36.fc34.noarch texlive-biblatex-morenames-9:svn43049-36.fc34.noarch texlive-biblatex-multiple-dm-9:svn37081.1.0.1-36.fc34.noarch texlive-biblatex-musuos-9:svn24097.1.0-36.fc34.noarch texlive-biblatex-nature-9:svn48940-36.fc34.noarch texlive-biblatex-nejm-9:svn49839-36.fc34.noarch texlive-biblatex-nottsclassic-9:svn41596-36.fc34.noarch texlive-biblatex-opcit-booktitle-9:svn48983-36.fc34.noarch texlive-biblatex-oxref-9:svn53571-36.fc34.noarch texlive-biblatex-philosophy-9:svn47283-36.fc34.noarch texlive-biblatex-phys-9:svn53013-36.fc34.noarch texlive-biblatex-publist-9:svn50989-36.fc34.noarch texlive-biblatex-realauthor-9:svn45865-36.fc34.noarch texlive-biblatex-sbl-9:svn49426-36.fc34.noarch texlive-biblatex-science-9:svn48945-36.fc34.noarch texlive-biblatex-shortfields-9:svn45858-36.fc34.noarch texlive-biblatex-socialscienceshuberlin-9:svn47839-36.fc34.noarch texlive-biblatex-software-9:svn54950-36.fc34.noarch texlive-biblatex-source-division-9:svn45379-36.fc34.noarch texlive-biblatex-subseries-9:svn43330-36.fc34.noarch texlive-biblatex-swiss-legal-9:svn32750.1.1.2a-36.fc34.noarch texlive-biblatex-trad-9:svn46668-36.fc34.noarch texlive-biblatex-true-citepages-omit-9:svn44653-36.fc34.noarch texlive-biblatex2bibitem-9:svn54030-36.fc34.noarch texlive-bibletext-9:svn45196-36.fc34.noarch texlive-biblist-9:svn17116.0-36.fc34.noarch texlive-bibtex-9:20200327-25.fc34.x86_64 texlive-bibtexperllibs-9:svn54512-36.fc34.noarch texlive-bibtopic-9:svn15878.1.1a-36.fc34.noarch texlive-bibtopicprefix-9:svn15878.1.10-36.fc34.noarch texlive-bibunits-9:svn15878.2.2-36.fc34.noarch texlive-bidi-9:svn53615-36.fc34.noarch texlive-bigfoot-9:svn38248.2.1-36.fc34.noarch texlive-bigintcalc-9:svn53172-36.fc34.noarch texlive-bigints-9:svn29803.0-36.fc34.noarch texlive-binarytree-9:svn41777-36.fc34.noarch texlive-binomexp-9:svn15878.1.0-36.fc34.noarch texlive-biochemistry-colors-9:svn54512-36.fc34.noarch texlive-biocon-9:svn15878.0-36.fc34.noarch texlive-biolett-bst-9:svn42217-36.fc34.noarch texlive-bitpattern-9:svn39073-36.fc34.noarch texlive-bitset-9:svn53837-36.fc34.noarch texlive-bitter-9:svn56026-36.fc34.noarch texlive-bizcard-9:svn15878.1.1-36.fc34.noarch texlive-blacklettert1-9:svn15878.0-36.fc34.noarch texlive-blindtext-9:svn25039.2.0-36.fc34.noarch texlive-blkarray-9:svn36406.0.07-36.fc34.noarch texlive-blochsphere-9:svn38388-36.fc34.noarch texlive-block-9:svn17209.0-36.fc34.noarch texlive-bloques-9:svn22490.1.0-36.fc34.noarch texlive-blowup-9:svn46213-36.fc34.noarch texlive-blox-9:svn35014.2.5-36.fc34.noarch texlive-bnumexpr-9:svn49643-36.fc34.noarch texlive-bodegraph-9:svn20047.1.4-36.fc34.noarch texlive-bohr-9:svn54512-36.fc34.noarch texlive-boisik-9:svn15878.0.5-36.fc34.noarch texlive-boites-9:svn32235.1.1-36.fc34.noarch texlive-bold-extra-9:svn17076.0.1-36.fc34.noarch texlive-boldtensors-9:svn15878.0-36.fc34.noarch texlive-bondgraph-9:svn21670.1.0-36.fc34.noarch texlive-bondgraphs-9:svn36605.1.0.1-36.fc34.noarch texlive-bookcover-9:svn46410-36.fc34.noarch texlive-bookdb-9:svn37536.0.2-36.fc34.noarch texlive-bookest-9:svn15878.1.1-36.fc34.noarch texlive-bookhands-9:svn46480-36.fc34.noarch texlive-booklet-9:svn15878.0.7b-36.fc34.noarch texlive-bookman-9:svn31835.0-36.fc34.noarch texlive-bookmark-9:svn53026-36.fc34.noarch texlive-booktabs-9:svn53402-36.fc34.noarch texlive-boolexpr-9:svn17830.3.14-36.fc34.noarch texlive-boondox-9:svn54512-36.fc34.noarch texlive-bophook-9:svn17062.0.02-36.fc34.noarch texlive-bosisio-9:svn16989.0-36.fc34.noarch texlive-boxedminipage-9:svn54827-36.fc34.noarch texlive-boxhandler-9:svn28031.1.30-36.fc34.noarch texlive-bpchem-9:svn45120-36.fc34.noarch texlive-br-lex-9:svn44939-36.fc34.noarch texlive-bracketkey-9:svn17129.1.0-36.fc34.noarch texlive-braids-9:svn54080-36.fc34.noarch texlive-braille-9:svn20655.0-36.fc34.noarch texlive-braket-9:svn17127.0-36.fc34.noarch texlive-brandeis-dissertation-9:svn54758-36.fc34.noarch texlive-brandeis-problemset-9:svn50991-36.fc34.noarch texlive-brandeis-thesis-9:svn54758-36.fc34.noarch texlive-breakcites-9:svn21014-36.fc34.noarch texlive-breakurl-9:svn29901.1.40-36.fc34.noarch texlive-breqn-9:svn54801-36.fc34.noarch texlive-bropd-9:svn35383.1.2-36.fc34.noarch texlive-brushscr-9:svn28363.0-36.fc34.noarch texlive-bullcntr-9:svn15878.0.04-36.fc34.noarch texlive-bussproofs-9:svn54080-36.fc34.noarch texlive-bussproofs-extra-9:svn51299-36.fc34.noarch texlive-bxcalc-9:svn52947-36.fc34.noarch texlive-bxdpx-beamer-9:svn41813-36.fc34.noarch texlive-bxdvidriver-9:svn43219-36.fc34.noarch texlive-bxeepic-9:svn30559.0.2-36.fc34.noarch texlive-bxenclose-9:svn40213-36.fc34.noarch texlive-bxnewfont-9:svn44173-36.fc34.noarch texlive-bxpapersize-9:svn52304-36.fc34.noarch texlive-bxpdfver-9:svn54802-36.fc34.noarch texlive-bxtexlogo-9:svn47230-36.fc34.noarch texlive-bytefield-9:svn45339-36.fc34.noarch texlive-cabin-9:svn55907-36.fc34.noarch texlive-cachepic-9:20200327-25.fc34.noarch texlive-caladea-9:svn34991.0-36.fc34.noarch texlive-calcage-9:svn27725.0.90-36.fc34.noarch texlive-calctab-9:svn15878.v0.6.1-36.fc34.noarch texlive-calculation-9:svn35973.1.0-36.fc34.noarch texlive-calculator-9:svn33041.2.0-36.fc34.noarch texlive-calligra-9:svn15878.0-36.fc34.noarch texlive-calligra-type1-9:svn24302.001.000-36.fc34.noarch texlive-callouts-9:svn44899-36.fc34.noarch texlive-calrsfs-9:svn17125.0-36.fc34.noarch texlive-cals-9:svn43003-36.fc34.noarch texlive-calxxxx-yyyy-9:svn53290-36.fc34.noarch texlive-cancel-9:svn32508.2.2-36.fc34.noarch texlive-canoniclayout-9:svn54758-36.fc34.noarch texlive-cantarell-9:svn54512-36.fc34.noarch texlive-capt-of-9:svn29803.0-36.fc34.noarch texlive-captcont-9:svn15878.2.0-36.fc34.noarch texlive-captdef-9:svn17353.0-36.fc34.noarch texlive-caption-9:svn53517-36.fc34.noarch texlive-carbohydrates-9:svn39000-36.fc34.noarch texlive-carlisle-9:svn47876-36.fc34.noarch texlive-carlito-9:svn35002.0-36.fc34.noarch texlive-carolmin-ps-9:svn15878.0-36.fc34.noarch texlive-cascade-9:svn48200-36.fc34.noarch texlive-cascadilla-9:svn25144.1.8.2-36.fc34.noarch texlive-cases-9:svn54682-36.fc34.noarch texlive-casyl-9:svn15878.2.0-36.fc34.noarch texlive-catchfile-9:svn53084-36.fc34.noarch texlive-catchfilebetweentags-9:svn21476.1.1-36.fc34.noarch texlive-catcodes-9:svn38859-36.fc34.noarch texlive-catechis-9:svn49061-36.fc34.noarch texlive-catoptions-9:svn35069.0.2.7h-36.fc34.noarch texlive-cbcoptic-9:svn16666.0.2-36.fc34.noarch texlive-cbfonts-9:svn54080-36.fc34.noarch texlive-cbfonts-fd-9:svn54080-36.fc34.noarch texlive-ccaption-9:svn23443.3.2c-36.fc34.noarch texlive-ccfonts-9:svn54686-36.fc34.noarch texlive-ccicons-9:svn54512-36.fc34.noarch texlive-cclicenses-9:svn15878.0-36.fc34.noarch texlive-ccool-9:svn54753-36.fc34.noarch texlive-cd-9:svn34452.1.4-36.fc34.noarch texlive-cd-cover-9:svn17121.1.0-36.fc34.noarch texlive-cdpbundl-9:svn46613-36.fc34.noarch texlive-cell-9:svn42428-36.fc34.noarch texlive-cellprops-9:svn52205-36.fc34.noarch texlive-cellspace-9:svn50374-36.fc34.noarch texlive-celtic-9:svn39797-36.fc34.noarch texlive-censor-9:svn49168-36.fc34.noarch texlive-centeredline-9:svn50971-36.fc34.noarch texlive-cesenaexam-9:svn44960-36.fc34.noarch texlive-cfr-initials-9:svn36728.1.01-36.fc34.noarch texlive-cfr-lm-9:svn36195.1.5-36.fc34.noarch texlive-changebar-9:svn46919-36.fc34.noarch texlive-changelayout-9:svn16094.1.0-36.fc34.noarch texlive-changelog-9:svn54864-36.fc34.noarch texlive-changepage-9:svn15878.1.0c-36.fc34.noarch texlive-changes-9:svn52838-36.fc34.noarch texlive-chappg-9:svn15878.2.1b-36.fc34.noarch texlive-chapterfolder-9:svn15878.2.0.1-36.fc34.noarch texlive-charter-9:svn15878.0-36.fc34.noarch texlive-chbibref-9:svn17120.1.0-36.fc34.noarch texlive-cheatsheet-9:svn45069-36.fc34.noarch texlive-checkend-9:svn51475-36.fc34.noarch texlive-chem-journal-9:svn15878.0-36.fc34.noarch texlive-chemarrow-9:svn17146.0.9-36.fc34.noarch texlive-chembst-9:svn15878.0.2.5-36.fc34.noarch texlive-chemcompounds-9:svn15878.0-36.fc34.noarch texlive-chemcono-9:svn17119.1.3-36.fc34.noarch texlive-chemexec-9:svn21632.1.0-36.fc34.noarch texlive-chemfig-9:svn54914-36.fc34.noarch texlive-chemformula-9:svn54158-36.fc34.noarch texlive-chemgreek-9:svn53437-36.fc34.noarch texlive-chemmacros-9:svn54191-36.fc34.noarch texlive-chemnum-9:svn52256-36.fc34.noarch texlive-chemplants-9:svn52863-36.fc34.noarch texlive-chemschemex-9:svn46723-36.fc34.noarch texlive-chemsec-9:svn46972-36.fc34.noarch texlive-chemstyle-9:svn31096.2.0m-36.fc34.noarch texlive-cherokee-9:svn21046.0-36.fc34.noarch texlive-chessfss-9:svn19440.1.2a-36.fc34.noarch texlive-chet-9:svn45081-36.fc34.noarch texlive-chextras-9:svn27118.1.01-36.fc34.noarch texlive-chicago-9:svn15878.0-36.fc34.noarch texlive-chicago-annote-9:svn15878.0-36.fc34.noarch texlive-childdoc-9:svn49543-36.fc34.noarch texlive-chivo-9:svn54512-36.fc34.noarch texlive-chkfloat-9:svn27473.0.1-36.fc34.noarch texlive-chletter-9:svn20060.2.0-36.fc34.noarch texlive-chngcntr-9:svn47577-36.fc34.noarch texlive-chronology-9:svn37934.1.1.1-36.fc34.noarch texlive-chs-physics-report-9:svn54512-36.fc34.noarch texlive-chscite-9:svn28552.2.9999-36.fc34.noarch texlive-cinzel-9:svn54512-36.fc34.noarch texlive-circ-9:svn15878.1.1-36.fc34.noarch texlive-circledsteps-9:svn53382-36.fc34.noarch texlive-circuit-macros-9:svn54994-36.fc34.noarch texlive-circuitikz-9:svn54873-36.fc34.noarch texlive-cite-9:svn36428.5.5-36.fc34.noarch texlive-citeall-9:svn45975-36.fc34.noarch texlive-citeref-9:svn47407-36.fc34.noarch texlive-cje-9:svn46721-36.fc34.noarch texlive-cjk-9:svn36951.4.8.4-36.fc34.noarch texlive-cjkpunct-9:svn41119-36.fc34.noarch texlive-clara-9:svn54512-36.fc34.noarch texlive-classics-9:svn53671-36.fc34.noarch texlive-classicthesis-9:svn48041-36.fc34.noarch texlive-classpack-9:svn33101.0.77-36.fc34.noarch texlive-cleanthesis-9:svn51472-36.fc34.noarch texlive-clearsans-9:svn54512-36.fc34.noarch texlive-clefval-9:svn16549.0-36.fc34.noarch texlive-cleveref-9:svn47525-36.fc34.noarch texlive-clipboard-9:svn47747-36.fc34.noarch texlive-clock-9:svn15878.0-36.fc34.noarch texlive-cloze-9:svn41531-36.fc34.noarch texlive-clrdblpg-9:svn47511-36.fc34.noarch texlive-clrscode-9:svn51136-36.fc34.noarch texlive-clrscode3e-9:svn51137-36.fc34.noarch texlive-clrstrip-9:svn53537-36.fc34.noarch texlive-cm-9:svn49028-36.fc34.noarch texlive-cm-lgc-9:svn28250.0.5-36.fc34.noarch texlive-cm-mf-extra-bold-9:svn54512-36.fc34.noarch texlive-cm-super-9:svn15878.0-36.fc34.noarch texlive-cm-unicode-9:svn19445.0.7.0-36.fc34.noarch texlive-cmap-9:svn42428-36.fc34.noarch texlive-cmbright-9:svn21107.8.1-36.fc34.noarch texlive-cmdstring-9:svn15878.1.1-36.fc34.noarch texlive-cmdtrack-9:svn28910-36.fc34.noarch texlive-cmexb-9:svn54074-36.fc34.noarch texlive-cmextra-9:svn42428-36.fc34.noarch texlive-cmll-9:svn17964.0-36.fc34.noarch texlive-cmpica-9:svn15878.0-36.fc34.noarch texlive-cmpj-9:svn51661-36.fc34.noarch texlive-cmsd-9:svn18787.0-36.fc34.noarch texlive-cmsrb-9:svn54706-36.fc34.noarch texlive-cmtiup-9:svn39728-36.fc34.noarch texlive-cnltx-9:svn52601-36.fc34.noarch texlive-cns-9:svn45677-36.fc34.noarch texlive-cntformats-9:svn34668.0.7-36.fc34.noarch texlive-cntperchap-9:svn37572.0.3-36.fc34.noarch texlive-cochineal-9:svn56418-36.fc34.noarch texlive-codeanatomy-9:svn51627-36.fc34.noarch texlive-codedoc-9:svn17630.0.3-36.fc34.noarch texlive-codepage-9:svn51502-36.fc34.noarch texlive-codesection-9:svn34481.0.1-36.fc34.noarch texlive-coelacanth-9:svn54736-36.fc34.noarch texlive-collcell-9:svn21539.0.5-36.fc34.noarch texlive-collectbox-9:svn26557.0.4b-36.fc34.noarch texlive-collection-basic-9:svn54186-36.fc34.noarch texlive-collection-bibtexextra-9:svn54875-36.fc34.noarch texlive-collection-fontsextra-9:svn54138-36.fc34.noarch texlive-collection-fontsrecommended-9:svn54074-36.fc34.noarch texlive-collection-latex-9:svn54074-36.fc34.noarch texlive-collection-latexextra-9:svn54851-36.fc34.noarch texlive-collection-latexrecommended-9:svn54074-36.fc34.noarch texlive-collection-mathscience-9:svn54754-36.fc34.noarch texlive-collection-pictures-9:svn54812-36.fc34.noarch texlive-collection-publishers-9:svn54407-36.fc34.noarch texlive-collref-9:svn46358-36.fc34.noarch texlive-colophon-9:svn47913-36.fc34.noarch texlive-colordoc-9:svn18270.0-36.fc34.noarch texlive-colorinfo-9:svn15878.0.3c-36.fc34.noarch texlive-coloring-9:svn41042-36.fc34.noarch texlive-colorprofiles-9:svn49086-36.fc34.noarch texlive-colorspace-9:svn50585-36.fc34.noarch texlive-colortab-9:svn22155.1.0-36.fc34.noarch texlive-colortbl-9:svn53545-36.fc34.noarch texlive-colorwav-9:svn15878.1.0-36.fc34.noarch texlive-colorweb-9:svn31490.1.3-36.fc34.noarch texlive-colourchange-9:svn21741.1.22-36.fc34.noarch texlive-combelow-9:svn18462.0.99f-36.fc34.noarch texlive-combine-9:svn19361.0.7a-36.fc34.noarch texlive-combinedgraphics-9:svn27198.0.2.2-36.fc34.noarch texlive-comfortaa-9:svn54512-36.fc34.noarch texlive-comicneue-9:svn54891-36.fc34.noarch texlive-comma-9:svn18259.1.2-36.fc34.noarch texlive-commado-9:svn38875-36.fc34.noarch texlive-commath-9:svn15878.0.3-36.fc34.noarch texlive-commedit-9:svn50116-36.fc34.noarch texlive-comment-9:svn41927-36.fc34.noarch texlive-compactbib-9:svn15878.0-36.fc34.noarch texlive-competences-9:svn47573-36.fc34.noarch texlive-complexity-9:svn45322-36.fc34.noarch texlive-computational-complexity-9:svn44847-36.fc34.noarch texlive-concepts-9:svn29020.0.0.5_r1-36.fc34.noarch texlive-concmath-9:svn17219.0-36.fc34.noarch texlive-concmath-fonts-9:svn17218.0-36.fc34.noarch texlive-concprog-9:svn18791.0-36.fc34.noarch texlive-concrete-9:svn15878.0-36.fc34.noarch texlive-confproc-9:svn29349.0.8-36.fc34.noarch texlive-constants-9:svn15878.1.0-36.fc34.noarch texlive-conteq-9:svn37868.0.1.1-36.fc34.noarch texlive-continue-9:svn49449-36.fc34.noarch texlive-contour-9:svn18950.2.14-36.fc34.noarch texlive-contracard-9:svn50217-36.fc34.noarch texlive-conv-xkv-9:svn43558-36.fc34.noarch texlive-cooking-9:svn15878.0.9b-36.fc34.noarch texlive-cooking-units-9:svn53403-36.fc34.noarch texlive-cookingsymbols-9:svn35929.1.1-36.fc34.noarch texlive-cool-9:svn15878.1.35-36.fc34.noarch texlive-coollist-9:svn15878.1.4-36.fc34.noarch texlive-coolstr-9:svn15878.2.2-36.fc34.noarch texlive-coolthms-9:svn29062.1.2-36.fc34.noarch texlive-cooltooltips-9:svn15878.1.0-36.fc34.noarch texlive-coordsys-9:svn15878.1.4-36.fc34.noarch texlive-copyedit-9:svn37928.1.6-36.fc34.noarch texlive-copyrightbox-9:svn24829.0.1-36.fc34.noarch texlive-cormorantgaramond-9:svn54696-36.fc34.noarch texlive-correctmathalign-9:svn44131-36.fc34.noarch texlive-coseoul-9:svn23862.1.1-36.fc34.noarch texlive-countriesofeurope-9:svn54512-36.fc34.noarch texlive-counttexruns-9:svn27576.1.00a-36.fc34.noarch texlive-courier-9:svn35058.0-36.fc34.noarch texlive-courier-scaled-9:svn24940.0-36.fc34.noarch texlive-courseoutline-9:svn15878.1.0-36.fc34.noarch texlive-coursepaper-9:svn15878.2.0-36.fc34.noarch texlive-coverpage-9:svn15878.1.01-36.fc34.noarch texlive-cprotect-9:svn21209.1.0e-36.fc34.noarch texlive-cquthesis-9:svn52355-36.fc34.noarch texlive-crbox-9:svn29803.0.1-36.fc34.noarch texlive-crimson-9:svn54512-36.fc34.noarch texlive-crimsonpro-9:svn54512-36.fc34.noarch texlive-crop-9:svn15878.1.5-36.fc34.noarch texlive-crossreference-9:svn15878.0-36.fc34.noarch texlive-crossreftools-9:svn54080-36.fc34.noarch texlive-crossrefware-9:20200327-25.fc34.noarch texlive-cryptocode-9:svn49131-36.fc34.noarch texlive-cryst-9:svn15878.0-36.fc34.noarch texlive-csquotes-9:svn53041-36.fc34.noarch texlive-css-colors-9:svn54512-36.fc34.noarch texlive-csvmerge-9:svn51857-36.fc34.noarch texlive-csvsimple-9:svn51010-36.fc34.noarch texlive-ctable-9:svn38672-36.fc34.noarch texlive-ctablestack-9:svn38514-36.fc34.noarch texlive-ctex-9:svn54841-36.fc34.noarch texlive-ctib-9:svn15878.0-36.fc34.noarch texlive-cuisine-9:svn34453.0.7-36.fc34.noarch texlive-cuprum-9:svn49909-36.fc34.noarch texlive-currency-9:svn48990-36.fc34.noarch texlive-currfile-9:svn40725-36.fc34.noarch texlive-currvita-9:svn15878.0-36.fc34.noarch texlive-curve-9:svn20745.1.16-36.fc34.noarch texlive-curve2e-9:svn54758-36.fc34.noarch texlive-curves-9:svn45255-36.fc34.noarch texlive-custom-bib-9:svn24729.4.33-36.fc34.noarch texlive-cutwin-9:svn29803.0.1-36.fc34.noarch texlive-cv-9:svn15878.0-36.fc34.noarch texlive-cv4tw-9:svn34577.0.2-36.fc34.noarch texlive-cweb-latex-9:svn28878.0-36.fc34.noarch texlive-cyber-9:svn46776-36.fc34.noarch texlive-cybercic-9:svn37659.2.1-36.fc34.noarch texlive-cyklop-9:svn18651.0.915-36.fc34.noarch texlive-dancers-9:svn13293.0-36.fc34.noarch texlive-dantelogo-9:svn38599-36.fc34.noarch texlive-dashbox-9:svn23425.1.14-36.fc34.noarch texlive-dashrule-9:svn29579.1.3-36.fc34.noarch texlive-dashundergaps-9:svn53756-36.fc34.noarch texlive-dataref-9:svn42883-36.fc34.noarch texlive-datatool-9:svn52663-36.fc34.noarch texlive-dateiliste-9:svn27974.0.6-36.fc34.noarch texlive-datenumber-9:svn18951.0.02-36.fc34.noarch texlive-datetime-9:svn36650.2.60-36.fc34.noarch texlive-datetime2-9:svn54080-36.fc34.noarch texlive-datetime2-bahasai-9:svn46287-36.fc34.noarch texlive-datetime2-basque-9:svn47064-36.fc34.noarch texlive-datetime2-breton-9:svn52647-36.fc34.noarch texlive-datetime2-bulgarian-9:svn47031-36.fc34.noarch texlive-datetime2-catalan-9:svn47032-36.fc34.noarch texlive-datetime2-croatian-9:svn36682.1.0-36.fc34.noarch texlive-datetime2-czech-9:svn47033-36.fc34.noarch texlive-datetime2-danish-9:svn47034-36.fc34.noarch texlive-datetime2-dutch-9:svn47355-36.fc34.noarch texlive-datetime2-en-fulltext-9:svn36705.1.0-36.fc34.noarch texlive-datetime2-english-9:svn52479-36.fc34.noarch texlive-datetime2-esperanto-9:svn47356-36.fc34.noarch texlive-datetime2-estonian-9:svn47565-36.fc34.noarch texlive-datetime2-finnish-9:svn47047-36.fc34.noarch texlive-datetime2-french-9:svn43742-36.fc34.noarch texlive-datetime2-galician-9:svn47631-36.fc34.noarch texlive-datetime2-german-9:svn53125-36.fc34.noarch texlive-datetime2-greek-9:svn47533-36.fc34.noarch texlive-datetime2-hebrew-9:svn47534-36.fc34.noarch texlive-datetime2-icelandic-9:svn47501-36.fc34.noarch texlive-datetime2-irish-9:svn47632-36.fc34.noarch texlive-datetime2-it-fulltext-9:svn54779-36.fc34.noarch texlive-datetime2-italian-9:svn37146.1.3-36.fc34.noarch texlive-datetime2-latin-9:svn47748-36.fc34.noarch texlive-datetime2-lsorbian-9:svn47749-36.fc34.noarch texlive-datetime2-magyar-9:svn48266-36.fc34.noarch texlive-datetime2-norsk-9:svn48267-36.fc34.noarch texlive-datetime2-polish-9:svn48456-36.fc34.noarch texlive-datetime2-portuges-9:svn48457-36.fc34.noarch texlive-datetime2-romanian-9:svn43743-36.fc34.noarch texlive-datetime2-russian-9:svn49345-36.fc34.noarch texlive-datetime2-samin-9:svn49346-36.fc34.noarch texlive-datetime2-scottish-9:svn52101-36.fc34.noarch texlive-datetime2-serbian-9:svn52893-36.fc34.noarch texlive-datetime2-slovak-9:svn52281-36.fc34.noarch texlive-datetime2-slovene-9:svn52282-36.fc34.noarch texlive-datetime2-spanish-9:svn45785-36.fc34.noarch texlive-datetime2-swedish-9:svn36700.1.0-36.fc34.noarch texlive-datetime2-turkish-9:svn52331-36.fc34.noarch texlive-datetime2-ukrainian-9:svn47552-36.fc34.noarch texlive-datetime2-usorbian-9:svn52375-36.fc34.noarch texlive-datetime2-welsh-9:svn52553-36.fc34.noarch texlive-dblfloatfix-9:svn28983.1.0a-36.fc34.noarch texlive-dccpaper-9:svn54512-36.fc34.noarch texlive-dcpic-9:svn30206.5.0.0-36.fc34.noarch texlive-decimal-9:svn23374.0-36.fc34.noarch texlive-decorule-9:svn23487.0.6-36.fc34.noarch texlive-dehyph-9:svn48599-36.fc34.noarch texlive-dejavu-9:svn31771.2.34-36.fc34.noarch texlive-dejavu-otf-9:svn45991-36.fc34.noarch texlive-delim-9:svn23974.1.0-36.fc34.noarch texlive-delimseasy-9:svn39589-36.fc34.noarch texlive-delimset-9:svn49544-36.fc34.noarch texlive-delimtxt-9:svn16549.0-36.fc34.noarch texlive-denisbdoc-9:svn54584-36.fc34.noarch texlive-derivative-9:svn53654-36.fc34.noarch texlive-dhua-9:svn24035.0.11-36.fc34.noarch texlive-diabetes-logbook-9:svn54810-36.fc34.noarch texlive-diagbox-9:svn54080-36.fc34.noarch texlive-diagmac2-9:svn15878.2.1-36.fc34.noarch texlive-diagnose-9:svn19387.0.2-36.fc34.noarch texlive-dialogl-9:svn28946.0-36.fc34.noarch texlive-dice-9:svn28501.0-36.fc34.noarch texlive-dichokey-9:svn17192.0-36.fc34.noarch texlive-dictsym-9:svn20031.0-36.fc34.noarch texlive-diffcoeff-9:svn53244-36.fc34.noarch texlive-digiconfigs-9:svn15878.0.5-36.fc34.noarch texlive-dijkstra-9:svn45256-36.fc34.noarch texlive-din1505-9:svn19441.0-36.fc34.noarch texlive-dinbrief-9:svn15878.0-36.fc34.noarch texlive-dingbat-9:svn27918.1.0-36.fc34.noarch texlive-directory-9:svn15878.1.20-36.fc34.noarch texlive-dirtree-9:svn42428-36.fc34.noarch texlive-dirtytalk-9:svn20520.1.0-36.fc34.noarch texlive-ditaa-9:svn48932-36.fc34.noarch texlive-dithesis-9:svn34295.0.2-36.fc34.noarch texlive-dk-bib-9:svn15878.0.6-36.fc34.noarch texlive-dlfltxb-9:svn17337.0-36.fc34.noarch texlive-dnaseq-9:svn17194.0.01-36.fc34.noarch texlive-doc-pictex-doc-9:svn24927.0-36.fc34.noarch texlive-doclicense-9:svn54758-36.fc34.noarch texlive-docmfp-9:svn15878.1.2d-36.fc34.noarch texlive-docmute-9:svn25741.1.4-36.fc34.noarch texlive-doctools-9:svn34474.0.1-36.fc34.noarch texlive-documentation-9:svn34521.0.1-36.fc34.noarch texlive-doi-9:svn48634-36.fc34.noarch texlive-doipubmed-9:svn15878.1.01-36.fc34.noarch texlive-domitian-9:svn55286-36.fc34.noarch texlive-dot2texi-9:svn26237.3.0-36.fc34.noarch texlive-dotarrow-9:svn15878.0.01a-36.fc34.noarch texlive-dotlessi-9:svn51476-36.fc34.noarch texlive-dotseqn-9:svn17195.1.1-36.fc34.noarch texlive-dottex-9:svn15878.0.6-36.fc34.noarch texlive-doublestroke-9:svn15878.1.111-36.fc34.noarch texlive-dowith-9:svn38860-36.fc34.noarch texlive-download-9:svn52257-36.fc34.noarch texlive-dox-9:svn46011-36.fc34.noarch texlive-dozenal-9:svn47680-36.fc34.noarch texlive-dpcircling-9:svn54994-36.fc34.noarch texlive-dpfloat-9:svn17196.0-36.fc34.noarch texlive-dprogress-9:svn15878.0.1-36.fc34.noarch texlive-drac-9:svn15878.1-36.fc34.noarch texlive-draftcopy-9:svn15878.2.16-36.fc34.noarch texlive-draftfigure-9:svn44854-36.fc34.noarch texlive-draftwatermark-9:svn54317-36.fc34.noarch texlive-dratex-9:svn15878.0-36.fc34.noarch texlive-drawmatrix-9:svn44471-36.fc34.noarch texlive-drawstack-9:svn28582.0-36.fc34.noarch texlive-drm-9:svn38157.4.4-36.fc34.noarch texlive-droid-9:svn54512-36.fc34.noarch texlive-drs-9:svn19232.1.1b-36.fc34.noarch texlive-dsserif-9:svn54512-36.fc34.noarch texlive-dtk-9:svn54080-36.fc34.noarch texlive-dtxdescribe-9:svn51652-36.fc34.noarch texlive-dtxgallery-doc-9:svn49504-36.fc34.noarch texlive-ducksay-9:svn53631-36.fc34.noarch texlive-duckuments-9:svn52271-36.fc34.noarch texlive-duerer-9:svn20741.0-36.fc34.noarch texlive-duerer-latex-9:svn15878.1.1-36.fc34.noarch texlive-duotenzor-9:svn18728.1.00-36.fc34.noarch texlive-dutchcal-9:svn54080-36.fc34.noarch texlive-dvdcoll-9:svn15878.v1.1a-36.fc34.noarch texlive-dvipdfmx-9:20200327-25.fc34.x86_64 texlive-dvips-9:20200327-25.fc34.x86_64 texlive-dvisvgm-9:20200327-25.fc34.x86_64 texlive-dynamicnumber-9:svn38726-36.fc34.noarch texlive-dynblocks-9:svn35193.0.2b-36.fc34.noarch texlive-dynkin-diagrams-9:svn54489-36.fc34.noarch texlive-dyntree-9:svn15878.1.0-36.fc34.noarch texlive-ean-9:svn20851.0-36.fc34.noarch texlive-ean13isbn-9:svn15878.0-36.fc34.noarch texlive-easy-9:svn19440.0.99-36.fc34.noarch texlive-easy-todo-9:svn32677.0-36.fc34.noarch texlive-easyfig-9:svn47193-36.fc34.noarch texlive-easyformat-9:svn44543-36.fc34.noarch texlive-easylist-9:svn32661.1.3-36.fc34.noarch texlive-easyreview-9:svn38352.1.0-36.fc34.noarch texlive-ebezier-9:svn15878.4-36.fc34.noarch texlive-ebgaramond-9:svn54721-36.fc34.noarch texlive-ebgaramond-maths-9:svn52168-36.fc34.noarch texlive-ebook-9:svn29466.0-36.fc34.noarch texlive-ebproof-9:svn44392-36.fc34.noarch texlive-ebsthesis-9:svn15878.1.0-36.fc34.noarch texlive-ec-9:svn25033.1.0-36.fc34.noarch texlive-ecc-9:svn15878.0-36.fc34.noarch texlive-ecclesiastic-9:svn38172.0.3-36.fc34.noarch texlive-ecgdraw-9:svn41617-36.fc34.noarch texlive-eco-9:svn29349.1.3-36.fc34.noarch texlive-ecobiblatex-9:svn39233-36.fc34.noarch texlive-econ-bst-9:svn54191-36.fc34.noarch texlive-econometrics-9:svn39396-36.fc34.noarch texlive-economic-9:svn32639.0-36.fc34.noarch texlive-ecothesis-9:svn48007-36.fc34.noarch texlive-ecv-9:svn24928.0.3-36.fc34.noarch texlive-ed-9:svn25231.1.8-36.fc34.noarch texlive-edmargin-9:svn27599.1.2-36.fc34.noarch texlive-eemeir-9:svn15878.1.1b-36.fc34.noarch texlive-eepic-9:svn15878.1.1e-36.fc34.noarch texlive-efbox-9:svn33236.1.0-36.fc34.noarch texlive-egplot-9:svn20617.1.02a-36.fc34.noarch texlive-ehhline-9:svn54676-36.fc34.noarch texlive-eiad-9:svn15878.0-36.fc34.noarch texlive-eiad-ltx-9:svn15878.1.0-36.fc34.noarch texlive-ejpecp-9:svn50761-36.fc34.noarch texlive-ekaia-9:svn49594-36.fc34.noarch texlive-elbioimp-9:svn21758.1.2-36.fc34.noarch texlive-electrum-9:svn19705.1.005_b-36.fc34.noarch texlive-elegantbook-9:svn54758-36.fc34.noarch texlive-elegantnote-9:svn54758-36.fc34.noarch texlive-elegantpaper-9:svn54758-36.fc34.noarch texlive-elements-9:svn52398-36.fc34.noarch texlive-ellipse-9:svn39025-36.fc34.noarch texlive-ellipsis-9:svn15878.0-36.fc34.noarch texlive-elmath-9:svn15878.v1.2-36.fc34.noarch texlive-elocalloc-9:svn42712-36.fc34.noarch texlive-elpres-9:svn46429-36.fc34.noarch texlive-els-cas-templates-9:svn54317-36.fc34.noarch texlive-elsarticle-9:svn50786-36.fc34.noarch texlive-elteikthesis-9:svn53926-36.fc34.noarch texlive-eltex-9:svn15878.2.0-36.fc34.noarch texlive-elvish-9:svn15878.0-36.fc34.noarch texlive-elzcards-9:svn51894-36.fc34.noarch texlive-emarks-9:svn24504.1.0-36.fc34.noarch texlive-embedall-9:svn51177-36.fc34.noarch texlive-embedfile-9:svn54865-36.fc34.noarch texlive-embrac-9:svn54512-36.fc34.noarch texlive-emf-9:svn42023-36.fc34.noarch texlive-emisa-9:svn46734-36.fc34.noarch texlive-emptypage-9:svn18064.1.2-36.fc34.noarch texlive-emulateapj-9:svn28469.0-36.fc34.noarch texlive-enctex-9:svn34957.0-36.fc34.noarch texlive-endfloat-9:svn51003-36.fc34.noarch texlive-endheads-9:svn43750-36.fc34.noarch texlive-endiagram-9:svn34486.0.1d-36.fc34.noarch texlive-endnotes-9:svn53319-36.fc34.noarch texlive-endnotes-hy-9:svn54758-36.fc34.noarch texlive-endofproofwd-9:svn45116-36.fc34.noarch texlive-engpron-9:svn16558.2-36.fc34.noarch texlive-engrec-9:svn15878.1.1-36.fc34.noarch texlive-engtlc-9:svn28571.3.2-36.fc34.noarch texlive-enotez-9:svn54512-36.fc34.noarch texlive-enumitem-9:svn51423-36.fc34.noarch texlive-enumitem-zref-9:svn21472.1.8-36.fc34.noarch texlive-envbig-9:svn15878.0-36.fc34.noarch texlive-environ-9:svn54080-36.fc34.noarch texlive-envlab-9:svn15878.1.2-36.fc34.noarch texlive-epigrafica-9:svn17210.1.01-36.fc34.noarch texlive-epigraph-9:svn54857-36.fc34.noarch texlive-epigraph-keys-9:svn54851-36.fc34.noarch texlive-epiolmec-9:svn15878.0-36.fc34.noarch texlive-epsdice-9:svn15878.2.1-36.fc34.noarch texlive-epsf-9:svn21461.2.7.4-36.fc34.noarch texlive-epspdf-9:20200327-25.fc34.noarch texlive-epspdfconversion-9:svn18703.0.61-36.fc34.noarch texlive-epstopdf-pkg-9:svn53546-36.fc34.noarch texlive-eqell-9:svn22931.0-36.fc34.noarch texlive-eqexpl-9:svn54080-36.fc34.noarch texlive-eqlist-9:svn32257.2.1-36.fc34.noarch texlive-eqnalign-9:svn43278-36.fc34.noarch texlive-eqname-9:svn20678.0-36.fc34.noarch texlive-eqnarray-9:svn20641.1.3-36.fc34.noarch texlive-eqnnumwarn-9:svn45511-36.fc34.noarch texlive-eqparbox-9:svn45215-36.fc34.noarch texlive-erdc-9:svn15878.1.1-36.fc34.noarch texlive-erewhon-9:svn55705-36.fc34.noarch texlive-erewhon-math-9:svn54769-36.fc34.noarch texlive-errata-9:svn42428-36.fc34.noarch texlive-erw-l3-9:svn53694-36.fc34.noarch texlive-esami-9:svn47639-36.fc34.noarch texlive-esdiff-9:svn21385.1.2-36.fc34.noarch texlive-esindex-9:svn52342-36.fc34.noarch texlive-esint-9:svn52240-36.fc34.noarch texlive-esint-type1-9:svn15878.0-36.fc34.noarch texlive-esk-9:svn18115.1.0-36.fc34.noarch texlive-eso-pic-9:svn47694-36.fc34.noarch texlive-esrelation-9:svn37236.0-36.fc34.noarch texlive-esstix-9:svn22426.1.0-36.fc34.noarch texlive-estcpmm-9:svn17335.0.4-36.fc34.noarch texlive-esvect-9:svn32098.1.3-36.fc34.noarch texlive-etaremune-9:svn15878.v1.2-36.fc34.noarch texlive-etbb-9:svn56390-36.fc34.noarch texlive-etex-9:svn37057.0-36.fc34.noarch texlive-etex-pkg-9:svn41784-36.fc34.noarch texlive-etexcmds-9:svn53171-36.fc34.noarch texlive-etextools-9:svn20694.3.1415926-36.fc34.noarch texlive-etoc-9:svn52842-36.fc34.noarch texlive-etoolbox-9:svn52153-36.fc34.noarch texlive-etsvthor-9:svn48186-36.fc34.noarch texlive-euclideangeometry-9:svn54897-36.fc34.noarch texlive-euenc-9:svn19795.0.1h-36.fc34.noarch texlive-euflag-9:svn49970-36.fc34.noarch texlive-eukdate-9:svn15878.1.04-36.fc34.noarch texlive-euler-9:svn42428-36.fc34.noarch texlive-eulerpx-9:svn43735-36.fc34.noarch texlive-eulervm-9:svn15878.4.0-36.fc34.noarch texlive-euro-9:svn22191.1.1-36.fc34.noarch texlive-euro-ce-9:svn25714-36.fc34.noarch texlive-europasscv-9:svn49703-36.fc34.noarch texlive-europecv-9:svn54080-36.fc34.noarch texlive-eurosym-9:svn17265.1.4_subrfix-36.fc34.noarch texlive-euxm-9:svn54074-36.fc34.noarch texlive-everyhook-9:svn35675.1.2-36.fc34.noarch texlive-everypage-9:svn15878.1.1-36.fc34.noarch texlive-exam-9:svn46084-36.fc34.noarch texlive-exam-n-9:svn42755-36.fc34.noarch texlive-exam-randomizechoices-9:svn49662-36.fc34.noarch texlive-examdesign-9:svn15878.1.02-36.fc34.noarch texlive-example-9:svn33398.0-36.fc34.noarch texlive-examplep-9:svn16916.0.04-36.fc34.noarch texlive-exceltex-9:20200327-25.fc34.noarch texlive-excludeonly-9:svn17262.1.0-36.fc34.noarch texlive-exercise-9:svn35417.1.6-36.fc34.noarch texlive-exercisebank-9:svn50448-36.fc34.noarch texlive-exercisepoints-9:svn49590-36.fc34.noarch texlive-exercises-9:svn42428-36.fc34.noarch texlive-exframe-9:svn53911-36.fc34.noarch texlive-exp-testopt-9:svn15878.0.3-36.fc34.noarch texlive-expdlist-9:svn15878.2.4-36.fc34.noarch texlive-export-9:svn27206.1.8-36.fc34.noarch texlive-exsheets-9:svn52227-36.fc34.noarch texlive-exsol-9:svn48977-36.fc34.noarch texlive-extarrows-9:svn54400-36.fc34.noarch texlive-extpfeil-9:svn16243.0.4-36.fc34.noarch texlive-extract-9:svn52117-36.fc34.noarch texlive-extsizes-9:svn17263.1.4a-36.fc34.noarch texlive-facsimile-9:svn21328.1.0-36.fc34.noarch texlive-factura-9:svn54803-36.fc34.noarch texlive-facture-belge-simple-sans-tva-9:svn49004-36.fc34.noarch texlive-faktor-9:svn15878.0.1b-36.fc34.noarch texlive-fancybox-9:svn18304.1.4-36.fc34.noarch texlive-fancyhandout-9:svn46411-36.fc34.noarch texlive-fancyhdr-9:svn49886-36.fc34.noarch texlive-fancylabel-9:svn46736-36.fc34.noarch texlive-fancynum-9:svn15878.0.92-36.fc34.noarch texlive-fancypar-9:svn49801-36.fc34.noarch texlive-fancyref-9:svn15878.0.9c-36.fc34.noarch texlive-fancyslides-9:svn36263.1.0-36.fc34.noarch texlive-fancytabs-9:svn41549-36.fc34.noarch texlive-fancytooltips-9:svn27129.1.8-36.fc34.noarch texlive-fancyvrb-9:svn53392-36.fc34.noarch texlive-fandol-9:svn37889.0.3-36.fc34.noarch texlive-fascicules-9:svn54080-36.fc34.noarch texlive-fast-diagram-9:svn29264.1.1-36.fc34.noarch texlive-fbb-9:svn55728-36.fc34.noarch texlive-fbithesis-9:svn21340.1.2m-36.fc34.noarch texlive-fbox-9:svn53320-36.fc34.noarch texlive-fbs-9:svn15878.0-36.fc34.noarch texlive-fcavtex-9:svn38074.1.1-36.fc34.noarch texlive-fcltxdoc-9:svn24500.1.0-36.fc34.noarch texlive-fcolumn-9:svn50937-36.fc34.noarch texlive-fdsymbol-9:svn26722.0.8-36.fc34.noarch texlive-fei-9:svn54178-36.fc34.noarch texlive-fetamont-9:svn43812-36.fc34.noarch texlive-fetchcls-9:svn45245-36.fc34.noarch texlive-fewerfloatpages-9:svn53779-36.fc34.noarch texlive-feyn-9:svn45679-36.fc34.noarch texlive-feynmf-9:svn17259.1.08-36.fc34.noarch texlive-ffslides-9:svn38895-36.fc34.noarch texlive-fge-9:svn37628.1.25-36.fc34.noarch texlive-fgruler-9:svn42966-36.fc34.noarch texlive-fibeamer-9:svn53146-36.fc34.noarch texlive-fifo-stack-9:svn33288.1.0-36.fc34.noarch texlive-fig4latex-9:20200327-25.fc34.noarch texlive-figbib-9:svn19388.0-36.fc34.noarch texlive-figsize-9:svn18784.0.1-36.fc34.noarch texlive-filecontents-9:svn52142-36.fc34.noarch texlive-filecontentsdef-9:svn52208-36.fc34.noarch texlive-filedate-9:svn29529.0-36.fc34.noarch texlive-filehook-9:svn53655-36.fc34.noarch texlive-fileinfo-9:svn28421.0.81a-36.fc34.noarch texlive-filemod-9:svn24042.1.2-36.fc34.noarch texlive-fink-9:svn24329.2.2.1-36.fc34.noarch texlive-finstrut-9:svn21719.0.5-36.fc34.noarch texlive-fira-9:svn54512-36.fc34.noarch texlive-firamath-9:svn53388-36.fc34.noarch texlive-firamath-otf-9:svn50732-36.fc34.noarch texlive-fitbox-9:svn50088-36.fc34.noarch texlive-fithesis-9:svn54483-36.fc34.noarch texlive-fix2col-9:svn38770-36.fc34.noarch texlive-fixcmex-9:svn51825-36.fc34.noarch texlive-fixfoot-9:svn17131.0.3a-36.fc34.noarch texlive-fixltxhyph-9:svn25832.0.4-36.fc34.noarch texlive-fixme-9:svn49591-36.fc34.noarch texlive-fixmetodonotes-9:svn30168.0.2.2-36.fc34.noarch texlive-fjodor-9:svn53207-36.fc34.noarch texlive-flabels-9:svn17272.1.0-36.fc34.noarch texlive-flacards-9:svn19440.0.1.1b-36.fc34.noarch texlive-flagderiv-9:svn15878.0.10-36.fc34.noarch texlive-flashcards-9:svn19667.1.0.1-36.fc34.noarch texlive-flashmovie-9:svn25768.0.4-36.fc34.noarch texlive-flipbook-9:svn25584.0.2-36.fc34.noarch texlive-flippdf-9:svn15878.1.0-36.fc34.noarch texlive-float-9:svn15878.1.3d-36.fc34.noarch texlive-floatflt-9:svn25540.1.31-36.fc34.noarch texlive-floatrow-9:svn15878.0.3b-36.fc34.noarch texlive-flowchart-9:svn36572.3.3-36.fc34.noarch texlive-flowfram-9:svn35291.1.17-36.fc34.noarch texlive-fltpoint-9:svn15878.1.1b-36.fc34.noarch texlive-fmp-9:svn15878.0-36.fc34.noarch texlive-fmtcount-9:svn53912-36.fc34.noarch texlive-fn2end-9:svn15878.1.1-36.fc34.noarch texlive-fnbreak-9:svn25003.1.30-36.fc34.noarch texlive-fncychap-9:svn20710.v1.34-36.fc34.noarch texlive-fncylab-9:svn52090-36.fc34.noarch texlive-fnpara-9:svn25607.0-36.fc34.noarch texlive-fnpct-9:svn54512-36.fc34.noarch texlive-fnspe-9:svn45360-36.fc34.noarch texlive-fnumprint-9:svn29173.1.1a-36.fc34.noarch texlive-foekfont-9:svn15878.0-36.fc34.noarch texlive-foilhtml-9:svn21855.1.2-36.fc34.noarch texlive-fonetika-9:svn21326.0-36.fc34.noarch texlive-fontawesome-9:svn48145-36.fc34.noarch texlive-fontawesome5-9:svn54517-36.fc34.noarch texlive-fontaxes-9:svn33276.1.0d-36.fc34.noarch texlive-fontmfizz-9:svn43546-36.fc34.noarch texlive-fonts-churchslavonic-9:svn43121-36.fc34.noarch texlive-fonts-tlwg-9:svn54512-36.fc34.noarch texlive-fontsetup-9:svn53195-36.fc34.noarch texlive-fontsize-9:svn53874-36.fc34.noarch texlive-fontspec-9:svn53860-36.fc34.noarch texlive-fonttable-9:svn44799-36.fc34.noarch texlive-footbib-9:svn17115.2.0.7-36.fc34.noarch texlive-footmisc-9:svn23330.5.5b-36.fc34.noarch texlive-footmisx-9:svn42621-36.fc34.noarch texlive-footnotebackref-9:svn27034.1.0-36.fc34.noarch texlive-footnotehyper-9:svn52676-36.fc34.noarch texlive-footnoterange-9:svn52910-36.fc34.noarch texlive-footnpag-9:svn15878.0-36.fc34.noarch texlive-forarray-9:svn15878.1.01-36.fc34.noarch texlive-foreign-9:svn27819.2.7-36.fc34.noarch texlive-forest-9:svn44797-36.fc34.noarch texlive-forloop-9:svn15878.3.0-36.fc34.noarch texlive-formlett-9:svn21480.2.3-36.fc34.noarch texlive-forms16be-9:svn51305-36.fc34.noarch texlive-formular-9:svn15878.1.0a-36.fc34.noarch texlive-forum-9:svn56025-36.fc34.noarch texlive-fouridx-9:svn32214.2.00-36.fc34.noarch texlive-fourier-9:svn54090-36.fc34.noarch texlive-fouriernc-9:svn29646.0-36.fc34.noarch texlive-fp-9:svn49719-36.fc34.noarch texlive-fpl-9:svn54512-36.fc34.noarch texlive-fragments-9:svn15878.0-36.fc34.noarch texlive-frame-9:svn18312.1.0-36.fc34.noarch texlive-framed-9:svn26789.0.96-36.fc34.noarch texlive-francais-bst-9:svn38922-36.fc34.noarch texlive-frankenstein-9:svn15878.0-36.fc34.noarch texlive-frcursive-9:svn24559.0-36.fc34.noarch texlive-frederika2016-9:svn42157-36.fc34.noarch texlive-frege-9:svn27417.1.3-36.fc34.noarch texlive-ftc-notebook-9:svn50043-36.fc34.noarch texlive-ftcap-9:svn17275.1.4-36.fc34.noarch texlive-ftnxtra-9:svn29652.0.1-36.fc34.noarch texlive-fullblck-9:svn25434.1.03-36.fc34.noarch texlive-fullminipage-9:svn34545.0.1.1-36.fc34.noarch texlive-fullwidth-9:svn24684.0.1-36.fc34.noarch texlive-functan-9:svn15878.0-36.fc34.noarch texlive-fundus-calligra-9:svn26018.1.2-36.fc34.noarch texlive-fundus-cyr-9:svn26019.0-36.fc34.noarch texlive-fundus-sueterlin-9:svn26030.1.2-36.fc34.noarch texlive-fvextra-9:svn49947-36.fc34.noarch texlive-fwlw-9:svn29803.0-36.fc34.noarch texlive-g-brief-9:svn50415-36.fc34.noarch texlive-gaceta-9:svn15878.1.06-36.fc34.noarch texlive-galois-9:svn15878.1.5-36.fc34.noarch texlive-gammas-9:svn50012-36.fc34.noarch texlive-garamond-libre-9:svn55166-36.fc34.noarch texlive-garamond-math-9:svn52820-36.fc34.noarch texlive-garuda-c90-9:svn37677.0-36.fc34.noarch texlive-gastex-9:svn54080-36.fc34.noarch texlive-gatech-thesis-9:svn19886.1.8-36.fc34.noarch texlive-gatherenum-9:svn52209-36.fc34.noarch texlive-gauss-9:svn32934.0-36.fc34.noarch texlive-gb4e-9:svn19216.0-36.fc34.noarch texlive-gbt7714-9:svn54758-36.fc34.noarch texlive-gcard-9:svn15878.0-36.fc34.noarch texlive-gcite-9:svn15878.1.0.1-36.fc34.noarch texlive-gender-9:svn36464.1.0-36.fc34.noarch texlive-gene-logic-9:svn15878.1.4-36.fc34.noarch texlive-genealogy-9:svn25112.0-36.fc34.noarch texlive-genealogytree-9:svn50872-36.fc34.noarch texlive-genmpage-9:svn15878.0.3.1-36.fc34.noarch texlive-gentium-tug-9:svn54512-36.fc34.noarch texlive-geometry-9:svn54080-36.fc34.noarch texlive-geschichtsfrkl-9:svn42121-36.fc34.noarch texlive-getfiledate-9:svn16189.1.2-36.fc34.noarch texlive-getitems-9:svn39365-36.fc34.noarch texlive-getmap-9:20200327-25.fc34.noarch texlive-gettitlestring-9:svn53170-36.fc34.noarch texlive-gfsartemisia-9:svn19469.1.0-36.fc34.noarch texlive-gfsbodoni-9:svn28484.1.01-36.fc34.noarch texlive-gfscomplutum-9:svn19469.1.0-36.fc34.noarch texlive-gfsdidot-9:svn54080-36.fc34.noarch texlive-gfsdidotclassic-9:svn52778-36.fc34.noarch texlive-gfsneohellenic-9:svn54080-36.fc34.noarch texlive-gfsneohellenicmath-9:svn52570-36.fc34.noarch texlive-gfssolomos-9:svn18651.1.0-36.fc34.noarch texlive-ghsystem-9:svn53822-36.fc34.noarch texlive-gillcm-9:svn19878.1.1-36.fc34.noarch texlive-gillius-9:svn32068.0-36.fc34.noarch texlive-gincltex-9:svn23835.0.3-36.fc34.noarch texlive-gindex-9:svn52311-36.fc34.noarch texlive-ginpenc-9:svn24980.1.0-36.fc34.noarch texlive-gitfile-info-9:svn51928-36.fc34.noarch texlive-gitinfo-9:svn34049.1.0-36.fc34.noarch texlive-gitinfo2-9:svn38913-36.fc34.noarch texlive-gitlog-9:svn38932-36.fc34.noarch texlive-gitver-9:svn49980-36.fc34.noarch texlive-globalvals-9:svn49962-36.fc34.noarch texlive-glosmathtools-9:svn54558-36.fc34.noarch texlive-gloss-9:svn15878.1.5.2-36.fc34.noarch texlive-glossaries-9:20200327-25.fc34.noarch texlive-glossaries-danish-9:svn35665.1.0-36.fc34.noarch texlive-glossaries-dutch-9:svn35685.1.1-36.fc34.noarch texlive-glossaries-english-9:svn35665.1.0-36.fc34.noarch texlive-glossaries-estonian-9:svn49928-36.fc34.noarch texlive-glossaries-extra-9:svn54688-36.fc34.noarch texlive-glossaries-finnish-9:svn54080-36.fc34.noarch texlive-glossaries-french-9:svn42873-36.fc34.noarch texlive-glossaries-german-9:svn35665.1.0-36.fc34.noarch texlive-glossaries-irish-9:svn35665.1.0-36.fc34.noarch texlive-glossaries-italian-9:svn35665.1.0-36.fc34.noarch texlive-glossaries-magyar-9:svn35665.1.0-36.fc34.noarch texlive-glossaries-polish-9:svn35665.1.0-36.fc34.noarch texlive-glossaries-portuges-9:svn36064.1.1-36.fc34.noarch texlive-glossaries-serbian-9:svn35665.1.0-36.fc34.noarch texlive-glossaries-slovene-9:svn51211-36.fc34.noarch texlive-glossaries-spanish-9:svn35665.1.0-36.fc34.noarch texlive-glyphlist-9:20200327-25.fc34.noarch texlive-gmdoc-9:svn21292.0.993-36.fc34.noarch texlive-gmdoc-enhance-9:svn15878.v0.2-36.fc34.noarch texlive-gmiflink-9:svn15878.v0.97-36.fc34.noarch texlive-gmp-9:svn21691.1.0-36.fc34.noarch texlive-gmutils-9:svn24287.v0.996-36.fc34.noarch texlive-gmverb-9:svn24288.v0.98-36.fc34.noarch texlive-gnu-freefont-9:svn29349.0-36.fc34.noarch texlive-gnuplottex-9:svn54758-36.fc34.noarch texlive-gofonts-9:svn54512-36.fc34.noarch texlive-gothic-9:svn49869-36.fc34.noarch texlive-gotoh-9:svn44764-36.fc34.noarch texlive-grabbox-9:svn51052-36.fc34.noarch texlive-gradientframe-9:svn21387.0.2-36.fc34.noarch texlive-gradstudentresume-9:svn38832-36.fc34.noarch texlive-grafcet-9:svn22509.1.3.5-36.fc34.noarch texlive-grant-9:svn41905-36.fc34.noarch texlive-graph35-9:svn47522-36.fc34.noarch texlive-graphbox-9:svn46360-36.fc34.noarch texlive-graphics-9:svn53640-36.fc34.noarch texlive-graphics-cfg-9:svn41448-36.fc34.noarch texlive-graphics-def-9:svn54522-36.fc34.noarch texlive-graphicx-psmin-9:svn15878.1.1-36.fc34.noarch texlive-graphicxbox-9:svn32630.1.0-36.fc34.noarch texlive-graphicxpsd-9:svn46477-36.fc34.noarch texlive-graphviz-9:svn31517.0.94-36.fc34.noarch texlive-grayhints-9:svn49052-36.fc34.noarch texlive-greek-fontenc-9:svn53955-36.fc34.noarch texlive-greek-inputenc-9:svn51612-36.fc34.noarch texlive-greenpoint-9:svn15878.0-36.fc34.noarch texlive-grfext-9:svn53024-36.fc34.noarch texlive-grffile-9:svn52756-36.fc34.noarch texlive-grfpaste-9:svn17354.0.2-36.fc34.noarch texlive-grid-9:svn15878.1.0-36.fc34.noarch texlive-grid-system-9:svn32981.0.3.0-36.fc34.noarch texlive-gridset-9:svn53762-36.fc34.noarch texlive-gridslides-9:svn54512-36.fc34.noarch texlive-grotesq-9:svn35859.0-36.fc34.noarch texlive-grundgesetze-9:svn34439.1.02-36.fc34.noarch texlive-gsemthesis-9:svn36244.0.9.4-36.fc34.noarch texlive-gtl-9:svn49527-36.fc34.noarch texlive-gtrlib-largetrees-9:svn49062-36.fc34.noarch texlive-gu-9:svn15878.0-36.fc34.noarch texlive-guitlogo-9:svn51582-36.fc34.noarch texlive-gzt-9:svn54390-36.fc34.noarch texlive-h2020proposal-9:svn38428-36.fc34.noarch texlive-hackthefootline-9:svn46494-36.fc34.noarch texlive-hacm-9:svn27671.0.1-36.fc34.noarch texlive-hagenberg-thesis-9:svn51150-36.fc34.noarch texlive-halloweenmath-9:svn52602-36.fc34.noarch texlive-handin-9:svn48255-36.fc34.noarch texlive-handout-9:svn43962-36.fc34.noarch texlive-hands-9:svn13293.0-36.fc34.noarch texlive-hang-9:svn43280-36.fc34.noarch texlive-hanging-9:svn15878.1.2b-36.fc34.noarch texlive-har2nat-9:svn54080-36.fc34.noarch texlive-hardwrap-9:svn21396.0.2-36.fc34.noarch texlive-harnon-cv-9:svn26543.1.0-36.fc34.noarch texlive-harpoon-9:svn21327.1.0-36.fc34.noarch texlive-harvard-9:svn15878.2.0.5-36.fc34.noarch texlive-harveyballs-9:svn32003.1.1-36.fc34.noarch texlive-harvmac-9:svn15878.0-36.fc34.noarch texlive-hc-9:svn15878.0-36.fc34.noarch texlive-he-she-9:svn41359-36.fc34.noarch texlive-hecthese-9:svn50590-36.fc34.noarch texlive-helvetic-9:svn31835.0-36.fc34.noarch texlive-hep-9:svn15878.1.0-36.fc34.noarch texlive-hep-paper-9:svn54994-36.fc34.noarch texlive-hepnames-9:svn35722.2.0-36.fc34.noarch texlive-hepparticles-9:svn35723.2.0-36.fc34.noarch texlive-hepthesis-9:svn46054-36.fc34.noarch texlive-hepunits-9:svn54758-36.fc34.noarch texlive-here-9:svn16135.0-36.fc34.noarch texlive-heuristica-9:svn51362-36.fc34.noarch texlive-hf-tikz-9:svn34733.0.3a-36.fc34.noarch texlive-hfbright-9:svn29349.0-36.fc34.noarch texlive-hfoldsty-9:svn29349.1.15-36.fc34.noarch texlive-hhtensor-9:svn54080-36.fc34.noarch texlive-histogr-9:svn15878.1.01-36.fc34.noarch texlive-historische-zeitschrift-9:svn42635-36.fc34.noarch texlive-hitec-9:svn15878.0.0_beta_-36.fc34.noarch texlive-hithesis-9:svn53362-36.fc34.noarch texlive-hitszbeamer-9:svn54381-36.fc34.noarch texlive-hitszthesis-9:svn54709-36.fc34.noarch texlive-hletter-9:svn30002.4.2-36.fc34.noarch texlive-hobby-9:svn44474-36.fc34.noarch texlive-hobete-9:svn27036.0-36.fc34.noarch texlive-hobsub-9:svn52810-36.fc34.noarch texlive-hologo-9:svn53048-36.fc34.noarch texlive-hpsdiss-9:svn15878.1.0-36.fc34.noarch texlive-hrefhide-9:svn22255.1.0f-36.fc34.noarch texlive-hu-berlin-bundle-9:svn54512-36.fc34.noarch texlive-hustthesis-9:svn42547-36.fc34.noarch texlive-hvfloat-9:svn52010-36.fc34.noarch texlive-hvindex-9:svn46051-36.fc34.noarch texlive-hvqrurl-9:svn52993-36.fc34.noarch texlive-hycolor-9:svn53584-36.fc34.noarch texlive-hypdvips-9:svn53197-36.fc34.noarch texlive-hyper-9:svn17357.4.2d-36.fc34.noarch texlive-hyperbar-9:svn48147-36.fc34.noarch texlive-hypernat-9:svn17358.1.0b-36.fc34.noarch texlive-hyperref-9:svn54841-36.fc34.noarch texlive-hyperxmp-9:svn54758-36.fc34.noarch texlive-hyph-utf8-9:svn54568-36.fc34.noarch texlive-hyphen-base-9:svn54763-36.fc34.noarch texlive-hyphen-polish-9:svn54568-36.fc34.noarch texlive-hyphenat-9:svn15878.2.3c-36.fc34.noarch texlive-hyphenex-9:svn37354.0-36.fc34.noarch texlive-icite-9:svn54512-36.fc34.noarch texlive-icsv-9:svn15878.0.2-36.fc34.noarch texlive-identkey-9:svn49018-36.fc34.noarch texlive-idxcmds-9:svn54554-36.fc34.noarch texlive-idxlayout-9:svn25821.0.4d-36.fc34.noarch texlive-ieeepes-9:svn17359.4.0-36.fc34.noarch texlive-ietfbibs-doc-9:svn41332-36.fc34.noarch texlive-iffont-9:svn38823-36.fc34.noarch texlive-ifmslide-9:svn20727.0.47-36.fc34.noarch texlive-ifmtarg-9:svn47544-36.fc34.noarch texlive-ifnextok-9:svn23379.0.3-36.fc34.noarch texlive-ifoddpage-9:svn40726-36.fc34.noarch texlive-ifplatform-9:svn45533-36.fc34.noarch texlive-ifsym-9:svn24868.0-36.fc34.noarch texlive-iftex-9:svn54159-36.fc34.noarch texlive-ifthenx-9:svn25819.0.1a-36.fc34.noarch texlive-iitem-9:svn29613.1.0-36.fc34.noarch texlive-ijmart-9:svn30958.1.7-36.fc34.noarch texlive-ijqc-9:svn15878.1.2-36.fc34.noarch texlive-ijsra-9:svn44886-36.fc34.noarch texlive-imac-9:svn17347.0-36.fc34.noarch texlive-image-gallery-9:svn15878.v1.0j-36.fc34.noarch texlive-imakeidx-9:svn42287-36.fc34.noarch texlive-imfellenglish-9:svn38547-36.fc34.noarch texlive-import-9:svn54683-36.fc34.noarch texlive-imtekda-9:svn17667.1.7-36.fc34.noarch texlive-incgraph-9:svn36500.1.12-36.fc34.noarch texlive-includernw-9:svn47557-36.fc34.noarch texlive-inconsolata-9:svn54512-36.fc34.noarch texlive-index-9:svn24099.4.1beta-36.fc34.noarch texlive-indextools-9:svn38931-36.fc34.noarch texlive-infwarerr-9:svn53023-36.fc34.noarch texlive-initials-9:svn54080-36.fc34.noarch texlive-inkpaper-9:svn54080-36.fc34.noarch texlive-inline-images-9:svn54080-36.fc34.noarch texlive-inlinebib-9:svn22018.0-36.fc34.noarch texlive-inlinedef-9:svn15878.1.0-36.fc34.noarch texlive-inputenx-9:svn52986-36.fc34.noarch texlive-inputtrc-9:svn28019.0.3-36.fc34.noarch texlive-inriafonts-9:svn54512-36.fc34.noarch texlive-intcalc-9:svn53168-36.fc34.noarch texlive-interactiveworkbook-9:svn15878.0-36.fc34.noarch texlive-interfaces-9:svn21474.3.1-36.fc34.noarch texlive-interval-9:svn50265-36.fc34.noarch texlive-intopdf-9:svn51247-36.fc34.noarch texlive-inversepath-9:svn15878.0.2-36.fc34.noarch texlive-invoice-9:svn48359-36.fc34.noarch texlive-invoice-class-9:svn49749-36.fc34.noarch texlive-invoice2-9:svn46364-36.fc34.noarch texlive-iodhbwm-9:svn54734-36.fc34.noarch texlive-ionumbers-9:svn33457.0.3.3-36.fc34.noarch texlive-iopart-num-9:svn15878.2.1-36.fc34.noarch texlive-ipaex-9:svn52032-36.fc34.noarch texlive-ipaex-type1-9:svn47700-36.fc34.noarch texlive-is-bst-9:svn52623-36.fc34.noarch texlive-iscram-9:svn45801-36.fc34.noarch texlive-iso-9:svn15878.2.4-36.fc34.noarch texlive-iso10303-9:svn15878.1.5-36.fc34.noarch texlive-isodate-9:svn16613.2.28-36.fc34.noarch texlive-isodoc-9:svn47868-36.fc34.noarch texlive-isomath-9:svn27654.0.6.1-36.fc34.noarch texlive-isonums-9:svn17362.1.0-36.fc34.noarch texlive-isopt-9:svn45509-36.fc34.noarch texlive-isorot-9:svn15878.0-36.fc34.noarch texlive-isotope-9:svn23711.v0.3-36.fc34.noarch texlive-issuulinks-9:svn25742.1.1-36.fc34.noarch texlive-istgame-9:svn49848-36.fc34.noarch texlive-itnumpar-9:svn15878.1.0-36.fc34.noarch texlive-iwhdp-9:svn37552.0.50-36.fc34.noarch texlive-iwona-9:svn19611.0.995b-36.fc34.noarch texlive-jablantile-9:svn16364.0-36.fc34.noarch texlive-jacow-9:svn50870-36.fc34.noarch texlive-jamtimes-9:svn20408.1.12-36.fc34.noarch texlive-japanese-otf-9:svn50769-36.fc34.noarch texlive-japanese-otf-uptex-9:svn54080-36.fc34.noarch texlive-jbact-9:svn52717-36.fc34.noarch texlive-jkmath-9:svn47109-36.fc34.noarch texlive-jknapltx-9:svn19440.0-36.fc34.noarch texlive-jlabels-9:svn24858.0-36.fc34.noarch texlive-jmb-9:svn52718-36.fc34.noarch texlive-jmlr-9:svn54562-36.fc34.noarch texlive-jneurosci-9:svn17346.1.00-36.fc34.noarch texlive-jnuexam-9:svn52696-36.fc34.noarch texlive-jpsj-9:svn15878.1.2.2-36.fc34.noarch texlive-jslectureplanner-9:svn53672-36.fc34.noarch texlive-jumplines-9:svn37553.0.2-36.fc34.noarch texlive-junicode-9:svn53954-36.fc34.noarch texlive-jurabib-9:svn15878.0.6-36.fc34.noarch texlive-jvlisting-9:svn24638.0.7-36.fc34.noarch texlive-kalendarium-9:svn48744-36.fc34.noarch texlive-kantlipsum-9:svn51727-36.fc34.noarch texlive-karnaugh-9:svn21338.0-36.fc34.noarch texlive-karnaugh-map-9:svn44131-36.fc34.noarch texlive-karnaughmap-9:svn36989.2.0-36.fc34.noarch texlive-kastrup-9:svn15878.0-36.fc34.noarch texlive-kblocks-9:svn52382-36.fc34.noarch texlive-kdgdocs-9:svn24498.1.0-36.fc34.noarch texlive-kerntest-9:svn15878.1.32-36.fc34.noarch texlive-keycommand-9:svn18042.3.1415-36.fc34.noarch texlive-keyfloat-9:svn52160-36.fc34.noarch texlive-keyindex-9:svn50828-36.fc34.noarch texlive-keyreader-9:svn28195.0.5b-36.fc34.noarch texlive-keystroke-9:svn17992.v1.6-36.fc34.noarch texlive-keyval2e-9:svn23698.0.0.2-36.fc34.noarch texlive-keyvaltable-9:svn54677-36.fc34.noarch texlive-kix-9:svn21606.0-36.fc34.noarch texlive-kixfont-9:svn18488.0-36.fc34.noarch texlive-kluwer-9:svn54074-36.fc34.noarch texlive-knitting-9:svn50782-36.fc34.noarch texlive-knittingpattern-9:svn17205.0-36.fc34.noarch texlive-knowledge-9:svn54876-36.fc34.noarch texlive-knuth-lib-9:svn35820.0-36.fc34.noarch texlive-knuth-local-9:svn38627-36.fc34.noarch texlive-koma-moderncvclassic-9:svn25025.v0.5-36.fc34.noarch texlive-koma-script-9:svn54825-36.fc34.noarch texlive-koma-script-sfs-9:svn26137.1.0-36.fc34.noarch texlive-komacv-9:svn43902-36.fc34.noarch texlive-komacv-rg-9:svn49064-36.fc34.noarch texlive-kpathsea-9:20200327-25.fc34.x86_64 texlive-kpfonts-9:svn48470-36.fc34.noarch texlive-ksfh_nat-9:svn24825.1.1-36.fc34.noarch texlive-ksp-thesis-9:svn39080-36.fc34.noarch texlive-ktv-texdata-9:svn27369.05.34-36.fc34.noarch texlive-ku-template-9:svn45935-36.fc34.noarch texlive-kurier-9:svn19612.0.995b-36.fc34.noarch texlive-kvdefinekeys-9:svn53193-36.fc34.noarch texlive-kvmap-9:svn54898-36.fc34.noarch texlive-kvoptions-9:svn52985-36.fc34.noarch texlive-kvsetkeys-9:svn53166-36.fc34.noarch texlive-l3backend-9:svn54259-36.fc34.noarch texlive-l3build-9:20200327-25.fc34.noarch texlive-l3experimental-9:svn53394-36.fc34.noarch texlive-l3kernel-9:svn54672-36.fc34.noarch texlive-l3packages-9:svn54131-36.fc34.noarch texlive-labbook-9:svn15878.0-36.fc34.noarch texlive-labels-9:svn15878.13-36.fc34.noarch texlive-labels4easylist-9:svn51124-36.fc34.noarch texlive-labelschanged-9:svn46040-36.fc34.noarch texlive-ladder-9:svn44394-36.fc34.noarch texlive-lambda-lists-9:svn31402.0-36.fc34.noarch texlive-langcode-9:svn27764.0.2-36.fc34.noarch texlive-langsci-9:svn54393-36.fc34.noarch texlive-langsci-avm-9:svn54239-36.fc34.noarch texlive-lapdf-9:svn23806.1.1-36.fc34.noarch texlive-lastpackage-9:svn34481.0.1-36.fc34.noarch texlive-lastpage-9:svn36680.1.2m-36.fc34.noarch texlive-latex-9:20200327-25.fc34.noarch texlive-latex-base-dev-9:svn54146-36.fc34.noarch texlive-latex-fonts-9:svn28888.0-36.fc34.noarch texlive-latex-make-9:svn48925-36.fc34.noarch texlive-latex-tds-doc-9:svn54758-36.fc34.noarch texlive-latex-uni8-9:svn49729-36.fc34.noarch texlive-latexbug-9:svn52414-36.fc34.noarch texlive-latexcolors-9:svn49888-36.fc34.noarch texlive-latexconfig-9:svn53525-36.fc34.noarch texlive-latexdemo-9:svn34481.0.1-36.fc34.noarch texlive-latexgit-9:svn54811-36.fc34.noarch texlive-lato-9:svn54512-36.fc34.noarch texlive-layouts-9:svn42428-36.fc34.noarch texlive-lazylist-9:svn17691.1.0a-36.fc34.noarch texlive-lccaps-9:svn46432-36.fc34.noarch texlive-lcd-9:svn16549.0.3-36.fc34.noarch texlive-lcg-9:svn31474.1.3-36.fc34.noarch texlive-leading-9:svn15878.0.3-36.fc34.noarch texlive-leaflet-9:svn43523-36.fc34.noarch texlive-lectures-9:svn53642-36.fc34.noarch texlive-leftidx-9:svn15878.0-36.fc34.noarch texlive-leipzig-9:svn52450-36.fc34.noarch texlive-lengthconvert-9:svn30867.1.0a-36.fc34.noarch texlive-letltxmacro-9:svn53022-36.fc34.noarch texlive-letterswitharrows-9:svn53709-36.fc34.noarch texlive-lettre-9:svn54722-36.fc34.noarch texlive-lettrine-9:svn54560-36.fc34.noarch texlive-lewis-9:svn15878.0.1-36.fc34.noarch texlive-lexend-9:svn54512-36.fc34.noarch texlive-lfb-9:svn15878.1.0-36.fc34.noarch texlive-lhelp-9:svn23638.2.0-36.fc34.noarch texlive-lib-9:20200327-25.fc34.x86_64 texlive-libertine-9:svn54583-36.fc34.noarch texlive-libertinegc-9:svn44616-36.fc34.noarch texlive-libertinus-9:svn55064-36.fc34.noarch texlive-libertinus-fonts-9:svn56656-36.fc34.noarch texlive-libertinus-otf-9:svn56649-36.fc34.noarch texlive-libertinus-type1-9:svn56160-36.fc34.noarch texlive-libertinust1math-9:svn55517-36.fc34.noarch texlive-libgreek-9:svn27789.1.0-36.fc34.noarch texlive-librebaskerville-9:svn56018-36.fc34.noarch texlive-librebodoni-9:svn39375-36.fc34.noarch texlive-librecaslon-9:svn56003-36.fc34.noarch texlive-librefranklin-9:svn54512-36.fc34.noarch texlive-libris-9:svn19409.1.007-36.fc34.noarch texlive-lie-hasse-9:svn53653-36.fc34.noarch texlive-limap-9:svn44863-36.fc34.noarch texlive-limecv-9:svn54329-36.fc34.noarch texlive-lineara-9:svn15878.0-36.fc34.noarch texlive-linegoal-9:svn21523.2.9-36.fc34.noarch texlive-lineno-9:svn21442.4.41-36.fc34.noarch texlive-linguex-9:svn30815.4.3-36.fc34.noarch texlive-linguisticspro-9:svn54512-36.fc34.noarch texlive-linop-9:svn41304-36.fc34.noarch texlive-lion-msc-9:svn51143-36.fc34.noarch texlive-lipsum-9:svn49610-36.fc34.noarch texlive-lisp-on-tex-9:svn38722-36.fc34.noarch texlive-listbib-9:20200327-25.fc34.noarch texlive-listing-9:svn17373.1.2-36.fc34.noarch texlive-listings-9:svn54554-36.fc34.noarch texlive-listingsutf8-9:svn53097-36.fc34.noarch texlive-listlbls-9:svn34893.1.03-36.fc34.noarch texlive-listliketab-9:svn15878.0-36.fc34.noarch texlive-listofitems-9:svn51923-36.fc34.noarch texlive-listofsymbols-9:svn16134.0.2-36.fc34.noarch texlive-lkproof-9:svn20021.3.1-36.fc34.noarch texlive-llncsconf-9:svn46707-36.fc34.noarch texlive-lm-9:svn48145-36.fc34.noarch texlive-lm-math-9:svn36915.1.959-36.fc34.noarch texlive-lmake-9:svn25552.1.0-36.fc34.noarch texlive-lni-9:svn52401-36.fc34.noarch texlive-lobster2-9:svn56019-36.fc34.noarch texlive-locality-9:svn20422.0.2-36.fc34.noarch texlive-localloc-9:svn21934.0-36.fc34.noarch texlive-logbox-9:svn24499.1.0-36.fc34.noarch texlive-logical-markup-utils-9:svn15878.0-36.fc34.noarch texlive-logicproof-9:svn33254.0-36.fc34.noarch texlive-logix-9:svn54512-36.fc34.noarch texlive-logpap-9:svn15878.0.6-36.fc34.noarch texlive-logreq-9:svn53003-36.fc34.noarch texlive-longdivision-9:svn50586-36.fc34.noarch texlive-longfbox-9:svn39028-36.fc34.noarch texlive-longfigure-9:svn34302.1.0-36.fc34.noarch texlive-longnamefilelist-9:svn27889.0.2-36.fc34.noarch texlive-loops-9:svn30704.1.3-36.fc34.noarch texlive-lpform-9:svn36918.0-36.fc34.noarch texlive-lpic-9:svn20843.0.8-36.fc34.noarch texlive-lplfitch-9:svn31077.0.9-36.fc34.noarch texlive-lps-9:svn21322.0.7-36.fc34.noarch texlive-lroundrect-9:svn39804-36.fc34.noarch texlive-lsc-9:svn15878.0-36.fc34.noarch texlive-lstaddons-9:svn26196.0.1-36.fc34.noarch texlive-lstbayes-9:svn48160-36.fc34.noarch texlive-lstfiracode-9:svn49503-36.fc34.noarch texlive-lt3graph-9:svn45913-36.fc34.noarch texlive-ltablex-9:svn34923.1.1-36.fc34.noarch texlive-ltabptch-9:svn17533.1.74d-36.fc34.noarch texlive-ltb2bib-9:svn43746-36.fc34.noarch texlive-ltxcmds-9:svn53165-36.fc34.noarch texlive-ltxdockit-9:svn21869.1.2d-36.fc34.noarch texlive-ltxguidex-9:svn50992-36.fc34.noarch texlive-ltxkeys-9:svn28332.0.0.3c-36.fc34.noarch texlive-ltxmisc-9:svn21927.0-36.fc34.noarch texlive-ltxnew-9:svn21586.1.3-36.fc34.noarch texlive-ltxtools-9:svn24897.0.0.1a-36.fc34.noarch texlive-lua-alt-getopt-9:svn29349.0.7.0-36.fc34.noarch texlive-lua-check-hyphen-9:svn47527-36.fc34.noarch texlive-luabibentry-9:svn31783.0.1a-36.fc34.noarch texlive-luabidi-9:svn54512-36.fc34.noarch texlive-luacode-9:svn25193.1.2a-36.fc34.noarch texlive-luacolor-9:svn53933-36.fc34.noarch texlive-luahbtex-9:20200327-25.fc34.x86_64 texlive-luainputenc-9:svn20491.0.973-36.fc34.noarch texlive-lualatex-math-9:svn52663-36.fc34.noarch texlive-lualibs-9:svn53682-36.fc34.noarch texlive-luamesh-9:svn43814-36.fc34.noarch texlive-luaotfload-9:20200327-25.fc34.noarch texlive-luasseq-9:svn37877.0-36.fc34.noarch texlive-luatex-9:20200327-25.fc34.x86_64 texlive-luatexbase-9:svn52663-36.fc34.noarch texlive-luatexja-9:svn54758-36.fc34.noarch texlive-luatextra-9:svn20747.1.0.1-36.fc34.noarch texlive-luatodonotes-9:svn53825-36.fc34.noarch texlive-lwarp-9:20200327-25.fc34.noarch texlive-lxfonts-9:svn32354.2.0b-36.fc34.noarch texlive-ly1-9:svn47848-36.fc34.noarch texlive-macroswap-9:svn31498.1.1-36.fc34.noarch texlive-magaz-9:svn24694.0.4-36.fc34.noarch texlive-mailing-9:svn15878.0-36.fc34.noarch texlive-mailmerge-9:svn15878.1.0-36.fc34.noarch texlive-make4ht-9:20200327-25.fc34.noarch texlive-makebarcode-9:svn15878.1.0-36.fc34.noarch texlive-makebase-9:svn41012-36.fc34.noarch texlive-makebox-9:svn15878.0.1-36.fc34.noarch texlive-makecell-9:svn15878.0.1e-36.fc34.noarch texlive-makecirc-9:svn15878.0-36.fc34.noarch texlive-makecmds-9:svn15878.0-36.fc34.noarch texlive-makecookbook-9:svn49311-36.fc34.noarch texlive-makedtx-9:20200327-25.fc34.noarch texlive-makeglos-9:svn15878.0-36.fc34.noarch texlive-makeindex-9:20200327-25.fc34.x86_64 texlive-maker-9:svn44823-36.fc34.noarch texlive-makerobust-9:svn52811-36.fc34.noarch texlive-makeshape-9:svn28973.2.1-36.fc34.noarch texlive-mandi-9:svn49720-36.fc34.noarch texlive-manfnt-9:svn42428-36.fc34.noarch texlive-manfnt-font-9:svn54684-36.fc34.noarch texlive-manuscript-9:svn36110.1.7-36.fc34.noarch texlive-manyind-9:svn49874-36.fc34.noarch texlive-marcellus-9:svn56016-36.fc34.noarch texlive-margbib-9:svn15878.1.0c-36.fc34.noarch texlive-marginfit-9:svn48281-36.fc34.noarch texlive-marginfix-9:svn31598.1.1-36.fc34.noarch texlive-marginnote-9:svn48383-36.fc34.noarch texlive-markdown-9:svn54482-36.fc34.noarch texlive-marvosym-9:svn29349.2.2a-36.fc34.noarch texlive-matc3-9:svn29845.1.0.1-36.fc34.noarch texlive-matc3mem-9:svn35773.1.1-36.fc34.noarch texlive-mathabx-9:svn15878.0-36.fc34.noarch texlive-mathabx-type1-9:svn21129.0-36.fc34.noarch texlive-mathalpha-9:svn52305-36.fc34.noarch texlive-mathastext-9:svn52840-36.fc34.noarch texlive-mathcommand-9:svn53044-36.fc34.noarch texlive-mathcomp-9:svn15878.0.1f-36.fc34.noarch texlive-mathdesign-9:svn31639.2.31-36.fc34.noarch texlive-mathexam-9:svn15878.1.00-36.fc34.noarch texlive-mathfam256-9:svn53519-36.fc34.noarch texlive-mathfixs-9:svn49547-36.fc34.noarch texlive-mathfont-9:svn53035-36.fc34.noarch texlive-mathlig-9:svn54244-36.fc34.noarch texlive-mathpartir-9:svn39864-36.fc34.noarch texlive-mathpazo-9:svn52663-36.fc34.noarch texlive-mathpunctspace-9:svn46754-36.fc34.noarch texlive-mathspec-9:svn42773-36.fc34.noarch texlive-mathspic-9:20200327-25.fc34.noarch texlive-mathtools-9:svn54516-36.fc34.noarch texlive-matlab-prettifier-9:svn34323.0.3-36.fc34.noarch texlive-matrix-skeleton-9:svn54080-36.fc34.noarch texlive-mattens-9:svn17582.1.3-36.fc34.noarch texlive-maybemath-9:svn15878.0-36.fc34.noarch texlive-mcaption-9:svn15878.3.0-36.fc34.noarch texlive-mceinleger-9:svn15878.0-36.fc34.noarch texlive-mcexam-9:svn46155-36.fc34.noarch texlive-mcite-9:svn18173.1.6-36.fc34.noarch texlive-mciteplus-9:svn31648.1.2-36.fc34.noarch texlive-mcmthesis-9:svn53513-36.fc34.noarch texlive-mdframed-9:svn31075.1.9b-36.fc34.noarch texlive-mdputu-9:svn20298.1.2-36.fc34.noarch texlive-mdsymbol-9:svn28399.0.5-36.fc34.noarch texlive-mdwtools-9:svn15878.1.05.4-36.fc34.noarch texlive-media4svg-9:svn54773-36.fc34.noarch texlive-media9-9:svn54554-36.fc34.noarch texlive-medstarbeamer-9:svn38828-36.fc34.noarch texlive-meetingmins-9:svn31878.1.6-36.fc34.noarch texlive-memexsupp-9:svn15878.0.1-36.fc34.noarch texlive-memoir-9:svn54554-36.fc34.noarch texlive-memory-9:svn30452.1.2-36.fc34.noarch texlive-memorygraphs-9:svn49631-36.fc34.noarch texlive-mensa-tex-9:svn45997-36.fc34.noarch texlive-mentis-9:svn15878.1.5-36.fc34.noarch texlive-menu-9:svn15878.0.994-36.fc34.noarch texlive-menukeys-9:svn41823-36.fc34.noarch texlive-mercatormap-9:svn54812-36.fc34.noarch texlive-merriweather-9:svn56365-36.fc34.noarch texlive-metafont-9:20200327-25.fc34.x86_64 texlive-metalogo-9:svn18611.0.12-36.fc34.noarch texlive-metalogox-9:svn49774-36.fc34.noarch texlive-metastr-9:svn53700-36.fc34.noarch texlive-method-9:svn17485.2.0b-36.fc34.noarch texlive-metre-9:svn18489.1.0-36.fc34.noarch texlive-mfirstuc-9:svn45803-36.fc34.noarch texlive-mflogo-9:svn42428-36.fc34.noarch texlive-mflogo-font-9:svn54512-36.fc34.noarch texlive-mfnfss-9:svn46036-36.fc34.noarch texlive-mftinc-9:svn15878.1.0a-36.fc34.noarch texlive-mfware-9:20200327-25.fc34.x86_64 texlive-mgltex-9:svn41676-36.fc34.noarch texlive-mhchem-9:svn52662-36.fc34.noarch texlive-mhequ-9:svn38224.1.7-36.fc34.noarch texlive-mi-solns-9:svn49651-36.fc34.noarch texlive-miama-9:svn54512-36.fc34.noarch texlive-microtype-9:svn52853-36.fc34.noarch texlive-midpage-9:svn17484.1.1a-36.fc34.noarch texlive-miller-9:svn18789.1.2-36.fc34.noarch texlive-milsymb-9:svn54361-36.fc34.noarch texlive-minibox-9:svn30914.0.2a-36.fc34.noarch texlive-minidocument-9:svn43752-36.fc34.noarch texlive-minifp-9:svn32559.0.96-36.fc34.noarch texlive-minipage-marginpar-9:svn15878.v0.2-36.fc34.noarch texlive-miniplot-9:svn17483.0-36.fc34.noarch texlive-minitoc-9:svn48196-36.fc34.noarch texlive-minorrevision-9:svn32165.1.1-36.fc34.noarch texlive-minted-9:svn44855-36.fc34.noarch texlive-mintspirit-9:svn32069.0-36.fc34.noarch texlive-minutes-9:svn42186-36.fc34.noarch texlive-mismath-9:svn53245-36.fc34.noarch texlive-missaali-9:svn54512-36.fc34.noarch texlive-mkpic-9:20200327-25.fc34.noarch texlive-mla-paper-9:svn54080-36.fc34.noarch texlive-mlacls-9:svn51865-36.fc34.noarch texlive-mleftright-9:svn53021-36.fc34.noarch texlive-mlist-9:svn15878.0.6a-36.fc34.noarch texlive-mmap-9:svn15878.1.03-36.fc34.noarch texlive-mnotes-9:svn35521.0.8-36.fc34.noarch texlive-mnras-9:svn37579.3.0-36.fc34.noarch texlive-mnsymbol-9:svn18651.1.4-36.fc34.noarch texlive-modeles-factures-belges-assocs-9:svn50010-36.fc34.noarch texlive-moderncv-9:svn52669-36.fc34.noarch texlive-modernposter-9:svn47269-36.fc34.noarch texlive-moderntimeline-9:svn50228-36.fc34.noarch texlive-modes-9:svn53604-36.fc34.noarch texlive-modiagram-9:svn52589-36.fc34.noarch texlive-modref-9:svn15878.1.0-36.fc34.noarch texlive-modroman-9:svn29803.1-36.fc34.noarch texlive-modular-9:svn44142-36.fc34.noarch texlive-monofill-9:svn28140.0.2-36.fc34.noarch texlive-montserrat-9:svn54512-36.fc34.noarch texlive-moodle-9:svn39367-36.fc34.noarch texlive-moreenum-9:svn24479.1.03-36.fc34.noarch texlive-morefloats-9:svn37927.1.0h-36.fc34.noarch texlive-morehype-9:svn38815-36.fc34.noarch texlive-moresize-9:svn17513.1.9-36.fc34.noarch texlive-moreverb-9:svn22126.2.3a-36.fc34.noarch texlive-morewrites-9:svn49531-36.fc34.noarch texlive-mparhack-9:svn15878.1.4-36.fc34.noarch texlive-mpfonts-9:svn54512-36.fc34.noarch texlive-mpostinl-9:svn49559-36.fc34.noarch texlive-mptopdf-9:20200327-25.fc34.noarch texlive-ms-9:svn51784-36.fc34.noarch texlive-msc-9:svn15878.1.16-36.fc34.noarch texlive-msg-9:svn49578-36.fc34.noarch texlive-mslapa-9:svn54080-36.fc34.noarch texlive-msu-thesis-9:svn46106-36.fc34.noarch texlive-mtgreek-9:svn17967.1.1+-36.fc34.noarch texlive-mucproc-9:svn43445-36.fc34.noarch texlive-mugsthesis-9:svn34878.0-36.fc34.noarch texlive-multenum-9:svn21775.0-36.fc34.noarch texlive-multiaudience-9:svn38035.1.03-36.fc34.noarch texlive-multibbl-9:svn15878.v1.1-36.fc34.noarch texlive-multibib-9:svn15878.1.4-36.fc34.noarch texlive-multibibliography-9:20200327-25.fc34.noarch texlive-multicap-9:svn15878.0-36.fc34.noarch texlive-multicolrule-9:svn52283-36.fc34.noarch texlive-multidef-9:svn40637-36.fc34.noarch texlive-multido-9:svn18302.1.42-36.fc34.noarch texlive-multienv-9:svn26544.1.0-36.fc34.noarch texlive-multiexpand-9:svn45943-36.fc34.noarch texlive-multilang-9:svn49065-36.fc34.noarch texlive-multiobjective-9:svn15878.1.0-36.fc34.noarch texlive-multirow-9:svn51278-36.fc34.noarch texlive-munich-9:svn15878.0-36.fc34.noarch texlive-musuos-9:svn24857.1.1d-36.fc34.noarch texlive-muthesis-9:svn23861.0-36.fc34.noarch texlive-mversion-9:svn29370.1.0.1-36.fc34.noarch texlive-mwe-9:svn47194-36.fc34.noarch texlive-mweights-9:svn53520-36.fc34.noarch texlive-mycv-9:svn26807.1.5.6-36.fc34.noarch texlive-mylatexformat-9:svn21392.3.4-36.fc34.noarch texlive-mynsfc-9:svn41996-36.fc34.noarch texlive-nag-9:svn24741.0.7-36.fc34.noarch texlive-nameauth-9:svn53940-36.fc34.noarch texlive-namespc-9:svn15878.0-36.fc34.noarch texlive-nar-9:svn38100.3.19-36.fc34.noarch texlive-natbib-9:svn20668.8.31b-36.fc34.noarch texlive-natded-9:svn32693.0.1-36.fc34.noarch texlive-nath-9:svn15878.0-36.fc34.noarch texlive-nature-9:svn21819.1.0-36.fc34.noarch texlive-navydocs-9:svn41643-36.fc34.noarch texlive-ncclatex-9:svn15878.1.5-36.fc34.noarch texlive-ncctools-9:svn51810-36.fc34.noarch texlive-ncntrsbk-9:svn31835.0-36.fc34.noarch texlive-nddiss-9:svn45107-36.fc34.noarch texlive-ndsu-thesis-9:svn46639-36.fc34.noarch texlive-needspace-9:svn29601.1.3d-36.fc34.noarch texlive-nestquot-9:svn27323.0-36.fc34.noarch texlive-neuralnetwork-9:svn31500.1.0-36.fc34.noarch texlive-newcommand-doc-9:svn18704.2.0-36.fc34.noarch texlive-newcomputermodern-9:svn52877-36.fc34.noarch texlive-newenviron-9:svn29331.1.0-36.fc34.noarch texlive-newfile-9:svn15878.1.0c-36.fc34.noarch texlive-newfloat-9:svn52906-36.fc34.noarch texlive-newlfm-9:svn15878.9.4-36.fc34.noarch texlive-newpx-9:svn53792-36.fc34.noarch texlive-newspaper-9:svn15878.1.0-36.fc34.noarch texlive-newtx-9:svn56384-36.fc34.noarch texlive-newtxsf-9:svn56527-36.fc34.noarch texlive-newtxtt-9:svn54512-36.fc34.noarch texlive-newunicodechar-9:svn47382-36.fc34.noarch texlive-newvbtm-9:svn23996.1.1-36.fc34.noarch texlive-newverbs-9:svn52074-36.fc34.noarch texlive-nextpage-9:svn15878.1.1a-36.fc34.noarch texlive-nfssext-cfr-9:svn43640-36.fc34.noarch texlive-nicefilelist-9:svn28527.0.7a-36.fc34.noarch texlive-niceframe-9:svn36086.1.1c-36.fc34.noarch texlive-niceframe-type1-9:svn44671-36.fc34.noarch texlive-nicematrix-9:svn54758-36.fc34.noarch texlive-nicetext-9:svn38914-36.fc34.noarch texlive-nidanfloat-9:svn48295-36.fc34.noarch texlive-nih-9:svn15878.0-36.fc34.noarch texlive-nihbiosketch-9:svn54191-36.fc34.noarch texlive-nimbus15-9:svn54512-36.fc34.noarch texlive-nkarta-9:svn16437.0.2-36.fc34.noarch texlive-nlctdoc-9:svn53768-36.fc34.noarch texlive-nmbib-9:svn37984.1.04-36.fc34.noarch texlive-noconflict-9:svn30140.1.0-36.fc34.noarch texlive-noindentafter-9:svn35709.0.2.2-36.fc34.noarch texlive-noitcrul-9:svn15878.0.2-36.fc34.noarch texlive-nolbreaks-9:svn26786.1.2-36.fc34.noarch texlive-nomencl-9:svn54080-36.fc34.noarch texlive-nomentbl-9:svn16549.0.4-36.fc34.noarch texlive-nonfloat-9:svn17598.1.0-36.fc34.noarch texlive-nonumonpart-9:svn22114.1-36.fc34.noarch texlive-nopageno-9:svn18128.0-36.fc34.noarch texlive-norasi-c90-9:svn37675.0-36.fc34.noarch texlive-normalcolor-9:svn40125-36.fc34.noarch texlive-nostarch-9:svn15878.1.3-36.fc34.noarch texlive-notes-9:svn42428-36.fc34.noarch texlive-notes2bib-9:svn52231-36.fc34.noarch texlive-notespages-9:svn41906-36.fc34.noarch texlive-notestex-9:svn45396-36.fc34.noarch texlive-notex-bst-9:svn42361-36.fc34.noarch texlive-noto-9:svn54512-36.fc34.noarch texlive-noto-emoji-9:svn53968-36.fc34.noarch texlive-notoccite-9:svn18129.0-36.fc34.noarch texlive-novel-9:svn54512-36.fc34.noarch texlive-nowidow-9:svn24066.1.0-36.fc34.noarch texlive-nox-9:svn30991.1.0-36.fc34.noarch texlive-nrc-9:svn29027.2.01a-36.fc34.noarch texlive-ntgclass-9:svn53882-36.fc34.noarch texlive-nth-9:svn54252-36.fc34.noarch texlive-ntheorem-9:svn27609.1.33-36.fc34.noarch texlive-nuc-9:svn22256.0.1-36.fc34.noarch texlive-nucleardata-9:svn47307-36.fc34.noarch texlive-numberedblock-9:svn33109.1.10-36.fc34.noarch texlive-numericplots-9:svn31729.2.0.2-36.fc34.noarch texlive-numname-9:svn18130.0-36.fc34.noarch texlive-numprint-9:svn27498.1.39-36.fc34.noarch texlive-numspell-9:svn45441-36.fc34.noarch texlive-nwejm-9:svn54392-36.fc34.noarch texlive-oberdiek-9:20200327-25.fc34.noarch texlive-objectz-9:svn19389.0-36.fc34.noarch texlive-obnov-9:svn33355.0.11-36.fc34.noarch texlive-ocg-p-9:svn28803.0.4-36.fc34.noarch texlive-ocgx-9:svn54512-36.fc34.noarch texlive-ocgx2-9:svn52730-36.fc34.noarch texlive-ocherokee-9:svn25689.0-36.fc34.noarch texlive-ocr-b-9:svn20852.0-36.fc34.noarch texlive-ocr-b-outline-9:svn20969.0-36.fc34.noarch texlive-ocr-latex-9:svn15878.0-36.fc34.noarch texlive-octavo-9:svn15878.1.2-36.fc34.noarch texlive-ogham-9:svn24876.0-36.fc34.noarch texlive-oinuit-9:svn28668.0-36.fc34.noarch texlive-old-arrows-9:svn42872-36.fc34.noarch texlive-oldlatin-9:svn17932.1.00-36.fc34.noarch texlive-oldstandard-9:svn54512-36.fc34.noarch texlive-oldstyle-9:svn15878.0.2-36.fc34.noarch texlive-onlyamsmath-9:svn42927-36.fc34.noarch texlive-onrannual-9:svn17474.1.1-36.fc34.noarch texlive-opcit-9:svn15878.1.1-36.fc34.noarch texlive-opensans-9:svn54512-36.fc34.noarch texlive-oplotsymbl-9:svn44951-36.fc34.noarch texlive-opteng-9:svn27331.1.0-36.fc34.noarch texlive-optidef-9:svn50941-36.fc34.noarch texlive-optional-9:svn18131.2.2b-36.fc34.noarch texlive-options-9:svn39030-36.fc34.noarch texlive-orkhun-9:svn15878.0-36.fc34.noarch texlive-oscola-9:svn54328-36.fc34.noarch texlive-ot-tableau-9:svn44889-36.fc34.noarch texlive-oubraces-9:svn21833.0-36.fc34.noarch texlive-outline-9:svn18360.0-36.fc34.noarch texlive-outliner-9:svn21095.0.94-36.fc34.noarch texlive-outlines-9:svn25192.1.1-36.fc34.noarch texlive-outlining-9:svn45601-36.fc34.noarch texlive-overlays-9:svn46122-36.fc34.noarch texlive-overlock-9:svn56079-36.fc34.noarch texlive-overpic-9:svn53889-36.fc34.noarch texlive-pacioli-9:svn24947.0-36.fc34.noarch texlive-padcount-9:svn47621-36.fc34.noarch texlive-pagecolor-9:svn44487-36.fc34.noarch texlive-pagecont-9:svn15878.1.0-36.fc34.noarch texlive-pagenote-9:svn15878.1.1a-36.fc34.noarch texlive-pagerange-9:svn16915.0.5-36.fc34.noarch texlive-pageslts-9:svn39164-36.fc34.noarch texlive-palatino-9:svn31835.0-36.fc34.noarch texlive-paper-9:svn34521.1.0l-36.fc34.noarch texlive-papercdcase-9:svn15878.0-36.fc34.noarch texlive-papermas-9:svn23667.1.0h-36.fc34.noarch texlive-papertex-9:svn19230.1.2b-36.fc34.noarch texlive-paracol-9:svn49560-36.fc34.noarch texlive-parades-9:svn40042-36.fc34.noarch texlive-paralist-9:svn43021-36.fc34.noarch texlive-parallel-9:svn15878.0-36.fc34.noarch texlive-paratype-9:svn32859.0-36.fc34.noarch texlive-paresse-9:svn29803.4.1-36.fc34.noarch texlive-parnotes-9:svn51720-36.fc34.noarch texlive-parselines-9:svn21475.1.4-36.fc34.noarch texlive-parskip-9:svn53503-36.fc34.noarch texlive-pas-cours-9:svn42036-36.fc34.noarch texlive-pas-cv-9:svn32263.2.01-36.fc34.noarch texlive-pas-tableur-9:svn39542-36.fc34.noarch texlive-patchcmd-9:svn41379-36.fc34.noarch texlive-path-9:svn22045.3.05-36.fc34.noarch texlive-pauldoc-9:svn16005.0.5-36.fc34.noarch texlive-pawpict-9:svn21629.1.0-36.fc34.noarch texlive-pax-9:20200327-25.fc34.noarch texlive-pb-diagram-9:svn15878.5.0-36.fc34.noarch texlive-pbox-9:svn24807.1.2-36.fc34.noarch texlive-pbsheet-9:svn24830.0.1-36.fc34.noarch texlive-pdf14-9:svn17583.0.1-36.fc34.noarch texlive-pdfcolmk-9:svn52912-36.fc34.noarch texlive-pdfcomment-9:svn49047-36.fc34.noarch texlive-pdfcprot-9:svn18735.1.7a-36.fc34.noarch texlive-pdfescape-9:svn53082-36.fc34.noarch texlive-pdflscape-9:svn53047-36.fc34.noarch texlive-pdfmarginpar-9:svn23492.0.92-36.fc34.noarch texlive-pdfoverlay-9:svn52627-36.fc34.noarch texlive-pdfpagediff-9:svn37946.1.4-36.fc34.noarch texlive-pdfpages-9:svn53583-36.fc34.noarch texlive-pdfpc-9:svn54160-36.fc34.noarch texlive-pdfpc-movie-9:svn48245-36.fc34.noarch texlive-pdfprivacy-9:svn45985-36.fc34.noarch texlive-pdfreview-9:svn50100-36.fc34.noarch texlive-pdfscreen-9:svn42428-36.fc34.noarch texlive-pdfslide-9:svn15878.0-36.fc34.noarch texlive-pdfsync-9:svn20373.0-36.fc34.noarch texlive-pdftex-9:20200327-25.fc34.x86_64 texlive-pdftexcmds-9:svn52913-36.fc34.noarch texlive-pdfwin-9:svn54074-36.fc34.noarch texlive-pdfx-9:svn50338-36.fc34.noarch texlive-pecha-9:svn15878.0.1-36.fc34.noarch texlive-penrose-9:svn48202-36.fc34.noarch texlive-perception-9:svn48861-36.fc34.noarch texlive-perfectcut-9:svn54080-36.fc34.noarch texlive-perltex-9:20200327-25.fc34.noarch texlive-permute-9:svn15878.0-36.fc34.noarch texlive-petiteannonce-9:svn25915.1.0001-36.fc34.noarch texlive-petri-nets-9:20200327-25.fc34.noarch texlive-pgf-9:svn54512-36.fc34.noarch texlive-pgf-blur-9:svn54512-36.fc34.noarch texlive-pgf-cmykshadings-9:svn52635-36.fc34.noarch texlive-pgf-soroban-9:svn32269.1.1-36.fc34.noarch texlive-pgf-spectra-9:svn42986-36.fc34.noarch texlive-pgf-umlcd-9:svn33307.0.2.1.1-36.fc34.noarch texlive-pgf-umlsd-9:svn33045.0.7-36.fc34.noarch texlive-pgfgantt-9:svn52662-36.fc34.noarch texlive-pgfkeyx-9:svn26093.0.0.1-36.fc34.noarch texlive-pgfmolbio-9:svn35152.0.21-36.fc34.noarch texlive-pgfmorepages-9:svn54770-36.fc34.noarch texlive-pgfopts-9:svn34573.2.1a-36.fc34.noarch texlive-pgfornament-9:svn54670-36.fc34.noarch texlive-pgfplots-9:svn54080-36.fc34.noarch texlive-phaistos-9:svn18651.1.0-36.fc34.noarch texlive-phffullpagefigure-9:svn41857-36.fc34.noarch texlive-phfnote-9:svn41858-36.fc34.noarch texlive-phfparen-9:svn41859-36.fc34.noarch texlive-phfqit-9:svn45084-36.fc34.noarch texlive-phfquotetext-9:svn41869-36.fc34.noarch texlive-phfsvnwatermark-9:svn41870-36.fc34.noarch texlive-phfthm-9:svn41871-36.fc34.noarch texlive-philex-9:svn36396.1.3-36.fc34.noarch texlive-philosophersimprint-9:svn41788-36.fc34.noarch texlive-phonenumbers-9:svn51933-36.fc34.noarch texlive-phonetic-9:svn21871.0-36.fc34.noarch texlive-photo-9:svn18739.0-36.fc34.noarch texlive-physconst-9:svn54587-36.fc34.noarch texlive-physics-9:svn28590.1.3-36.fc34.noarch texlive-physunits-9:svn54588-36.fc34.noarch texlive-picinpar-9:svn20374.1.2a-36.fc34.noarch texlive-pict2e-9:svn51918-36.fc34.noarch texlive-pictex-9:svn21943.1.1-36.fc34.noarch texlive-pictex2-9:svn15878.0-36.fc34.noarch texlive-picture-9:svn54867-36.fc34.noarch texlive-piff-9:svn21894.0-36.fc34.noarch texlive-pigpen-9:svn15878.0.2-36.fc34.noarch texlive-pinlabel-9:svn24769.1.2-36.fc34.noarch texlive-pinoutikz-9:svn52999-36.fc34.noarch texlive-pittetd-9:svn15878.1.618-36.fc34.noarch texlive-pixelart-9:svn46740-36.fc34.noarch texlive-pkgloader-9:svn47486-36.fc34.noarch texlive-pkuthss-9:svn54512-36.fc34.noarch texlive-pl-9:svn36012.1.09-36.fc34.noarch texlive-placeins-9:svn19848.2.2-36.fc34.noarch texlive-plain-9:svn43076-36.fc34.noarch texlive-plainpkg-9:svn27765.0.4a-36.fc34.noarch texlive-plainyr-9:svn52783-36.fc34.noarch texlive-plantslabels-9:svn29803.1.0-36.fc34.noarch texlive-plates-9:svn15878.0.1-36.fc34.noarch texlive-platex-9:svn54758-36.fc34.noarch texlive-platex-tools-9:svn52049-36.fc34.noarch texlive-playfair-9:svn56005-36.fc34.noarch texlive-plex-9:svn54512-36.fc34.noarch texlive-plex-otf-9:svn47562-36.fc34.noarch texlive-plweb-9:svn15878.3.0-36.fc34.noarch texlive-pm-isomath-9:svn54799-36.fc34.noarch texlive-pmboxdraw-9:svn53046-36.fc34.noarch texlive-pmgraph-9:svn15878.1.0-36.fc34.noarch texlive-pnas2009-9:svn16287.1.0-36.fc34.noarch texlive-poiretone-9:svn54512-36.fc34.noarch texlive-polexpr-9:svn53633-36.fc34.noarch texlive-polski-9:svn44213-36.fc34.noarch texlive-poltawski-9:svn20075.1.101-36.fc34.noarch texlive-polyglossia-9:svn54758-36.fc34.noarch texlive-polynom-9:svn44832-36.fc34.noarch texlive-polynomial-9:svn15878.1.0-36.fc34.noarch texlive-polytable-9:svn31235.0.8.2-36.fc34.noarch texlive-postage-9:svn47893-36.fc34.noarch texlive-postcards-9:svn21641.0-36.fc34.noarch texlive-poster-mac-9:svn18305.1.1-36.fc34.noarch texlive-powerdot-9:svn45165-36.fc34.noarch texlive-powerdot-fuberlin-9:svn52922-36.fc34.noarch texlive-powerdot-tuliplab-9:svn47963-36.fc34.noarch texlive-ppr-prv-9:svn15878.0.13c-36.fc34.noarch texlive-pracjourn-9:svn15878.0.4n-36.fc34.noarch texlive-practicalreports-9:svn52312-36.fc34.noarch texlive-preprint-9:svn30447.2011-36.fc34.noarch texlive-prerex-9:svn54512-36.fc34.noarch texlive-pressrelease-9:svn35147.1.0-36.fc34.noarch texlive-prettyref-9:svn15878.3.0-36.fc34.noarch texlive-prftree-9:svn54080-36.fc34.noarch texlive-printlen-9:svn19847.1.1a-36.fc34.noarch texlive-proba-9:svn15878.0-36.fc34.noarch texlive-probsoln-9:svn44783-36.fc34.noarch texlive-procIAGssymp-9:svn51771-36.fc34.noarch texlive-prodint-9:svn21893.0-36.fc34.noarch texlive-productbox-9:svn20886.1.1-36.fc34.noarch texlive-program-9:svn44214-36.fc34.noarch texlive-progress-9:svn19519.1.10-36.fc34.noarch texlive-progressbar-9:svn33822.v1.0b_4-36.fc34.noarch texlive-proof-at-the-end-9:svn51194-36.fc34.noarch texlive-proofread-9:svn50938-36.fc34.noarch texlive-prooftrees-9:svn52221-36.fc34.noarch texlive-properties-9:svn15878.0.2-36.fc34.noarch texlive-proposal-9:svn40538-36.fc34.noarch texlive-prosper-9:svn33033.1.0h-36.fc34.noarch texlive-protex-9:svn41633-36.fc34.noarch texlive-protocol-9:svn25562.1.13-36.fc34.noarch texlive-prtec-9:svn51919-36.fc34.noarch texlive-pseudo-9:svn52582-36.fc34.noarch texlive-pseudocode-9:svn54080-36.fc34.noarch texlive-psfrag-9:svn15878.3.04-36.fc34.noarch texlive-psfragx-9:svn26243.1.1-36.fc34.noarch texlive-pslatex-9:svn16416.0-36.fc34.noarch texlive-psnfss-9:svn54694-36.fc34.noarch texlive-pspicture-9:svn15878.0-36.fc34.noarch texlive-pst-3d-9:svn17257.1.10-36.fc34.noarch texlive-pst-blur-9:svn15878.2.0-36.fc34.noarch texlive-pst-coil-9:svn37377.1.07-36.fc34.noarch texlive-pst-eps-9:svn15878.1.0-36.fc34.noarch texlive-pst-fill-9:svn15878.1.01-36.fc34.noarch texlive-pst-grad-9:svn15878.1.06-36.fc34.noarch texlive-pst-math-9:svn49425-36.fc34.noarch texlive-pst-node-9:svn54687-36.fc34.noarch texlive-pst-ovl-9:svn45506-36.fc34.noarch texlive-pst-plot-9:svn54080-36.fc34.noarch texlive-pst-slpe-9:svn24391.1.31-36.fc34.noarch texlive-pst-text-9:svn49542-36.fc34.noarch texlive-pst-tools-9:svn54518-36.fc34.noarch texlive-pst-tree-9:svn43272-36.fc34.noarch texlive-pstool-9:svn46393-36.fc34.noarch texlive-pstricks-9:svn54080-36.fc34.noarch texlive-pstricks-add-9:svn53763-36.fc34.noarch texlive-pstring-9:svn42857-36.fc34.noarch texlive-ptex-9:20200327-25.fc34.x86_64 texlive-ptex-base-9:svn54153-36.fc34.noarch texlive-ptex-fonts-9:svn46940-36.fc34.noarch texlive-ptolemaicastronomy-9:svn50810-36.fc34.noarch texlive-ptptex-9:svn19440.0.91-36.fc34.noarch texlive-punk-9:svn27388.0-36.fc34.noarch texlive-punk-latex-9:svn27389.1.1-36.fc34.noarch texlive-punknova-9:svn24649.1.003-36.fc34.noarch texlive-pxfonts-9:svn15878.0-36.fc34.noarch texlive-pxgreeks-9:svn21838.1.0-36.fc34.noarch texlive-pxpgfmark-9:svn30212.0.2-36.fc34.noarch texlive-pxtxalfa-9:svn54080-36.fc34.noarch texlive-pygmentex-9:20200327-25.fc34.noarch texlive-python-9:svn27064.0.21-36.fc34.noarch texlive-pythonhighlight-9:svn43191-36.fc34.noarch texlive-qcircuit-9:svn48400-36.fc34.noarch texlive-qcm-9:svn15878.2.1-36.fc34.noarch texlive-qrcode-9:svn36065.1.51-36.fc34.noarch texlive-qsharp-9:svn49722-36.fc34.noarch texlive-qstest-9:svn15878.0-36.fc34.noarch texlive-qsymbols-9:svn15878.0-36.fc34.noarch texlive-qtree-9:svn15878.3.1b-36.fc34.noarch texlive-qualitype-9:svn54512-36.fc34.noarch texlive-quantikz-9:svn54911-36.fc34.noarch texlive-quantumarticle-9:svn54080-36.fc34.noarch texlive-quattrocento-9:svn56020-36.fc34.noarch texlive-quicktype-9:svn42183-36.fc34.noarch texlive-quiz2socrative-9:svn52276-36.fc34.noarch texlive-quotchap-9:svn51591-36.fc34.noarch texlive-quoting-9:svn32818.v0.1c-36.fc34.noarch texlive-quotmark-9:svn15878.1.0-36.fc34.noarch texlive-ragged2e-9:svn51780-36.fc34.noarch texlive-raleway-9:svn42629-36.fc34.noarch texlive-ran_toks-9:svn53271-36.fc34.noarch texlive-randbild-9:svn15878.0.2-36.fc34.noarch texlive-random-9:svn54723-36.fc34.noarch texlive-randomwalk-9:svn49513-36.fc34.noarch texlive-randtext-9:svn15878.0-36.fc34.noarch texlive-rank-2-roots-9:svn48515-36.fc34.noarch texlive-rccol-9:svn15878.1.2c-36.fc34.noarch texlive-rcs-9:svn15878.0-36.fc34.noarch texlive-rcs-multi-9:svn21939.0.1a-36.fc34.noarch texlive-rcsinfo-9:svn15878.1.11-36.fc34.noarch texlive-readarray-9:svn42467-36.fc34.noarch texlive-realboxes-9:svn23581.0.2-36.fc34.noarch texlive-realhats-9:svn52865-36.fc34.noarch texlive-realscripts-9:svn39706-36.fc34.noarch texlive-rec-thy-9:svn50047-36.fc34.noarch texlive-recipe-9:svn54080-36.fc34.noarch texlive-recipebook-9:svn37026.0-36.fc34.noarch texlive-recipecard-9:svn15878.2.0-36.fc34.noarch texlive-rectopma-9:svn19980.0-36.fc34.noarch texlive-recycle-9:svn15878.0-36.fc34.noarch texlive-refcheck-9:svn29128.1.9.1-36.fc34.noarch texlive-refcount-9:svn53164-36.fc34.noarch texlive-refenums-9:svn44131-36.fc34.noarch texlive-reflectgraphics-9:svn40612-36.fc34.noarch texlive-refman-9:svn15878.2.0e-36.fc34.noarch texlive-refstyle-9:svn20318.0.5-36.fc34.noarch texlive-regcount-9:svn19979.1.0-36.fc34.noarch texlive-regexpatch-9:svn47601-36.fc34.noarch texlive-register-9:svn54485-36.fc34.noarch texlive-regstats-9:svn25050.1.0h-36.fc34.noarch texlive-relenc-9:svn22050.0-36.fc34.noarch texlive-relsize-9:svn30707.4.1-36.fc34.noarch texlive-reotex-9:svn34924.1.1-36.fc34.noarch texlive-repeatindex-9:svn24305.0.01-36.fc34.noarch texlive-repltext-9:svn33442.1.0-36.fc34.noarch texlive-rerunfilecheck-9:svn54841-36.fc34.noarch texlive-resphilosophica-9:svn50935-36.fc34.noarch texlive-rest-api-9:svn54486-36.fc34.noarch texlive-resumecls-9:svn54815-36.fc34.noarch texlive-returntogrid-9:svn48485-36.fc34.noarch texlive-revquantum-9:svn43505-36.fc34.noarch texlive-revtex-9:svn49751-36.fc34.noarch texlive-revtex4-9:svn45873-36.fc34.noarch texlive-rgltxdoc-9:svn53858-36.fc34.noarch texlive-ribbonproofs-9:svn31137.1.0-36.fc34.noarch texlive-rjlparshap-9:svn15878.1.0-36.fc34.noarch texlive-rlepsf-9:svn19082.0-36.fc34.noarch texlive-rmathbr-9:svn40415-36.fc34.noarch texlive-rmpage-9:svn54080-36.fc34.noarch texlive-roboto-9:svn54512-36.fc34.noarch texlive-robustcommand-9:svn15878.0.1-36.fc34.noarch texlive-robustindex-9:svn49877-36.fc34.noarch texlive-romanbar-9:svn25005.1.0f-36.fc34.noarch texlive-romanbarpagenumber-9:svn36236.1.0-36.fc34.noarch texlive-romande-9:svn19537.1.008_v7_sc-36.fc34.noarch texlive-romanneg-9:svn20087.0-36.fc34.noarch texlive-romannum-9:svn15878.1.0b-36.fc34.noarch texlive-rosario-9:svn51688-36.fc34.noarch texlive-rotfloat-9:svn18292.1.2-36.fc34.noarch texlive-rotpages-9:svn18740.3.0-36.fc34.noarch texlive-roundbox-9:svn29675.0.2-36.fc34.noarch texlive-rsc-9:svn41923-36.fc34.noarch texlive-rsfs-9:svn15878.0-36.fc34.noarch texlive-rsfso-9:svn37965.1.02-36.fc34.noarch texlive-rterface-9:svn30084.0-36.fc34.noarch texlive-rtkinenc-9:svn20003.1.0-36.fc34.noarch texlive-rulerbox-9:svn50984-36.fc34.noarch texlive-rulercompass-9:svn32392.1-36.fc34.noarch texlive-rutitlepage-9:svn51073-36.fc34.noarch texlive-rviewport-9:svn23739.v1.0-36.fc34.noarch texlive-rvwrite-9:svn19614.1.2-36.fc34.noarch texlive-ryersonsgsthesis-9:svn50119-36.fc34.noarch texlive-ryethesis-9:svn33945.1.36-36.fc34.noarch texlive-sa-tikz-9:svn32815.0.7a-36.fc34.noarch texlive-sageep-9:svn15878.1.0-36.fc34.noarch texlive-sanitize-umlaut-9:svn53292-36.fc34.noarch texlive-sansmath-9:svn17997.1.1-36.fc34.noarch texlive-sansmathaccent-9:svn53628-36.fc34.noarch texlive-sansmathfonts-9:svn51356-36.fc34.noarch texlive-sapthesis-9:svn48365-36.fc34.noarch texlive-sasnrdisplay-9:svn45963-36.fc34.noarch texlive-sauerj-9:svn15878.0-36.fc34.noarch texlive-sauter-9:svn13293.2.4-36.fc34.noarch texlive-sauterfonts-9:svn15878.0-36.fc34.noarch texlive-savefnmark-9:svn15878.1.0-36.fc34.noarch texlive-savesym-9:svn31565.1.2-36.fc34.noarch texlive-savetrees-9:svn40525-36.fc34.noarch texlive-scale-9:svn15878.1.1.2-36.fc34.noarch texlive-scalebar-9:svn15878.1.0-36.fc34.noarch texlive-scalerel-9:svn42809-36.fc34.noarch texlive-scanpages-9:svn42633-36.fc34.noarch texlive-schedule-9:svn51805-36.fc34.noarch texlive-schemabloc-9:svn15878.1.5-36.fc34.noarch texlive-scholax-9:svn55400-36.fc34.noarch texlive-schule-9:svn48471-36.fc34.noarch texlive-schulschriften-9:svn35730.4-36.fc34.noarch texlive-scientific-thesis-cover-9:svn47923-36.fc34.noarch texlive-sciposter-9:svn15878.1.18-36.fc34.noarch texlive-sclang-prettifier-9:svn35087.0.1-36.fc34.noarch texlive-scontents-9:svn53504-36.fc34.noarch texlive-scratch-9:svn50073-36.fc34.noarch texlive-scratch3-9:svn54916-36.fc34.noarch texlive-scratchx-9:svn44906-36.fc34.noarch texlive-scrjrnl-9:svn27810.0.1-36.fc34.noarch texlive-scrlttr2copy-9:svn39734-36.fc34.noarch texlive-scsnowman-9:svn54080-36.fc34.noarch texlive-sdaps-9:svn54678-36.fc34.noarch texlive-sdrt-9:svn15878.1.0-36.fc34.noarch texlive-sduthesis-9:svn41401-36.fc34.noarch texlive-secdot-9:svn20208.1.0-36.fc34.noarch texlive-secnum-9:svn53657-36.fc34.noarch texlive-section-9:svn20180.0-36.fc34.noarch texlive-sectionbox-9:svn37749.1.01-36.fc34.noarch texlive-sectionbreak-9:svn50339-36.fc34.noarch texlive-sectsty-9:svn15878.2.0.2-36.fc34.noarch texlive-seealso-9:svn43595-36.fc34.noarch texlive-selectp-9:svn20185.1.0-36.fc34.noarch texlive-selinput-9:svn53098-36.fc34.noarch texlive-semantic-9:svn15878.2.0-36.fc34.noarch texlive-semantic-markup-9:svn53607-36.fc34.noarch texlive-semaphor-9:svn18651.0-36.fc34.noarch texlive-seminar-9:svn34011.1.62-36.fc34.noarch texlive-semioneside-9:svn15878.v0.41-36.fc34.noarch texlive-semproc-9:svn37568.0.1-36.fc34.noarch texlive-sepfootnotes-9:svn41732-36.fc34.noarch texlive-sepnum-9:svn20186.2.0-36.fc34.noarch texlive-seqsplit-9:svn15878.0.1-36.fc34.noarch texlive-sesamanuel-9:svn36613.0.6-36.fc34.noarch texlive-sesstime-9:svn49750-36.fc34.noarch texlive-setdeck-9:svn40613-36.fc34.noarch texlive-setspace-9:svn24881.6.7a-36.fc34.noarch texlive-seuthesis-9:svn33042.2.1.2-36.fc34.noarch texlive-seuthesix-9:svn40088-36.fc34.noarch texlive-sf298-9:svn41653-36.fc34.noarch texlive-sffms-9:svn15878.2.0-36.fc34.noarch texlive-sfg-9:svn20209.0.91-36.fc34.noarch texlive-sfmath-9:svn15878.0.8-36.fc34.noarch texlive-shadethm-9:svn53350-36.fc34.noarch texlive-shadow-9:svn20312.0-36.fc34.noarch texlive-shadowtext-9:svn26522.0.3-36.fc34.noarch texlive-shapepar-9:svn30708.2.2-36.fc34.noarch texlive-shdoc-9:svn41991-36.fc34.noarch texlive-shipunov-9:svn52334-36.fc34.noarch texlive-shobhika-9:svn50555-36.fc34.noarch texlive-shortmathj-9:svn54407-36.fc34.noarch texlive-shorttoc-9:svn15878.1.3-36.fc34.noarch texlive-show2e-9:svn15878.1.0-36.fc34.noarch texlive-showcharinbox-9:svn29803.0.1-36.fc34.noarch texlive-showdim-9:svn28918.1.2-36.fc34.noarch texlive-showexpl-9:svn42677-36.fc34.noarch texlive-showhyphens-9:svn39787-36.fc34.noarch texlive-showlabels-9:svn41322-36.fc34.noarch texlive-showtags-9:svn20336.1.05-36.fc34.noarch texlive-shuffle-9:svn15878.1.0-36.fc34.noarch texlive-sidecap-9:svn15878.1.6f-36.fc34.noarch texlive-sidenotes-9:svn54524-36.fc34.noarch texlive-signchart-9:svn39707-36.fc34.noarch texlive-silence-9:svn27028.1.5b-36.fc34.noarch texlive-simplebnf-9:svn53370-36.fc34.noarch texlive-simplecd-9:svn29260.1.4-36.fc34.noarch texlive-simplecv-9:svn35537.1.6a-36.fc34.noarch texlive-simpleinvoice-9:svn45673-36.fc34.noarch texlive-simplekv-9:svn54915-36.fc34.noarch texlive-simpleoptics-9:svn54080-36.fc34.noarch texlive-simpler-wick-9:svn39074-36.fc34.noarch texlive-simplewick-9:svn15878.1.2a-36.fc34.noarch texlive-sitem-9:svn22136.1.0-36.fc34.noarch texlive-siunitx-9:svn53914-36.fc34.noarch texlive-skak-9:svn46259-36.fc34.noarch texlive-skb-9:svn22781.0.52-36.fc34.noarch texlive-skdoc-9:svn52211-36.fc34.noarch texlive-skeycommand-9:svn24652.0.4-36.fc34.noarch texlive-skeyval-9:svn30560.1.3-36.fc34.noarch texlive-skmath-9:svn52411-36.fc34.noarch texlive-skrapport-9:svn52412-36.fc34.noarch texlive-skull-9:svn51907-36.fc34.noarch texlive-slantsc-9:svn25007.2.11-36.fc34.noarch texlive-smalltableof-9:svn20333.0-36.fc34.noarch texlive-smartdiagram-9:svn42781-36.fc34.noarch texlive-smartref-9:svn20311.1.9-36.fc34.noarch texlive-smartunits-9:svn39592-36.fc34.noarch texlive-snapshot-9:svn52115-36.fc34.noarch texlive-snotez-9:svn30355.0.3-36.fc34.noarch texlive-songbook-9:svn18136.4.5-36.fc34.noarch texlive-sort-by-letters-9:svn27128.0-36.fc34.noarch texlive-soton-9:svn16215.0.1-36.fc34.noarch texlive-soul-9:svn15878.2.4-36.fc34.noarch texlive-soulpos-9:svn52663-36.fc34.noarch texlive-soulutf8-9:svn53163-36.fc34.noarch texlive-sourcecodepro-9:svn54512-36.fc34.noarch texlive-sourcesanspro-9:svn54892-36.fc34.noarch texlive-sourceserifpro-9:svn54512-36.fc34.noarch texlive-spacingtricks-9:svn52063-36.fc34.noarch texlive-spalign-9:svn42225-36.fc34.noarch texlive-spark-otf-9:svn51005-36.fc34.noarch texlive-sparklines-9:svn42821-36.fc34.noarch texlive-spath3-9:svn50018-36.fc34.noarch texlive-spectralsequences-9:svn50072-36.fc34.noarch texlive-sphack-9:svn20842.0-36.fc34.noarch texlive-sphdthesis-9:svn34374.1.0-36.fc34.noarch texlive-spie-9:svn15878.3.25-36.fc34.noarch texlive-splitbib-9:svn15878.1.17-36.fc34.noarch texlive-splitindex-9:20200327-25.fc34.noarch texlive-spot-9:svn22408.1.1-36.fc34.noarch texlive-spotcolor-9:svn15878.1.2-36.fc34.noarch texlive-spreadtab-9:svn50147-36.fc34.noarch texlive-spverbatim-9:svn15878.v1.0-36.fc34.noarch texlive-sr-vorl-9:svn39529-36.fc34.noarch texlive-srbook-mem-9:svn45818-36.fc34.noarch texlive-srcltx-9:svn15878.1.6-36.fc34.noarch texlive-srdp-mathematik-9:svn54685-36.fc34.noarch texlive-sseq-9:svn31585.2.01-36.fc34.noarch texlive-sslides-9:svn32293.0-36.fc34.noarch texlive-stack-9:svn15878.1.00-36.fc34.noarch texlive-stackengine-9:svn53843-36.fc34.noarch texlive-standalone-9:svn47136-36.fc34.noarch texlive-stanli-9:svn54512-36.fc34.noarch texlive-starfont-9:svn19982.1.2-36.fc34.noarch texlive-statex-9:svn20306.1.6-36.fc34.noarch texlive-statex2-9:svn23961.2.1-36.fc34.noarch texlive-statistics-9:svn52212-36.fc34.noarch texlive-statistik-9:svn20334.0.03-36.fc34.noarch texlive-statmath-9:svn46925-36.fc34.noarch texlive-staves-9:svn15878.0-36.fc34.noarch texlive-stdclsdv-9:svn15878.1.1a-36.fc34.noarch texlive-stdpage-9:svn15878.0.6-36.fc34.noarch texlive-stealcaps-9:svn46434-36.fc34.noarch texlive-steinmetz-9:svn15878.1.0-36.fc34.noarch texlive-stellenbosch-9:svn36696.11a-36.fc34.noarch texlive-step-9:svn53731-36.fc34.noarch texlive-stex-9:svn50489-36.fc34.noarch texlive-stickstoo-9:svn54512-36.fc34.noarch texlive-stix-9:svn54512-36.fc34.noarch texlive-stix2-otf-9:svn54080-36.fc34.noarch texlive-stix2-type1-9:svn54512-36.fc34.noarch texlive-stmaryrd-9:svn22027.0-36.fc34.noarch texlive-storebox-9:svn24895.1.3a-36.fc34.noarch texlive-storecmd-9:svn24431.0.0.2-36.fc34.noarch texlive-stringenc-9:svn52982-36.fc34.noarch texlive-stringstrings-9:svn36203.1.23-36.fc34.noarch texlive-structmech-9:svn47859-36.fc34.noarch texlive-struktex-9:svn47931-36.fc34.noarch texlive-sttools-9:svn43684-36.fc34.noarch texlive-stubs-9:svn19440.0.1.1-36.fc34.noarch texlive-studenthandouts-9:svn43516-36.fc34.noarch texlive-subdepth-9:svn15878.0.1-36.fc34.noarch texlive-subdocs-9:svn51480-36.fc34.noarch texlive-subeqn-9:svn15878.2.0b-36.fc34.noarch texlive-subeqnarray-9:svn15878.2.1c-36.fc34.noarch texlive-subfig-9:svn15878.1.3-36.fc34.noarch texlive-subfigmat-9:svn20308.1.0-36.fc34.noarch texlive-subfigure-9:svn15878.2.1.5-36.fc34.noarch texlive-subfiles-9:svn53782-36.fc34.noarch texlive-subfloat-9:svn29349.2.14-36.fc34.noarch texlive-substances-9:svn40989-36.fc34.noarch texlive-substitutefont-9:svn32066.0.1.4-36.fc34.noarch texlive-substr-9:svn16117.1.2-36.fc34.noarch texlive-subsupscripts-9:svn16080.1.0-36.fc34.noarch texlive-subtext-9:svn51273-36.fc34.noarch texlive-suftesi-9:svn53903-36.fc34.noarch texlive-sugconf-9:svn15878.0-36.fc34.noarch texlive-superiors-9:svn51909-36.fc34.noarch texlive-supertabular-9:svn53658-36.fc34.noarch texlive-susy-9:svn19440.0-36.fc34.noarch texlive-svg-9:svn55643-36.fc34.noarch texlive-svgcolor-9:svn15878.1.0-36.fc34.noarch texlive-svn-9:svn15878.43-36.fc34.noarch texlive-svn-multi-9:20200327-25.fc34.noarch texlive-svn-prov-9:svn18017.3.1862-36.fc34.noarch texlive-svninfo-9:svn17554.0.7.4-36.fc34.noarch texlive-svrsymbols-9:svn50019-36.fc34.noarch texlive-swimgraf-9:svn25446.0-36.fc34.noarch texlive-syllogism-9:svn15878.1.2-36.fc34.noarch texlive-symbol-9:svn31835.0-36.fc34.noarch texlive-sympytexpackage-9:svn45818-36.fc34.noarch texlive-synproof-9:svn15878.1.0-36.fc34.noarch texlive-syntax-9:svn15878.0-36.fc34.noarch texlive-syntrace-9:svn15878.1.1-36.fc34.noarch texlive-synttree-9:svn16252.1.4.2-36.fc34.noarch texlive-t-angles-9:svn15878.0-36.fc34.noarch texlive-tabfigures-9:svn25202.1.1-36.fc34.noarch texlive-table-fct-9:svn41849-36.fc34.noarch texlive-tableaux-9:svn42413-36.fc34.noarch texlive-tablefootnote-9:svn32804.1.1c-36.fc34.noarch texlive-tableof-9:svn48815-36.fc34.noarch texlive-tablestyles-9:svn34495.0-36.fc34.noarch texlive-tablists-9:svn15878.0.0e-36.fc34.noarch texlive-tablor-9:svn31855.4.07_g-36.fc34.noarch texlive-tabls-9:svn17255.3.5-36.fc34.noarch texlive-tablvar-9:svn51543-36.fc34.noarch texlive-tabriz-thesis-9:svn51729-36.fc34.noarch texlive-tabstackengine-9:svn46848-36.fc34.noarch texlive-tabto-ltx-9:svn54080-36.fc34.noarch texlive-tabu-9:svn49707-36.fc34.noarch texlive-tabularborder-9:svn17885.1.0a-36.fc34.noarch texlive-tabularcalc-9:svn15878.0.2-36.fc34.noarch texlive-tabularew-9:svn15878.0.1-36.fc34.noarch texlive-tabulary-9:svn34368.0.10-36.fc34.noarch texlive-tagging-9:svn52064-36.fc34.noarch texlive-tagpair-9:svn42138-36.fc34.noarch texlive-tagpdf-9:svn51535-36.fc34.noarch texlive-talk-9:svn42428-36.fc34.noarch texlive-tamefloats-9:svn27345.v0.42-36.fc34.noarch texlive-tapir-9:svn20484.0.2-36.fc34.noarch texlive-tasks-9:svn54814-36.fc34.noarch texlive-tcldoc-9:svn22018.2.40-36.fc34.noarch texlive-tcolorbox-9:svn54928-36.fc34.noarch texlive-tdclock-9:svn33043.v2.5-36.fc34.noarch texlive-technics-9:svn29349.1.0-36.fc34.noarch texlive-technion-thesis-template-9:svn49889-36.fc34.noarch texlive-ted-9:svn15878.1.06-36.fc34.noarch texlive-templatetools-9:svn34495.0-36.fc34.noarch texlive-tempora-9:svn39596-36.fc34.noarch texlive-tengwarscript-9:svn34594.1.3.1-36.fc34.noarch texlive-tensind-9:svn51481-36.fc34.noarch texlive-tensor-9:svn15878.2.1-36.fc34.noarch texlive-termcal-9:svn22514.1.8-36.fc34.noarch texlive-termlist-9:svn18923.1.1-36.fc34.noarch texlive-testhyphens-9:svn38928-36.fc34.noarch texlive-testidx-9:svn52213-36.fc34.noarch texlive-tex-9:20200327-25.fc34.x86_64 texlive-tex-ewd-9:svn15878.0-36.fc34.noarch texlive-tex-gyre-9:svn48058-36.fc34.noarch texlive-tex-gyre-math-9:svn41264-36.fc34.noarch texlive-tex-ini-files-9:svn40533-36.fc34.noarch texlive-tex-label-9:svn16372.0-36.fc34.noarch texlive-tex-locale-9:svn48500-36.fc34.noarch texlive-tex4ht-9:20200327-25.fc34.x86_64 texlive-texdraw-9:svn51030-36.fc34.noarch texlive-texilikechaps-9:svn28553.1.0a-36.fc34.noarch texlive-texilikecover-9:svn15878.0.1-36.fc34.noarch texlive-texlive-common-doc-9:svn54176-36.fc34.noarch texlive-texlive-docindex-9:svn54903-36.fc34.noarch texlive-texlive-en-9:20200327-25.fc34.noarch texlive-texlive-msg-translations-9:svn54919-36.fc34.noarch texlive-texlive-scripts-9:20200327-25.fc34.noarch texlive-texlive.infra-9:20200327-25.fc34.noarch texlive-texlogos-9:svn19083.1.3.1-36.fc34.noarch texlive-texmate-9:svn15878.2-36.fc34.noarch texlive-texments-9:svn15878.0.2.0-36.fc34.noarch texlive-texpower-9:svn29349.0.2-36.fc34.noarch texlive-texshade-9:svn46559-36.fc34.noarch texlive-textcase-9:svn52092-36.fc34.noarch texlive-textfit-9:svn20591.5-36.fc34.noarch texlive-textgreek-9:svn44192-36.fc34.noarch texlive-textmerg-9:svn20677.2.01-36.fc34.noarch texlive-textopo-9:svn23796.1.5-36.fc34.noarch texlive-textpos-9:svn50988-36.fc34.noarch texlive-textualicomma-9:svn48474-36.fc34.noarch texlive-texvc-9:svn46844-36.fc34.noarch texlive-tfrupee-9:svn20770.1.02-36.fc34.noarch texlive-theanodidot-9:svn54512-36.fc34.noarch texlive-theanomodern-9:svn54512-36.fc34.noarch texlive-theanooldstyle-9:svn54512-36.fc34.noarch texlive-theoremref-9:svn54512-36.fc34.noarch texlive-thesis-ekf-9:svn53685-36.fc34.noarch texlive-thesis-gwu-9:svn54287-36.fc34.noarch texlive-thesis-qom-9:svn49124-36.fc34.noarch texlive-thesis-titlepage-fhac-9:svn15878.0.1-36.fc34.noarch texlive-thinsp-9:svn39669-36.fc34.noarch texlive-thmbox-9:svn15878.0-36.fc34.noarch texlive-thmtools-9:svn53219-36.fc34.noarch texlive-threadcol-9:svn28754.1.0-36.fc34.noarch texlive-threeparttable-9:svn17383.0-36.fc34.noarch texlive-threeparttablex-9:svn34206.0.3-36.fc34.noarch texlive-thuaslogos-9:svn51347-36.fc34.noarch texlive-thucoursework-9:svn53891-36.fc34.noarch texlive-thumb-9:svn16549.1.0-36.fc34.noarch texlive-thumbpdf-9:20200327-25.fc34.noarch texlive-thumbs-9:svn33134.1.0q-36.fc34.noarch texlive-thumby-9:svn16736.0.1-36.fc34.noarch texlive-thuthesis-9:svn53892-36.fc34.noarch texlive-ticket-9:svn42280-36.fc34.noarch texlive-ticollege-9:svn36306.1.0-36.fc34.noarch texlive-tikz-3dplot-9:svn25087.0-36.fc34.noarch texlive-tikz-bayesnet-9:svn38295.0.1-36.fc34.noarch texlive-tikz-cd-9:svn49201-36.fc34.noarch texlive-tikz-dependency-9:svn54512-36.fc34.noarch texlive-tikz-dimline-9:svn35805.1.0-36.fc34.noarch texlive-tikz-feynhand-9:svn51915-36.fc34.noarch texlive-tikz-feynman-9:svn39582-36.fc34.noarch texlive-tikz-imagelabels-9:svn51490-36.fc34.noarch texlive-tikz-inet-9:svn15878.0.1-36.fc34.noarch texlive-tikz-kalender-9:svn52890-36.fc34.noarch texlive-tikz-karnaugh-9:svn47026-36.fc34.noarch texlive-tikz-ladder-9:svn46555-36.fc34.noarch texlive-tikz-layers-9:svn46660-36.fc34.noarch texlive-tikz-nef-9:svn48240-36.fc34.noarch texlive-tikz-network-9:svn51884-36.fc34.noarch texlive-tikz-opm-9:svn32769.0.1.1-36.fc34.noarch texlive-tikz-optics-9:svn43466-36.fc34.noarch texlive-tikz-page-9:svn42039-36.fc34.noarch texlive-tikz-palattice-9:svn43442-36.fc34.noarch texlive-tikz-planets-9:svn54857-36.fc34.noarch texlive-tikz-qtree-9:svn26108.1.2-36.fc34.noarch texlive-tikz-relay-9:svn51355-36.fc34.noarch texlive-tikz-sfc-9:svn49424-36.fc34.noarch texlive-tikz-timing-9:svn46111-36.fc34.noarch texlive-tikz-trackschematic-9:svn53754-36.fc34.noarch texlive-tikz-truchet-9:svn50020-36.fc34.noarch texlive-tikzcodeblocks-9:svn54758-36.fc34.noarch texlive-tikzducks-9:svn54837-36.fc34.noarch texlive-tikzinclude-9:svn28715.1.0-36.fc34.noarch texlive-tikzlings-9:svn54838-36.fc34.noarch texlive-tikzmark-9:svn52293-36.fc34.noarch texlive-tikzmarmots-9:svn54080-36.fc34.noarch texlive-tikzorbital-9:svn36439.0-36.fc34.noarch texlive-tikzpagenodes-9:svn27723.1.1-36.fc34.noarch texlive-tikzpeople-9:svn43978-36.fc34.noarch texlive-tikzpfeile-9:svn25777.1.0-36.fc34.noarch texlive-tikzposter-9:svn32732.2.0-36.fc34.noarch texlive-tikzscale-9:svn30637.0.2.6-36.fc34.noarch texlive-tikzsymbols-9:svn49975-36.fc34.noarch texlive-timbreicmc-9:svn49740-36.fc34.noarch texlive-times-9:svn35058.0-36.fc34.noarch texlive-timing-diagrams-9:svn31491.0-36.fc34.noarch texlive-tinos-9:svn42882-36.fc34.noarch texlive-tipa-9:svn29349.1.3-36.fc34.noarch texlive-tipfr-doc-9:svn38646-36.fc34.noarch texlive-titlecaps-9:svn36170.1.2-36.fc34.noarch texlive-titlefoot-9:svn15878.0-36.fc34.noarch texlive-titlepic-9:svn43497-36.fc34.noarch texlive-titleref-9:svn18729.3.1-36.fc34.noarch texlive-titlesec-9:svn52413-36.fc34.noarch texlive-titling-9:svn15878.2.1d-36.fc34.noarch texlive-tkz-base-9:svn54758-36.fc34.noarch texlive-tkz-doc-9:svn54758-36.fc34.noarch texlive-tkz-euclide-9:svn54758-36.fc34.noarch texlive-tkz-fct-9:svn54703-36.fc34.noarch texlive-tkz-orm-9:svn54512-36.fc34.noarch texlive-tkz-tab-9:svn54662-36.fc34.noarch texlive-tlc-article-9:svn51431-36.fc34.noarch texlive-tocbibind-9:svn20085.1.5k-36.fc34.noarch texlive-tocdata-9:svn51654-36.fc34.noarch texlive-tocloft-9:svn53364-36.fc34.noarch texlive-tocvsec2-9:svn33146.1.3a-36.fc34.noarch texlive-todo-9:svn17746.2.142-36.fc34.noarch texlive-todonotes-9:svn52662-36.fc34.noarch texlive-tokcycle-9:svn53755-36.fc34.noarch texlive-tokenizer-9:svn15878.1.1.0-36.fc34.noarch texlive-toolbox-9:svn32260.5.1-36.fc34.noarch texlive-tools-9:svn53640-36.fc34.noarch texlive-topfloat-9:svn19084.0-36.fc34.noarch texlive-topiclongtable-9:svn54758-36.fc34.noarch texlive-topletter-9:svn48182-36.fc34.noarch texlive-toptesi-9:svn51743-36.fc34.noarch texlive-totcount-9:svn21178.1.2-36.fc34.noarch texlive-totpages-9:svn15878.2.00-36.fc34.noarch texlive-tpslifonts-9:svn42428-36.fc34.noarch texlive-tqft-9:svn44455-36.fc34.noarch texlive-tracklang-9:svn52991-36.fc34.noarch texlive-trajan-9:svn15878.1.1-36.fc34.noarch texlive-translations-9:svn54929-36.fc34.noarch texlive-translator-9:svn54512-36.fc34.noarch texlive-transparent-9:svn52981-36.fc34.noarch texlive-tree-dvips-9:svn21751.91-36.fc34.noarch texlive-trfsigns-9:svn15878.1.01-36.fc34.noarch texlive-trimspaces-9:svn15878.1.1-36.fc34.noarch texlive-trivfloat-9:svn15878.1.3b-36.fc34.noarch texlive-trsym-9:svn18732.1.0-36.fc34.noarch texlive-truncate-9:svn18921.3.6-36.fc34.noarch texlive-tsemlines-9:svn23440.1.0-36.fc34.noarch texlive-ttfutils-9:20200327-25.fc34.x86_64 texlive-tucv-9:svn20680.1.0-36.fc34.noarch texlive-tuda-ci-9:svn54878-36.fc34.noarch texlive-tudscr-9:LPPL-36.fc34.noarch texlive-tufte-latex-9:svn37649.3.5.2-36.fc34.noarch texlive-tugboat-9:svn54261-36.fc34.noarch texlive-tugboat-plain-9:svn51373-36.fc34.noarch texlive-tui-9:svn27253.1.9-36.fc34.noarch texlive-turabian-9:svn36298.0.1.0-36.fc34.noarch texlive-turabian-formatting-9:svn54436-36.fc34.noarch texlive-turnstile-9:svn15878.1.0-36.fc34.noarch texlive-turnthepage-9:svn29803.1.3a-36.fc34.noarch texlive-twemoji-colr-9:svn54512-36.fc34.noarch texlive-twoinone-9:svn17024.0-36.fc34.noarch texlive-twoup-9:svn15878.1.3-36.fc34.noarch texlive-txfonts-9:svn15878.0-36.fc34.noarch texlive-txfontsb-9:svn54512-36.fc34.noarch texlive-txgreeks-9:svn21839.1.0-36.fc34.noarch texlive-txuprcal-9:svn43327-36.fc34.noarch texlive-type1cm-9:svn21820.0-36.fc34.noarch texlive-typed-checklist-9:svn49731-36.fc34.noarch texlive-typeface-9:svn27046.0.1-36.fc34.noarch texlive-typehtml-9:svn17134.0-36.fc34.noarch texlive-typicons-9:svn37623.2.0.7-36.fc34.noarch texlive-typoaid-9:svn44238-36.fc34.noarch texlive-typogrid-9:svn24994.0.21-36.fc34.noarch texlive-uaclasses-9:svn15878.0-36.fc34.noarch texlive-uafthesis-9:svn29349.12.12-36.fc34.noarch texlive-uantwerpendocs-9:svn51007-36.fc34.noarch texlive-uassign-9:svn38459-36.fc34.noarch texlive-ucalgmthesis-9:svn52527-36.fc34.noarch texlive-ucbthesis-9:svn51690-36.fc34.noarch texlive-ucdavisthesis-9:svn40772-36.fc34.noarch texlive-ucharcat-9:svn38907-36.fc34.noarch texlive-ucs-9:svn35853.2.2-36.fc34.noarch texlive-ucsmonograph-9:svn52698-36.fc34.noarch texlive-ucthesis-9:svn15878.3.2-36.fc34.noarch texlive-uebungsblatt-9:svn15878.1.5.0-36.fc34.noarch texlive-uestcthesis-9:svn36371.1.1.0-36.fc34.noarch texlive-uhc-9:svn16791.0-36.fc34.noarch texlive-uhhassignment-9:svn44026-36.fc34.noarch texlive-uiucredborder-9:svn29974.1.00-36.fc34.noarch texlive-uiucthesis-9:svn15878.2.25-36.fc34.noarch texlive-ulem-9:svn53365-36.fc34.noarch texlive-ulqda-9:20200327-25.fc34.noarch texlive-ulthese-9:svn52972-36.fc34.noarch texlive-umbclegislation-9:svn41348-36.fc34.noarch texlive-umich-thesis-9:svn15878.1.20-36.fc34.noarch texlive-umoline-9:svn19085.0-36.fc34.noarch texlive-umthesis-9:svn15878.0.2-36.fc34.noarch texlive-umtypewriter-9:svn18651.001.002-36.fc34.noarch texlive-unam-thesis-9:svn51207-36.fc34.noarch texlive-unamth-template-doc-9:svn33625.2.0-36.fc34.noarch texlive-unamthesis-9:svn43639-36.fc34.noarch texlive-underlin-9:svn15878.1.01-36.fc34.noarch texlive-underoverlap-9:svn29019.0.0.1_r1-36.fc34.noarch texlive-underscore-9:svn18261.0-36.fc34.noarch texlive-undolabl-9:svn36681.1.0l-36.fc34.noarch texlive-uni-wtal-ger-9:svn31541.0.2-36.fc34.noarch texlive-uni-wtal-lin-9:svn31409.0.2-36.fc34.noarch texlive-unicode-data-9:svn54758-36.fc34.noarch texlive-unicode-math-9:svn53609-36.fc34.noarch texlive-unifith-9:svn51968-36.fc34.noarch texlive-uniquecounter-9:svn53162-36.fc34.noarch texlive-unitn-bimrep-9:svn45581-36.fc34.noarch texlive-units-9:svn42428-36.fc34.noarch texlive-unitsdef-9:svn15878.0.2-36.fc34.noarch texlive-universa-9:svn51984-36.fc34.noarch texlive-universalis-9:svn33860.0-36.fc34.noarch texlive-univie-ling-9:svn49785-36.fc34.noarch texlive-unravel-9:svn52822-36.fc34.noarch texlive-unswcover-9:svn29476.1.0-36.fc34.noarch texlive-uothesis-9:svn25355.2.5.6-36.fc34.noarch texlive-uowthesis-9:svn19700.1.0a-36.fc34.noarch texlive-uowthesistitlepage-9:svn54512-36.fc34.noarch texlive-updmap-map-9:svn56618-36.fc34.noarch texlive-uplatex-9:svn54276-36.fc34.noarch texlive-upmethodology-9:svn54758-36.fc34.noarch texlive-uppunctlm-9:svn42334-36.fc34.noarch texlive-upquote-9:svn26059.v1.3-36.fc34.noarch texlive-uptex-fonts-9:svn54045-36.fc34.noarch texlive-urcls-9:svn49903-36.fc34.noarch texlive-uri-9:svn48602-36.fc34.noarch texlive-url-9:svn32528.3.4-36.fc34.noarch texlive-urlbst-9:20200327-25.fc34.noarch texlive-urwchancal-9:svn21701.1-36.fc34.noarch texlive-usebib-9:svn25969.1.0a-36.fc34.noarch texlive-ushort-9:svn32261.2.2-36.fc34.noarch texlive-uspace-9:svn42456-36.fc34.noarch texlive-uspatent-9:svn27744.1.0-36.fc34.noarch texlive-ut-thesis-9:svn38269.2.1-36.fc34.noarch texlive-utexasthesis-9:svn48648-36.fc34.noarch texlive-utopia-9:svn15878.0-36.fc34.noarch texlive-uwthesis-9:svn15878.6.13-36.fc34.noarch texlive-vak-9:svn23431.0-36.fc34.noarch texlive-vancouver-9:svn34470.0-36.fc34.noarch texlive-variablelm-9:svn46611-36.fc34.noarch texlive-varindex-9:svn32262.2.3-36.fc34.noarch texlive-varsfromjobname-9:svn44154-36.fc34.noarch texlive-varwidth-9:svn24104.0.92-36.fc34.noarch texlive-vdmlisting-9:svn29944.1.0-36.fc34.noarch texlive-venn-9:svn15878.0-36.fc34.noarch texlive-venndiagram-9:svn47952-36.fc34.noarch texlive-venturisadf-9:svn19444.1.005-36.fc34.noarch texlive-verbasef-9:svn21922.1.1-36.fc34.noarch texlive-verbatimbox-9:svn33197.3.13-36.fc34.noarch texlive-verbatimcopy-9:svn15878.0.06-36.fc34.noarch texlive-verbdef-9:svn17177.0.2-36.fc34.noarch texlive-verbments-9:svn23670.1.2-36.fc34.noarch texlive-verse-9:svn34017.2.4b-36.fc34.noarch texlive-version-9:svn21920.2.0-36.fc34.noarch texlive-versions-9:svn21921.0.55-36.fc34.noarch texlive-versonotes-9:svn51568-36.fc34.noarch texlive-vertbars-9:svn49429-36.fc34.noarch texlive-vgrid-9:svn32457.0.1-36.fc34.noarch texlive-vhistory-9:svn30080.1.6.1-36.fc34.noarch texlive-visualpstricks-doc-9:svn39799-36.fc34.noarch texlive-vmargin-9:svn15878.2.5-36.fc34.noarch texlive-volumes-9:svn15878.1.0-36.fc34.noarch texlive-vpe-9:20200327-25.fc34.noarch texlive-vruler-9:svn21598.2.3-36.fc34.noarch texlive-vtable-9:svn51126-36.fc34.noarch texlive-vwcol-9:svn36254.0.2-36.fc34.noarch texlive-wadalab-9:svn42428-36.fc34.noarch texlive-wallcalendar-9:svn45568-36.fc34.noarch texlive-wallpaper-9:svn15878.1.10-36.fc34.noarch texlive-warning-9:svn22028.0.01-36.fc34.noarch texlive-warpcol-9:svn15878.1.0c-36.fc34.noarch texlive-was-9:svn21439.0-36.fc34.noarch texlive-wasy-9:svn53533-36.fc34.noarch texlive-wasy-type1-9:svn53534-36.fc34.noarch texlive-wasysym-9:svn54080-36.fc34.noarch texlive-webquiz-9:20200327-25.fc34.noarch texlive-widetable-9:svn53409-36.fc34.noarch texlive-widows-and-orphans-9:svn53505-36.fc34.noarch texlive-williams-9:svn15878.0-36.fc34.noarch texlive-willowtreebook-9:svn54866-36.fc34.noarch texlive-windycity-9:svn51668-36.fc34.noarch texlive-withargs-9:svn52641-36.fc34.noarch texlive-witharrows-9:svn54707-36.fc34.noarch texlive-wordcount-9:20200327-25.fc34.noarch texlive-wordlike-9:svn15878.1.2b-36.fc34.noarch texlive-worksheet-9:svn48423-36.fc34.noarch texlive-wrapfig-9:svn22048.3.6-36.fc34.noarch texlive-wsemclassic-9:svn31532.1.0.1-36.fc34.noarch texlive-wsuipa-9:svn25469.0-36.fc34.noarch texlive-wtref-9:svn42981-36.fc34.noarch texlive-xargs-9:svn15878.1.1-36.fc34.noarch texlive-xassoccnt-9:svn54080-36.fc34.noarch texlive-xbmks-9:svn53448-36.fc34.noarch texlive-xcharter-9:svn56692-36.fc34.noarch texlive-xcite-9:svn53486-36.fc34.noarch texlive-xcjk2uni-9:svn50848-36.fc34.noarch texlive-xcntperchap-9:svn54080-36.fc34.noarch texlive-xcolor-9:svn41044-36.fc34.noarch texlive-xcolor-material-9:svn42289-36.fc34.noarch texlive-xcolor-solarized-9:svn41809-36.fc34.noarch texlive-xcomment-9:svn20031.1.3-36.fc34.noarch texlive-xcookybooky-9:svn36435.1.5-36.fc34.noarch texlive-xcpdftips-9:svn50449-36.fc34.noarch texlive-xdoc-9:svn15878.prot2.5-36.fc34.noarch texlive-xduthesis-9:svn39694-36.fc34.noarch texlive-xdvi-9:20200327-25.fc34.x86_64 texlive-xecjk-9:svn53835-36.fc34.noarch texlive-xellipsis-9:svn47546-36.fc34.noarch texlive-xetex-9:20200327-25.fc34.x86_64 texlive-xetexconfig-9:svn45845-36.fc34.noarch texlive-xfakebold-9:svn54779-36.fc34.noarch texlive-xfor-9:svn15878.1.05-36.fc34.noarch texlive-xhfill-9:svn22575.1.01-36.fc34.noarch texlive-xifthen-9:svn38929-36.fc34.noarch texlive-xint-9:svn53930-36.fc34.noarch texlive-xits-9:svn52046-36.fc34.noarch texlive-xkcdcolors-9:svn54512-36.fc34.noarch texlive-xkeyval-9:svn35741.2.7a-36.fc34.noarch texlive-xltabular-9:svn54437-36.fc34.noarch texlive-xltxtra-9:svn49555-36.fc34.noarch texlive-xmpincl-9:svn15878.2.2-36.fc34.noarch texlive-xnewcommand-9:svn15878.1.2-36.fc34.noarch texlive-xoptarg-9:svn15878.1.0-36.fc34.noarch texlive-xpatch-9:svn54563-36.fc34.noarch texlive-xpeek-9:svn27442.0.2-36.fc34.noarch texlive-xpicture-9:svn28770.1.2a-36.fc34.noarch texlive-xpinyin-9:svn50849-36.fc34.noarch texlive-xprintlen-9:svn35928.1.0-36.fc34.noarch texlive-xpunctuate-9:svn26641.1.0-36.fc34.noarch texlive-xsavebox-9:svn54097-36.fc34.noarch texlive-xsim-9:svn54681-36.fc34.noarch texlive-xstring-9:svn49946-36.fc34.noarch texlive-xtab-9:svn23347.2.3f-36.fc34.noarch texlive-xunicode-9:svn30466.0.981-36.fc34.noarch texlive-xurl-9:svn53538-36.fc34.noarch texlive-xwatermark-9:svn28090.1.5.2d-36.fc34.noarch texlive-xyling-9:svn15878.1.1-36.fc34.noarch texlive-xymtex-9:svn32182.5.06-36.fc34.noarch texlive-xypic-9:svn31859.3.8.9-36.fc34.noarch texlive-xytree-9:svn15878.1.5-36.fc34.noarch texlive-yafoot-9:svn48568-36.fc34.noarch texlive-yagusylo-9:svn29803.1.2-36.fc34.noarch texlive-yaletter-9:svn42830-36.fc34.noarch texlive-yathesis-9:svn54740-36.fc34.noarch texlive-yazd-thesis-9:svn51725-36.fc34.noarch texlive-ycbook-9:svn46201-36.fc34.noarch texlive-ydoc-9:svn26202.0.6alpha-36.fc34.noarch texlive-yfonts-9:svn50755-36.fc34.noarch texlive-yfonts-t1-9:svn36013-36.fc34.noarch texlive-yhmath-9:svn54377-36.fc34.noarch texlive-yinit-otf-9:svn40207-36.fc34.noarch texlive-york-thesis-9:svn23348.3.6-36.fc34.noarch texlive-youngtab-9:svn17635.1.1-36.fc34.noarch texlive-yplan-9:20200327-25.fc34.noarch texlive-yquant-9:svn54737-36.fc34.noarch texlive-ytableau-9:svn27430.1.3-36.fc34.noarch texlive-zapfchan-9:svn31835.0-36.fc34.noarch texlive-zapfding-9:svn31835.0-36.fc34.noarch texlive-zebra-goodies-9:svn51554-36.fc34.noarch texlive-zed-csp-9:svn17258.0-36.fc34.noarch texlive-zhmetrics-9:svn22207.r206-36.fc34.noarch texlive-zhmetrics-uptex-9:svn40728-36.fc34.noarch texlive-zhnumber-9:svn50850-36.fc34.noarch texlive-ziffer-9:svn32279.2.1-36.fc34.noarch texlive-zlmtt-9:svn51368-36.fc34.noarch texlive-zootaxa-bst-9:svn50619-36.fc34.noarch texlive-zref-9:svn54098-36.fc34.noarch texlive-zwgetfdate-9:svn15878.0-36.fc34.noarch texlive-zwpagelayout-9:svn53965-36.fc34.noarch tk-1:8.6.10-5.fc33.x86_64 tre-0.8.0-31.20140228gitc2f5d13.fc33.x86_64 tre-common-0.8.0-31.20140228gitc2f5d13.fc33.noarch txt2man-1.6.0-9.fc33.noarch urw-base35-bookman-fonts-20200910-2.fc34.noarch urw-base35-c059-fonts-20200910-2.fc34.noarch urw-base35-d050000l-fonts-20200910-2.fc34.noarch urw-base35-fonts-20200910-2.fc34.noarch urw-base35-fonts-common-20200910-2.fc34.noarch urw-base35-gothic-fonts-20200910-2.fc34.noarch urw-base35-nimbus-mono-ps-fonts-20200910-2.fc34.noarch urw-base35-nimbus-roman-fonts-20200910-2.fc34.noarch urw-base35-nimbus-sans-fonts-20200910-2.fc34.noarch urw-base35-p052-fonts-20200910-2.fc34.noarch urw-base35-standard-symbols-ps-fonts-20200910-2.fc34.noarch urw-base35-z003-fonts-20200910-2.fc34.noarch vim-minimal-2:8.2.2311-1.fc34.x86_64 webkit2gtk3-2.31.1-3.fc34.x86_64 webkit2gtk3-jsc-2.31.1-3.fc34.x86_64 woff2-1.0.2-9.fc33.x86_64 wpebackend-fdo-1.8.0-1.fc34.x86_64 xdg-dbus-proxy-0.1.2-3.fc33.x86_64 xdg-utils-1.1.3-7.fc33.noarch xkeyboard-config-2.31-3.fc34.noarch xml-common-0.6.3-55.fc33.noarch xorg-x11-font-utils-1:7.5-47.fc34.x86_64 xorg-x11-fonts-ISO8859-1-100dpi-7.5-26.fc34.noarch zlib-devel-1.2.11-23.fc34.x86_64 zziplib-0.13.71-1.fc34.x86_64 Complete! Finish: build setup for yosys-0.9-8.fc34.src.rpm Start: rpmbuild yosys-0.9-8.fc34.src.rpm Building target platforms: x86_64 Building for target x86_64 setting SOURCE_DATE_EPOCH=1602892800 Executing(%prep): /bin/sh -e /var/tmp/rpm-tmp.g0RAae + umask 022 + cd /builddir/build/BUILD + cd /builddir/build/BUILD + rm -rf yosys-yosys-0.9 + /usr/bin/gzip -dc /builddir/build/SOURCES/yosys-0.9.tar.gz + /usr/bin/tar -xof - + STATUS=0 + '[' 0 -ne 0 ']' + cd yosys-yosys-0.9 + /usr/bin/chmod -Rf a+rX,u+w,g-w,o-w . + echo 'Patch #1 (yosys-cfginc.patch):' Patch #1 (yosys-cfginc.patch): + /usr/bin/patch --no-backup-if-mismatch -p1 -b --suffix .cfginc --fuzz=0 patching file Makefile Hunk #1 succeeded at 628 (offset 108 lines). Patch #2 (yosys-mancfginc.patch): + echo 'Patch #2 (yosys-mancfginc.patch):' + /usr/bin/patch --no-backup-if-mismatch -p1 -b --suffix .mancfginc --fuzz=0 patching file manual/CHAPTER_Prog/Makefile patching file manual/PRESENTATION_Prog/Makefile Patch #3 (yosys-gcc11.patch): + echo 'Patch #3 (yosys-gcc11.patch):' + /usr/bin/patch --no-backup-if-mismatch -p1 -b --suffix .gcc11 --fuzz=0 patching file passes/sat/freduce.cc + cp /builddir/build/SOURCES/viz.js . + cd /builddir/build/BUILD + cd yosys-yosys-0.9 + /usr/bin/xz -dc /builddir/build/SOURCES/yosys_0.9-1.debian.tar.xz + /usr/bin/tar -xof - + STATUS=0 + '[' 0 -ne 0 ']' + /usr/bin/chmod -Rf a+rX,u+w,g-w,o-w . ++ find . -name '*.py' + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./tests/tools/txt2tikztiming.py + touch -r ./tests/tools/txt2tikztiming.py ./tests/tools/txt2tikztiming.py.new + mv ./tests/tools/txt2tikztiming.py.new ./tests/tools/txt2tikztiming.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./tests/share/generate.py + touch -r ./tests/share/generate.py ./tests/share/generate.py.new + mv ./tests/share/generate.py.new ./tests/share/generate.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./tests/realmath/generate.py + touch -r ./tests/realmath/generate.py ./tests/realmath/generate.py.new + mv ./tests/realmath/generate.py.new ./tests/realmath/generate.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./tests/fsm/generate.py + touch -r ./tests/fsm/generate.py ./tests/fsm/generate.py.new + mv ./tests/fsm/generate.py.new ./tests/fsm/generate.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./tests/bram/generate.py + touch -r ./tests/bram/generate.py ./tests/bram/generate.py.new + mv ./tests/bram/generate.py.new ./tests/bram/generate.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./techlibs/xilinx/brams_init.py + touch -r ./techlibs/xilinx/brams_init.py ./techlibs/xilinx/brams_init.py.new + mv ./techlibs/xilinx/brams_init.py.new ./techlibs/xilinx/brams_init.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./techlibs/ice40/brams_init.py + touch -r ./techlibs/ice40/brams_init.py ./techlibs/ice40/brams_init.py.new + mv ./techlibs/ice40/brams_init.py.new ./techlibs/ice40/brams_init.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./techlibs/ecp5/brams_init.py + touch -r ./techlibs/ecp5/brams_init.py ./techlibs/ecp5/brams_init.py.new + mv ./techlibs/ecp5/brams_init.py.new ./techlibs/ecp5/brams_init.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./techlibs/ecp5/brams_connect.py + touch -r ./techlibs/ecp5/brams_connect.py ./techlibs/ecp5/brams_connect.py.new + mv ./techlibs/ecp5/brams_connect.py.new ./techlibs/ecp5/brams_connect.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./techlibs/common/cellhelp.py + touch -r ./techlibs/common/cellhelp.py ./techlibs/common/cellhelp.py.new + mv ./techlibs/common/cellhelp.py.new ./techlibs/common/cellhelp.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./passes/pmgen/pmgen.py + touch -r ./passes/pmgen/pmgen.py ./passes/pmgen/pmgen.py.new + mv ./passes/pmgen/pmgen.py.new ./passes/pmgen/pmgen.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./misc/py_wrap_generator.py + touch -r ./misc/py_wrap_generator.py ./misc/py_wrap_generator.py.new + mv ./misc/py_wrap_generator.py.new ./misc/py_wrap_generator.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./misc/__init__.py + touch -r ./misc/__init__.py ./misc/__init__.py.new + mv ./misc/__init__.py.new ./misc/__init__.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./examples/python-api/script.py + touch -r ./examples/python-api/script.py ./examples/python-api/script.py.new + mv ./examples/python-api/script.py.new ./examples/python-api/script.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./examples/python-api/pass.py + touch -r ./examples/python-api/pass.py ./examples/python-api/pass.py.new + mv ./examples/python-api/pass.py.new ./examples/python-api/pass.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./backends/smt2/smtio.py + touch -r ./backends/smt2/smtio.py ./backends/smt2/smtio.py.new + mv ./backends/smt2/smtio.py.new ./backends/smt2/smtio.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./backends/smt2/smtbmc.py + touch -r ./backends/smt2/smtbmc.py ./backends/smt2/smtbmc.py.new + mv ./backends/smt2/smtbmc.py.new ./backends/smt2/smtbmc.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./backends/edif/runtest.py + touch -r ./backends/edif/runtest.py ./backends/edif/runtest.py.new + mv ./backends/edif/runtest.py.new ./backends/edif/runtest.py + make config-gcc /bin/sh: line 1: clang: command not found rm -rf share rm -rf kernel/*.pyh if test -d manual; then cd manual && sh clean.sh; fi find ./PRESENTATION_Prog/ -name 'my_cmd.so' | xargs rm -f find ./PRESENTATION_Prog/ -name 'my_cmd.d' | xargs rm -f find ./PRESENTATION_Intro/ -name 'counter_00.dot' | xargs rm -f find ./PRESENTATION_Intro/ -name 'counter_01.dot' | xargs rm -f find ./PRESENTATION_Intro/ -name 'counter_02.dot' | xargs rm -f find ./PRESENTATION_Intro/ -name 'counter_03.dot' | xargs rm -f find ./PRESENTATION_ExSyn/ -name '*.dot' | xargs rm -f find ./PRESENTATION_ExOth/ -name '*.dot' | xargs rm -f find ./PRESENTATION_ExAdv/ -name '*.dot' | xargs rm -f find ./CHAPTER_Prog/ -name 'stubnets.so' | xargs rm -f find ./CHAPTER_Prog/ -name 'stubnets.d' | xargs rm -f find ./CHAPTER_Prog/ -name '*.log' | xargs rm -f find ./ -name '*.aux' | xargs rm -f find ./ -name '*.bbl' | xargs rm -f find ./ -name '*.blg' | xargs rm -f find ./ -name '*.idx' | xargs rm -f find ./ -name '*.log' | xargs rm -f find ./ -name '*.out' | xargs rm -f find ./ -name '*.pdf' | xargs rm -f find ./ -name '*.toc' | xargs rm -f find ./ -name '*.snm' | xargs rm -f find ./ -name '*.nav' | xargs rm -f find ./ -name '*.vrb' | xargs rm -f find ./ -name '*.ok' | xargs rm -f rm -f kernel/version_UNKNOWN.o kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o kernel/cellaigs.o kernel/celledges.o libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o libs/sha1/sha1.o libs/subcircuit/subcircuit.o libs/ezsat/ezsat.o libs/ezsat/ezminisat.o libs/minisat/Options.o libs/minisat/SimpSolver.o libs/minisat/Solver.o libs/minisat/System.o frontends/aiger/aigerparse.o frontends/ast/ast.o frontends/ast/simplify.o frontends/ast/genrtlil.o frontends/ast/dpicall.o frontends/blif/blifparse.o frontends/ilang/ilang_parser.tab.o frontends/ilang/ilang_lexer.o frontends/ilang/ilang_frontend.o frontends/json/jsonparse.o frontends/liberty/liberty.o frontends/verific/verific.o frontends/verilog/verilog_parser.tab.o frontends/verilog/verilog_lexer.o frontends/verilog/preproc.o frontends/verilog/verilog_frontend.o frontends/verilog/const2ast.o passes/cmds/add.o passes/cmds/delete.o passes/cmds/design.o passes/cmds/select.o passes/cmds/show.o passes/cmds/rename.o passes/cmds/connect.o passes/cmds/scatter.o passes/cmds/setundef.o passes/cmds/splitnets.o passes/cmds/stat.o passes/cmds/setattr.o passes/cmds/copy.o passes/cmds/splice.o passes/cmds/scc.o passes/cmds/torder.o passes/cmds/logcmd.o passes/cmds/tee.o passes/cmds/write_file.o passes/cmds/connwrappers.o passes/cmds/cover.o passes/cmds/trace.o passes/cmds/plugin.o passes/cmds/check.o passes/cmds/qwp.o passes/cmds/edgetypes.o passes/cmds/chformal.o passes/cmds/chtype.o passes/cmds/blackbox.o passes/cmds/ltp.o passes/cmds/bugpoint.o passes/equiv/equiv_make.o passes/equiv/equiv_miter.o passes/equiv/equiv_simple.o passes/equiv/equiv_status.o passes/equiv/equiv_add.o passes/equiv/equiv_remove.o passes/equiv/equiv_induct.o passes/equiv/equiv_struct.o passes/equiv/equiv_purge.o passes/equiv/equiv_mark.o passes/equiv/equiv_opt.o passes/fsm/fsm.o passes/fsm/fsm_detect.o passes/fsm/fsm_extract.o passes/fsm/fsm_opt.o passes/fsm/fsm_expand.o passes/fsm/fsm_recode.o passes/fsm/fsm_info.o passes/fsm/fsm_export.o passes/fsm/fsm_map.o passes/hierarchy/hierarchy.o passes/hierarchy/uniquify.o passes/hierarchy/submod.o passes/memory/memory.o passes/memory/memory_dff.o passes/memory/memory_share.o passes/memory/memory_collect.o passes/memory/memory_unpack.o passes/memory/memory_bram.o passes/memory/memory_map.o passes/memory/memory_memx.o passes/memory/memory_nordff.o passes/opt/opt.o passes/opt/opt_merge.o passes/opt/opt_muxtree.o passes/opt/opt_reduce.o passes/opt/opt_rmdff.o passes/opt/opt_clean.o passes/opt/opt_expr.o passes/opt/share.o passes/opt/wreduce.o passes/opt/opt_demorgan.o passes/opt/rmports.o passes/opt/opt_lut.o passes/opt/pmux2shiftx.o passes/opt/muxpack.o passes/pmgen/ice40_dsp.o passes/pmgen/peepopt.o passes/proc/proc.o passes/proc/proc_clean.o passes/proc/proc_rmdead.o passes/proc/proc_init.o passes/proc/proc_arst.o passes/proc/proc_mux.o passes/proc/proc_dlatch.o passes/proc/proc_dff.o passes/sat/sat.o passes/sat/freduce.o passes/sat/eval.o passes/sat/sim.o passes/sat/miter.o passes/sat/expose.o passes/sat/assertpmux.o passes/sat/clk2fflogic.o passes/sat/async2sync.o passes/sat/supercover.o passes/sat/fmcombine.o passes/sat/mutate.o passes/sat/cutpoint.o passes/techmap/techmap.o passes/techmap/simplemap.o passes/techmap/dfflibmap.o passes/techmap/maccmap.o passes/techmap/libparse.o passes/techmap/abc.o passes/techmap/iopadmap.o passes/techmap/hilomap.o passes/techmap/extract.o passes/techmap/extract_fa.o passes/techmap/extract_counter.o passes/techmap/extract_reduce.o passes/techmap/alumacc.o passes/techmap/dff2dffe.o passes/techmap/dffinit.o passes/techmap/pmuxtree.o passes/techmap/muxcover.o passes/techmap/aigmap.o passes/techmap/tribuf.o passes/techmap/lut2mux.o passes/techmap/nlutmap.o passes/techmap/dffsr2dff.o passes/techmap/shregmap.o passes/techmap/deminout.o passes/techmap/insbuf.o passes/techmap/attrmvcp.o passes/techmap/attrmap.o passes/techmap/zinit.o passes/techmap/dff2dffs.o passes/techmap/flowmap.o passes/tests/test_autotb.o passes/tests/test_cell.o passes/tests/test_abcloop.o backends/aiger/aiger.o backends/blif/blif.o backends/btor/btor.o backends/edif/edif.o backends/firrtl/firrtl.o backends/ilang/ilang_backend.o backends/intersynth/intersynth.o backends/json/json.o backends/simplec/simplec.o backends/smt2/smt2.o backends/smv/smv.o backends/spice/spice.o backends/table/table.o backends/verilog/verilog_backend.o techlibs/achronix/synth_achronix.o techlibs/anlogic/synth_anlogic.o techlibs/anlogic/anlogic_eqn.o techlibs/anlogic/anlogic_determine_init.o techlibs/common/synth.o techlibs/common/prep.o techlibs/coolrunner2/synth_coolrunner2.o techlibs/coolrunner2/coolrunner2_sop.o techlibs/easic/synth_easic.o techlibs/ecp5/synth_ecp5.o techlibs/ecp5/ecp5_ffinit.o techlibs/gowin/synth_gowin.o techlibs/gowin/determine_init.o techlibs/greenpak4/synth_greenpak4.o techlibs/greenpak4/greenpak4_dffinv.o techlibs/ice40/synth_ice40.o techlibs/ice40/ice40_braminit.o techlibs/ice40/ice40_ffssr.o techlibs/ice40/ice40_ffinit.o techlibs/ice40/ice40_opt.o techlibs/ice40/ice40_unlut.o techlibs/intel/synth_intel.o techlibs/sf2/synth_sf2.o techlibs/sf2/sf2_iobs.o techlibs/xilinx/synth_xilinx.o frontends/ilang/ilang_parser.tab.cc frontends/ilang/ilang_parser.tab.hh frontends/ilang/ilang_parser.output frontends/ilang/ilang_lexer.cc frontends/verilog/verilog_parser.tab.cc frontends/verilog/verilog_parser.tab.hh frontends/verilog/verilog_parser.output frontends/verilog/verilog_lexer.cc passes/techmap/techmap.inc techlibs/common/simlib_help.inc techlibs/common/simcells_help.inc techlibs/ice40/brams_init1.vh techlibs/ice40/brams_init2.vh techlibs/ice40/brams_init3.vh techlibs/xilinx/brams_init_36.vh techlibs/xilinx/brams_init_32.vh techlibs/xilinx/brams_init_18.vh techlibs/xilinx/brams_init_16.vh yosys yosys-config yosys-abc yosys-filterlib yosys-smtbmc share/include/kernel/yosys.h share/include/kernel/hashlib.h share/include/kernel/log.h share/include/kernel/rtlil.h share/include/kernel/register.h share/include/kernel/celltypes.h share/include/kernel/celledges.h share/include/kernel/consteval.h share/include/kernel/sigtools.h share/include/kernel/modtools.h share/include/kernel/macc.h share/include/kernel/utils.h share/include/kernel/satgen.h share/include/libs/ezsat/ezsat.h share/include/libs/ezsat/ezminisat.h share/include/libs/sha1/sha1.h share/include/passes/fsm/fsmdata.h share/include/frontends/ast/ast.h share/include/backends/ilang/ilang_backend.h share/python3/smtio.py share/achronix/speedster22i/cells_sim.v share/achronix/speedster22i/cells_map.v share/anlogic/cells_map.v share/anlogic/arith_map.v share/anlogic/cells_sim.v share/anlogic/eagle_bb.v share/anlogic/drams.txt share/anlogic/drams_map.v share/anlogic/dram_init_16x4.vh share/simlib.v share/simcells.v share/techmap.v share/pmux2mux.v share/adff2dff.v share/dff2ff.v share/gate2lut.v share/cmp2lut.v share/cells.lib share/coolrunner2/cells_latch.v share/coolrunner2/cells_sim.v share/coolrunner2/tff_extract.v share/coolrunner2/xc2_dff.lib share/ecp5/cells_map.v share/ecp5/cells_sim.v share/ecp5/cells_bb.v share/ecp5/drams_map.v share/ecp5/dram.txt share/ecp5/brams_map.v share/ecp5/bram.txt share/ecp5/arith_map.v share/ecp5/latches_map.v share/ecp5/bram_init_1_2_4.vh share/ecp5/bram_init_9_18_36.vh share/ecp5/bram_conn_1.vh share/ecp5/bram_conn_2.vh share/ecp5/bram_conn_4.vh share/ecp5/bram_conn_9.vh share/ecp5/bram_conn_18.vh share/gowin/cells_map.v share/gowin/cells_sim.v share/gowin/arith_map.v share/gowin/brams_map.v share/gowin/bram.txt share/gowin/drams_map.v share/gowin/dram.txt share/gowin/brams_init3.vh share/greenpak4/cells_blackbox.v share/greenpak4/cells_latch.v share/greenpak4/cells_map.v share/greenpak4/cells_sim.v share/greenpak4/cells_sim_ams.v share/greenpak4/cells_sim_digital.v share/greenpak4/cells_sim_wip.v share/greenpak4/gp_dff.lib share/ice40/arith_map.v share/ice40/cells_map.v share/ice40/cells_sim.v share/ice40/latches_map.v share/ice40/brams.txt share/ice40/brams_map.v share/ice40/brams_init1.vh share/ice40/brams_init2.vh share/ice40/brams_init3.vh share/intel/common/m9k_bb.v share/intel/common/altpll_bb.v share/intel/common/brams.txt share/intel/common/brams_map.v share/intel/max10/cells_sim.v share/intel/a10gx/cells_sim.v share/intel/cyclonev/cells_sim.v share/intel/cyclone10/cells_sim.v share/intel/cycloneiv/cells_sim.v share/intel/cycloneive/cells_sim.v share/intel/max10/cells_map.v share/intel/a10gx/cells_map.v share/intel/cyclonev/cells_map.v share/intel/cyclone10/cells_map.v share/intel/cycloneiv/cells_map.v share/intel/cycloneive/cells_map.v share/sf2/arith_map.v share/sf2/cells_map.v share/sf2/cells_sim.v share/xilinx/cells_map.v share/xilinx/cells_sim.v share/xilinx/cells_xtra.v share/xilinx/brams.txt share/xilinx/brams_map.v share/xilinx/brams_bb.v share/xilinx/drams.txt share/xilinx/drams_map.v share/xilinx/arith_map.v share/xilinx/ff_map.v share/xilinx/lut_map.v share/xilinx/brams_init_36.vh share/xilinx/brams_init_32.vh share/xilinx/brams_init_18.vh share/xilinx/brams_init_16.vh passes/pmgen/ice40_dsp_pm.h passes/pmgen/peepopt_pm.h passes/techmap/filterlib.o techlibs/ecp5/brams_init.mk techlibs/ecp5/brams_connect.mk techlibs/ice40/brams_init.mk techlibs/xilinx/brams_init.mk .cc rm -f kernel/version_*.o kernel/version_*.cc abc/abc-[0-9a-f]* abc/libabc-[0-9a-f]*.a rm -f libs/*/*.d frontends/*/*.d passes/*/*.d backends/*/*.d kernel/*.d techlibs/*/*.d rm -rf tests/asicworld/*.out tests/asicworld/*.log rm -rf tests/hana/*.out tests/hana/*.log rm -rf tests/simple/*.out tests/simple/*.log rm -rf tests/memories/*.out tests/memories/*.log tests/memories/*.dmp rm -rf tests/sat/*.log tests/techmap/*.log tests/various/*.log rm -rf tests/bram/temp tests/fsm/temp tests/realmath/temp tests/share/temp tests/smv/temp rm -rf vloghtb/Makefile vloghtb/refdat vloghtb/rtl vloghtb/scripts vloghtb/spec vloghtb/check_yosys vloghtb/vloghammer_tb.tar.bz2 vloghtb/temp vloghtb/log_test_* rm -f tests/svinterfaces/*.log_stdout tests/svinterfaces/*.log_stderr tests/svinterfaces/dut_result.txt tests/svinterfaces/reference_result.txt tests/svinterfaces/a.out tests/svinterfaces/*_syn.v tests/svinterfaces/*.diff rm -f tests/tools/cmp_tbdata echo 'CONFIG := gcc' > Makefile.conf ++ find manual -name '*.tex' -exec grep -l '{luximono}' '{}' ';' + for f in `find manual -name \*.tex -exec grep -l {luximono} {} \;` + sed -i 's|{luximono}|{libertine}|' manual/presentation.tex + for f in `find manual -name \*.tex -exec grep -l {luximono} {} \;` + sed -i 's|{luximono}|{libertine}|' manual/manual.tex + for f in `find manual -name \*.tex -exec grep -l {luximono} {} \;` + sed -i 's|{luximono}|{libertine}|' manual/APPNOTE_012_Verilog_to_BTOR.tex + for f in `find manual -name \*.tex -exec grep -l {luximono} {} \;` + sed -i 's|{luximono}|{libertine}|' manual/APPNOTE_011_Design_Investigation.tex + for f in `find manual -name \*.tex -exec grep -l {luximono} {} \;` + sed -i 's|{luximono}|{libertine}|' manual/APPNOTE_010_Verilog_to_BLIF.tex + RPM_EC=0 ++ jobs -p + exit 0 Executing(%build): /bin/sh -e /var/tmp/rpm-tmp.jVLat4 + umask 022 + cd /builddir/build/BUILD + cd yosys-yosys-0.9 + CFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection' + export CFLAGS + CXXFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection' + export CXXFLAGS + FFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -I/usr/lib64/gfortran/modules' + export FFLAGS + FCFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -I/usr/lib64/gfortran/modules' + export FCFLAGS + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld ' + export LDFLAGS + LT_SYS_LIBRARY_PATH=/usr/lib64: + export LT_SYS_LIBRARY_PATH + CC=gcc + export CC + CXX=g++ + export CXX + make -j2 PREFIX=/usr ABCEXTERNAL=/usr/bin/abc PRETTY=0 all manual [Makefile.conf] CONFIG := gcc rm -f kernel/version_*.o kernel/version_*.d kernel/version_*.cc mkdir -p kernel/ mkdir -p kernel && echo "namespace Yosys { extern const char *yosys_version_str; const char *yosys_version_str=\"Yosys 0.9 (git sha1 UNKNOWN, gcc 11.0.0 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os)\"; }" > kernel/version_UNKNOWN.cc gcc -o kernel/driver.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/driver.cc mkdir -p techlibs/common python3 techlibs/common/cellhelp.py techlibs/common/simlib.v > techlibs/common/simlib_help.inc.new mv techlibs/common/simlib_help.inc.new techlibs/common/simlib_help.inc mkdir -p techlibs/common python3 techlibs/common/cellhelp.py techlibs/common/simcells.v > techlibs/common/simcells_help.inc.new mv techlibs/common/simcells_help.inc.new techlibs/common/simcells_help.inc mkdir -p kernel/ gcc -o kernel/rtlil.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/rtlil.cc mkdir -p kernel/ gcc -o kernel/log.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYOSYS_SRC='"./"' kernel/log.cc mkdir -p kernel/ gcc -o kernel/calc.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/calc.cc mkdir -p kernel/ gcc -o kernel/yosys.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYOSYS_DATDIR='"/usr/share/yosys"' kernel/yosys.cc mkdir -p kernel/ gcc -o kernel/cellaigs.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/cellaigs.cc mkdir -p kernel/ gcc -o kernel/celledges.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/celledges.cc mkdir -p libs/bigint/ gcc -o libs/bigint/BigIntegerAlgorithms.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigIntegerAlgorithms.cc mkdir -p libs/bigint/ gcc -o libs/bigint/BigInteger.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigInteger.cc mkdir -p libs/bigint/ gcc -o libs/bigint/BigIntegerUtils.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigIntegerUtils.cc mkdir -p libs/bigint/ gcc -o libs/bigint/BigUnsigned.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigUnsigned.cc mkdir -p libs/bigint/ gcc -o libs/bigint/BigUnsignedInABase.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigUnsignedInABase.cc mkdir -p libs/sha1/ gcc -o libs/sha1/sha1.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/sha1/sha1.cpp mkdir -p libs/subcircuit/ gcc -o libs/subcircuit/subcircuit.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/subcircuit/subcircuit.cc mkdir -p libs/ezsat/ gcc -o libs/ezsat/ezsat.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/ezsat/ezsat.cc mkdir -p libs/ezsat/ gcc -o libs/ezsat/ezminisat.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/ezsat/ezminisat.cc mkdir -p libs/minisat/ gcc -o libs/minisat/Options.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/Options.cc mkdir -p libs/minisat/ gcc -o libs/minisat/SimpSolver.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/SimpSolver.cc In file included from libs/minisat/Sort.h:24, from libs/minisat/SimpSolver.cc:27: libs/minisat/Vec.h: In instantiation of 'void Minisat::vec::capacity(Minisat::vec::Size) [with T = Minisat::vec; _Size = int; Minisat::vec::Size = int]': libs/minisat/Vec.h:119:13: required from 'void Minisat::vec::growTo(Minisat::vec::Size) [with T = Minisat::vec; _Size = int; Minisat::vec::Size = int]' libs/minisat/IntMap.h:48:58: required from 'void Minisat::IntMap::reserve(K) [with K = int; V = Minisat::vec; MkIndex = Minisat::MkIndexDefault]' libs/minisat/SolverTypes.h:338:49: required from 'void Minisat::OccLists::init(const K&) [with K = int; Vec = Minisat::vec; Deleted = Minisat::SimpSolver::ClauseDeleted; MkIndex = Minisat::MkIndexDefault]' libs/minisat/SimpSolver.cc:92:28: required from here libs/minisat/Vec.h:103:33: warning: 'void* realloc(void*, size_t)' moving an object of non-trivially copyable type 'class Minisat::vec'; use 'new' and 'delete' instead [-Wclass-memaccess] 103 | || (((data = (T*)::realloc(data, (cap += add) * sizeof(T))) == NULL) && errno == ENOMEM) ) | ~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ In file included from libs/minisat/Sort.h:24, from libs/minisat/SimpSolver.cc:27: libs/minisat/Vec.h:39:7: note: 'class Minisat::vec' declared here 39 | class vec { | ^~~ mkdir -p libs/minisat/ gcc -o libs/minisat/Solver.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/Solver.cc In file included from libs/minisat/Alg.h:24, from libs/minisat/Solver.cc:29: libs/minisat/Vec.h: In instantiation of 'void Minisat::vec::capacity(Minisat::vec::Size) [with T = Minisat::vec; _Size = int; Minisat::vec::Size = int]': libs/minisat/Vec.h:119:13: required from 'void Minisat::vec::growTo(Minisat::vec::Size) [with T = Minisat::vec; _Size = int; Minisat::vec::Size = int]' libs/minisat/IntMap.h:48:58: required from 'void Minisat::IntMap::reserve(K) [with K = Minisat::Lit; V = Minisat::vec; MkIndex = Minisat::MkIndexLit]' libs/minisat/SolverTypes.h:338:49: required from 'void Minisat::OccLists::init(const K&) [with K = Minisat::Lit; Vec = Minisat::vec; Deleted = Minisat::Solver::WatcherDeleted; MkIndex = Minisat::MkIndexLit]' libs/minisat/Solver.cc:134:35: required from here libs/minisat/Vec.h:103:33: warning: 'void* realloc(void*, size_t)' moving an object of non-trivially copyable type 'class Minisat::vec'; use 'new' and 'delete' instead [-Wclass-memaccess] 103 | || (((data = (T*)::realloc(data, (cap += add) * sizeof(T))) == NULL) && errno == ENOMEM) ) | ~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ In file included from libs/minisat/Alg.h:24, from libs/minisat/Solver.cc:29: libs/minisat/Vec.h:39:7: note: 'class Minisat::vec' declared here 39 | class vec { | ^~~ mkdir -p libs/minisat/ gcc -o libs/minisat/System.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/System.cc mkdir -p frontends/aiger/ gcc -o frontends/aiger/aigerparse.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/aiger/aigerparse.cc mkdir -p frontends/ast/ gcc -o frontends/ast/ast.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/ast.cc mkdir -p frontends/ast/ gcc -o frontends/ast/simplify.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/simplify.cc mkdir -p frontends/ast/ gcc -o frontends/ast/genrtlil.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/genrtlil.cc mkdir -p frontends/ast/ gcc -o frontends/ast/dpicall.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/dpicall.cc mkdir -p frontends/blif/ gcc -o frontends/blif/blifparse.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/blif/blifparse.cc mkdir -p frontends/ilang/ bison -o frontends/ilang/ilang_parser.tab.cc -d -r all -b frontends/ilang/ilang_parser frontends/ilang/ilang_parser.y mkdir -p frontends/ilang/ flex -o frontends/ilang/ilang_lexer.cc frontends/ilang/ilang_lexer.l mkdir -p frontends/ilang/ gcc -o frontends/ilang/ilang_frontend.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ilang/ilang_frontend.cc mkdir -p frontends/json/ gcc -o frontends/json/jsonparse.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/json/jsonparse.cc mkdir -p frontends/liberty/ gcc -o frontends/liberty/liberty.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/liberty/liberty.cc mkdir -p frontends/verific/ gcc -o frontends/verific/verific.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verific/verific.cc mkdir -p frontends/verilog/ bison -o frontends/verilog/verilog_parser.tab.cc -d -r all -b frontends/verilog/verilog_parser frontends/verilog/verilog_parser.y mkdir -p frontends/verilog/ flex -o frontends/verilog/verilog_lexer.cc frontends/verilog/verilog_lexer.l mkdir -p frontends/verilog/ gcc -o frontends/verilog/preproc.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/preproc.cc mkdir -p frontends/verilog/ gcc -o frontends/verilog/verilog_frontend.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/verilog_frontend.cc mkdir -p frontends/verilog/ gcc -o frontends/verilog/const2ast.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/const2ast.cc mkdir -p passes/cmds/ gcc -o passes/cmds/add.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/add.cc mkdir -p passes/cmds/ gcc -o passes/cmds/delete.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/delete.cc mkdir -p passes/cmds/ gcc -o passes/cmds/design.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/design.cc mkdir -p passes/cmds/ gcc -o passes/cmds/select.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/select.cc mkdir -p passes/cmds/ gcc -o passes/cmds/show.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/show.cc mkdir -p passes/cmds/ gcc -o passes/cmds/rename.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/rename.cc mkdir -p passes/cmds/ gcc -o passes/cmds/connect.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/connect.cc mkdir -p passes/cmds/ gcc -o passes/cmds/scatter.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/scatter.cc mkdir -p passes/cmds/ gcc -o passes/cmds/setundef.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/setundef.cc mkdir -p passes/cmds/ gcc -o passes/cmds/splitnets.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/splitnets.cc mkdir -p passes/cmds/ gcc -o passes/cmds/stat.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/stat.cc mkdir -p passes/cmds/ gcc -o passes/cmds/setattr.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/setattr.cc mkdir -p passes/cmds/ gcc -o passes/cmds/copy.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/copy.cc mkdir -p passes/cmds/ gcc -o passes/cmds/splice.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/splice.cc mkdir -p passes/cmds/ gcc -o passes/cmds/scc.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/scc.cc mkdir -p passes/cmds/ gcc -o passes/cmds/torder.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/torder.cc mkdir -p passes/cmds/ gcc -o passes/cmds/logcmd.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/logcmd.cc mkdir -p passes/cmds/ gcc -o passes/cmds/tee.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/tee.cc mkdir -p passes/cmds/ gcc -o passes/cmds/write_file.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/write_file.cc mkdir -p passes/cmds/ gcc -o passes/cmds/connwrappers.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/connwrappers.cc mkdir -p passes/cmds/ gcc -o passes/cmds/cover.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/cover.cc mkdir -p passes/cmds/ gcc -o passes/cmds/trace.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/trace.cc mkdir -p passes/cmds/ gcc -o passes/cmds/plugin.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/plugin.cc mkdir -p passes/cmds/ gcc -o passes/cmds/check.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/check.cc mkdir -p passes/cmds/ gcc -o passes/cmds/qwp.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/qwp.cc mkdir -p passes/cmds/ gcc -o passes/cmds/edgetypes.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/edgetypes.cc mkdir -p passes/cmds/ gcc -o passes/cmds/chformal.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/chformal.cc mkdir -p passes/cmds/ gcc -o passes/cmds/chtype.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/chtype.cc mkdir -p passes/cmds/ gcc -o passes/cmds/blackbox.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/blackbox.cc mkdir -p passes/cmds/ gcc -o passes/cmds/ltp.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/ltp.cc mkdir -p passes/cmds/ gcc -o passes/cmds/bugpoint.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/bugpoint.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_make.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_make.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_miter.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_miter.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_simple.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_simple.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_status.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_status.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_add.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_add.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_remove.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_remove.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_induct.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_induct.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_struct.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_struct.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_purge.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_purge.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_mark.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_mark.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_opt.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_opt.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_detect.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_detect.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_extract.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_extract.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_opt.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_opt.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_expand.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_expand.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_recode.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_recode.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_info.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_info.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_export.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_export.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_map.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_map.cc mkdir -p passes/hierarchy/ gcc -o passes/hierarchy/hierarchy.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/hierarchy/hierarchy.cc mkdir -p passes/hierarchy/ gcc -o passes/hierarchy/uniquify.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/hierarchy/uniquify.cc mkdir -p passes/hierarchy/ gcc -o passes/hierarchy/submod.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/hierarchy/submod.cc mkdir -p passes/memory/ gcc -o passes/memory/memory.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_dff.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_dff.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_share.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_share.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_collect.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_collect.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_unpack.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_unpack.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_bram.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_bram.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_map.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_map.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_memx.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_memx.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_nordff.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_nordff.cc mkdir -p passes/opt/ gcc -o passes/opt/opt.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_merge.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_merge.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_muxtree.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_muxtree.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_reduce.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_reduce.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_rmdff.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_rmdff.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_clean.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_clean.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_expr.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_expr.cc mkdir -p passes/opt/ gcc -o passes/opt/share.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/share.cc mkdir -p passes/opt/ gcc -o passes/opt/wreduce.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/wreduce.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_demorgan.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_demorgan.cc mkdir -p passes/opt/ gcc -o passes/opt/rmports.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/rmports.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_lut.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_lut.cc mkdir -p passes/opt/ gcc -o passes/opt/pmux2shiftx.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/pmux2shiftx.cc mkdir -p passes/opt/ gcc -o passes/opt/muxpack.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/muxpack.cc mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/ice40_dsp_pm.h -p ice40_dsp passes/pmgen/ice40_dsp.pmg mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/peepopt_pm.h -p peepopt passes/pmgen/peepopt_shiftmul.pmg passes/pmgen/peepopt_muldiv.pmg mkdir -p passes/proc/ gcc -o passes/proc/proc.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_clean.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_clean.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_rmdead.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_rmdead.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_init.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_init.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_arst.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_arst.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_mux.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_mux.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_dlatch.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_dlatch.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_dff.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_dff.cc mkdir -p passes/sat/ gcc -o passes/sat/sat.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/sat.cc mkdir -p passes/sat/ gcc -o passes/sat/freduce.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/freduce.cc mkdir -p passes/sat/ gcc -o passes/sat/eval.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/eval.cc mkdir -p passes/sat/ gcc -o passes/sat/sim.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/sim.cc mkdir -p passes/sat/ gcc -o passes/sat/miter.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/miter.cc mkdir -p passes/sat/ gcc -o passes/sat/expose.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/expose.cc mkdir -p passes/sat/ gcc -o passes/sat/assertpmux.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/assertpmux.cc mkdir -p passes/sat/ gcc -o passes/sat/clk2fflogic.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/clk2fflogic.cc mkdir -p passes/sat/ gcc -o passes/sat/async2sync.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/async2sync.cc mkdir -p passes/sat/ gcc -o passes/sat/supercover.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/supercover.cc mkdir -p passes/sat/ gcc -o passes/sat/fmcombine.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/fmcombine.cc mkdir -p passes/sat/ gcc -o passes/sat/mutate.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/mutate.cc mkdir -p passes/sat/ gcc -o passes/sat/cutpoint.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/cutpoint.cc mkdir -p passes/techmap/ echo "// autogenerated from techlibs/common/techmap.v" > passes/techmap/techmap.inc.new echo "static char stdcells_code[] = {" >> passes/techmap/techmap.inc.new od -v -td1 -An techlibs/common/techmap.v | sed -e 's/[0-9][0-9]*/&,/g' >> passes/techmap/techmap.inc.new echo "0};" >> passes/techmap/techmap.inc.new mv passes/techmap/techmap.inc.new passes/techmap/techmap.inc mkdir -p passes/techmap/ gcc -o passes/techmap/simplemap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/simplemap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/dfflibmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dfflibmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/maccmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/maccmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/libparse.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/libparse.cc mkdir -p passes/techmap/ gcc -o passes/techmap/abc.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DABCEXTERNAL='"/usr/bin/abc"' passes/techmap/abc.cc mkdir -p passes/techmap/ gcc -o passes/techmap/iopadmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/iopadmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/hilomap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/hilomap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/extract.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract.cc mkdir -p passes/techmap/ gcc -o passes/techmap/extract_fa.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract_fa.cc mkdir -p passes/techmap/ gcc -o passes/techmap/extract_counter.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract_counter.cc mkdir -p passes/techmap/ gcc -o passes/techmap/extract_reduce.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract_reduce.cc mkdir -p passes/techmap/ gcc -o passes/techmap/alumacc.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/alumacc.cc mkdir -p passes/techmap/ gcc -o passes/techmap/dff2dffe.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dff2dffe.cc mkdir -p passes/techmap/ gcc -o passes/techmap/dffinit.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dffinit.cc mkdir -p passes/techmap/ gcc -o passes/techmap/pmuxtree.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/pmuxtree.cc mkdir -p passes/techmap/ gcc -o passes/techmap/muxcover.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/muxcover.cc mkdir -p passes/techmap/ gcc -o passes/techmap/aigmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/aigmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/tribuf.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/tribuf.cc mkdir -p passes/techmap/ gcc -o passes/techmap/lut2mux.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/lut2mux.cc mkdir -p passes/techmap/ gcc -o passes/techmap/nlutmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/nlutmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/dffsr2dff.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dffsr2dff.cc mkdir -p passes/techmap/ gcc -o passes/techmap/shregmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/shregmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/deminout.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/deminout.cc mkdir -p passes/techmap/ gcc -o passes/techmap/insbuf.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/insbuf.cc mkdir -p passes/techmap/ gcc -o passes/techmap/attrmvcp.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/attrmvcp.cc mkdir -p passes/techmap/ gcc -o passes/techmap/attrmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/attrmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/zinit.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/zinit.cc mkdir -p passes/techmap/ gcc -o passes/techmap/dff2dffs.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dff2dffs.cc mkdir -p passes/techmap/ gcc -o passes/techmap/flowmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/flowmap.cc mkdir -p passes/tests/ gcc -o passes/tests/test_autotb.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/tests/test_autotb.cc mkdir -p passes/tests/ gcc -o passes/tests/test_cell.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/tests/test_cell.cc mkdir -p passes/tests/ gcc -o passes/tests/test_abcloop.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/tests/test_abcloop.cc mkdir -p backends/aiger/ gcc -o backends/aiger/aiger.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/aiger/aiger.cc mkdir -p backends/blif/ gcc -o backends/blif/blif.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/blif/blif.cc mkdir -p backends/btor/ gcc -o backends/btor/btor.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/btor/btor.cc mkdir -p backends/edif/ gcc -o backends/edif/edif.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/edif/edif.cc mkdir -p backends/firrtl/ gcc -o backends/firrtl/firrtl.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/firrtl/firrtl.cc mkdir -p backends/ilang/ gcc -o backends/ilang/ilang_backend.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/ilang/ilang_backend.cc mkdir -p backends/intersynth/ gcc -o backends/intersynth/intersynth.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/intersynth/intersynth.cc mkdir -p backends/json/ gcc -o backends/json/json.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/json/json.cc mkdir -p backends/simplec/ gcc -o backends/simplec/simplec.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/simplec/simplec.cc mkdir -p backends/smt2/ gcc -o backends/smt2/smt2.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/smt2/smt2.cc mkdir -p backends/smv/ gcc -o backends/smv/smv.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/smv/smv.cc mkdir -p backends/spice/ gcc -o backends/spice/spice.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/spice/spice.cc mkdir -p backends/table/ gcc -o backends/table/table.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/table/table.cc mkdir -p backends/verilog/ gcc -o backends/verilog/verilog_backend.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/verilog/verilog_backend.cc mkdir -p techlibs/achronix/ gcc -o techlibs/achronix/synth_achronix.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/achronix/synth_achronix.cc mkdir -p techlibs/anlogic/ gcc -o techlibs/anlogic/synth_anlogic.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/anlogic/synth_anlogic.cc mkdir -p techlibs/anlogic/ gcc -o techlibs/anlogic/anlogic_eqn.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/anlogic/anlogic_eqn.cc mkdir -p techlibs/anlogic/ gcc -o techlibs/anlogic/anlogic_determine_init.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/anlogic/anlogic_determine_init.cc mkdir -p techlibs/common/ gcc -o techlibs/common/synth.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/common/synth.cc mkdir -p techlibs/common/ gcc -o techlibs/common/prep.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/common/prep.cc mkdir -p techlibs/coolrunner2/ gcc -o techlibs/coolrunner2/synth_coolrunner2.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/coolrunner2/synth_coolrunner2.cc mkdir -p techlibs/coolrunner2/ gcc -o techlibs/coolrunner2/coolrunner2_sop.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/coolrunner2/coolrunner2_sop.cc mkdir -p techlibs/easic/ gcc -o techlibs/easic/synth_easic.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/easic/synth_easic.cc mkdir -p techlibs/ecp5/ gcc -o techlibs/ecp5/synth_ecp5.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ecp5/synth_ecp5.cc mkdir -p techlibs/ecp5/ gcc -o techlibs/ecp5/ecp5_ffinit.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ecp5/ecp5_ffinit.cc mkdir -p techlibs/gowin/ gcc -o techlibs/gowin/synth_gowin.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/gowin/synth_gowin.cc mkdir -p techlibs/gowin/ gcc -o techlibs/gowin/determine_init.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/gowin/determine_init.cc mkdir -p techlibs/greenpak4/ gcc -o techlibs/greenpak4/synth_greenpak4.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/greenpak4/synth_greenpak4.cc mkdir -p techlibs/greenpak4/ gcc -o techlibs/greenpak4/greenpak4_dffinv.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/greenpak4/greenpak4_dffinv.cc mkdir -p techlibs/ice40/ gcc -o techlibs/ice40/synth_ice40.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/synth_ice40.cc mkdir -p techlibs/ice40/ gcc -o techlibs/ice40/ice40_braminit.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/ice40_braminit.cc mkdir -p techlibs/ice40/ gcc -o techlibs/ice40/ice40_ffssr.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/ice40_ffssr.cc mkdir -p techlibs/ice40/ gcc -o techlibs/ice40/ice40_ffinit.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/ice40_ffinit.cc mkdir -p techlibs/ice40/ gcc -o techlibs/ice40/ice40_opt.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/ice40_opt.cc mkdir -p techlibs/ice40/ gcc -o techlibs/ice40/ice40_unlut.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/ice40_unlut.cc mkdir -p techlibs/intel/ gcc -o techlibs/intel/synth_intel.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/intel/synth_intel.cc mkdir -p techlibs/sf2/ gcc -o techlibs/sf2/synth_sf2.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/sf2/synth_sf2.cc mkdir -p techlibs/sf2/ gcc -o techlibs/sf2/sf2_iobs.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/sf2/sf2_iobs.cc mkdir -p techlibs/xilinx/ gcc -o techlibs/xilinx/synth_xilinx.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/xilinx/synth_xilinx.cc sed -e 's#@CXXFLAGS@#-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I"/usr/include/yosys" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER#;' \ -e 's#@CXX@#gcc#;' -e 's#@LDFLAGS@#-Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -L/usr/lib -rdynamic#;' -e 's#@LDLIBS@#-lstdc++ -lm -lrt -lreadline -lffi -ldl -ltcl8.6 -ltclstub8.6#;' \ -e 's#@BINDIR@#/usr/bin#;' -e 's#@DATDIR@#/usr/share/yosys#;' < misc/yosys-config.in > yosys-config chmod +x yosys-config mkdir -p passes/techmap/ gcc -o passes/techmap/filterlib.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/filterlib.cc sed 's|##yosys-sys-path##|sys.path += [os.path.dirname(os.path.realpath(__file__)) + p for p in ["/share/python3", "/../share/yosys/python3"]]|;' < backends/smt2/smtbmc.py > yosys-smtbmc.new chmod +x yosys-smtbmc.new mv yosys-smtbmc.new yosys-smtbmc mkdir -p share/include/kernel/ cp "./"/kernel/yosys.h share/include/kernel/yosys.h mkdir -p share/include/kernel/ cp "./"/kernel/hashlib.h share/include/kernel/hashlib.h mkdir -p share/include/kernel/ cp "./"/kernel/log.h share/include/kernel/log.h mkdir -p share/include/kernel/ cp "./"/kernel/rtlil.h share/include/kernel/rtlil.h mkdir -p share/include/kernel/ cp "./"/kernel/register.h share/include/kernel/register.h mkdir -p share/include/kernel/ cp "./"/kernel/celltypes.h share/include/kernel/celltypes.h mkdir -p share/include/kernel/ cp "./"/kernel/celledges.h share/include/kernel/celledges.h mkdir -p share/include/kernel/ cp "./"/kernel/consteval.h share/include/kernel/consteval.h mkdir -p share/include/kernel/ cp "./"/kernel/sigtools.h share/include/kernel/sigtools.h mkdir -p share/include/kernel/ cp "./"/kernel/modtools.h share/include/kernel/modtools.h mkdir -p share/include/kernel/ cp "./"/kernel/macc.h share/include/kernel/macc.h mkdir -p share/include/kernel/ cp "./"/kernel/utils.h share/include/kernel/utils.h mkdir -p share/include/kernel/ cp "./"/kernel/satgen.h share/include/kernel/satgen.h mkdir -p share/include/libs/ezsat/ cp "./"/libs/ezsat/ezsat.h share/include/libs/ezsat/ezsat.h mkdir -p share/include/libs/ezsat/ cp "./"/libs/ezsat/ezminisat.h share/include/libs/ezsat/ezminisat.h mkdir -p share/include/libs/sha1/ cp "./"/libs/sha1/sha1.h share/include/libs/sha1/sha1.h mkdir -p share/include/passes/fsm/ cp "./"/passes/fsm/fsmdata.h share/include/passes/fsm/fsmdata.h mkdir -p share/include/frontends/ast/ cp "./"/frontends/ast/ast.h share/include/frontends/ast/ast.h mkdir -p share/include/backends/ilang/ cp "./"/backends/ilang/ilang_backend.h share/include/backends/ilang/ilang_backend.h mkdir -p share/python3 cp "./"/backends/smt2/smtio.py share/python3/smtio.py mkdir -p share/achronix/speedster22i/ cp "./"/techlibs/achronix/speedster22i/cells_sim.v share/achronix/speedster22i/cells_sim.v mkdir -p share/achronix/speedster22i/ cp "./"/techlibs/achronix/speedster22i/cells_map.v share/achronix/speedster22i/cells_map.v mkdir -p share/anlogic cp "./"/techlibs/anlogic/cells_map.v share/anlogic/cells_map.v mkdir -p share/anlogic cp "./"/techlibs/anlogic/arith_map.v share/anlogic/arith_map.v mkdir -p share/anlogic cp "./"/techlibs/anlogic/cells_sim.v share/anlogic/cells_sim.v mkdir -p share/anlogic cp "./"/techlibs/anlogic/eagle_bb.v share/anlogic/eagle_bb.v mkdir -p share/anlogic cp "./"/techlibs/anlogic/drams.txt share/anlogic/drams.txt mkdir -p share/anlogic cp "./"/techlibs/anlogic/drams_map.v share/anlogic/drams_map.v mkdir -p share/anlogic cp "./"/techlibs/anlogic/dram_init_16x4.vh share/anlogic/dram_init_16x4.vh mkdir -p share cp "./"/techlibs/common/simlib.v share/simlib.v mkdir -p share cp "./"/techlibs/common/simcells.v share/simcells.v mkdir -p share cp "./"/techlibs/common/techmap.v share/techmap.v mkdir -p share cp "./"/techlibs/common/pmux2mux.v share/pmux2mux.v mkdir -p share cp "./"/techlibs/common/adff2dff.v share/adff2dff.v mkdir -p share cp "./"/techlibs/common/dff2ff.v share/dff2ff.v mkdir -p share cp "./"/techlibs/common/gate2lut.v share/gate2lut.v mkdir -p share cp "./"/techlibs/common/cmp2lut.v share/cmp2lut.v mkdir -p share cp "./"/techlibs/common/cells.lib share/cells.lib mkdir -p share/coolrunner2 cp "./"/techlibs/coolrunner2/cells_latch.v share/coolrunner2/cells_latch.v mkdir -p share/coolrunner2 cp "./"/techlibs/coolrunner2/cells_sim.v share/coolrunner2/cells_sim.v mkdir -p share/coolrunner2 cp "./"/techlibs/coolrunner2/tff_extract.v share/coolrunner2/tff_extract.v mkdir -p share/coolrunner2 cp "./"/techlibs/coolrunner2/xc2_dff.lib share/coolrunner2/xc2_dff.lib mkdir -p share/ecp5 cp "./"/techlibs/ecp5/cells_map.v share/ecp5/cells_map.v mkdir -p share/ecp5 cp "./"/techlibs/ecp5/cells_sim.v share/ecp5/cells_sim.v mkdir -p share/ecp5 cp "./"/techlibs/ecp5/cells_bb.v share/ecp5/cells_bb.v mkdir -p share/ecp5 cp "./"/techlibs/ecp5/drams_map.v share/ecp5/drams_map.v mkdir -p share/ecp5 cp "./"/techlibs/ecp5/dram.txt share/ecp5/dram.txt mkdir -p share/ecp5 cp "./"/techlibs/ecp5/brams_map.v share/ecp5/brams_map.v mkdir -p share/ecp5 cp "./"/techlibs/ecp5/bram.txt share/ecp5/bram.txt mkdir -p share/ecp5 cp "./"/techlibs/ecp5/arith_map.v share/ecp5/arith_map.v mkdir -p share/ecp5 cp "./"/techlibs/ecp5/latches_map.v share/ecp5/latches_map.v mkdir -p techlibs/ecp5 python3 techlibs/ecp5/brams_init.py touch techlibs/ecp5/brams_init.mk mkdir -p techlibs/ecp5 python3 techlibs/ecp5/brams_connect.py touch techlibs/ecp5/brams_connect.mk mkdir -p share/gowin cp "./"/techlibs/gowin/cells_map.v share/gowin/cells_map.v mkdir -p share/gowin cp "./"/techlibs/gowin/cells_sim.v share/gowin/cells_sim.v mkdir -p share/gowin cp "./"/techlibs/gowin/arith_map.v share/gowin/arith_map.v mkdir -p share/gowin cp "./"/techlibs/gowin/brams_map.v share/gowin/brams_map.v mkdir -p share/gowin cp "./"/techlibs/gowin/bram.txt share/gowin/bram.txt mkdir -p share/gowin cp "./"/techlibs/gowin/drams_map.v share/gowin/drams_map.v mkdir -p share/gowin cp "./"/techlibs/gowin/dram.txt share/gowin/dram.txt mkdir -p share/gowin cp "./"/techlibs/gowin/brams_init3.vh share/gowin/brams_init3.vh mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_blackbox.v share/greenpak4/cells_blackbox.v mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_latch.v share/greenpak4/cells_latch.v mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_map.v share/greenpak4/cells_map.v mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_sim.v share/greenpak4/cells_sim.v mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_sim_ams.v share/greenpak4/cells_sim_ams.v mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_sim_digital.v share/greenpak4/cells_sim_digital.v mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_sim_wip.v share/greenpak4/cells_sim_wip.v mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/gp_dff.lib share/greenpak4/gp_dff.lib mkdir -p share/ice40 cp "./"/techlibs/ice40/arith_map.v share/ice40/arith_map.v mkdir -p share/ice40 cp "./"/techlibs/ice40/cells_map.v share/ice40/cells_map.v mkdir -p share/ice40 cp "./"/techlibs/ice40/cells_sim.v share/ice40/cells_sim.v mkdir -p share/ice40 cp "./"/techlibs/ice40/latches_map.v share/ice40/latches_map.v mkdir -p share/ice40 cp "./"/techlibs/ice40/brams.txt share/ice40/brams.txt mkdir -p share/ice40 cp "./"/techlibs/ice40/brams_map.v share/ice40/brams_map.v mkdir -p techlibs/ice40 python3 techlibs/ice40/brams_init.py touch techlibs/ice40/brams_init.mk mkdir -p share/intel/common cp "./"/techlibs/intel/common/m9k_bb.v share/intel/common/m9k_bb.v mkdir -p share/intel/common cp "./"/techlibs/intel/common/altpll_bb.v share/intel/common/altpll_bb.v mkdir -p share/intel/common cp "./"/techlibs/intel/common/brams.txt share/intel/common/brams.txt mkdir -p share/intel/common cp "./"/techlibs/intel/common/brams_map.v share/intel/common/brams_map.v mkdir -p share/intel/max10 cp "./"/techlibs/intel/max10/cells_sim.v share/intel/max10/cells_sim.v mkdir -p share/intel/a10gx cp "./"/techlibs/intel/a10gx/cells_sim.v share/intel/a10gx/cells_sim.v mkdir -p share/intel/cyclonev cp "./"/techlibs/intel/cyclonev/cells_sim.v share/intel/cyclonev/cells_sim.v mkdir -p share/intel/cyclone10 cp "./"/techlibs/intel/cyclone10/cells_sim.v share/intel/cyclone10/cells_sim.v mkdir -p share/intel/cycloneiv cp "./"/techlibs/intel/cycloneiv/cells_sim.v share/intel/cycloneiv/cells_sim.v mkdir -p share/intel/cycloneive cp "./"/techlibs/intel/cycloneive/cells_sim.v share/intel/cycloneive/cells_sim.v mkdir -p share/intel/max10 cp "./"/techlibs/intel/max10/cells_map.v share/intel/max10/cells_map.v mkdir -p share/intel/a10gx cp "./"/techlibs/intel/a10gx/cells_map.v share/intel/a10gx/cells_map.v mkdir -p share/intel/cyclonev cp "./"/techlibs/intel/cyclonev/cells_map.v share/intel/cyclonev/cells_map.v mkdir -p share/intel/cyclone10 cp "./"/techlibs/intel/cyclone10/cells_map.v share/intel/cyclone10/cells_map.v mkdir -p share/intel/cycloneiv cp "./"/techlibs/intel/cycloneiv/cells_map.v share/intel/cycloneiv/cells_map.v mkdir -p share/intel/cycloneive cp "./"/techlibs/intel/cycloneive/cells_map.v share/intel/cycloneive/cells_map.v mkdir -p share/sf2 cp "./"/techlibs/sf2/arith_map.v share/sf2/arith_map.v mkdir -p share/sf2 cp "./"/techlibs/sf2/cells_map.v share/sf2/cells_map.v mkdir -p share/sf2 cp "./"/techlibs/sf2/cells_sim.v share/sf2/cells_sim.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/cells_map.v share/xilinx/cells_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/cells_sim.v share/xilinx/cells_sim.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/cells_xtra.v share/xilinx/cells_xtra.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams.txt share/xilinx/brams.txt mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_map.v share/xilinx/brams_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_bb.v share/xilinx/brams_bb.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/drams.txt share/xilinx/drams.txt mkdir -p share/xilinx cp "./"/techlibs/xilinx/drams_map.v share/xilinx/drams_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/arith_map.v share/xilinx/arith_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/ff_map.v share/xilinx/ff_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/lut_map.v share/xilinx/lut_map.v mkdir -p techlibs/xilinx python3 techlibs/xilinx/brams_init.py touch techlibs/xilinx/brams_init.mk mkdir -p kernel/ gcc -o kernel/version_UNKNOWN.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/version_UNKNOWN.cc mkdir -p kernel/ gcc -o kernel/register.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/register.cc mkdir -p frontends/ilang/ gcc -o frontends/ilang/ilang_parser.tab.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ilang/ilang_parser.tab.cc mkdir -p frontends/ilang/ gcc -o frontends/ilang/ilang_lexer.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ilang/ilang_lexer.cc mkdir -p frontends/verilog/ gcc -o frontends/verilog/verilog_parser.tab.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYYMAXDEPTH=10000000 frontends/verilog/verilog_parser.tab.cc mkdir -p frontends/verilog/ gcc -o frontends/verilog/verilog_lexer.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/verilog_lexer.cc mkdir -p passes/pmgen/ gcc -o passes/pmgen/ice40_dsp.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/ice40_dsp.cc mkdir -p passes/pmgen/ gcc -o passes/pmgen/peepopt.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/peepopt.cc mkdir -p passes/techmap/ gcc -o passes/techmap/techmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/techmap.cc mkdir -p ./ gcc -o yosys-filterlib -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -L/usr/lib -rdynamic passes/techmap/filterlib.o -lstdc++ -lm -lrt -lreadline -lffi -ldl -ltcl8.6 -ltclstub8.6 mkdir -p share/ecp5 cp techlibs/ecp5/bram_init_1_2_4.vh share/ecp5/bram_init_1_2_4.vh mkdir -p share/ecp5 cp techlibs/ecp5/bram_init_9_18_36.vh share/ecp5/bram_init_9_18_36.vh mkdir -p share/ecp5 cp techlibs/ecp5/bram_conn_1.vh share/ecp5/bram_conn_1.vh mkdir -p share/ecp5 cp techlibs/ecp5/bram_conn_2.vh share/ecp5/bram_conn_2.vh mkdir -p share/ecp5 cp techlibs/ecp5/bram_conn_4.vh share/ecp5/bram_conn_4.vh mkdir -p share/ecp5 cp techlibs/ecp5/bram_conn_9.vh share/ecp5/bram_conn_9.vh mkdir -p share/ecp5 cp techlibs/ecp5/bram_conn_18.vh share/ecp5/bram_conn_18.vh mkdir -p share/ice40 cp techlibs/ice40/brams_init1.vh share/ice40/brams_init1.vh mkdir -p share/ice40 cp techlibs/ice40/brams_init2.vh share/ice40/brams_init2.vh mkdir -p share/ice40 cp techlibs/ice40/brams_init3.vh share/ice40/brams_init3.vh mkdir -p share/xilinx cp techlibs/xilinx/brams_init_36.vh share/xilinx/brams_init_36.vh mkdir -p share/xilinx cp techlibs/xilinx/brams_init_32.vh share/xilinx/brams_init_32.vh mkdir -p share/xilinx cp techlibs/xilinx/brams_init_18.vh share/xilinx/brams_init_18.vh mkdir -p share/xilinx cp techlibs/xilinx/brams_init_16.vh share/xilinx/brams_init_16.vh gcc -o yosys -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -L/usr/lib -rdynamic kernel/version_UNKNOWN.o kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o kernel/cellaigs.o kernel/celledges.o libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o libs/sha1/sha1.o libs/subcircuit/subcircuit.o libs/ezsat/ezsat.o libs/ezsat/ezminisat.o libs/minisat/Options.o libs/minisat/SimpSolver.o libs/minisat/Solver.o libs/minisat/System.o frontends/aiger/aigerparse.o frontends/ast/ast.o frontends/ast/simplify.o frontends/ast/genrtlil.o frontends/ast/dpicall.o frontends/blif/blifparse.o frontends/ilang/ilang_parser.tab.o frontends/ilang/ilang_lexer.o frontends/ilang/ilang_frontend.o frontends/json/jsonparse.o frontends/liberty/liberty.o frontends/verific/verific.o frontends/verilog/verilog_parser.tab.o frontends/verilog/verilog_lexer.o frontends/verilog/preproc.o frontends/verilog/verilog_frontend.o frontends/verilog/const2ast.o passes/cmds/add.o passes/cmds/delete.o passes/cmds/design.o passes/cmds/select.o passes/cmds/show.o passes/cmds/rename.o passes/cmds/connect.o passes/cmds/scatter.o passes/cmds/setundef.o passes/cmds/splitnets.o passes/cmds/stat.o passes/cmds/setattr.o passes/cmds/copy.o passes/cmds/splice.o passes/cmds/scc.o passes/cmds/torder.o passes/cmds/logcmd.o passes/cmds/tee.o passes/cmds/write_file.o passes/cmds/connwrappers.o passes/cmds/cover.o passes/cmds/trace.o passes/cmds/plugin.o passes/cmds/check.o passes/cmds/qwp.o passes/cmds/edgetypes.o passes/cmds/chformal.o passes/cmds/chtype.o passes/cmds/blackbox.o passes/cmds/ltp.o passes/cmds/bugpoint.o passes/equiv/equiv_make.o passes/equiv/equiv_miter.o passes/equiv/equiv_simple.o passes/equiv/equiv_status.o passes/equiv/equiv_add.o passes/equiv/equiv_remove.o passes/equiv/equiv_induct.o passes/equiv/equiv_struct.o passes/equiv/equiv_purge.o passes/equiv/equiv_mark.o passes/equiv/equiv_opt.o passes/fsm/fsm.o passes/fsm/fsm_detect.o passes/fsm/fsm_extract.o passes/fsm/fsm_opt.o passes/fsm/fsm_expand.o passes/fsm/fsm_recode.o passes/fsm/fsm_info.o passes/fsm/fsm_export.o passes/fsm/fsm_map.o passes/hierarchy/hierarchy.o passes/hierarchy/uniquify.o passes/hierarchy/submod.o passes/memory/memory.o passes/memory/memory_dff.o passes/memory/memory_share.o passes/memory/memory_collect.o passes/memory/memory_unpack.o passes/memory/memory_bram.o passes/memory/memory_map.o passes/memory/memory_memx.o passes/memory/memory_nordff.o passes/opt/opt.o passes/opt/opt_merge.o passes/opt/opt_muxtree.o passes/opt/opt_reduce.o passes/opt/opt_rmdff.o passes/opt/opt_clean.o passes/opt/opt_expr.o passes/opt/share.o passes/opt/wreduce.o passes/opt/opt_demorgan.o passes/opt/rmports.o passes/opt/opt_lut.o passes/opt/pmux2shiftx.o passes/opt/muxpack.o passes/pmgen/ice40_dsp.o passes/pmgen/peepopt.o passes/proc/proc.o passes/proc/proc_clean.o passes/proc/proc_rmdead.o passes/proc/proc_init.o passes/proc/proc_arst.o passes/proc/proc_mux.o passes/proc/proc_dlatch.o passes/proc/proc_dff.o passes/sat/sat.o passes/sat/freduce.o passes/sat/eval.o passes/sat/sim.o passes/sat/miter.o passes/sat/expose.o passes/sat/assertpmux.o passes/sat/clk2fflogic.o passes/sat/async2sync.o passes/sat/supercover.o passes/sat/fmcombine.o passes/sat/mutate.o passes/sat/cutpoint.o passes/techmap/techmap.o passes/techmap/simplemap.o passes/techmap/dfflibmap.o passes/techmap/maccmap.o passes/techmap/libparse.o passes/techmap/abc.o passes/techmap/iopadmap.o passes/techmap/hilomap.o passes/techmap/extract.o passes/techmap/extract_fa.o passes/techmap/extract_counter.o passes/techmap/extract_reduce.o passes/techmap/alumacc.o passes/techmap/dff2dffe.o passes/techmap/dffinit.o passes/techmap/pmuxtree.o passes/techmap/muxcover.o passes/techmap/aigmap.o passes/techmap/tribuf.o passes/techmap/lut2mux.o passes/techmap/nlutmap.o passes/techmap/dffsr2dff.o passes/techmap/shregmap.o passes/techmap/deminout.o passes/techmap/insbuf.o passes/techmap/attrmvcp.o passes/techmap/attrmap.o passes/techmap/zinit.o passes/techmap/dff2dffs.o passes/techmap/flowmap.o passes/tests/test_autotb.o passes/tests/test_cell.o passes/tests/test_abcloop.o backends/aiger/aiger.o backends/blif/blif.o backends/btor/btor.o backends/edif/edif.o backends/firrtl/firrtl.o backends/ilang/ilang_backend.o backends/intersynth/intersynth.o backends/json/json.o backends/simplec/simplec.o backends/smt2/smt2.o backends/smv/smv.o backends/spice/spice.o backends/table/table.o backends/verilog/verilog_backend.o techlibs/achronix/synth_achronix.o techlibs/anlogic/synth_anlogic.o techlibs/anlogic/anlogic_eqn.o techlibs/anlogic/anlogic_determine_init.o techlibs/common/synth.o techlibs/common/prep.o techlibs/coolrunner2/synth_coolrunner2.o techlibs/coolrunner2/coolrunner2_sop.o techlibs/easic/synth_easic.o techlibs/ecp5/synth_ecp5.o techlibs/ecp5/ecp5_ffinit.o techlibs/gowin/synth_gowin.o techlibs/gowin/determine_init.o techlibs/greenpak4/synth_greenpak4.o techlibs/greenpak4/greenpak4_dffinv.o techlibs/ice40/synth_ice40.o techlibs/ice40/ice40_braminit.o techlibs/ice40/ice40_ffssr.o techlibs/ice40/ice40_ffinit.o techlibs/ice40/ice40_opt.o techlibs/ice40/ice40_unlut.o techlibs/intel/synth_intel.o techlibs/sf2/synth_sf2.o techlibs/sf2/sf2_iobs.o techlibs/xilinx/synth_xilinx.o -lstdc++ -lm -lrt -lreadline -lffi -ldl -ltcl8.6 -ltclstub8.6 cd manual && bash appnotes.sh Build successful. + for job in APPNOTE_010_Verilog_to_BLIF APPNOTE_011_Design_Investigation APPNOTE_012_Verilog_to_BTOR + '[' -f APPNOTE_010_Verilog_to_BLIF.ok -a APPNOTE_010_Verilog_to_BLIF.ok -nt APPNOTE_010_Verilog_to_BLIF.tex ']' + '[' -f APPNOTE_010_Verilog_to_BLIF/make.sh ']' ++ '[' -f APPNOTE_010_Verilog_to_BLIF.aux ']' ++ true + old_md5= + pdflatex -shell-escape -halt-on-error APPNOTE_010_Verilog_to_BLIF.tex This is pdfTeX, Version 3.14159265-2.6-1.40.21 (TeX Live 2020) (preloaded format=pdflatex) \write18 enabled. kpathsea: Running mktexfmt pdflatex.fmt mktexfmt: mktexfmt is using the following fmtutil.cnf files (in precedence order): mktexfmt: /usr/share/texlive/texmf-dist/web2c/fmtutil.cnf mktexfmt: mktexfmt is using the following fmtutil.cnf file for writing changes: mktexfmt: /builddir/.texlive2020/texmf-config/web2c/fmtutil.cnf mktexfmt [INFO]: writing formats under /builddir/.texlive2020/texmf-var/web2c mktexfmt [INFO]: --- remaking pdflatex with pdftex mktexfmt: running `pdftex -ini -jobname=pdflatex -progname=pdflatex -translate-file=cp227.tcx *pdflatex.ini' ... This is pdfTeX, Version 3.14159265-2.6-1.40.21 (TeX Live 2020) (INITEX) restricted \write18 enabled. (/usr/share/texlive/texmf-dist/web2c/cp227.tcx) entering extended mode (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/pdflatex.ini (/usr/share/texlive/texmf-dist/tex/generic/tex-ini-files/pdftexconfig.tex) (/usr/share/texlive/texmf-dist/tex/latex/base/latex.ltx (/usr/share/texlive/texmf-dist/tex/latex/base/texsys.cfg) ./texsys.aux found \@currdir set to: ./. Assuming \openin and \input have the same search path. Defining UNIX/DOS style filename parser. catcodes, registers, parameters, LaTeX2e <2020-02-02> patch level 5 hacks, control, par, spacing, files, font encodings, lengths, ==================================== Local config file fonttext.cfg used ==================================== (/usr/share/texlive/texmf-dist/tex/latex/base/fonttext.cfg (/usr/share/texlive/texmf-dist/tex/latex/base/fonttext.ltx === Don't modify this file, use a .cfg file instead === (/usr/share/texlive/texmf-dist/tex/latex/base/omlenc.def) (/usr/share/texlive/texmf-dist/tex/latex/base/omsenc.def) (/usr/share/texlive/texmf-dist/tex/latex/base/ot1enc.def) (/usr/share/texlive/texmf-dist/tex/latex/base/t1enc.def) (/usr/share/texlive/texmf-dist/tex/latex/base/ts1enc.def) (/usr/share/texlive/texmf-dist/tex/latex/base/ts1cmr.fd) (/usr/share/texlive/texmf-dist/tex/latex/base/t1cmr.fd) (/usr/share/texlive/texmf-dist/tex/latex/base/ot1cmr.fd) (/usr/share/texlive/texmf-dist/tex/latex/base/ot1cmss.fd) (/usr/share/texlive/texmf-dist/tex/latex/base/ot1cmtt.fd))) ==================================== Local config file fontmath.cfg used ==================================== (/usr/share/texlive/texmf-dist/tex/latex/base/fontmath.cfg (/usr/share/texlive/texmf-dist/tex/latex/base/fontmath.ltx === Don't modify this file, use a .cfg file instead === (/usr/share/texlive/texmf-dist/tex/latex/base/omlcmm.fd) (/usr/share/texlive/texmf-dist/tex/latex/base/omscmsy.fd) (/usr/share/texlive/texmf-dist/tex/latex/base/omxcmex.fd) (/usr/share/texlive/texmf-dist/tex/latex/base/ucmr.fd))) ==================================== Local config file preload.cfg used ===================================== (/usr/share/texlive/texmf-dist/tex/latex/base/preload.cfg (/usr/share/texlive/texmf-dist/tex/latex/base/preload.ltx)) page nos., x-ref, environments, center, verbatim, math definitions, boxes, title, sectioning, contents, floats, footnotes, index, bibliography, output, =========================================== Local configuration file hyphen.cfg used =========================================== (/usr/share/texlive/texmf-dist/tex/generic/babel/hyphen.cfg (/usr/share/texlive/texmf-dist/tex/generic/babel/switch.def) (/usr/share/texlive/texmf-dist/tex/generic/hyphen/hyphen.tex) (/usr/share/texlive/texmf-dist/tex/generic/hyphen/dumyhyph.tex) (/usr/share/texlive/texmf-dist/tex/generic/hyphen/zerohyph.tex) (/usr/share/texlive/texmf-dist/tex/generic/hyph-utf8/loadhyph/loadhyph-pl.tex QX Polish hyphenation patterns (/usr/share/texlive/texmf-dist/tex/generic/hyph-utf8/conversions/conv-utf8-qx.t ex) (/usr/share/texlive/texmf-dist/tex/generic/hyph-utf8/patterns/tex/hyph-pl.tex)) ) (/usr/share/texlive/texmf-dist/tex/latex/base/utf8.def (/usr/share/texlive/texmf-dist/tex/latex/base/omsenc.dfu) (/usr/share/texlive/texmf-dist/tex/latex/base/ot1enc.dfu) (/usr/share/texlive/texmf-dist/tex/latex/base/t1enc.dfu) (/usr/share/texlive/texmf-dist/tex/latex/base/ts1enc.dfu)) (/usr/share/texlive/texmf-dist/tex/latex/base/ltexpl.ltx (/usr/share/texlive/texmf-dist/tex/latex/l3kernel/expl3.ltx (/usr/share/texlive/texmf-dist/tex/latex/l3kernel/expl3-code.tex (/usr/share/texlive/texmf-dist/tex/latex/l3kernel/l3deprecation.def)))) ) ) Beginning to dump on file pdflatex.fmt (preloaded format=pdflatex 2021.1.29) 17480 strings of total length 298731 233853 memory locations dumped; current usage is 165&226886 15750 multiletter control sequences \font\nullfont=nullfont \font\OMX/cmex/m/n/10=cmex10 \font\tenln=line10 \font\tenlnw=linew10 \font\tencirc=lcircle10 \font\tencircw=lcirclew10 \font\OT1/cmr/m/n/5=cmr5 \font\OT1/cmr/m/n/7=cmr7 \font\OT1/cmr/m/n/10=cmr10 \font\OML/cmm/m/it/5=cmmi5 \font\OML/cmm/m/it/7=cmmi7 \font\OML/cmm/m/it/10=cmmi10 \font\OMS/cmsy/m/n/5=cmsy5 \font\OMS/cmsy/m/n/7=cmsy7 \font\OMS/cmsy/m/n/10=cmsy10 \font\c__fp_exp_intarray=cmr10 at 0.00002pt \font\c__fp_trig_intarray=cmr10 at 0.00003pt \font\g__regex_charcode_intarray=cmr10 at 0.00005pt \font\g__regex_catcode_intarray=cmr10 at 0.00006pt \font\g__regex_balance_intarray=cmr10 at 0.00008pt \font\g__regex_state_active_intarray=cmr10 at 0.00009pt \font\g__regex_thread_state_intarray=cmr10 at 0.0001pt \font\g__regex_submatch_prev_intarray=cmr10 at 0.00012pt \font\g__regex_submatch_begin_intarray=cmr10 at 0.00014pt \font\g__regex_submatch_end_intarray=cmr10 at 0.00015pt 532331 words of font info for 24 preloaded fonts 34 hyphenation exceptions Hyphenation trie of length 11340 has 377 ops out of 35111 194 for language 3 2 for language 1 181 for language 0 0 words of pdfTeX memory 0 indirect objects No pages of output. Transcript written on pdflatex.log. mktexfmt [INFO]: log file copied to: /builddir/.texlive2020/texmf-var/web2c/pdftex/pdflatex.log mktexfmt [INFO]: /builddir/.texlive2020/texmf-var/web2c/pdftex/pdflatex.fmt installed. mktexfmt [INFO]: successfully rebuilt formats: 1 mktexfmt [INFO]: not selected formats: 24 mktexfmt [INFO]: total formats: 25 mktexfmt [INFO]: exiting with status 0 entering extended mode (./APPNOTE_010_Verilog_to_BLIF.tex LaTeX2e <2020-02-02> patch level 5 L3 programming layer <2020-04-06> (/usr/share/texlive/texmf-dist/tex/latex/IEEEtran/IEEEtran.cls Document Class: IEEEtran 2015/08/26 V1.8b by Michael Shell -- See the "IEEEtran_HOWTO" manual for usage information. -- http://www.michaelshell.org/tex/ieeetran/ (/usr/share/texlive/texmf-dist/tex/latex/psnfss/ot1ptm.fd) -- Using 210mm x 297mm (a4) paper. -- Using PDF output. -- This is a 9 point document. ) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty (/usr/share/texlive/texmf-dist/tex/latex/psnfss/t1ptm.fd)) (/usr/share/texlive/texmf-dist/tex/latex/libertine/libertine.sty (/usr/share/texlive/texmf-dist/tex/generic/iftex/ifxetex.sty (/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty)) (/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty) (/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)))) (/usr/share/texlive/texmf-dist/tex/latex/base/textcomp.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty) (/usr/share/texlive/texmf-dist/tex/latex/fontaxes/fontaxes.sty) (/usr/share/texlive/texmf-dist/tex/latex/libertine/LinLibertine_I.tex)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hyperref.sty (/usr/share/texlive/texmf-dist/tex/generic/ltxcmds/ltxcmds.sty) (/usr/share/texlive/texmf-dist/tex/latex/pdftexcmds/pdftexcmds.sty (/usr/share/texlive/texmf-dist/tex/generic/infwarerr/infwarerr.sty)) (/usr/share/texlive/texmf-dist/tex/generic/kvsetkeys/kvsetkeys.sty) (/usr/share/texlive/texmf-dist/tex/generic/kvdefinekeys/kvdefinekeys.sty) (/usr/share/texlive/texmf-dist/tex/generic/pdfescape/pdfescape.sty) (/usr/share/texlive/texmf-dist/tex/latex/hycolor/hycolor.sty) (/usr/share/texlive/texmf-dist/tex/latex/letltxmacro/letltxmacro.sty) (/usr/share/texlive/texmf-dist/tex/latex/auxhook/auxhook.sty) (/usr/share/texlive/texmf-dist/tex/latex/kvoptions/kvoptions.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/pd1enc.def) (/usr/share/texlive/texmf-dist/tex/generic/intcalc/intcalc.sty) (/usr/share/texlive/texmf-dist/tex/generic/etexcmds/etexcmds.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/puenc.def) (/usr/share/texlive/texmf-dist/tex/latex/url/url.sty) (/usr/share/texlive/texmf-dist/tex/generic/bitset/bitset.sty (/usr/share/texlive/texmf-dist/tex/generic/bigintcalc/bigintcalc.sty)) (/usr/share/texlive/texmf-dist/tex/generic/atbegshi/atbegshi.sty)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hpdftex.def (/usr/share/texlive/texmf-dist/tex/latex/atveryend/atveryend.sty) (/usr/share/texlive/texmf-dist/tex/latex/rerunfilecheck/rerunfilecheck.sty (/usr/share/texlive/texmf-dist/tex/generic/uniquecounter/uniquecounter.sty))) (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.sty (/usr/share/texlive/texmf-dist/tex/generic/babel/switch.def) (/usr/share/texlive/texmf-dist/tex/generic/babel-english/english.ldf (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.def (/usr/share/texlive/texmf-dist/tex/generic/babel/txtbabel.def)))) (/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amssymb.sty (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amsfonts.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty For additional information on amsmath, use the `?' option. (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) (/usr/share/texlive/texmf-dist/tex/latex/units/units.sty (/usr/share/texlive/texmf-dist/tex/latex/base/ifthen.sty) (/usr/share/texlive/texmf-dist/tex/latex/units/nicefrac.sty)) (/usr/share/texlive/texmf-dist/tex/latex/eurosym/eurosym.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def))) (/usr/share/texlive/texmf-dist/tex/latex/tools/verbatim.sty) (/usr/share/texlive/texmf-dist/tex/latex/algorithmicx/algpseudocode.sty (/usr/share/texlive/texmf-dist/tex/latex/algorithmicx/algorithmicx.sty Document Style algorithmicx 1.2 - a greatly improved `algorithmic' style ) Document Style - pseudocode environments for use with the `algorithmicx' style ) (/usr/share/texlive/texmf-dist/tex/latex/carlisle/scalefnt.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/xspace.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/color.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/colortbl/colortbl.sty (/usr/share/texlive/texmf-dist/tex/latex/tools/array.sty)) (/usr/share/texlive/texmf-dist/tex/latex/multirow/multirow.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/hhline.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.sty (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/float/float.sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common-lists.t ex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def (/usr/share/texlive/texmf-dist/tex/latex/ms/everyshi.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeysfiltered.code.t ex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de f))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. tex)) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet ric.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari thmetics.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation s.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex ) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 .sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 .sty)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarytopaths.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarycalc.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryarrows.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryarrows.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryscopes.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarythrough.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryshapes.geometric.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape s.geometric.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdfmode.def) No file APPNOTE_010_Verilog_to_BLIF.aux. (/usr/share/texlive/texmf-dist/tex/latex/libertine/T1LinuxLibertineT-TLF.fd) -- Lines per column: 63 (exact). (/usr/share/texlive/texmf-dist/tex/latex/hyperref/nameref.sty (/usr/share/texlive/texmf-dist/tex/latex/refcount/refcount.sty) (/usr/share/texlive/texmf-dist/tex/generic/gettitlestring/gettitlestring.sty)) (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii [Loading MPS to PDF converter (version 2006.09.02).] ) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) ABD: EveryShipout initializing macros (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsa.fd) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsb.fd) LaTeX Warning: Citation `blif' on page 1 undefined on input line 64. LaTeX Warning: Citation `yosys' on page 1 undefined on input line 69. (/usr/share/texlive/texmf-dist/tex/latex/libertine/T1LinuxLibertineMonoT-TLF.fd ) LaTeX Warning: Citation `yosys' on page 1 undefined on input line 97. LaTeX Warning: Citation `bigsim' on page 1 undefined on input line 98. LaTeX Warning: Citation `navre' on page 1 undefined on input line 104. Underfull \hbox (badness 10000) in paragraph at lines 109--111 []\T1/LinuxLibertineT-TLF/m/n/9 Converting \T1/LinuxLibertineMonoT-TLF/regular/ n/9 softusb_navre.v \T1/LinuxLibertineT-TLF/m/n/9 to (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) Overfull \hbox (23.40253pt too wide) in paragraph at lines 114--115 [][][][][][][][][][][][][][][][][][][] Underfull \hbox (badness 3128) in paragraph at lines 120--128 \T1/LinuxLibertineT-TLF/m/n/9 For ex-am-ple, the \T1/LinuxLibertineMonoT-TLF/re gular/n/9 -o softusb_navre.blif \T1/LinuxLibertineT-TLF/m/n/9 op-tion just Underfull \hbox (badness 2035) in paragraph at lines 120--128 \T1/LinuxLibertineT-TLF/m/n/9 -- \T1/LinuxLibertineMonoT-TLF/regular/n/9 softus b_navre.v \T1/LinuxLibertineT-TLF/m/n/9 in this case -- adds the com-mand [1{/usr/share/texlive/texmf-dist/fonts/map/pdftex/updmap/pdftex.map}pdfTeX warn ing (ext4): destination with the same identifier (name{figure.1}) has been alre ady used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.206 pdfTeX warning (ext4): destination with the same identifier (name{figure. 2}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.206 pdfTeX warning (ext4): destination with the same identifier (name{figure. 3}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.206 ] Overfull \hbox (23.40253pt too wide) in paragraph at lines 235--236 [][][][][][][][][][][][][][][][][][][][][][][][][][] LaTeX Warning: Citation `amber' on page 2 undefined on input line 244. LaTeX Warning: Citation `bigsim' on page 2 undefined on input line 246. LaTeX Warning: Reference `glob_arst' on page 2 undefined on input line 278. LaTeX Warning: Reference `aber23.ys' on page 2 undefined on input line 290. (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang2.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang3.sty) LaTeX Warning: Reference `adff2dff.v' on page 2 undefined on input line 345. (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) LaTeX Warning: Reference `aber23.ys' on page 2 undefined on input line 394. LaTeX Warning: Reference `adff2dff.v' on page 2 undefined on input line 395. LaTeX Warning: Reference `sieve' on page 2 undefined on input line 397. Underfull \vbox (badness 3815) has occurred while \output is active [2pdfTeX wa rning (ext4): destination with the same identifier (name{figure.4}) has been al ready used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.399 pdfTeX warning (ext4): destination with the same identifier (name{figure. 5}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.399 pdfTeX warning (ext4): destination with the same identifier (name{figure. 6}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.399 pdfTeX warning (ext4): destination with the same identifier (name{figure. 7}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.399 ] LaTeX Warning: Citation `ABC' on page 3 undefined on input line 401. [3pdfTeX warning (ext4): destination with the same identifier (name{figure.8}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.466 \end{document} ] (./APPNOTE_010_Verilog_to_BLIF.aux) LaTeX Warning: There were undefined references. LaTeX Warning: Label(s) may have changed. Rerun to get cross-references right. ) (see the transcript file for additional information){/usr/share/texlive/texmf-d ist/fonts/enc/dvips/libertine/lbtn_dhi6qf.enc}{/usr/share/texlive/texmf-dist/fo nts/enc/dvips/libertine/lbtn_7grukw.enc}{/usr/share/texlive/texmf-dist/fonts/en c/dvips/libertine/lbtn_ncsllp.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvip s/libertine/lbtn_ki75ao.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/libe rtine/lbtn_nh77jq.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/libertine/ lbtn_76gpa5.enc} Output written on APPNOTE_010_Verilog_to_BLIF.pdf (3 pages, 362237 bytes). Transcript written on APPNOTE_010_Verilog_to_BLIF.log. ++ md5sum + new_md5='c7809762cd2465acc5b46045746739fd -' + '[' '' '!=' 'c7809762cd2465acc5b46045746739fd -' ']' + old_md5='c7809762cd2465acc5b46045746739fd -' + pdflatex -shell-escape -halt-on-error APPNOTE_010_Verilog_to_BLIF.tex This is pdfTeX, Version 3.14159265-2.6-1.40.21 (TeX Live 2020) (preloaded format=pdflatex) \write18 enabled. entering extended mode (./APPNOTE_010_Verilog_to_BLIF.tex LaTeX2e <2020-02-02> patch level 5 L3 programming layer <2020-04-06> (/usr/share/texlive/texmf-dist/tex/latex/IEEEtran/IEEEtran.cls Document Class: IEEEtran 2015/08/26 V1.8b by Michael Shell -- See the "IEEEtran_HOWTO" manual for usage information. -- http://www.michaelshell.org/tex/ieeetran/ (/usr/share/texlive/texmf-dist/tex/latex/psnfss/ot1ptm.fd) -- Using 210mm x 297mm (a4) paper. -- Using PDF output. -- This is a 9 point document. ) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty (/usr/share/texlive/texmf-dist/tex/latex/psnfss/t1ptm.fd)) (/usr/share/texlive/texmf-dist/tex/latex/libertine/libertine.sty (/usr/share/texlive/texmf-dist/tex/generic/iftex/ifxetex.sty (/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty)) (/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty) (/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)))) (/usr/share/texlive/texmf-dist/tex/latex/base/textcomp.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty) (/usr/share/texlive/texmf-dist/tex/latex/fontaxes/fontaxes.sty) (/usr/share/texlive/texmf-dist/tex/latex/libertine/LinLibertine_I.tex)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hyperref.sty (/usr/share/texlive/texmf-dist/tex/generic/ltxcmds/ltxcmds.sty) (/usr/share/texlive/texmf-dist/tex/latex/pdftexcmds/pdftexcmds.sty (/usr/share/texlive/texmf-dist/tex/generic/infwarerr/infwarerr.sty)) (/usr/share/texlive/texmf-dist/tex/generic/kvsetkeys/kvsetkeys.sty) (/usr/share/texlive/texmf-dist/tex/generic/kvdefinekeys/kvdefinekeys.sty) (/usr/share/texlive/texmf-dist/tex/generic/pdfescape/pdfescape.sty) (/usr/share/texlive/texmf-dist/tex/latex/hycolor/hycolor.sty) (/usr/share/texlive/texmf-dist/tex/latex/letltxmacro/letltxmacro.sty) (/usr/share/texlive/texmf-dist/tex/latex/auxhook/auxhook.sty) (/usr/share/texlive/texmf-dist/tex/latex/kvoptions/kvoptions.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/pd1enc.def) (/usr/share/texlive/texmf-dist/tex/generic/intcalc/intcalc.sty) (/usr/share/texlive/texmf-dist/tex/generic/etexcmds/etexcmds.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/puenc.def) (/usr/share/texlive/texmf-dist/tex/latex/url/url.sty) (/usr/share/texlive/texmf-dist/tex/generic/bitset/bitset.sty (/usr/share/texlive/texmf-dist/tex/generic/bigintcalc/bigintcalc.sty)) (/usr/share/texlive/texmf-dist/tex/generic/atbegshi/atbegshi.sty)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hpdftex.def (/usr/share/texlive/texmf-dist/tex/latex/atveryend/atveryend.sty) (/usr/share/texlive/texmf-dist/tex/latex/rerunfilecheck/rerunfilecheck.sty (/usr/share/texlive/texmf-dist/tex/generic/uniquecounter/uniquecounter.sty))) (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.sty (/usr/share/texlive/texmf-dist/tex/generic/babel/switch.def) (/usr/share/texlive/texmf-dist/tex/generic/babel-english/english.ldf (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.def (/usr/share/texlive/texmf-dist/tex/generic/babel/txtbabel.def)))) (/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amssymb.sty (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amsfonts.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty For additional information on amsmath, use the `?' option. (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) (/usr/share/texlive/texmf-dist/tex/latex/units/units.sty (/usr/share/texlive/texmf-dist/tex/latex/base/ifthen.sty) (/usr/share/texlive/texmf-dist/tex/latex/units/nicefrac.sty)) (/usr/share/texlive/texmf-dist/tex/latex/eurosym/eurosym.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def))) (/usr/share/texlive/texmf-dist/tex/latex/tools/verbatim.sty) (/usr/share/texlive/texmf-dist/tex/latex/algorithmicx/algpseudocode.sty (/usr/share/texlive/texmf-dist/tex/latex/algorithmicx/algorithmicx.sty Document Style algorithmicx 1.2 - a greatly improved `algorithmic' style ) Document Style - pseudocode environments for use with the `algorithmicx' style ) (/usr/share/texlive/texmf-dist/tex/latex/carlisle/scalefnt.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/xspace.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/color.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/colortbl/colortbl.sty (/usr/share/texlive/texmf-dist/tex/latex/tools/array.sty)) (/usr/share/texlive/texmf-dist/tex/latex/multirow/multirow.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/hhline.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.sty (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/float/float.sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common-lists.t ex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def (/usr/share/texlive/texmf-dist/tex/latex/ms/everyshi.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeysfiltered.code.t ex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de f))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. tex)) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet ric.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari thmetics.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation s.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex ) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 .sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 .sty)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarytopaths.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarycalc.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryarrows.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryarrows.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryscopes.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarythrough.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryshapes.geometric.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape s.geometric.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdfmode.def) (./APPNOTE_010_Verilog_to_BLIF.aux) (/usr/share/texlive/texmf-dist/tex/latex/libertine/T1LinuxLibertineT-TLF.fd) -- Lines per column: 63 (exact). (/usr/share/texlive/texmf-dist/tex/latex/hyperref/nameref.sty (/usr/share/texlive/texmf-dist/tex/latex/refcount/refcount.sty) (/usr/share/texlive/texmf-dist/tex/generic/gettitlestring/gettitlestring.sty)) (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii [Loading MPS to PDF converter (version 2006.09.02).] ) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) ABD: EveryShipout initializing macros (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsa.fd) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsb.fd) (/usr/share/texlive/texmf-dist/tex/latex/libertine/T1LinuxLibertineMonoT-TLF.fd ) Underfull \hbox (badness 10000) in paragraph at lines 109--111 []\T1/LinuxLibertineT-TLF/m/n/9 Converting \T1/LinuxLibertineMonoT-TLF/regular/ n/9 softusb_navre.v \T1/LinuxLibertineT-TLF/m/n/9 to (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) Overfull \hbox (23.40253pt too wide) in paragraph at lines 114--115 [][][][][][][][][][][][][][][][][][][] Underfull \hbox (badness 3128) in paragraph at lines 120--128 \T1/LinuxLibertineT-TLF/m/n/9 For ex-am-ple, the \T1/LinuxLibertineMonoT-TLF/re gular/n/9 -o softusb_navre.blif \T1/LinuxLibertineT-TLF/m/n/9 op-tion just Underfull \hbox (badness 2035) in paragraph at lines 120--128 \T1/LinuxLibertineT-TLF/m/n/9 -- \T1/LinuxLibertineMonoT-TLF/regular/n/9 softus b_navre.v \T1/LinuxLibertineT-TLF/m/n/9 in this case -- adds the com-mand [1{/usr/share/texlive/texmf-dist/fonts/map/pdftex/updmap/pdftex.map}pdfTeX warn ing (ext4): destination with the same identifier (name{figure.1}) has been alre ady used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.206 pdfTeX warning (ext4): destination with the same identifier (name{figure. 2}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.206 pdfTeX warning (ext4): destination with the same identifier (name{figure. 3}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.206 ] Overfull \hbox (23.40253pt too wide) in paragraph at lines 235--236 [][][][][][][][][][][][][][][][][][][][][][][][][][] (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang2.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang3.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) Underfull \vbox (badness 3815) has occurred while \output is active [2pdfTeX wa rning (ext4): destination with the same identifier (name{figure.4}) has been al ready used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.399 pdfTeX warning (ext4): destination with the same identifier (name{figure. 5}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.399 pdfTeX warning (ext4): destination with the same identifier (name{figure. 6}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.399 pdfTeX warning (ext4): destination with the same identifier (name{figure. 7}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.399 ] [3pdfTeX warning (ext4): destination with the same identifier (name{fig ure.8}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.466 \end{document} ] (./APPNOTE_010_Verilog_to_BLIF.aux) ) (see the transcript file for additional information){/usr/share/texlive/texmf-d ist/fonts/enc/dvips/libertine/lbtn_dhi6qf.enc}{/usr/share/texlive/texmf-dist/fo nts/enc/dvips/libertine/lbtn_7grukw.enc}{/usr/share/texlive/texmf-dist/fonts/en c/dvips/libertine/lbtn_ncsllp.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvip s/libertine/lbtn_ki75ao.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/libe rtine/lbtn_nh77jq.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/libertine/ lbtn_76gpa5.enc} Output written on APPNOTE_010_Verilog_to_BLIF.pdf (3 pages, 362522 bytes). Transcript written on APPNOTE_010_Verilog_to_BLIF.log. ++ md5sum + new_md5='c7809762cd2465acc5b46045746739fd -' + '[' 'c7809762cd2465acc5b46045746739fd -' '!=' 'c7809762cd2465acc5b46045746739fd -' ']' + touch APPNOTE_010_Verilog_to_BLIF.ok + for job in APPNOTE_010_Verilog_to_BLIF APPNOTE_011_Design_Investigation APPNOTE_012_Verilog_to_BTOR + '[' -f APPNOTE_011_Design_Investigation.ok -a APPNOTE_011_Design_Investigation.ok -nt APPNOTE_011_Design_Investigation.tex ']' + '[' -f APPNOTE_011_Design_Investigation/make.sh ']' + cd APPNOTE_011_Design_Investigation + bash make.sh + false + for dot_file in *.dot + pdf_file=cmos_00.pdf + dot -Tpdf -o cmos_00.pdf cmos_00.dot + for dot_file in *.dot + pdf_file=cmos_01.pdf + dot -Tpdf -o cmos_01.pdf cmos_01.dot + for dot_file in *.dot + pdf_file=example_00.pdf + dot -Tpdf -o example_00.pdf example_00.dot + for dot_file in *.dot + pdf_file=example_01.pdf + dot -Tpdf -o example_01.pdf example_01.dot + for dot_file in *.dot + pdf_file=example_02.pdf + dot -Tpdf -o example_02.pdf example_02.dot + for dot_file in *.dot + pdf_file=example_03.pdf + dot -Tpdf -o example_03.pdf example_03.dot + for dot_file in *.dot + pdf_file=memdemo_00.pdf + dot -Tpdf -o memdemo_00.pdf memdemo_00.dot + for dot_file in *.dot + pdf_file=memdemo_01.pdf + dot -Tpdf -o memdemo_01.pdf memdemo_01.dot + for dot_file in *.dot + pdf_file=splice.pdf + dot -Tpdf -o splice.pdf splice.dot + for dot_file in *.dot + pdf_file=submod_00.pdf + dot -Tpdf -o submod_00.pdf submod_00.dot + for dot_file in *.dot + pdf_file=submod_01.pdf + dot -Tpdf -o submod_01.pdf submod_01.dot + for dot_file in *.dot + pdf_file=submod_02.pdf + dot -Tpdf -o submod_02.pdf submod_02.dot + for dot_file in *.dot + pdf_file=submod_03.pdf + dot -Tpdf -o submod_03.pdf submod_03.dot + for dot_file in *.dot + pdf_file=sumprod_00.pdf + dot -Tpdf -o sumprod_00.pdf sumprod_00.dot + for dot_file in *.dot + pdf_file=sumprod_01.pdf + dot -Tpdf -o sumprod_01.pdf sumprod_01.dot + for dot_file in *.dot + pdf_file=sumprod_02.pdf + dot -Tpdf -o sumprod_02.pdf sumprod_02.dot + for dot_file in *.dot + pdf_file=sumprod_03.pdf + dot -Tpdf -o sumprod_03.pdf sumprod_03.dot + for dot_file in *.dot + pdf_file=sumprod_04.pdf + dot -Tpdf -o sumprod_04.pdf sumprod_04.dot + for dot_file in *.dot + pdf_file=sumprod_05.pdf + dot -Tpdf -o sumprod_05.pdf sumprod_05.dot + cd .. ++ '[' -f APPNOTE_011_Design_Investigation.aux ']' ++ true + old_md5= + pdflatex -shell-escape -halt-on-error APPNOTE_011_Design_Investigation.tex This is pdfTeX, Version 3.14159265-2.6-1.40.21 (TeX Live 2020) (preloaded format=pdflatex) \write18 enabled. entering extended mode (./APPNOTE_011_Design_Investigation.tex LaTeX2e <2020-02-02> patch level 5 L3 programming layer <2020-04-06> (/usr/share/texlive/texmf-dist/tex/latex/IEEEtran/IEEEtran.cls Document Class: IEEEtran 2015/08/26 V1.8b by Michael Shell -- See the "IEEEtran_HOWTO" manual for usage information. -- http://www.michaelshell.org/tex/ieeetran/ (/usr/share/texlive/texmf-dist/tex/latex/psnfss/ot1ptm.fd) -- Using 210mm x 297mm (a4) paper. -- Using PDF output. -- This is a 9 point document. ) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty (/usr/share/texlive/texmf-dist/tex/latex/psnfss/t1ptm.fd)) (/usr/share/texlive/texmf-dist/tex/latex/libertine/libertine.sty (/usr/share/texlive/texmf-dist/tex/generic/iftex/ifxetex.sty (/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty)) (/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty) (/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)))) (/usr/share/texlive/texmf-dist/tex/latex/base/textcomp.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty) (/usr/share/texlive/texmf-dist/tex/latex/fontaxes/fontaxes.sty) (/usr/share/texlive/texmf-dist/tex/latex/libertine/LinLibertine_I.tex)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hyperref.sty (/usr/share/texlive/texmf-dist/tex/generic/ltxcmds/ltxcmds.sty) (/usr/share/texlive/texmf-dist/tex/latex/pdftexcmds/pdftexcmds.sty (/usr/share/texlive/texmf-dist/tex/generic/infwarerr/infwarerr.sty)) (/usr/share/texlive/texmf-dist/tex/generic/kvsetkeys/kvsetkeys.sty) (/usr/share/texlive/texmf-dist/tex/generic/kvdefinekeys/kvdefinekeys.sty) (/usr/share/texlive/texmf-dist/tex/generic/pdfescape/pdfescape.sty) (/usr/share/texlive/texmf-dist/tex/latex/hycolor/hycolor.sty) (/usr/share/texlive/texmf-dist/tex/latex/letltxmacro/letltxmacro.sty) (/usr/share/texlive/texmf-dist/tex/latex/auxhook/auxhook.sty) (/usr/share/texlive/texmf-dist/tex/latex/kvoptions/kvoptions.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/pd1enc.def) (/usr/share/texlive/texmf-dist/tex/generic/intcalc/intcalc.sty) (/usr/share/texlive/texmf-dist/tex/generic/etexcmds/etexcmds.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/puenc.def) (/usr/share/texlive/texmf-dist/tex/latex/url/url.sty) (/usr/share/texlive/texmf-dist/tex/generic/bitset/bitset.sty (/usr/share/texlive/texmf-dist/tex/generic/bigintcalc/bigintcalc.sty)) (/usr/share/texlive/texmf-dist/tex/generic/atbegshi/atbegshi.sty)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hpdftex.def (/usr/share/texlive/texmf-dist/tex/latex/atveryend/atveryend.sty) (/usr/share/texlive/texmf-dist/tex/latex/rerunfilecheck/rerunfilecheck.sty (/usr/share/texlive/texmf-dist/tex/generic/uniquecounter/uniquecounter.sty))) (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.sty (/usr/share/texlive/texmf-dist/tex/generic/babel/switch.def) (/usr/share/texlive/texmf-dist/tex/generic/babel-english/english.ldf (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.def (/usr/share/texlive/texmf-dist/tex/generic/babel/txtbabel.def)))) (/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amssymb.sty (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amsfonts.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty For additional information on amsmath, use the `?' option. (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) (/usr/share/texlive/texmf-dist/tex/latex/units/units.sty (/usr/share/texlive/texmf-dist/tex/latex/base/ifthen.sty) (/usr/share/texlive/texmf-dist/tex/latex/units/nicefrac.sty)) (/usr/share/texlive/texmf-dist/tex/latex/eurosym/eurosym.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def))) (/usr/share/texlive/texmf-dist/tex/latex/tools/verbatim.sty) (/usr/share/texlive/texmf-dist/tex/latex/algorithmicx/algpseudocode.sty (/usr/share/texlive/texmf-dist/tex/latex/algorithmicx/algorithmicx.sty Document Style algorithmicx 1.2 - a greatly improved `algorithmic' style ) Document Style - pseudocode environments for use with the `algorithmicx' style ) (/usr/share/texlive/texmf-dist/tex/latex/carlisle/scalefnt.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/xspace.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/color.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/colortbl/colortbl.sty (/usr/share/texlive/texmf-dist/tex/latex/tools/array.sty)) (/usr/share/texlive/texmf-dist/tex/latex/multirow/multirow.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/hhline.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.sty (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/float/float.sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common-lists.t ex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def (/usr/share/texlive/texmf-dist/tex/latex/ms/everyshi.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeysfiltered.code.t ex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de f))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. tex)) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet ric.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari thmetics.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation s.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex ) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 .sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 .sty)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarytopaths.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarycalc.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryarrows.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryarrows.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryscopes.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarythrough.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryshapes.geometric.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape s.geometric.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdfmode.def) No file APPNOTE_011_Design_Investigation.aux. (/usr/share/texlive/texmf-dist/tex/latex/libertine/T1LinuxLibertineT-TLF.fd) -- Lines per column: 63 (exact). (/usr/share/texlive/texmf-dist/tex/latex/hyperref/nameref.sty (/usr/share/texlive/texmf-dist/tex/latex/refcount/refcount.sty) (/usr/share/texlive/texmf-dist/tex/generic/gettitlestring/gettitlestring.sty)) (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii [Loading MPS to PDF converter (version 2006.09.02).] ) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) ABD: EveryShipout initializing macros LaTeX Warning: Citation `yosys' on page 1 undefined on input line 61. (/usr/share/texlive/texmf-dist/tex/latex/libertine/T1LinuxLibertineMonoT-TLF.fd ) LaTeX Warning: Citation `yosys' on page 1 undefined on input line 74. LaTeX Warning: Citation `graphviz' on page 1 undefined on input line 76. LaTeX Warning: Citation `xdot' on page 1 undefined on input line 77. LaTeX Warning: Reference `intro_show' on page 1 undefined on input line 83. LaTeX Warning: Reference `navigate' on page 1 undefined on input line 86. LaTeX Warning: Reference `poke' on page 1 undefined on input line 90. LaTeX Warning: Reference `conclusion' on page 1 undefined on input line 93. LaTeX Warning: Reference `example_src' on page 1 undefined on input line 124. LaTeX Warning: Reference `example_src' on page 1 undefined on input line 138. LaTeX Warning: Reference `example_out' on page 1 undefined on input line 146. [1{/usr/share/texlive/texmf-dist/fonts/map/pdftex/updmap/pdftex.map}pdfTeX warn ing (ext4): destination with the same identifier (name{figure.1}) has been alre ady used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.177 pdfTeX warning (ext4): destination with the same identifier (name{figure. 2}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.177 <./APPNOTE_011_Design_Investigation/example_00.pdf> <./APPNOTE_011_Desig n_Investigation/example_01.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/example_01.pd f): PDF inclusion: multiple pdfs with page group included in a single page > <./APPNOTE_011_Design_Investigation/example_02.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/example_02.pd f): PDF inclusion: multiple pdfs with page group included in a single page >] LaTeX Warning: Reference `example_out' on page 2 undefined on input line 195. (./APPNOTE_011_Design_Investigation/splice.v Overfull \hbox (5.80966pt too wide) in paragraph at lines 1--3 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] ) Underfull \hbox (badness 10000) in paragraph at lines 213--216 [][] LaTeX Warning: Reference `splice_dia' on page 2 undefined on input line 226. LaTeX Warning: Reference `splice_src' on page 2 undefined on input line 226. Underfull \hbox (badness 10000) in paragraph at lines 229--236 []\T1/LinuxLibertineT-TLF/m/n/9 The key el-e-ments in un-der-stand-ing this cir -cuit Underfull \hbox (badness 10000) in paragraph at lines 229--236 \T1/LinuxLibertineT-TLF/m/n/9 di-a-gram are of course the boxes with round cor- ners Underfull \hbox (badness 10000) in paragraph at lines 229--236 \T1/LinuxLibertineT-TLF/m/n/9 and rows la-beled \T1/LinuxLibertineMonoT-TLF/reg ular/n/9 : -- Underfull \hbox (badness 10000) in paragraph at lines 229--236 \T1/LinuxLibertineMonoT-TLF/regular/n/9 :\T1/LinuxLiberti neT-TLF/m/n/9 . Each of this boxes has LaTeX Warning: Reference `splitnets_libfile' on page 2 undefined on input line 246. [2pdfTeX warning (ext4): destination with the same identifier (name{figure.3}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.262 pdfTeX warning (ext4): destination with the same identifier (name{figure. 4}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.262 pdfTeX warning (ext4): destination with the same identifier (name{figure. 5}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.262 <./APPNOTE_011_Design_Investigation/splice.pdf> <./APPNOTE_011_Design_In vestigation/cmos_00.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/cmos_00.pdf): PDF inclusion: multiple pdfs with page group included in a single page > <./APPNOTE_011_Design_Investigation/cmos_01.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/cmos_01.pdf): PDF inclusion: multiple pdfs with page group included in a single page >] Underfull \hbox (badness 1412) in paragraph at lines 263--268 []\T1/LinuxLibertineT-TLF/m/n/9 In ad-di-tion to that, the 2nd di-a-gram was ge n-er-ated af-ter LaTeX Warning: Reference `example_src' on page 3 undefined on input line 337. LaTeX Warning: Reference `example_src' on page 3 undefined on input line 355. LaTeX Warning: Reference `example_out' on page 3 undefined on input line 355. LaTeX Warning: Reference `lscd' on page 3 undefined on input line 369. LaTeX Warning: Reference `example_src' on page 3 undefined on input line 370. LaTeX Warning: Reference `lscd' on page 3 undefined on input line 372. LaTeX Warning: Reference `example_out' on page 3 undefined on input line 373. LaTeX Warning: Reference `dump2' on page 3 undefined on input line 386. LaTeX Warning: Reference `example_out' on page 3 undefined on input line 398. LaTeX Warning: Reference `example_out' on page 3 undefined on input line 398. [3pdfTeX warning (ext4): destination with the same identifier (name{figure.6}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.400 \end{figure} pdfTeX warning (ext4): destination with the same identifier ( name{figure.7}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.400 \end{figure} ] LaTeX Warning: Reference `seladd' on page 4 undefined on input line 412. LaTeX Warning: Reference `seladd' on page 4 undefined on input line 420. (./APPNOTE_011_Design_Investigation/foobaraddsub.v Overfull \hbox (32.80994pt too wide) in paragraph at lines 1--2 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] ) (./APPNOTE_011_Design_Investigation/sumprod.v) LaTeX Warning: Reference `sumprod' on page 4 undefined on input line 455. LaTeX Warning: Reference `foobaraddsub' on page 4 undefined on input line 470. LaTeX Warning: Reference `sumprod' on page 4 undefined on input line 485. LaTeX Warning: Reference `sumprod_00' on page 4 undefined on input line 491. [4pdfTeX warning (ext4): destination with the same identifier (name{figure.8}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.496 pdfTeX warning (ext4): destination with the same identifier (name{figure. 9}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.496 pdfTeX warning (ext4): destination with the same identifier (name{figure. 10}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.496 pdfTeX warning (ext4): destination with the same identifier (name{figure. 11}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.496 <./APPNOTE_011_Design_Investigation/example_03.pdf> <./APPNOTE_011_Desig n_Investigation/sumprod_00.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/sumprod_00.pd f): PDF inclusion: multiple pdfs with page group included in a single page >] LaTeX Warning: Reference `sumprod_01' on page 5 undefined on input line 500. LaTeX Warning: Reference `sumprod' on page 5 undefined on input line 504. LaTeX Warning: Reference `sumprod_01' on page 5 undefined on input line 510. LaTeX Warning: Reference `select_prod' on page 5 undefined on input line 519. LaTeX Warning: Reference `memdemo_src' on page 5 undefined on input line 552. LaTeX Warning: Reference `memdemo_00' on page 5 undefined on input line 555. (./APPNOTE_011_Design_Investigation/memdemo.v Overfull \hbox (43.61005pt too wide) in paragraph at lines 13--14 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (5.80966pt too wide) in paragraph at lines 14--15 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] ) LaTeX Warning: Reference `memdemo_src' on page 5 undefined on input line 565. [5pdfTeX warning (ext4): destination with the same identifier (name{figure.12}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.586 show y %ci2:+$dff[Q,D] pdfTeX warning (ext4): destination with the same id entifier (name{figure.13}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.586 show y %ci2:+$dff[Q,D] pdfTeX warning (ext4): destination with the same id entifier (name{figure.14}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.586 show y %ci2:+$dff[Q,D] <./APPNOTE_011_Design_Investigation/sumprod_01.pdf > <./APPNOTE_011_Design_Investigation/sumprod_02.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/sumprod_02.pd f): PDF inclusion: multiple pdfs with page group included in a single page > <./APPNOTE_011_Design_Investigation/sumprod_03.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/sumprod_03.pd f): PDF inclusion: multiple pdfs with page group included in a single page > <./APPNOTE_011_Design_Investigation/sumprod_04.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/sumprod_04.pd f): PDF inclusion: multiple pdfs with page group included in a single page > <./APPNOTE_011_Design_Investigation/sumprod_05.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/sumprod_05.pd f): PDF inclusion: multiple pdfs with page group included in a single page >] Underfull \hbox (badness 10000) in paragraph at lines 610--610 \T1/LinuxLibertineT-TLF/m/n/8 Figure 16. []Output of \T1/LinuxLibertineMonoT-T LF/regular/n/8 show y %ci2:+$dff[Q,D] LaTeX Warning: Reference `memdemo_01' on page 6 undefined on input line 632. LaTeX Warning: Reference `submod' on page 6 undefined on input line 681. LaTeX Warning: Reference `memdemo_src' on page 6 undefined on input line 682. LaTeX Warning: Reference `memdemo_00' on page 6 undefined on input line 682. Overfull \hbox (17.20978pt too wide) in paragraph at lines 692--693 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (34.00995pt too wide) in paragraph at lines 693--694 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (34.00995pt too wide) in paragraph at lines 694--695 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] LaTeX Warning: Reference `memdemo_src' on page 6 undefined on input line 699. LaTeX Warning: Reference `memdemo_00' on page 6 undefined on input line 699. [6pdfTeX warning (ext4): destination with the same identifier (name{figure.15}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.701 \end{figure} pdfTeX warning (ext4): destination with the same identifier ( name{figure.16}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.701 \end{figure} <./APPNOTE_011_Design_Investigation/memdemo_00.pdf> <./APPNO TE_011_Design_Investigation/memdemo_01.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/memdemo_01.pd f): PDF inclusion: multiple pdfs with page group included in a single page >] LaTeX Warning: Reference `submod' on page 7 undefined on input line 706. Overfull \hbox (79.51968pt too wide) in paragraph at lines 710--710 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 yosys [selstage]> eval -set s2,s1 4'b1001 -set d 4'hc -show n2 -show n1 Overfull \hbox (34.71973pt too wide) in paragraph at lines 712--712 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 9. Executing EVAL pass (evaluate t he circuit given an input).[] Overfull \hbox (83.99968pt too wide) in paragraph at lines 713--713 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 Full command line: eval -set s2,s1 4'b1001 -set d 4'hc -show n2 -show n1[] Overfull \hbox (39.19972pt too wide) in paragraph at lines 731--731 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 yosys [selstage]> eval -set-undef -set d[3:1] 0 -table s1,d[0] Overfull \hbox (39.19972pt too wide) in paragraph at lines 733--733 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 10. Executing EVAL pass (evaluate the circuit given an input).[] Overfull \hbox (43.67972pt too wide) in paragraph at lines 734--734 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 Full command line: eval -set-undef -set d[3:1] 0 -table s1,d[0][] Overfull \hbox (3.35976pt too wide) in paragraph at lines 747--747 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 Assumed undef (x) value for the fo llowing signals: \s2[] (./APPNOTE_011_Design_Investigation/primetest.v) LaTeX Warning: Reference `primesat' on page 7 undefined on input line 762. LaTeX Warning: Reference `primesat' on page 7 undefined on input line 762. Overfull \hbox (14.51244pt too wide) in paragraph at lines 806--807 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] LaTeX Warning: Reference `primetest' on page 7 undefined on input line 825. LaTeX Warning: Reference `primetest' on page 7 undefined on input line 825. LaTeX Warning: Citation `CircuitSAT' on page 7 undefined on input line 834. LaTeX Warning: Citation `MiniSAT' on page 7 undefined on input line 834. Overfull \hbox (52.63971pt too wide) in paragraph at lines 842--842 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 yosys [selstage]> sat -show s1,s2, d -set s1 s2 -set n2,n1 4'b1001 Overfull \hbox (34.71973pt too wide) in paragraph at lines 844--844 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 11. Executing SAT pass (solving SA T problems in the circuit).[] Overfull \hbox (57.1197pt too wide) in paragraph at lines 845--845 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 Full command line: sat -show s1,s2 ,d -set s1 s2 -set n2,n1 4'b1001[] Overfull \hbox (25.75974pt too wide) in paragraph at lines 850--850 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 Final constraint equation: { \n2 \ n1 \s1 } = { 4'1001 \s2 }[] Overfull \hbox (30.23973pt too wide) in paragraph at lines 857--857 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 Signal Name Dec Hex Bin[] Overfull \hbox (30.23973pt too wide) in paragraph at lines 858--858 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 -------------------- ---------- ---------- ---------------[] Overfull \hbox (30.23973pt too wide) in paragraph at lines 859--859 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 \d 9 9 1001[] Overfull \hbox (30.23973pt too wide) in paragraph at lines 860--860 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 \s1 0 0 00[] Overfull \hbox (30.23973pt too wide) in paragraph at lines 861--861 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 \s2 0 0 00[] [7pdfTeX warning (ext4): destination with the same identifier (name{figure.17}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.874 pdfTeX warning (ext4): destination with the same identifier (name{figure. 18}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.874 <./APPNOTE_011_Design_Investigation/submod_00.pdf> <./APPNOTE_011_Design _Investigation/submod_01.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/submod_01.pdf ): PDF inclusion: multiple pdfs with page group included in a single page > <./APPNOTE_011_Design_Investigation/submod_02.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/submod_02.pdf ): PDF inclusion: multiple pdfs with page group included in a single page > <./APPNOTE_011_Design_Investigation/submod_03.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/submod_03.pdf ): PDF inclusion: multiple pdfs with page group included in a single page >] Underfull \vbox (badness 10000) has occurred while \output is active LaTeX Warning: Reference `primetest' on page 8 undefined on input line 875. LaTeX Warning: Reference `primesat' on page 8 undefined on input line 879. LaTeX Warning: Reference `primesat' on page 8 undefined on input line 887. [8pdfTeX warning (ext4): destination with the same identifier (name{figure.19}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.889 ] Overfull \hbox (29.8099pt too wide) in paragraph at lines 904--905 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (25.60986pt too wide) in paragraph at lines 905--907 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ] Overfull \hbox (25.60986pt too wide) in paragraph at lines 907--908 [][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (29.8099pt too wide) in paragraph at lines 908--909 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (25.60986pt too wide) in paragraph at lines 909--911 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ] Overfull \hbox (38.20999pt too wide) in paragraph at lines 939--940 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (38.20999pt too wide) in paragraph at lines 940--942 [][][][][][][][][][][][][][][] Overfull \hbox (8.8097pt too wide) in paragraph at lines 945--946 [][][][][][][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 949--950 [][][][][][][][][][][][][][][][] Overfull \hbox (46.61008pt too wide) in paragraph at lines 950--951 [][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 951--952 [][][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 952--953 [][][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 953--954 [][][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 954--955 [][][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 955--956 [][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 956--957 [][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 957--958 [][][][][][][][][][][][][][][] Overfull \hbox (46.61008pt too wide) in paragraph at lines 958--959 [][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 959--960 [][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 960--961 [][][][][][][][][][][][][][][] Overfull \hbox (46.61008pt too wide) in paragraph at lines 961--962 [][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 962--963 [][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 963--964 [][][][][][][][][][][][][][][] Overfull \hbox (46.61008pt too wide) in paragraph at lines 964--965 [][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 965--966 [][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 966--967 [][][][][][][][][][][][][][][] Overfull \hbox (46.61008pt too wide) in paragraph at lines 967--968 [][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 968--969 [][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 969--970 [][][][][][][][][][][][][][][] Overfull \hbox (46.61008pt too wide) in paragraph at lines 970--971 [][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 971--972 [][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 972--973 [][][][][][][][][][][][][][][] Overfull \hbox (46.61008pt too wide) in paragraph at lines 973--974 [][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 974--975 [][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 975--976 [][][][][][][][][][][][][][][] LaTeX Warning: Reference `memdemo_src' on page 9 undefined on input line 977. LaTeX Warning: Reference `memdemo_src' on page 9 undefined on input line 977. LaTeX Warning: Float too large for page by 81.95883pt on input line 979. LaTeX Warning: Reference `memdemo_src' on page 9 undefined on input line 983. LaTeX Warning: Reference `memdemo_sat' on page 9 undefined on input line 986. Overfull \hbox (18.71974pt too wide) in paragraph at lines 990--990 [] \T1/LinuxLibertineMonoT-TLF/regular/n/9 sat -seq 6 -show y -show d -set-in it-undef \ Overfull \hbox (76.31969pt too wide) in paragraph at lines 991--991 [] \T1/LinuxLibertineMonoT-TLF/regular/n/9 -max_undef -set-at 4 y 1 -set-at 5 y 2 -set-at 6 y 3[] LaTeX Warning: Citation `tip' on page 9 undefined on input line 1020. (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsa.fd) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsb.fd) [9] [10pdfTeX warnin g (ext4): destination with the same identifier (name{figure.20}) has been alrea dy used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.1070 \end{document} ] (./APPNOTE_011_Design_Investigation.aux) LaTeX Warning: There were undefined references. LaTeX Warning: Label(s) may have changed. Rerun to get cross-references right. ) (see the transcript file for additional information){/usr/share/texlive/texmf-d ist/fonts/enc/dvips/libertine/lbtn_7grukw.enc}{/usr/share/texlive/texmf-dist/fo nts/enc/dvips/libertine/lbtn_ncsllp.enc}{/usr/share/texlive/texmf-dist/fonts/en c/dvips/libertine/lbtn_dhi6qf.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvip s/libertine/lbtn_ki75ao.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/libe rtine/lbtn_nh77jq.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/libertine/ lbtn_76gpa5.enc} Output written on APPNOTE_011_Design_Investigation.pdf (10 pages, 548548 bytes) . Transcript written on APPNOTE_011_Design_Investigation.log. ++ md5sum + new_md5='3e2736292c815e6305a4e0eabe6207d9 -' + '[' '' '!=' '3e2736292c815e6305a4e0eabe6207d9 -' ']' + old_md5='3e2736292c815e6305a4e0eabe6207d9 -' + pdflatex -shell-escape -halt-on-error APPNOTE_011_Design_Investigation.tex This is pdfTeX, Version 3.14159265-2.6-1.40.21 (TeX Live 2020) (preloaded format=pdflatex) \write18 enabled. entering extended mode (./APPNOTE_011_Design_Investigation.tex LaTeX2e <2020-02-02> patch level 5 L3 programming layer <2020-04-06> (/usr/share/texlive/texmf-dist/tex/latex/IEEEtran/IEEEtran.cls Document Class: IEEEtran 2015/08/26 V1.8b by Michael Shell -- See the "IEEEtran_HOWTO" manual for usage information. -- http://www.michaelshell.org/tex/ieeetran/ (/usr/share/texlive/texmf-dist/tex/latex/psnfss/ot1ptm.fd) -- Using 210mm x 297mm (a4) paper. -- Using PDF output. -- This is a 9 point document. ) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty (/usr/share/texlive/texmf-dist/tex/latex/psnfss/t1ptm.fd)) (/usr/share/texlive/texmf-dist/tex/latex/libertine/libertine.sty (/usr/share/texlive/texmf-dist/tex/generic/iftex/ifxetex.sty (/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty)) (/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty) (/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)))) (/usr/share/texlive/texmf-dist/tex/latex/base/textcomp.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty) (/usr/share/texlive/texmf-dist/tex/latex/fontaxes/fontaxes.sty) (/usr/share/texlive/texmf-dist/tex/latex/libertine/LinLibertine_I.tex)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hyperref.sty (/usr/share/texlive/texmf-dist/tex/generic/ltxcmds/ltxcmds.sty) (/usr/share/texlive/texmf-dist/tex/latex/pdftexcmds/pdftexcmds.sty (/usr/share/texlive/texmf-dist/tex/generic/infwarerr/infwarerr.sty)) (/usr/share/texlive/texmf-dist/tex/generic/kvsetkeys/kvsetkeys.sty) (/usr/share/texlive/texmf-dist/tex/generic/kvdefinekeys/kvdefinekeys.sty) (/usr/share/texlive/texmf-dist/tex/generic/pdfescape/pdfescape.sty) (/usr/share/texlive/texmf-dist/tex/latex/hycolor/hycolor.sty) (/usr/share/texlive/texmf-dist/tex/latex/letltxmacro/letltxmacro.sty) (/usr/share/texlive/texmf-dist/tex/latex/auxhook/auxhook.sty) (/usr/share/texlive/texmf-dist/tex/latex/kvoptions/kvoptions.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/pd1enc.def) (/usr/share/texlive/texmf-dist/tex/generic/intcalc/intcalc.sty) (/usr/share/texlive/texmf-dist/tex/generic/etexcmds/etexcmds.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/puenc.def) (/usr/share/texlive/texmf-dist/tex/latex/url/url.sty) (/usr/share/texlive/texmf-dist/tex/generic/bitset/bitset.sty (/usr/share/texlive/texmf-dist/tex/generic/bigintcalc/bigintcalc.sty)) (/usr/share/texlive/texmf-dist/tex/generic/atbegshi/atbegshi.sty)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hpdftex.def (/usr/share/texlive/texmf-dist/tex/latex/atveryend/atveryend.sty) (/usr/share/texlive/texmf-dist/tex/latex/rerunfilecheck/rerunfilecheck.sty (/usr/share/texlive/texmf-dist/tex/generic/uniquecounter/uniquecounter.sty))) (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.sty (/usr/share/texlive/texmf-dist/tex/generic/babel/switch.def) (/usr/share/texlive/texmf-dist/tex/generic/babel-english/english.ldf (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.def (/usr/share/texlive/texmf-dist/tex/generic/babel/txtbabel.def)))) (/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amssymb.sty (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amsfonts.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty For additional information on amsmath, use the `?' option. (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) (/usr/share/texlive/texmf-dist/tex/latex/units/units.sty (/usr/share/texlive/texmf-dist/tex/latex/base/ifthen.sty) (/usr/share/texlive/texmf-dist/tex/latex/units/nicefrac.sty)) (/usr/share/texlive/texmf-dist/tex/latex/eurosym/eurosym.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def))) (/usr/share/texlive/texmf-dist/tex/latex/tools/verbatim.sty) (/usr/share/texlive/texmf-dist/tex/latex/algorithmicx/algpseudocode.sty (/usr/share/texlive/texmf-dist/tex/latex/algorithmicx/algorithmicx.sty Document Style algorithmicx 1.2 - a greatly improved `algorithmic' style ) Document Style - pseudocode environments for use with the `algorithmicx' style ) (/usr/share/texlive/texmf-dist/tex/latex/carlisle/scalefnt.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/xspace.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/color.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/colortbl/colortbl.sty (/usr/share/texlive/texmf-dist/tex/latex/tools/array.sty)) (/usr/share/texlive/texmf-dist/tex/latex/multirow/multirow.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/hhline.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.sty (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/float/float.sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common-lists.t ex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def (/usr/share/texlive/texmf-dist/tex/latex/ms/everyshi.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeysfiltered.code.t ex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de f))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. tex)) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet ric.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari thmetics.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation s.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex ) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 .sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 .sty)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarytopaths.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarycalc.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryarrows.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryarrows.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryscopes.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarythrough.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryshapes.geometric.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape s.geometric.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdfmode.def) (./APPNOTE_011_Design_Investigation.aux) (/usr/share/texlive/texmf-dist/tex/latex/libertine/T1LinuxLibertineT-TLF.fd) -- Lines per column: 63 (exact). (/usr/share/texlive/texmf-dist/tex/latex/hyperref/nameref.sty (/usr/share/texlive/texmf-dist/tex/latex/refcount/refcount.sty) (/usr/share/texlive/texmf-dist/tex/generic/gettitlestring/gettitlestring.sty)) (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii [Loading MPS to PDF converter (version 2006.09.02).] ) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) ABD: EveryShipout initializing macros (/usr/share/texlive/texmf-dist/tex/latex/libertine/T1LinuxLibertineMonoT-TLF.fd ) [1{/usr/share/texlive/texmf-dist/fonts/map/pdftex/updmap/pdftex.map}pdfTeX wa rning (ext4): destination with the same identifier (name{figure.1}) has been al ready used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.177 pdfTeX warning (ext4): destination with the same identifier (name{figure. 2}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.177 <./APPNOTE_011_Design_Investigation/example_00.pdf> <./APPNOTE_011_Desig n_Investigation/example_01.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/example_01.pd f): PDF inclusion: multiple pdfs with page group included in a single page > <./APPNOTE_011_Design_Investigation/example_02.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/example_02.pd f): PDF inclusion: multiple pdfs with page group included in a single page >] (./APPNOTE_011_Design_Investigation/splice.v Overfull \hbox (5.80966pt too wide) in paragraph at lines 1--3 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] ) Underfull \hbox (badness 10000) in paragraph at lines 213--216 [][] Underfull \hbox (badness 10000) in paragraph at lines 229--236 []\T1/LinuxLibertineT-TLF/m/n/9 The key el-e-ments in un-der-stand-ing this cir -cuit Underfull \hbox (badness 10000) in paragraph at lines 229--236 \T1/LinuxLibertineT-TLF/m/n/9 di-a-gram are of course the boxes with round cor- ners Underfull \hbox (badness 10000) in paragraph at lines 229--236 \T1/LinuxLibertineT-TLF/m/n/9 and rows la-beled \T1/LinuxLibertineMonoT-TLF/reg ular/n/9 : -- Underfull \hbox (badness 10000) in paragraph at lines 229--236 \T1/LinuxLibertineMonoT-TLF/regular/n/9 :\T1/LinuxLiberti neT-TLF/m/n/9 . Each of this boxes has [2pdfTeX warning (ext4): destination with the same identifier (name{figure.3}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.262 pdfTeX warning (ext4): destination with the same identifier (name{figure. 4}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.262 pdfTeX warning (ext4): destination with the same identifier (name{figure. 5}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.262 <./APPNOTE_011_Design_Investigation/splice.pdf> <./APPNOTE_011_Design_In vestigation/cmos_00.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/cmos_00.pdf): PDF inclusion: multiple pdfs with page group included in a single page > <./APPNOTE_011_Design_Investigation/cmos_01.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/cmos_01.pdf): PDF inclusion: multiple pdfs with page group included in a single page >] Underfull \hbox (badness 1412) in paragraph at lines 263--268 []\T1/LinuxLibertineT-TLF/m/n/9 In ad-di-tion to that, the 2nd di-a-gram was ge n-er-ated af-ter [3pdfTeX warning (ext4): destination with the same identifier (name{figure.6}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.400 \end{figure} pdfTeX warning (ext4): destination with the same identifier ( name{figure.7}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.400 \end{figure} ] (./APPNOTE_011_Design_Investigation/foobaraddsub.v Overfull \hbox (32.80994pt too wide) in paragraph at lines 1--2 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] ) (./APPNOTE_011_Design_Investigation/sumprod.v) [4pdfTeX warning (ext4): desti nation with the same identifier (name{figure.8}) has been already used, duplica te ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.496 pdfTeX warning (ext4): destination with the same identifier (name{figure. 9}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.496 pdfTeX warning (ext4): destination with the same identifier (name{figure. 10}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.496 pdfTeX warning (ext4): destination with the same identifier (name{figure. 11}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.496 <./APPNOTE_011_Design_Investigation/example_03.pdf> <./APPNOTE_011_Desig n_Investigation/sumprod_00.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/sumprod_00.pd f): PDF inclusion: multiple pdfs with page group included in a single page >] (./APPNOTE_011_Design_Investigation/memdemo.v Overfull \hbox (43.61005pt too wide) in paragraph at lines 13--14 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (5.80966pt too wide) in paragraph at lines 14--15 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] ) [5pdfTeX warning (ext4): destination with the same identifier (name{figure.12 }) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.586 show y %ci2:+$dff[Q,D] pdfTeX warning (ext4): destination with the same id entifier (name{figure.13}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.586 show y %ci2:+$dff[Q,D] pdfTeX warning (ext4): destination with the same id entifier (name{figure.14}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.586 show y %ci2:+$dff[Q,D] <./APPNOTE_011_Design_Investigation/sumprod_01.pdf > <./APPNOTE_011_Design_Investigation/sumprod_02.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/sumprod_02.pd f): PDF inclusion: multiple pdfs with page group included in a single page > <./APPNOTE_011_Design_Investigation/sumprod_03.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/sumprod_03.pd f): PDF inclusion: multiple pdfs with page group included in a single page > <./APPNOTE_011_Design_Investigation/sumprod_04.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/sumprod_04.pd f): PDF inclusion: multiple pdfs with page group included in a single page > <./APPNOTE_011_Design_Investigation/sumprod_05.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/sumprod_05.pd f): PDF inclusion: multiple pdfs with page group included in a single page >] Underfull \hbox (badness 10000) in paragraph at lines 610--610 \T1/LinuxLibertineT-TLF/m/n/8 Figure 16. []Output of \T1/LinuxLibertineMonoT-T LF/regular/n/8 show y %ci2:+$dff[Q,D] Overfull \hbox (17.20978pt too wide) in paragraph at lines 692--693 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (34.00995pt too wide) in paragraph at lines 693--694 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (34.00995pt too wide) in paragraph at lines 694--695 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [6pdfTeX warning (ext4): destination with the same identifier (name{figure.15}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.701 \end{figure} pdfTeX warning (ext4): destination with the same identifier ( name{figure.16}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.701 \end{figure} <./APPNOTE_011_Design_Investigation/memdemo_00.pdf> <./APPNO TE_011_Design_Investigation/memdemo_01.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/memdemo_01.pd f): PDF inclusion: multiple pdfs with page group included in a single page >] Overfull \hbox (79.51968pt too wide) in paragraph at lines 710--710 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 yosys [selstage]> eval -set s2,s1 4'b1001 -set d 4'hc -show n2 -show n1 Overfull \hbox (34.71973pt too wide) in paragraph at lines 712--712 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 9. Executing EVAL pass (evaluate t he circuit given an input).[] Overfull \hbox (83.99968pt too wide) in paragraph at lines 713--713 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 Full command line: eval -set s2,s1 4'b1001 -set d 4'hc -show n2 -show n1[] Overfull \hbox (39.19972pt too wide) in paragraph at lines 731--731 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 yosys [selstage]> eval -set-undef -set d[3:1] 0 -table s1,d[0] Overfull \hbox (39.19972pt too wide) in paragraph at lines 733--733 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 10. Executing EVAL pass (evaluate the circuit given an input).[] Overfull \hbox (43.67972pt too wide) in paragraph at lines 734--734 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 Full command line: eval -set-undef -set d[3:1] 0 -table s1,d[0][] Overfull \hbox (3.35976pt too wide) in paragraph at lines 747--747 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 Assumed undef (x) value for the fo llowing signals: \s2[] (./APPNOTE_011_Design_Investigation/primetest.v) Overfull \hbox (14.51244pt too wide) in paragraph at lines 806--807 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (52.63971pt too wide) in paragraph at lines 842--842 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 yosys [selstage]> sat -show s1,s2, d -set s1 s2 -set n2,n1 4'b1001 Overfull \hbox (34.71973pt too wide) in paragraph at lines 844--844 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 11. Executing SAT pass (solving SA T problems in the circuit).[] Overfull \hbox (57.1197pt too wide) in paragraph at lines 845--845 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 Full command line: sat -show s1,s2 ,d -set s1 s2 -set n2,n1 4'b1001[] Overfull \hbox (25.75974pt too wide) in paragraph at lines 850--850 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 Final constraint equation: { \n2 \ n1 \s1 } = { 4'1001 \s2 }[] Overfull \hbox (30.23973pt too wide) in paragraph at lines 857--857 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 Signal Name Dec Hex Bin[] Overfull \hbox (30.23973pt too wide) in paragraph at lines 858--858 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 -------------------- ---------- ---------- ---------------[] Overfull \hbox (30.23973pt too wide) in paragraph at lines 859--859 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 \d 9 9 1001[] Overfull \hbox (30.23973pt too wide) in paragraph at lines 860--860 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 \s1 0 0 00[] Overfull \hbox (30.23973pt too wide) in paragraph at lines 861--861 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 \s2 0 0 00[] [7pdfTeX warning (ext4): destination with the same identifier (name{figure.17}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.874 pdfTeX warning (ext4): destination with the same identifier (name{figure. 18}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.874 <./APPNOTE_011_Design_Investigation/submod_00.pdf> <./APPNOTE_011_Design _Investigation/submod_01.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/submod_01.pdf ): PDF inclusion: multiple pdfs with page group included in a single page > <./APPNOTE_011_Design_Investigation/submod_02.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/submod_02.pdf ): PDF inclusion: multiple pdfs with page group included in a single page > <./APPNOTE_011_Design_Investigation/submod_03.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/submod_03.pdf ): PDF inclusion: multiple pdfs with page group included in a single page >] Underfull \vbox (badness 10000) has occurred while \output is active [8pdfTeX w arning (ext4): destination with the same identifier (name{figure.19}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.889 ] Overfull \hbox (29.8099pt too wide) in paragraph at lines 904--905 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (25.60986pt too wide) in paragraph at lines 905--907 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ] Overfull \hbox (25.60986pt too wide) in paragraph at lines 907--908 [][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (29.8099pt too wide) in paragraph at lines 908--909 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (25.60986pt too wide) in paragraph at lines 909--911 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ] Overfull \hbox (38.20999pt too wide) in paragraph at lines 939--940 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (38.20999pt too wide) in paragraph at lines 940--942 [][][][][][][][][][][][][][][] Overfull \hbox (8.8097pt too wide) in paragraph at lines 945--946 [][][][][][][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 949--950 [][][][][][][][][][][][][][][][] Overfull \hbox (46.61008pt too wide) in paragraph at lines 950--951 [][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 951--952 [][][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 952--953 [][][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 953--954 [][][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 954--955 [][][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 955--956 [][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 956--957 [][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 957--958 [][][][][][][][][][][][][][][] Overfull \hbox (46.61008pt too wide) in paragraph at lines 958--959 [][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 959--960 [][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 960--961 [][][][][][][][][][][][][][][] Overfull \hbox (46.61008pt too wide) in paragraph at lines 961--962 [][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 962--963 [][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 963--964 [][][][][][][][][][][][][][][] Overfull \hbox (46.61008pt too wide) in paragraph at lines 964--965 [][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 965--966 [][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 966--967 [][][][][][][][][][][][][][][] Overfull \hbox (46.61008pt too wide) in paragraph at lines 967--968 [][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 968--969 [][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 969--970 [][][][][][][][][][][][][][][] Overfull \hbox (46.61008pt too wide) in paragraph at lines 970--971 [][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 971--972 [][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 972--973 [][][][][][][][][][][][][][][] Overfull \hbox (46.61008pt too wide) in paragraph at lines 973--974 [][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 974--975 [][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 975--976 [][][][][][][][][][][][][][][] LaTeX Warning: Float too large for page by 81.95883pt on input line 979. Overfull \hbox (18.71974pt too wide) in paragraph at lines 990--990 [] \T1/LinuxLibertineMonoT-TLF/regular/n/9 sat -seq 6 -show y -show d -set-in it-undef \ Overfull \hbox (76.31969pt too wide) in paragraph at lines 991--991 [] \T1/LinuxLibertineMonoT-TLF/regular/n/9 -max_undef -set-at 4 y 1 -set-at 5 y 2 -set-at 6 y 3[] (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsa.fd) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsb.fd) [9] [10pdfTeX warnin g (ext4): destination with the same identifier (name{figure.20}) has been alrea dy used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.1070 \end{document} ] (./APPNOTE_011_Design_Investigation.aux) ) (see the transcript file for additional information){/usr/share/texlive/texmf-d ist/fonts/enc/dvips/libertine/lbtn_7grukw.enc}{/usr/share/texlive/texmf-dist/fo nts/enc/dvips/libertine/lbtn_ncsllp.enc}{/usr/share/texlive/texmf-dist/fonts/en c/dvips/libertine/lbtn_dhi6qf.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvip s/libertine/lbtn_ki75ao.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/libe rtine/lbtn_nh77jq.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/libertine/ lbtn_76gpa5.enc} Output written on APPNOTE_011_Design_Investigation.pdf (10 pages, 550682 bytes) . Transcript written on APPNOTE_011_Design_Investigation.log. ++ md5sum + new_md5='3e2736292c815e6305a4e0eabe6207d9 -' + '[' '3e2736292c815e6305a4e0eabe6207d9 -' '!=' '3e2736292c815e6305a4e0eabe6207d9 -' ']' + touch APPNOTE_011_Design_Investigation.ok + for job in APPNOTE_010_Verilog_to_BLIF APPNOTE_011_Design_Investigation APPNOTE_012_Verilog_to_BTOR + '[' -f APPNOTE_012_Verilog_to_BTOR.ok -a APPNOTE_012_Verilog_to_BTOR.ok -nt APPNOTE_012_Verilog_to_BTOR.tex ']' + '[' -f APPNOTE_012_Verilog_to_BTOR/make.sh ']' ++ '[' -f APPNOTE_012_Verilog_to_BTOR.aux ']' ++ true + old_md5= + pdflatex -shell-escape -halt-on-error APPNOTE_012_Verilog_to_BTOR.tex This is pdfTeX, Version 3.14159265-2.6-1.40.21 (TeX Live 2020) (preloaded format=pdflatex) \write18 enabled. entering extended mode (./APPNOTE_012_Verilog_to_BTOR.tex LaTeX2e <2020-02-02> patch level 5 L3 programming layer <2020-04-06> (/usr/share/texlive/texmf-dist/tex/latex/IEEEtran/IEEEtran.cls Document Class: IEEEtran 2015/08/26 V1.8b by Michael Shell -- See the "IEEEtran_HOWTO" manual for usage information. -- http://www.michaelshell.org/tex/ieeetran/ (/usr/share/texlive/texmf-dist/tex/latex/psnfss/ot1ptm.fd) -- Using 210mm x 297mm (a4) paper. -- Using PDF output. -- This is a 9 point document. ) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty (/usr/share/texlive/texmf-dist/tex/latex/psnfss/t1ptm.fd)) (/usr/share/texlive/texmf-dist/tex/latex/libertine/libertine.sty (/usr/share/texlive/texmf-dist/tex/generic/iftex/ifxetex.sty (/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty)) (/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty) (/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)))) (/usr/share/texlive/texmf-dist/tex/latex/base/textcomp.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty) (/usr/share/texlive/texmf-dist/tex/latex/fontaxes/fontaxes.sty) (/usr/share/texlive/texmf-dist/tex/latex/libertine/LinLibertine_I.tex)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hyperref.sty (/usr/share/texlive/texmf-dist/tex/generic/ltxcmds/ltxcmds.sty) (/usr/share/texlive/texmf-dist/tex/latex/pdftexcmds/pdftexcmds.sty (/usr/share/texlive/texmf-dist/tex/generic/infwarerr/infwarerr.sty)) (/usr/share/texlive/texmf-dist/tex/generic/kvsetkeys/kvsetkeys.sty) (/usr/share/texlive/texmf-dist/tex/generic/kvdefinekeys/kvdefinekeys.sty) (/usr/share/texlive/texmf-dist/tex/generic/pdfescape/pdfescape.sty) (/usr/share/texlive/texmf-dist/tex/latex/hycolor/hycolor.sty) (/usr/share/texlive/texmf-dist/tex/latex/letltxmacro/letltxmacro.sty) (/usr/share/texlive/texmf-dist/tex/latex/auxhook/auxhook.sty) (/usr/share/texlive/texmf-dist/tex/latex/kvoptions/kvoptions.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/pd1enc.def) (/usr/share/texlive/texmf-dist/tex/generic/intcalc/intcalc.sty) (/usr/share/texlive/texmf-dist/tex/generic/etexcmds/etexcmds.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/puenc.def) (/usr/share/texlive/texmf-dist/tex/latex/url/url.sty) (/usr/share/texlive/texmf-dist/tex/generic/bitset/bitset.sty (/usr/share/texlive/texmf-dist/tex/generic/bigintcalc/bigintcalc.sty)) (/usr/share/texlive/texmf-dist/tex/generic/atbegshi/atbegshi.sty)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hpdftex.def (/usr/share/texlive/texmf-dist/tex/latex/atveryend/atveryend.sty) (/usr/share/texlive/texmf-dist/tex/latex/rerunfilecheck/rerunfilecheck.sty (/usr/share/texlive/texmf-dist/tex/generic/uniquecounter/uniquecounter.sty))) (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.sty (/usr/share/texlive/texmf-dist/tex/generic/babel/switch.def) (/usr/share/texlive/texmf-dist/tex/generic/babel-english/english.ldf (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.def (/usr/share/texlive/texmf-dist/tex/generic/babel/txtbabel.def)))) (/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amssymb.sty (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amsfonts.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty For additional information on amsmath, use the `?' option. (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) (/usr/share/texlive/texmf-dist/tex/latex/units/units.sty (/usr/share/texlive/texmf-dist/tex/latex/base/ifthen.sty) (/usr/share/texlive/texmf-dist/tex/latex/units/nicefrac.sty)) (/usr/share/texlive/texmf-dist/tex/latex/eurosym/eurosym.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def))) (/usr/share/texlive/texmf-dist/tex/latex/tools/verbatim.sty) (/usr/share/texlive/texmf-dist/tex/latex/algorithmicx/algpseudocode.sty (/usr/share/texlive/texmf-dist/tex/latex/algorithmicx/algorithmicx.sty Document Style algorithmicx 1.2 - a greatly improved `algorithmic' style ) Document Style - pseudocode environments for use with the `algorithmicx' style ) (/usr/share/texlive/texmf-dist/tex/latex/carlisle/scalefnt.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/xspace.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/color.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/colortbl/colortbl.sty (/usr/share/texlive/texmf-dist/tex/latex/tools/array.sty)) (/usr/share/texlive/texmf-dist/tex/latex/multirow/multirow.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/hhline.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.sty (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/float/float.sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common-lists.t ex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def (/usr/share/texlive/texmf-dist/tex/latex/ms/everyshi.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeysfiltered.code.t ex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de f))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. tex)) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet ric.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari thmetics.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation s.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex ) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 .sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 .sty)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarytopaths.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarycalc.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryarrows.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryarrows.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryscopes.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarythrough.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryshapes.geometric.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape s.geometric.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdfmode.def) No file APPNOTE_012_Verilog_to_BTOR.aux. (/usr/share/texlive/texmf-dist/tex/latex/libertine/T1LinuxLibertineT-TLF.fd) -- Lines per column: 63 (exact). (/usr/share/texlive/texmf-dist/tex/latex/hyperref/nameref.sty (/usr/share/texlive/texmf-dist/tex/latex/refcount/refcount.sty) (/usr/share/texlive/texmf-dist/tex/generic/gettitlestring/gettitlestring.sty)) (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii [Loading MPS to PDF converter (version 2006.09.02).] ) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) ABD: EveryShipout initializing macros LaTeX Warning: Citation `btor' on page 1 undefined on input line 61. LaTeX Warning: Citation `yosys' on page 1 undefined on input line 65. (/usr/share/texlive/texmf-dist/tex/latex/libertine/T1LinuxLibertineMonoT-TLF.fd ) LaTeX Warning: Citation `yosys' on page 1 undefined on input line 85. LaTeX Warning: Citation `btor' on page 1 undefined on input line 94. (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsa.fd) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsb.fd) LaTeX Warning: Reference `specifying_property_assert' on page 1 undefined on in put line 118. LaTeX Warning: Reference `specifying_property_output' on page 1 undefined on in put line 123. (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang2.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang3.sty) Overfull \hbox (12.60242pt too wide) in paragraph at lines 128--130 [][][][][][][][][][][][][][][][][][][][][] LaTeX Warning: Citation `boolector' on page 1 undefined on input line 177. LaTeX Warning: Citation `nuxmv' on page 1 undefined on input line 192. LaTeX Warning: Reference `btor_script_memory' on page 1 undefined on input line 202. Overfull \hbox (1.8023pt too wide) in paragraph at lines 208--209 [][][][][][][][][][][][][][][][][][][] [1{/usr/share/texlive/texmf-dist/fonts/map/pdftex/updmap/pdftex.map}pdfTeX warn ing (ext4): destination with the same identifier (name{figure.1}) has been alre ady used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.225 \end{figure} pdfTeX warning (ext4): destination with the same identifier ( name{figure.2}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.225 \end{figure} pdfTeX warning (ext4): destination with the same identifier ( name{figure.3}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.225 \end{figure} pdfTeX warning (ext4): destination with the same identifier ( name{figure.4}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.225 \end{figure} ] LaTeX Warning: Reference `btor_script_without_memory' on page 2 undefined on in put line 257. Overfull \hbox (1.8023pt too wide) in paragraph at lines 263--264 [][][][][][][][][][][][][][][][][][] Underfull \vbox (badness 10000) has occurred while \output is active Overfull \hbox (7.20236pt too wide) in paragraph at lines 298--300 [][][][][][][][][][][][][][][][][][][][][][][] LaTeX Warning: Reference `btor_script_memory' on page 2 undefined on input line 308. Underfull \vbox (badness 10000) has occurred while \output is active [2pdfTeX w arning (ext4): destination with the same identifier (name{figure.5}) has been a lready used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.345 pdfTeX warning (ext4): destination with the same identifier (name{figure. 6}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.345 pdfTeX warning (ext4): destination with the same identifier (name{figure. 7}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.345 ] LaTeX Warning: Reference `btor_script_without_memory' on page 3 undefined on in put line 347. Underfull \vbox (badness 10000) has occurred while \output is active [3pdfTeX w arning (ext4): destination with the same identifier (name{figure.8}) has been a lready used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.431 pdfTeX warning (ext4): destination with the same identifier (name{figure. 9}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.431 ] [4] (./APPNOTE_012_Verilog_to_BTOR.aux) LaTeX Warning: There were undefined references. LaTeX Warning: Label(s) may have changed. Rerun to get cross-references right. ) (see the transcript file for additional information){/usr/share/texlive/texmf-d ist/fonts/enc/dvips/libertine/lbtn_7grukw.enc}{/usr/share/texlive/texmf-dist/fo nts/enc/dvips/libertine/lbtn_dhi6qf.enc}{/usr/share/texlive/texmf-dist/fonts/en c/dvips/libertine/lbtn_ncsllp.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvip s/libertine/lbtn_ki75ao.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/libe rtine/lbtn_nh77jq.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/libertine/ lbtn_76gpa5.enc} Output written on APPNOTE_012_Verilog_to_BTOR.pdf (4 pages, 368019 bytes). Transcript written on APPNOTE_012_Verilog_to_BTOR.log. ++ md5sum + new_md5='042ab2ed27e6658db1b777051719301f -' + '[' '' '!=' '042ab2ed27e6658db1b777051719301f -' ']' + old_md5='042ab2ed27e6658db1b777051719301f -' + pdflatex -shell-escape -halt-on-error APPNOTE_012_Verilog_to_BTOR.tex This is pdfTeX, Version 3.14159265-2.6-1.40.21 (TeX Live 2020) (preloaded format=pdflatex) \write18 enabled. entering extended mode (./APPNOTE_012_Verilog_to_BTOR.tex LaTeX2e <2020-02-02> patch level 5 L3 programming layer <2020-04-06> (/usr/share/texlive/texmf-dist/tex/latex/IEEEtran/IEEEtran.cls Document Class: IEEEtran 2015/08/26 V1.8b by Michael Shell -- See the "IEEEtran_HOWTO" manual for usage information. -- http://www.michaelshell.org/tex/ieeetran/ (/usr/share/texlive/texmf-dist/tex/latex/psnfss/ot1ptm.fd) -- Using 210mm x 297mm (a4) paper. -- Using PDF output. -- This is a 9 point document. ) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty (/usr/share/texlive/texmf-dist/tex/latex/psnfss/t1ptm.fd)) (/usr/share/texlive/texmf-dist/tex/latex/libertine/libertine.sty (/usr/share/texlive/texmf-dist/tex/generic/iftex/ifxetex.sty (/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty)) (/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty) (/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)))) (/usr/share/texlive/texmf-dist/tex/latex/base/textcomp.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty) (/usr/share/texlive/texmf-dist/tex/latex/fontaxes/fontaxes.sty) (/usr/share/texlive/texmf-dist/tex/latex/libertine/LinLibertine_I.tex)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hyperref.sty (/usr/share/texlive/texmf-dist/tex/generic/ltxcmds/ltxcmds.sty) (/usr/share/texlive/texmf-dist/tex/latex/pdftexcmds/pdftexcmds.sty (/usr/share/texlive/texmf-dist/tex/generic/infwarerr/infwarerr.sty)) (/usr/share/texlive/texmf-dist/tex/generic/kvsetkeys/kvsetkeys.sty) (/usr/share/texlive/texmf-dist/tex/generic/kvdefinekeys/kvdefinekeys.sty) (/usr/share/texlive/texmf-dist/tex/generic/pdfescape/pdfescape.sty) (/usr/share/texlive/texmf-dist/tex/latex/hycolor/hycolor.sty) (/usr/share/texlive/texmf-dist/tex/latex/letltxmacro/letltxmacro.sty) (/usr/share/texlive/texmf-dist/tex/latex/auxhook/auxhook.sty) (/usr/share/texlive/texmf-dist/tex/latex/kvoptions/kvoptions.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/pd1enc.def) (/usr/share/texlive/texmf-dist/tex/generic/intcalc/intcalc.sty) (/usr/share/texlive/texmf-dist/tex/generic/etexcmds/etexcmds.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/puenc.def) (/usr/share/texlive/texmf-dist/tex/latex/url/url.sty) (/usr/share/texlive/texmf-dist/tex/generic/bitset/bitset.sty (/usr/share/texlive/texmf-dist/tex/generic/bigintcalc/bigintcalc.sty)) (/usr/share/texlive/texmf-dist/tex/generic/atbegshi/atbegshi.sty)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hpdftex.def (/usr/share/texlive/texmf-dist/tex/latex/atveryend/atveryend.sty) (/usr/share/texlive/texmf-dist/tex/latex/rerunfilecheck/rerunfilecheck.sty (/usr/share/texlive/texmf-dist/tex/generic/uniquecounter/uniquecounter.sty))) (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.sty (/usr/share/texlive/texmf-dist/tex/generic/babel/switch.def) (/usr/share/texlive/texmf-dist/tex/generic/babel-english/english.ldf (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.def (/usr/share/texlive/texmf-dist/tex/generic/babel/txtbabel.def)))) (/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amssymb.sty (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amsfonts.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty For additional information on amsmath, use the `?' option. (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) (/usr/share/texlive/texmf-dist/tex/latex/units/units.sty (/usr/share/texlive/texmf-dist/tex/latex/base/ifthen.sty) (/usr/share/texlive/texmf-dist/tex/latex/units/nicefrac.sty)) (/usr/share/texlive/texmf-dist/tex/latex/eurosym/eurosym.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def))) (/usr/share/texlive/texmf-dist/tex/latex/tools/verbatim.sty) (/usr/share/texlive/texmf-dist/tex/latex/algorithmicx/algpseudocode.sty (/usr/share/texlive/texmf-dist/tex/latex/algorithmicx/algorithmicx.sty Document Style algorithmicx 1.2 - a greatly improved `algorithmic' style ) Document Style - pseudocode environments for use with the `algorithmicx' style ) (/usr/share/texlive/texmf-dist/tex/latex/carlisle/scalefnt.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/xspace.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/color.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/colortbl/colortbl.sty (/usr/share/texlive/texmf-dist/tex/latex/tools/array.sty)) (/usr/share/texlive/texmf-dist/tex/latex/multirow/multirow.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/hhline.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.sty (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/float/float.sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common-lists.t ex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def (/usr/share/texlive/texmf-dist/tex/latex/ms/everyshi.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeysfiltered.code.t ex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de f))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. tex)) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet ric.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari thmetics.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation s.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex ) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 .sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 .sty)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarytopaths.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarycalc.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryarrows.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryarrows.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryscopes.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarythrough.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryshapes.geometric.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape s.geometric.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdfmode.def) (./APPNOTE_012_Verilog_to_BTOR.aux LaTeX Warning: Label `example_btor' multiply defined. ) (/usr/share/texlive/texmf-dist/tex/latex/libertine/T1LinuxLibertineT-TLF.fd) -- Lines per column: 63 (exact). (/usr/share/texlive/texmf-dist/tex/latex/hyperref/nameref.sty (/usr/share/texlive/texmf-dist/tex/latex/refcount/refcount.sty) (/usr/share/texlive/texmf-dist/tex/generic/gettitlestring/gettitlestring.sty)) (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii [Loading MPS to PDF converter (version 2006.09.02).] ) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) ABD: EveryShipout initializing macros (/usr/share/texlive/texmf-dist/tex/latex/libertine/T1LinuxLibertineMonoT-TLF.fd ) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsa.fd) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsb.fd) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang2.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang3.sty) Overfull \hbox (12.60242pt too wide) in paragraph at lines 128--130 [][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.8023pt too wide) in paragraph at lines 208--209 [][][][][][][][][][][][][][][][][][][] [1{/usr/share/texlive/texmf-dist/fonts/map/pdftex/updmap/pdftex.map}pdfTeX warn ing (ext4): destination with the same identifier (name{figure.1}) has been alre ady used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.225 \end{figure} pdfTeX warning (ext4): destination with the same identifier ( name{figure.2}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.225 \end{figure} pdfTeX warning (ext4): destination with the same identifier ( name{figure.3}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.225 \end{figure} pdfTeX warning (ext4): destination with the same identifier ( name{figure.4}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.225 \end{figure} ] Overfull \hbox (1.8023pt too wide) in paragraph at lines 263--264 [][][][][][][][][][][][][][][][][][] Underfull \vbox (badness 10000) has occurred while \output is active Overfull \hbox (7.20236pt too wide) in paragraph at lines 298--300 [][][][][][][][][][][][][][][][][][][][][][][] Underfull \vbox (badness 10000) has occurred while \output is active [2pdfTeX w arning (ext4): destination with the same identifier (name{figure.5}) has been a lready used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.345 pdfTeX warning (ext4): destination with the same identifier (name{figure. 6}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.345 pdfTeX warning (ext4): destination with the same identifier (name{figure. 7}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.345 ] Underfull \vbox (badness 10000) has occurred while \output is active [3pdfTeX w arning (ext4): destination with the same identifier (name{figure.8}) has been a lready used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.431 pdfTeX warning (ext4): destination with the same identifier (name{figure. 9}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.431 ] [4] (./APPNOTE_012_Verilog_to_BTOR.aux) LaTeX Warning: There were multiply-defined labels. ) (see the transcript file for additional information){/usr/share/texlive/texmf-d ist/fonts/enc/dvips/libertine/lbtn_7grukw.enc}{/usr/share/texlive/texmf-dist/fo nts/enc/dvips/libertine/lbtn_dhi6qf.enc}{/usr/share/texlive/texmf-dist/fonts/en c/dvips/libertine/lbtn_ncsllp.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvip s/libertine/lbtn_ki75ao.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/libe rtine/lbtn_nh77jq.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/libertine/ lbtn_76gpa5.enc} Output written on APPNOTE_012_Verilog_to_BTOR.pdf (4 pages, 368342 bytes). Transcript written on APPNOTE_012_Verilog_to_BTOR.log. ++ md5sum + new_md5='042ab2ed27e6658db1b777051719301f -' + '[' '042ab2ed27e6658db1b777051719301f -' '!=' '042ab2ed27e6658db1b777051719301f -' ']' + touch APPNOTE_012_Verilog_to_BTOR.ok cd manual && bash presentation.sh + false + md5sum APPNOTE_010_Verilog_to_BLIF.aux APPNOTE_011_Design_Investigation.aux APPNOTE_012_Verilog_to_BTOR.aux '*.snm' '*.nav' '*.toc' md5sum: '*.snm': No such file or directory md5sum: '*.nav': No such file or directory md5sum: '*.toc': No such file or directory + make -C PRESENTATION_Intro make[1]: warning: jobserver unavailable: using -j1. Add '+' to parent make rule. make[1]: Entering directory '/builddir/build/BUILD/yosys-yosys-0.9/manual/PRESENTATION_Intro' ../../yosys counter.ys /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2019 Clifford Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.9 (git sha1 UNKNOWN, gcc 11.0.0 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) -- Executing script file `counter.ys' -- 1. Executing Verilog-2005 frontend: counter.v Parsing Verilog input from `counter.v' to AST representation. Generating RTLIL representation for module `\counter'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 2.1. Analyzing design hierarchy.. Top module: \counter 2.2. Analyzing design hierarchy.. Top module: \counter Removed 0 unused modules. 3. Generating Graphviz representation of design. Writing dot description to `counter_00.dot'. Dumping module counter to page 1. Exec: dot -Tpdf 'counter_00.dot' > 'counter_00.pdf.new' && mv 'counter_00.pdf.new' 'counter_00.pdf' 4. Executing PROC pass (convert processes to netlists). 4.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 4.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Marked 1 switch rules as full_case in process $proc$counter.v:6$1 in module counter. Removed a total of 0 dead cases. 4.3. Executing PROC_INIT pass (extract init attributes). 4.4. Executing PROC_ARST pass (detect async resets in processes). 4.5. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\counter.$proc$counter.v:6$1'. 1/1: $0\count[1:0] 4.6. Executing PROC_DLATCH pass (convert process syncs to latches). 4.7. Executing PROC_DFF pass (convert process syncs to FFs). Creating register for signal `\counter.\count' using process `\counter.$proc$counter.v:6$1'. created $dff cell `$procdff$8' with positive edge clock. 4.8. Executing PROC_CLEAN pass (remove empty switches from decision trees). Found and cleaned up 2 empty switches in `\counter.$proc$counter.v:6$1'. Removing empty process `counter.$proc$counter.v:6$1'. Cleaned up 2 empty switches. 5. Executing OPT pass (performing simple optimizations). 5.1. Executing OPT_EXPR pass (perform const folding). Optimizing module counter. 5.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\counter'. Removed a total of 0 cells. 5.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \counter.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 5.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \counter. Performed a total of 0 changes. 5.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\counter'. Removed a total of 0 cells. 5.6. Executing OPT_RMDFF pass (remove dff with constant values). 5.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \counter.. Removed 0 unused cells and 3 unused wires. 5.8. Executing OPT_EXPR pass (perform const folding). Optimizing module counter. 5.9. Finished OPT passes. (There is nothing left to do.) 6. Executing MEMORY pass. 6.1. Executing MEMORY_DFF pass (merging $dff cells to $memrd and $memwr). 6.2. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \counter.. 6.3. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). 6.4. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \counter.. 6.5. Executing MEMORY_COLLECT pass (generating $mem cells). 6.6. Executing MEMORY_MAP pass (converting $mem cells to logic and flip-flops). 7. Executing OPT pass (performing simple optimizations). 7.1. Executing OPT_EXPR pass (perform const folding). Optimizing module counter. 7.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\counter'. Removed a total of 0 cells. 7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \counter.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \counter. Performed a total of 0 changes. 7.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\counter'. Removed a total of 0 cells. 7.6. Executing OPT_RMDFF pass (remove dff with constant values). 7.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \counter.. 7.8. Executing OPT_EXPR pass (perform const folding). Optimizing module counter. 7.9. Finished OPT passes. (There is nothing left to do.) 8. Executing FSM pass (extract and optimize FSM). 8.1. Executing FSM_DETECT pass (finding FSMs in design). 8.2. Executing FSM_EXTRACT pass (extracting FSM from design). 8.3. Executing FSM_OPT pass (simple optimizations of FSMs). 8.4. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \counter.. 8.5. Executing FSM_OPT pass (simple optimizations of FSMs). 8.6. Executing FSM_RECODE pass (re-assigning FSM state encoding). 8.7. Executing FSM_INFO pass (dumping all available information on FSM cells). 8.8. Executing FSM_MAP pass (mapping FSMs to basic logic). 9. Executing OPT pass (performing simple optimizations). 9.1. Executing OPT_EXPR pass (perform const folding). Optimizing module counter. 9.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\counter'. Removed a total of 0 cells. 9.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \counter.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 9.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \counter. Performed a total of 0 changes. 9.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\counter'. Removed a total of 0 cells. 9.6. Executing OPT_RMDFF pass (remove dff with constant values). 9.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \counter.. 9.8. Executing OPT_EXPR pass (perform const folding). Optimizing module counter. 9.9. Finished OPT passes. (There is nothing left to do.) 10. Generating Graphviz representation of design. Writing dot description to `counter_01.dot'. Dumping module counter to page 1. Exec: dot -Tpdf 'counter_01.dot' > 'counter_01.pdf.new' && mv 'counter_01.pdf.new' 'counter_01.pdf' 11. Executing TECHMAP pass (map to technology primitives). 11.1. Executing Verilog-2005 frontend: Parsing Verilog input from `' to AST representation. Generating RTLIL representation for module `\_90_simplemap_bool_ops'. Generating RTLIL representation for module `\_90_simplemap_reduce_ops'. Generating RTLIL representation for module `\_90_simplemap_logic_ops'. Generating RTLIL representation for module `\_90_simplemap_compare_ops'. Generating RTLIL representation for module `\_90_simplemap_various'. Generating RTLIL representation for module `\_90_simplemap_registers'. Generating RTLIL representation for module `\_90_shift_ops_shr_shl_sshl_sshr'. Generating RTLIL representation for module `\_90_shift_shiftx'. Generating RTLIL representation for module `\_90_fa'. Generating RTLIL representation for module `\_90_lcu'. Generating RTLIL representation for module `\_90_alu'. Generating RTLIL representation for module `\_90_macc'. Generating RTLIL representation for module `\_90_alumacc'. Generating RTLIL representation for module `\$__div_mod_u'. Generating RTLIL representation for module `\$__div_mod'. Generating RTLIL representation for module `\_90_div'. Generating RTLIL representation for module `\_90_mod'. Generating RTLIL representation for module `\_90_pow'. Generating RTLIL representation for module `\_90_pmux'. Generating RTLIL representation for module `\_90_lut'. Successfully finished Verilog frontend. 11.2. Continuing TECHMAP pass. Running "alumacc" on wrapper $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. Using template $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47 for cells of type $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. Using extmapper simplemap for cells of type $mux. Using extmapper simplemap for cells of type $dff. Using template $paramod\_90_alu\A_SIGNED=0\B_SIGNED=0\A_WIDTH=2\B_WIDTH=2\Y_WIDTH=2 for cells of type $alu. Using extmapper simplemap for cells of type $and. Using extmapper simplemap for cells of type $xor. Using template $paramod\_90_lcu\WIDTH=2 for cells of type $lcu. Using extmapper simplemap for cells of type $not. Using extmapper simplemap for cells of type $pos. Using extmapper simplemap for cells of type $or. No more expansions possible. 12. Executing OPT pass (performing simple optimizations). 12.1. Executing OPT_EXPR pass (perform const folding). Optimizing module counter. 12.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\counter'. Removed a total of 0 cells. 12.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \counter.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 12.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \counter. Performed a total of 0 changes. 12.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\counter'. Removed a total of 0 cells. 12.6. Executing OPT_RMDFF pass (remove dff with constant values). 12.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \counter.. Removed 1 unused cells and 32 unused wires. 12.8. Executing OPT_EXPR pass (perform const folding). Optimizing module counter. 12.9. Rerunning OPT passes. (Maybe there is more to do..) 12.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \counter.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 12.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \counter. Performed a total of 0 changes. 12.12. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\counter'. Removed a total of 0 cells. 12.13. Executing OPT_RMDFF pass (remove dff with constant values). 12.14. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \counter.. 12.15. Executing OPT_EXPR pass (perform const folding). Optimizing module counter. 12.16. Finished OPT passes. (There is nothing left to do.) 13. Executing SPLITNETS pass (splitting up multi-bit signals). 14. Generating Graphviz representation of design. Writing dot description to `counter_02.dot'. Dumping module counter to page 1. Exec: dot -Tpdf 'counter_02.dot' > 'counter_02.pdf.new' && mv 'counter_02.pdf.new' 'counter_02.pdf' 15. Executing DFFLIBMAP pass (mapping DFF cells to sequential cells from liberty file). cell DFF (noninv, pins=3, area=18.00) is a direct match for cell type $_DFF_P_. create mapping for $_DFF_N_ from mapping for $_DFF_P_. final dff cell mappings: DFF _DFF_N_ (.C(~C), .D( D), .Q( Q)); DFF _DFF_P_ (.C( C), .D( D), .Q( Q)); unmapped dff cell: $_DFF_NN0_ unmapped dff cell: $_DFF_NN1_ unmapped dff cell: $_DFF_NP0_ unmapped dff cell: $_DFF_NP1_ unmapped dff cell: $_DFF_PN0_ unmapped dff cell: $_DFF_PN1_ unmapped dff cell: $_DFF_PP0_ unmapped dff cell: $_DFF_PP1_ unmapped dff cell: $_DFFSR_NNN_ unmapped dff cell: $_DFFSR_NNP_ unmapped dff cell: $_DFFSR_NPN_ unmapped dff cell: $_DFFSR_NPP_ unmapped dff cell: $_DFFSR_PNN_ unmapped dff cell: $_DFFSR_PNP_ unmapped dff cell: $_DFFSR_PPN_ unmapped dff cell: $_DFFSR_PPP_ Mapping DFF cells in module `\counter': mapped 2 $_DFF_P_ cells to \DFF cells. 16. Executing ABC pass (technology mapping using ABC). 16.1. Extracting gate netlist of module `\counter' to `/input.blif'.. Extracted 6 gates and 12 wires to a netlist network with 4 inputs and 2 outputs. 16.1.1. Executing ABC. Running ABC command: /usr/bin/abc -s -f /abc.script 2>&1 ABC: ABC command line: "source /abc.script". ABC: ABC: + read_blif /input.blif ABC: + read_lib -w /manual/PRESENTATION_Intro/mycells.lib ABC: Parsing finished successfully. Parsing time = 0.00 sec ABC: Warning: Templates are not defined. ABC: Libery parser cannot read "time_unit". Assuming time_unit : "1ns". ABC: Libery parser cannot read "capacitive_load_unit". Assuming capacitive_load_unit(1, pf). ABC: Scl_LibertyReadGenlib() skipped sequential cell "DFF". ABC: Library "demo" from "/manual/PRESENTATION_Intro/mycells.lib" has 4 cells (1 skipped: 1 seq; 0 tri-state; 0 no func; 0 dont_use). Time = 0.00 sec ABC: Memory = 0.00 MB. Time = 0.00 sec ABC: + strash ABC: + ifraig ABC: + scorr ABC: Warning: The network is combinational (run "fraig" or "fraig_sweep"). ABC: + dc2 ABC: + dretime ABC: + retime ABC: + strash ABC: + &get -n ABC: + &dch -f ABC: + &nf ABC: + &put ABC: + write_blif /output.blif 16.1.2. Re-integrating ABC results. ABC RESULTS: NAND cells: 4 ABC RESULTS: NOR cells: 4 ABC RESULTS: NOT cells: 3 ABC RESULTS: internal signals: 6 ABC RESULTS: input signals: 4 ABC RESULTS: output signals: 2 Removing temp directory. Removed 0 unused cells and 10 unused wires. 17. Generating Graphviz representation of design. 17.1. Executing Verilog-2005 frontend: mycells.v Parsing Verilog input from `mycells.v' to AST representation. Generating RTLIL representation for module `\NOT'. Generating RTLIL representation for module `\NAND'. Generating RTLIL representation for module `\NOR'. Generating RTLIL representation for module `\DFF'. Successfully finished Verilog frontend. 17.2. Continuing show pass. Writing dot description to `counter_03.dot'. Dumping module counter to page 1. Exec: dot -Tpdf 'counter_03.dot' > 'counter_03.pdf.new' && mv 'counter_03.pdf.new' 'counter_03.pdf' End of script. Logfile hash: 543ce2bb49 CPU: user 0.08s system 0.00s, MEM: 17.32 MB total, 11.62 MB resident Yosys 0.9 (git sha1 UNKNOWN, gcc 11.0.0 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) Time spent: 16% 9x opt_clean (0 sec), 14% 4x read_verilog (0 sec), ... make[1]: Leaving directory '/builddir/build/BUILD/yosys-yosys-0.9/manual/PRESENTATION_Intro' + make -C PRESENTATION_ExSyn make[1]: warning: jobserver unavailable: using -j1. Add '+' to parent make rule. make[1]: Entering directory '/builddir/build/BUILD/yosys-yosys-0.9/manual/PRESENTATION_ExSyn' ../../yosys -p 'script proc_01.ys; show -notitle -prefix proc_01 -format pdf' /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2019 Clifford Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.9 (git sha1 UNKNOWN, gcc 11.0.0 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) -- Running command `script proc_01.ys; show -notitle -prefix proc_01 -format pdf' -- -- Executing script file `proc_01.ys' -- 1. Executing Verilog-2005 frontend: proc_01.v Parsing Verilog input from `proc_01.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 2.1. Analyzing design hierarchy.. Top module: \test 2.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. 3. Executing PROC pass (convert processes to netlists). 3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Marked 1 switch rules as full_case in process $proc$proc_01.v:2$1 in module test. Removed a total of 0 dead cases. 3.3. Executing PROC_INIT pass (extract init attributes). 3.4. Executing PROC_ARST pass (detect async resets in processes). Found async reset \R in `\test.$proc$proc_01.v:2$1'. 3.5. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\test.$proc$proc_01.v:2$1'. 1/1: $0\Q[0:0] 3.6. Executing PROC_DLATCH pass (convert process syncs to latches). 3.7. Executing PROC_DFF pass (convert process syncs to FFs). Creating register for signal `\test.\Q' using process `\test.$proc$proc_01.v:2$1'. created $adff cell `$procdff$2' with positive edge clock and positive level reset. 3.8. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `test.$proc$proc_01.v:2$1'. Cleaned up 0 empty switches. Removed 0 unused cells and 1 unused wires. 4. Generating Graphviz representation of design. Writing dot description to `proc_01.dot'. Dumping module test to page 1. Exec: dot -Tpdf 'proc_01.dot' > 'proc_01.pdf.new' && mv 'proc_01.pdf.new' 'proc_01.pdf' End of script. Logfile hash: db08299fbb CPU: user 0.01s system 0.00s, MEM: 16.18 MB total, 9.73 MB resident Yosys 0.9 (git sha1 UNKNOWN, gcc 11.0.0 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) Time spent: 30% 1x clean (0 sec), 27% 1x show (0 sec), ... ../../yosys -p 'script proc_02.ys; show -notitle -prefix proc_02 -format pdf' /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2019 Clifford Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.9 (git sha1 UNKNOWN, gcc 11.0.0 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) -- Running command `script proc_02.ys; show -notitle -prefix proc_02 -format pdf' -- -- Executing script file `proc_02.ys' -- 1. Executing Verilog-2005 frontend: proc_02.v Parsing Verilog input from `proc_02.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 2.1. Analyzing design hierarchy.. Top module: \test 2.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. 3. Executing PROC pass (convert processes to netlists). 3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Marked 1 switch rules as full_case in process $proc$proc_02.v:3$1 in module test. Removed a total of 0 dead cases. 3.3. Executing PROC_INIT pass (extract init attributes). 3.4. Executing PROC_ARST pass (detect async resets in processes). Found async reset \R in `\test.$proc$proc_02.v:3$1'. 3.5. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\test.$proc$proc_02.v:3$1'. 1/1: $0\Q[0:0] 3.6. Executing PROC_DLATCH pass (convert process syncs to latches). 3.7. Executing PROC_DFF pass (convert process syncs to FFs). Creating register for signal `\test.\Q' using process `\test.$proc$proc_02.v:3$1'. Warning: Async reset value `\RV' is not constant! created $dffsr cell `$procdff$2' with positive edge clock and positive level non-const reset. 3.8. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `test.$proc$proc_02.v:3$1'. Cleaned up 0 empty switches. Removed 0 unused cells and 1 unused wires. 4. Generating Graphviz representation of design. Writing dot description to `proc_02.dot'. Dumping module test to page 1. Exec: dot -Tpdf 'proc_02.dot' > 'proc_02.pdf.new' && mv 'proc_02.pdf.new' 'proc_02.pdf' Warnings: 1 unique messages, 1 total End of script. Logfile hash: 56c089ead5 CPU: user 0.01s system 0.00s, MEM: 16.21 MB total, 9.75 MB resident Yosys 0.9 (git sha1 UNKNOWN, gcc 11.0.0 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) Time spent: 32% 1x clean (0 sec), 24% 1x show (0 sec), ... ../../yosys -p 'script proc_03.ys; show -notitle -prefix proc_03 -format pdf' /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2019 Clifford Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.9 (git sha1 UNKNOWN, gcc 11.0.0 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) -- Running command `script proc_03.ys; show -notitle -prefix proc_03 -format pdf' -- -- Executing script file `proc_03.ys' -- 1. Executing Verilog-2005 frontend: proc_03.v Parsing Verilog input from `proc_03.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 2.1. Analyzing design hierarchy.. Top module: \test 2.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. 3. Executing PROC pass (convert processes to netlists). 3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 3.3. Executing PROC_INIT pass (extract init attributes). 3.4. Executing PROC_ARST pass (detect async resets in processes). 3.5. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\test.$proc$proc_03.v:3$1'. 1/1: $0\Y[0:0] 3.6. Executing PROC_DLATCH pass (convert process syncs to latches). No latch inferred for signal `\test.\Y' from process `\test.$proc$proc_03.v:3$1'. 3.7. Executing PROC_DFF pass (convert process syncs to FFs). 3.8. Executing PROC_CLEAN pass (remove empty switches from decision trees). Found and cleaned up 2 empty switches in `\test.$proc$proc_03.v:3$1'. Removing empty process `test.$proc$proc_03.v:3$1'. Cleaned up 2 empty switches. Removed 0 unused cells and 4 unused wires. 4. Generating Graphviz representation of design. Writing dot description to `proc_03.dot'. Dumping module test to page 1. Exec: dot -Tpdf 'proc_03.dot' > 'proc_03.pdf.new' && mv 'proc_03.pdf.new' 'proc_03.pdf' End of script. Logfile hash: fd9c05a086 CPU: user 0.00s system 0.00s, MEM: 16.21 MB total, 10.30 MB resident Yosys 0.9 (git sha1 UNKNOWN, gcc 11.0.0 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) Time spent: 29% 1x clean (0 sec), 22% 1x show (0 sec), ... ../../yosys -p 'script opt_01.ys; show -notitle -prefix opt_01 -format pdf' /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2019 Clifford Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.9 (git sha1 UNKNOWN, gcc 11.0.0 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) -- Running command `script opt_01.ys; show -notitle -prefix opt_01 -format pdf' -- -- Executing script file `opt_01.ys' -- 1. Executing Verilog-2005 frontend: opt_01.v Parsing Verilog input from `opt_01.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 2.1. Analyzing design hierarchy.. Top module: \test 2.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. 3. Executing OPT pass (performing simple optimizations). 3.1. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 3.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 0 cells. 3.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \test.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. dead port 2/2 on $mux $ternary$opt_01.v:2$1. Removed 1 multiplexer ports. 3.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \test. New ctrl vector for $mux cell $ternary$opt_01.v:2$2: { } Optimizing cells in module \test. Performed a total of 1 changes. 3.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 0 cells. 3.6. Executing OPT_RMDFF pass (remove dff with constant values). 3.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \test.. Removed 0 unused cells and 2 unused wires. 3.8. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 3.9. Rerunning OPT passes. (Maybe there is more to do..) 3.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \test.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 3.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \test. Performed a total of 0 changes. 3.12. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 0 cells. 3.13. Executing OPT_RMDFF pass (remove dff with constant values). 3.14. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \test.. 3.15. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 3.16. Finished OPT passes. (There is nothing left to do.) 4. Generating Graphviz representation of design. Writing dot description to `opt_01.dot'. Dumping module test to page 1. Exec: dot -Tpdf 'opt_01.dot' > 'opt_01.pdf.new' && mv 'opt_01.pdf.new' 'opt_01.pdf' End of script. Logfile hash: 946b2a57b6 CPU: user 0.01s system 0.00s, MEM: 16.18 MB total, 10.20 MB resident Yosys 0.9 (git sha1 UNKNOWN, gcc 11.0.0 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) Time spent: 29% 3x opt_expr (0 sec), 25% 3x opt_merge (0 sec), ... ../../yosys -p 'script opt_02.ys; show -notitle -prefix opt_02 -format pdf' /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2019 Clifford Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.9 (git sha1 UNKNOWN, gcc 11.0.0 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) -- Running command `script opt_02.ys; show -notitle -prefix opt_02 -format pdf' -- -- Executing script file `opt_02.ys' -- 1. Executing Verilog-2005 frontend: opt_02.v Parsing Verilog input from `opt_02.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 2.1. Analyzing design hierarchy.. Top module: \test 2.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. 3. Executing OPT pass (performing simple optimizations). 3.1. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 3.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 0 cells. 3.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \test.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 3.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \test. Performed a total of 0 changes. 3.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 0 cells. 3.6. Executing OPT_RMDFF pass (remove dff with constant values). 3.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \test.. Removed 0 unused cells and 2 unused wires. 3.8. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 3.9. Finished OPT passes. (There is nothing left to do.) 4. Generating Graphviz representation of design. Writing dot description to `opt_02.dot'. Dumping module test to page 1. Exec: dot -Tpdf 'opt_02.dot' > 'opt_02.pdf.new' && mv 'opt_02.pdf.new' 'opt_02.pdf' End of script. Logfile hash: 8ae470d74b CPU: user 0.01s system 0.00s, MEM: 16.18 MB total, 10.16 MB resident Yosys 0.9 (git sha1 UNKNOWN, gcc 11.0.0 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) Time spent: 32% 2x opt_expr (0 sec), 21% 2x opt_merge (0 sec), ... ../../yosys -p 'script opt_03.ys; show -notitle -prefix opt_03 -format pdf' /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2019 Clifford Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.9 (git sha1 UNKNOWN, gcc 11.0.0 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) -- Running command `script opt_03.ys; show -notitle -prefix opt_03 -format pdf' -- -- Executing script file `opt_03.ys' -- 1. Executing Verilog-2005 frontend: opt_03.v Parsing Verilog input from `opt_03.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 2.1. Analyzing design hierarchy.. Top module: \test 2.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. 3. Executing OPT pass (performing simple optimizations). 3.1. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 3.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 1 cells. 3.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \test.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 3.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \test. Performed a total of 0 changes. 3.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 0 cells. 3.6. Executing OPT_RMDFF pass (remove dff with constant values). 3.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \test.. Removed 0 unused cells and 2 unused wires. 3.8. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 3.9. Finished OPT passes. (There is nothing left to do.) 4. Generating Graphviz representation of design. Writing dot description to `opt_03.dot'. Dumping module test to page 1. Exec: dot -Tpdf 'opt_03.dot' > 'opt_03.pdf.new' && mv 'opt_03.pdf.new' 'opt_03.pdf' End of script. Logfile hash: e467ab0617 CPU: user 0.01s system 0.00s, MEM: 16.21 MB total, 9.99 MB resident Yosys 0.9 (git sha1 UNKNOWN, gcc 11.0.0 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) Time spent: 26% 2x opt_expr (0 sec), 22% 2x opt_merge (0 sec), ... ../../yosys -p 'script opt_04.ys; show -notitle -prefix opt_04 -format pdf' /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2019 Clifford Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.9 (git sha1 UNKNOWN, gcc 11.0.0 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) -- Running command `script opt_04.ys; show -notitle -prefix opt_04 -format pdf' -- -- Executing script file `opt_04.ys' -- 1. Executing Verilog-2005 frontend: opt_04.v Parsing Verilog input from `opt_04.v' to AST representation. Generating RTLIL representation for module `\test'. Warning: wire '\Q1' is assigned in a block at opt_04.v:8. Warning: wire '\Q2' is assigned in a block at opt_04.v:12. Warning: wire '\Q2' is assigned in a block at opt_04.v:14. Warning: wire '\Q3' is assigned in a block at opt_04.v:17. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 2.1. Analyzing design hierarchy.. Top module: \test 2.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. 3. Executing PROC pass (convert processes to netlists). 3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Marked 1 switch rules as full_case in process $proc$opt_04.v:10$2 in module test. Removed a total of 0 dead cases. 3.3. Executing PROC_INIT pass (extract init attributes). 3.4. Executing PROC_ARST pass (detect async resets in processes). Found async reset \ARST in `\test.$proc$opt_04.v:10$2'. Found async reset \ARST in `\test.$proc$opt_04.v:6$1'. 3.5. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\test.$proc$opt_04.v:16$3'. 1/1: $0\Q3[7:0] Creating decoders for process `\test.$proc$opt_04.v:10$2'. 1/1: $0\Q2[7:0] Creating decoders for process `\test.$proc$opt_04.v:6$1'. 1/1: $0\Q1[7:0] 3.6. Executing PROC_DLATCH pass (convert process syncs to latches). 3.7. Executing PROC_DFF pass (convert process syncs to FFs). Creating register for signal `\test.\Q3' using process `\test.$proc$opt_04.v:16$3'. created $dff cell `$procdff$4' with positive edge clock. Creating register for signal `\test.\Q2' using process `\test.$proc$opt_04.v:10$2'. created $adff cell `$procdff$5' with positive edge clock and positive level reset. Creating register for signal `\test.\Q1' using process `\test.$proc$opt_04.v:6$1'. created $adff cell `$procdff$6' with positive edge clock and positive level reset. 3.8. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `test.$proc$opt_04.v:16$3'. Removing empty process `test.$proc$opt_04.v:10$2'. Removing empty process `test.$proc$opt_04.v:6$1'. Cleaned up 0 empty switches. 4. Executing OPT pass (performing simple optimizations). 4.1. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 4.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 0 cells. 4.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \test.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 4.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \test. Performed a total of 0 changes. 4.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 0 cells. 4.6. Executing OPT_RMDFF pass (remove dff with constant values). Removing $procdff$6 ($adff) from module test. Removing $procdff$5 ($adff) from module test. Removing $procdff$4 ($dff) from module test. Replaced 3 DFF cells. 4.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \test.. Removed 0 unused cells and 3 unused wires. 4.8. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 4.9. Rerunning OPT passes. (Maybe there is more to do..) 4.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \test.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 4.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \test. Performed a total of 0 changes. 4.12. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 0 cells. 4.13. Executing OPT_RMDFF pass (remove dff with constant values). 4.14. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \test.. 4.15. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 4.16. Finished OPT passes. (There is nothing left to do.) 5. Generating Graphviz representation of design. Writing dot description to `opt_04.dot'. Dumping module test to page 1. Exec: dot -Tpdf 'opt_04.dot' > 'opt_04.pdf.new' && mv 'opt_04.pdf.new' 'opt_04.pdf' Warnings: 4 unique messages, 4 total End of script. Logfile hash: 35bed86fa8 CPU: user 0.01s system 0.00s, MEM: 16.20 MB total, 9.95 MB resident Yosys 0.9 (git sha1 UNKNOWN, gcc 11.0.0 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) Time spent: 22% 3x opt_merge (0 sec), 21% 3x opt_expr (0 sec), ... ../../yosys -p 'script memory_01.ys; show -notitle -prefix memory_01 -format pdf' /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2019 Clifford Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.9 (git sha1 UNKNOWN, gcc 11.0.0 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) -- Running command `script memory_01.ys; show -notitle -prefix memory_01 -format pdf' -- -- Executing script file `memory_01.ys' -- 1. Executing Verilog-2005 frontend: memory_01.v Parsing Verilog input from `memory_01.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 2.1. Analyzing design hierarchy.. Top module: \test 2.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. 3. Executing PROC pass (convert processes to netlists). 3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 3.3. Executing PROC_INIT pass (extract init attributes). 3.4. Executing PROC_ARST pass (detect async resets in processes). 3.5. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\test.$proc$memory_01.v:5$2'. 1/4: $0\DOUT[7:0] 2/4: $0$memwr$\mem$memory_01.v:6$1_EN[7:0]$3 3/4: $0$memwr$\mem$memory_01.v:6$1_DATA[7:0]$5 4/4: $0$memwr$\mem$memory_01.v:6$1_ADDR[0:0]$4 3.6. Executing PROC_DLATCH pass (convert process syncs to latches). 3.7. Executing PROC_DFF pass (convert process syncs to FFs). Creating register for signal `\test.$memwr$\mem$memory_01.v:6$1_EN' using process `\test.$proc$memory_01.v:5$2'. created $dff cell `$procdff$8' with positive edge clock. Creating register for signal `\test.$memwr$\mem$memory_01.v:6$1_ADDR' using process `\test.$proc$memory_01.v:5$2'. created $dff cell `$procdff$9' with positive edge clock. Creating register for signal `\test.$memwr$\mem$memory_01.v:6$1_DATA' using process `\test.$proc$memory_01.v:5$2'. created $dff cell `$procdff$10' with positive edge clock. Creating register for signal `\test.\DOUT' using process `\test.$proc$memory_01.v:5$2'. created $dff cell `$procdff$11' with positive edge clock. 3.8. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `test.$proc$memory_01.v:5$2'. Cleaned up 0 empty switches. Removed 0 unused cells and 4 unused wires. 4. Executing MEMORY pass. 4.1. Executing MEMORY_DFF pass (merging $dff cells to $memrd and $memwr). Checking cell `$memwr$\mem$memory_01.v:6$7' in module `\test': merged $dff to cell. Checking cell `$memrd$\mem$memory_01.v:7$6' in module `\test': merged data $dff to cell. 4.2. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \test.. Removed 4 unused cells and 5 unused wires. 4.3. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). 4.4. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \test.. 4.5. Executing MEMORY_COLLECT pass (generating $mem cells). Collecting $memrd, $memwr and $meminit for memory `\mem' in module `\test': $memwr$\mem$memory_01.v:6$7 ($memwr) $memrd$\mem$memory_01.v:7$6 ($memrd) 4.6. Executing MEMORY_MAP pass (converting $mem cells to logic and flip-flops). Mapping memory cell \mem in module \test: created 2 $dff cells and 0 static cells of width 8. read interface: 1 $dff and 1 $mux cells. write interface: 2 write mux blocks. 5. Executing OPT pass (performing simple optimizations). 5.1. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 5.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 0 cells. 5.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \test.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 5.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \test. Performed a total of 0 changes. 5.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 0 cells. 5.6. Executing OPT_RMDFF pass (remove dff with constant values). 5.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \test.. Removed 1 unused cells and 6 unused wires. 5.8. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 5.9. Rerunning OPT passes. (Maybe there is more to do..) 5.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \test.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 5.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \test. Performed a total of 0 changes. 5.12. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 0 cells. 5.13. Executing OPT_RMDFF pass (remove dff with constant values). 5.14. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \test.. 5.15. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 5.16. Finished OPT passes. (There is nothing left to do.) 6. Generating Graphviz representation of design. Writing dot description to `memory_01.dot'. Dumping module test to page 1. Exec: dot -Tpdf 'memory_01.dot' > 'memory_01.pdf.new' && mv 'memory_01.pdf.new' 'memory_01.pdf' End of script. Logfile hash: 6e79952879 CPU: user 0.03s system 0.00s, MEM: 16.20 MB total, 10.25 MB resident Yosys 0.9 (git sha1 UNKNOWN, gcc 11.0.0 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) Time spent: 24% 4x opt_clean (0 sec), 18% 3x opt_expr (0 sec), ... ../../yosys -p 'script memory_02.ys; show -notitle -prefix memory_02 -format pdf' /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2019 Clifford Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.9 (git sha1 UNKNOWN, gcc 11.0.0 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) -- Running command `script memory_02.ys; show -notitle -prefix memory_02 -format pdf' -- -- Executing script file `memory_02.ys' -- 1. Executing Verilog-2005 frontend: memory_02.v Parsing Verilog input from `memory_02.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 2.1. Analyzing design hierarchy.. Top module: \test 2.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. 3. Executing PROC pass (convert processes to netlists). 3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 3.3. Executing PROC_INIT pass (extract init attributes). 3.4. Executing PROC_ARST pass (detect async resets in processes). 3.5. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\test.$proc$memory_02.v:24$13'. 1/1: $0\RD2_DATA[7:0] Creating decoders for process `\test.$proc$memory_02.v:21$11'. 1/1: $0\RD1_DATA[7:0] Creating decoders for process `\test.$proc$memory_02.v:17$7'. 1/3: $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$10 2/3: $0$memwr$\memory$memory_02.v:19$2_DATA[7:0]$9 3/3: $0$memwr$\memory$memory_02.v:19$2_ADDR[7:0]$8 Creating decoders for process `\test.$proc$memory_02.v:13$3'. 1/3: $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 2/3: $0$memwr$\memory$memory_02.v:15$1_DATA[7:0]$5 3/3: $0$memwr$\memory$memory_02.v:15$1_ADDR[7:0]$4 3.6. Executing PROC_DLATCH pass (convert process syncs to latches). 3.7. Executing PROC_DFF pass (convert process syncs to FFs). Creating register for signal `\test.\RD2_DATA' using process `\test.$proc$memory_02.v:24$13'. created $dff cell `$procdff$29' with positive edge clock. Creating register for signal `\test.\RD1_DATA' using process `\test.$proc$memory_02.v:21$11'. created $dff cell `$procdff$30' with positive edge clock. Creating register for signal `\test.$memwr$\memory$memory_02.v:19$2_ADDR' using process `\test.$proc$memory_02.v:17$7'. created $dff cell `$procdff$31' with positive edge clock. Creating register for signal `\test.$memwr$\memory$memory_02.v:19$2_DATA' using process `\test.$proc$memory_02.v:17$7'. created $dff cell `$procdff$32' with positive edge clock. Creating register for signal `\test.$memwr$\memory$memory_02.v:19$2_EN' using process `\test.$proc$memory_02.v:17$7'. created $dff cell `$procdff$33' with positive edge clock. Creating register for signal `\test.$memwr$\memory$memory_02.v:15$1_ADDR' using process `\test.$proc$memory_02.v:13$3'. created $dff cell `$procdff$34' with positive edge clock. Creating register for signal `\test.$memwr$\memory$memory_02.v:15$1_DATA' using process `\test.$proc$memory_02.v:13$3'. created $dff cell `$procdff$35' with positive edge clock. Creating register for signal `\test.$memwr$\memory$memory_02.v:15$1_EN' using process `\test.$proc$memory_02.v:13$3'. created $dff cell `$procdff$36' with positive edge clock. 3.8. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `test.$proc$memory_02.v:24$13'. Removing empty process `test.$proc$memory_02.v:21$11'. Found and cleaned up 1 empty switch in `\test.$proc$memory_02.v:17$7'. Removing empty process `test.$proc$memory_02.v:17$7'. Found and cleaned up 1 empty switch in `\test.$proc$memory_02.v:13$3'. Removing empty process `test.$proc$memory_02.v:13$3'. Cleaned up 2 empty switches. Removed 0 unused cells and 14 unused wires. 4. Executing MEMORY pass. 4.1. Executing MEMORY_DFF pass (merging $dff cells to $memrd and $memwr). Checking cell `$memwr$\memory$memory_02.v:15$15' in module `\test': merged $dff to cell. Checking cell `$memwr$\memory$memory_02.v:19$16' in module `\test': merged $dff to cell. Checking cell `$memrd$\memory$memory_02.v:22$12' in module `\test': merged data $dff to cell. Checking cell `$memrd$\memory$memory_02.v:25$14' in module `\test': merged data $dff to cell. 4.2. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \test.. Removed 8 unused cells and 10 unused wires. 4.3. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). Consolidating write ports of memory test.memory by address: New clock domain: posedge \WR1_CLK Port 0 ($memwr$\memory$memory_02.v:15$15) has addr \WR1_ADDR. Active bits: 11111111 New clock domain: posedge \WR2_CLK Port 1 ($memwr$\memory$memory_02.v:19$16) has addr \WR2_ADDR. Active bits: 11111111 Consolidating write ports of memory test.memory using sat-based resource sharing: Port 0 ($memwr$\memory$memory_02.v:15$15) on posedge \WR1_CLK: considered Port 1 ($memwr$\memory$memory_02.v:19$16) on posedge \WR2_CLK: considered No two subsequent ports in same clock domain considered -> nothing to consolidate. 4.4. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \test.. 4.5. Executing MEMORY_COLLECT pass (generating $mem cells). Collecting $memrd, $memwr and $meminit for memory `\memory' in module `\test': $memwr$\memory$memory_02.v:15$15 ($memwr) $memwr$\memory$memory_02.v:19$16 ($memwr) $memrd$\memory$memory_02.v:22$12 ($memrd) $memrd$\memory$memory_02.v:25$14 ($memrd) 5. Executing OPT pass (performing simple optimizations). 5.1. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 5.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 0 cells. 5.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \test.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 5.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \test. Consolidated identical input bits for $mux cell $procmux$23: Old ports: A=8'00000000, B=8'11111111, Y=$0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 New ports: A=1'0, B=1'1, Y=$0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] New connections: $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [7:1] = { $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] } Consolidated identical input bits for $mux cell $procmux$17: Old ports: A=8'00000000, B=8'11111111, Y=$0$memwr$\memory$memory_02.v:19$2_EN[7:0]$10 New ports: A=1'0, B=1'1, Y=$0$memwr$\memory$memory_02.v:19$2_EN[7:0]$10 [0] New connections: $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$10 [7:1] = { $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$10 [0] $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$10 [0] $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$10 [0] $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$10 [0] $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$10 [0] $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$10 [0] $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$10 [0] } Optimizing cells in module \test. Performed a total of 2 changes. 5.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 0 cells. 5.6. Executing OPT_RMDFF pass (remove dff with constant values). 5.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \test.. Removed 0 unused cells and 4 unused wires. 5.8. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 5.9. Rerunning OPT passes. (Maybe there is more to do..) 5.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \test.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 5.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \test. Performed a total of 0 changes. 5.12. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 0 cells. 5.13. Executing OPT_RMDFF pass (remove dff with constant values). 5.14. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \test.. Removed 0 unused cells and 2 unused wires. 5.15. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 5.16. Finished OPT passes. (There is nothing left to do.) 6. Generating Graphviz representation of design. Writing dot description to `memory_02.dot'. Dumping module test to page 1. Exec: dot -Tpdf 'memory_02.dot' > 'memory_02.pdf.new' && mv 'memory_02.pdf.new' 'memory_02.pdf' End of script. Logfile hash: aa9233bc91 CPU: user 0.04s system 0.00s, MEM: 16.26 MB total, 10.29 MB resident Yosys 0.9 (git sha1 UNKNOWN, gcc 11.0.0 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) Time spent: 27% 4x opt_clean (0 sec), 13% 1x clean (0 sec), ... ../../yosys -p 'script techmap_01.ys; show -notitle -prefix techmap_01 -format pdf' /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2019 Clifford Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.9 (git sha1 UNKNOWN, gcc 11.0.0 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) -- Running command `script techmap_01.ys; show -notitle -prefix techmap_01 -format pdf' -- -- Executing script file `techmap_01.ys' -- 1. Executing Verilog-2005 frontend: techmap_01.v Parsing Verilog input from `techmap_01.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 2.1. Analyzing design hierarchy.. Top module: \test 2.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. 3. Executing TECHMAP pass (map to technology primitives). 3.1. Executing Verilog-2005 frontend: techmap_01_map.v Parsing Verilog input from `techmap_01_map.v' to AST representation. Generating RTLIL representation for module `\$add'. Successfully finished Verilog frontend. 3.2. Continuing TECHMAP pass. Using template $paramod\$add\A_SIGNED=0\B_SIGNED=0\A_WIDTH=32\B_WIDTH=32\Y_WIDTH=32 for cells of type $add. No more expansions possible. Removed 0 unused cells and 7 unused wires. 4. Generating Graphviz representation of design. Writing dot description to `techmap_01.dot'. Dumping module test to page 1. Exec: dot -Tpdf 'techmap_01.dot' > 'techmap_01.pdf.new' && mv 'techmap_01.pdf.new' 'techmap_01.pdf' End of script. Logfile hash: 0262846cc7 CPU: user 0.01s system 0.00s, MEM: 16.36 MB total, 10.23 MB resident Yosys 0.9 (git sha1 UNKNOWN, gcc 11.0.0 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) Time spent: 42% 1x techmap (0 sec), 28% 1x clean (0 sec), ... ../../yosys -p 'script abc_01.ys; show -notitle -prefix abc_01 -format pdf' /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2019 Clifford Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.9 (git sha1 UNKNOWN, gcc 11.0.0 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) -- Running command `script abc_01.ys; show -notitle -prefix abc_01 -format pdf' -- -- Executing script file `abc_01.ys' -- 1. Executing Verilog-2005 frontend: abc_01.v Parsing Verilog input from `abc_01.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 2. Executing Verilog-2005 frontend: abc_01_cells.v Parsing Verilog input from `abc_01_cells.v' to AST representation. Generating RTLIL representation for module `\BUF'. Generating RTLIL representation for module `\NOT'. Generating RTLIL representation for module `\NAND'. Generating RTLIL representation for module `\NOR'. Generating RTLIL representation for module `\DFF'. Generating RTLIL representation for module `\DFFSR'. Successfully finished Verilog frontend. 3. Executing HIERARCHY pass (managing design hierarchy). 3.1. Analyzing design hierarchy.. Top module: \test 3.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. 4. Executing PROC pass (convert processes to netlists). 4.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 4.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 4.3. Executing PROC_INIT pass (extract init attributes). 4.4. Executing PROC_ARST pass (detect async resets in processes). 4.5. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\test.$proc$abc_01.v:5$1'. 1/3: $0\y[0:0] 2/3: $0\q2[2:0] 3/3: $0\q1[2:0] 4.6. Executing PROC_DLATCH pass (convert process syncs to latches). 4.7. Executing PROC_DFF pass (convert process syncs to FFs). Creating register for signal `\test.\q2' using process `\test.$proc$abc_01.v:5$1'. created $dff cell `$procdff$3' with positive edge clock. Creating register for signal `\test.\y' using process `\test.$proc$abc_01.v:5$1'. created $dff cell `$procdff$4' with positive edge clock. Creating register for signal `\test.\q1' using process `\test.$proc$abc_01.v:5$1'. created $dff cell `$procdff$5' with positive edge clock. 4.8. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `test.$proc$abc_01.v:5$1'. Cleaned up 0 empty switches. 5. Executing OPT pass (performing simple optimizations). 5.1. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 5.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 0 cells. 5.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \test.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 5.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \test. Performed a total of 0 changes. 5.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 0 cells. 5.6. Executing OPT_RMDFF pass (remove dff with constant values). 5.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \test.. Removed 0 unused cells and 3 unused wires. 5.8. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 5.9. Finished OPT passes. (There is nothing left to do.) 6. Executing TECHMAP pass (map to technology primitives). 6.1. Executing Verilog-2005 frontend: Parsing Verilog input from `' to AST representation. Generating RTLIL representation for module `\_90_simplemap_bool_ops'. Generating RTLIL representation for module `\_90_simplemap_reduce_ops'. Generating RTLIL representation for module `\_90_simplemap_logic_ops'. Generating RTLIL representation for module `\_90_simplemap_compare_ops'. Generating RTLIL representation for module `\_90_simplemap_various'. Generating RTLIL representation for module `\_90_simplemap_registers'. Generating RTLIL representation for module `\_90_shift_ops_shr_shl_sshl_sshr'. Generating RTLIL representation for module `\_90_shift_shiftx'. Generating RTLIL representation for module `\_90_fa'. Generating RTLIL representation for module `\_90_lcu'. Generating RTLIL representation for module `\_90_alu'. Generating RTLIL representation for module `\_90_macc'. Generating RTLIL representation for module `\_90_alumacc'. Generating RTLIL representation for module `\$__div_mod_u'. Generating RTLIL representation for module `\$__div_mod'. Generating RTLIL representation for module `\_90_div'. Generating RTLIL representation for module `\_90_mod'. Generating RTLIL representation for module `\_90_pow'. Generating RTLIL representation for module `\_90_pmux'. Generating RTLIL representation for module `\_90_lut'. Successfully finished Verilog frontend. 6.2. Continuing TECHMAP pass. Using extmapper simplemap for cells of type $dff. Using extmapper simplemap for cells of type $reduce_xor. No more expansions possible. 7. Executing ABC pass (technology mapping using ABC). 7.1. Summary of detected clock domains: 9 cells in clk=\clk, en={ } 7.2. Extracting gate netlist of module `\test' to `/input.blif'.. Found matching posedge clock domain: \clk Extracted 9 gates and 12 wires to a netlist network with 3 inputs and 1 outputs. 7.2.1. Executing ABC. Running ABC command: /usr/bin/abc -s -f /abc.script 2>&1 ABC: ABC command line: "source /abc.script". ABC: ABC: + read_blif /input.blif ABC: + read_lib -w /manual/PRESENTATION_ExSyn/abc_01_cells.lib ABC: Parsing finished successfully. Parsing time = 0.00 sec ABC: Warning: Templates are not defined. ABC: Libery parser cannot read "time_unit". Assuming time_unit : "1ns". ABC: Libery parser cannot read "capacitive_load_unit". Assuming capacitive_load_unit(1, pf). ABC: Scl_LibertyReadGenlib() skipped sequential cell "DFF". ABC: Scl_LibertyReadGenlib() skipped sequential cell "DFFSR". ABC: Library "demo" from "/manual/PRESENTATION_ExSyn/abc_01_cells.lib" has 4 cells (2 skipped: 2 seq; 0 tri-state; 0 no func; 0 dont_use). Time = 0.00 sec ABC: Memory = 0.00 MB. Time = 0.00 sec ABC: + strash ABC: + ifraig ABC: + scorr ABC: Warning: 7 registers in this network have don't-care init values. ABC: The don't-care are assumed to be 0. The result may not verify. ABC: Use command "print_latch" to see the init values of registers. ABC: Use command "zero" to convert or "init" to change the values. ABC: + dc2 ABC: + dretime ABC: + retime ABC: + strash ABC: + &get -n ABC: + &dch -f ABC: + &nf ABC: + &put ABC: + write_blif /output.blif 7.2.2. Re-integrating ABC results. ABC RESULTS: BUF cells: 2 ABC RESULTS: NAND cells: 3 ABC RESULTS: NOR cells: 3 ABC RESULTS: NOT cells: 3 ABC RESULTS: _dff_ cells: 4 ABC RESULTS: internal signals: 8 ABC RESULTS: input signals: 3 ABC RESULTS: output signals: 1 Removing temp directory. Removed 0 unused cells and 9 unused wires. 8. Generating Graphviz representation of design. Writing dot description to `abc_01.dot'. Dumping module test to page 1. Exec: dot -Tpdf 'abc_01.dot' > 'abc_01.pdf.new' && mv 'abc_01.pdf.new' 'abc_01.pdf' End of script. Logfile hash: 314af208de CPU: user 0.04s system 0.00s, MEM: 17.12 MB total, 11.32 MB resident Yosys 0.9 (git sha1 UNKNOWN, gcc 11.0.0 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) Time spent: 46% 5x read_verilog (0 sec), 9% 1x abc (0 sec), ... make[1]: Leaving directory '/builddir/build/BUILD/yosys-yosys-0.9/manual/PRESENTATION_ExSyn' + make -C PRESENTATION_ExAdv make[1]: warning: jobserver unavailable: using -j1. Add '+' to parent make rule. make[1]: Entering directory '/builddir/build/BUILD/yosys-yosys-0.9/manual/PRESENTATION_ExAdv' ../../yosys select.ys /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2019 Clifford Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.9 (git sha1 UNKNOWN, gcc 11.0.0 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) -- Executing script file `select.ys' -- 1. Executing Verilog-2005 frontend: select.v Parsing Verilog input from `select.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 2.1. Analyzing design hierarchy.. Top module: \test 2.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. 3. Executing PROC pass (convert processes to netlists). 3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 3.3. Executing PROC_INIT pass (extract init attributes). 3.4. Executing PROC_ARST pass (detect async resets in processes). 3.5. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\test.$proc$select.v:7$1'. 1/2: $0\c[15:0] 2/2: $0\b[15:0] 3.6. Executing PROC_DLATCH pass (convert process syncs to latches). 3.7. Executing PROC_DFF pass (convert process syncs to FFs). Creating register for signal `\test.\b' using process `\test.$proc$select.v:7$1'. created $dff cell `$procdff$8' with positive edge clock. Creating register for signal `\test.\c' using process `\test.$proc$select.v:7$1'. created $dff cell `$procdff$9' with positive edge clock. 3.8. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `test.$proc$select.v:7$1'. Cleaned up 0 empty switches. 4. Executing OPT pass (performing simple optimizations). 4.1. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 4.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 1 cells. 4.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \test.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 4.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \test. Performed a total of 0 changes. 4.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 0 cells. 4.6. Executing OPT_RMDFF pass (remove dff with constant values). 4.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \test.. Removed 1 unused cells and 7 unused wires. 4.8. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 4.9. Rerunning OPT passes. (Maybe there is more to do..) 4.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \test.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 4.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \test. Performed a total of 0 changes. 4.12. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 0 cells. 4.13. Executing OPT_RMDFF pass (remove dff with constant values). 4.14. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \test.. 4.15. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 4.16. Finished OPT passes. (There is nothing left to do.) 5. Generating Graphviz representation of design. Writing dot description to `select.dot'. Dumping module test to page 1. Exec: dot -Tpdf 'select.dot' > 'select.pdf.new' && mv 'select.pdf.new' 'select.pdf' End of script. Logfile hash: ac335b9416 CPU: user 0.02s system 0.00s, MEM: 16.26 MB total, 9.88 MB resident Yosys 0.9 (git sha1 UNKNOWN, gcc 11.0.0 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) Time spent: 23% 3x opt_expr (0 sec), 21% 2x opt_clean (0 sec), ... ../../yosys red_or3x1_test.ys /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2019 Clifford Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.9 (git sha1 UNKNOWN, gcc 11.0.0 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) -- Executing script file `red_or3x1_test.ys' -- 1. Executing Verilog-2005 frontend: red_or3x1_test.v Parsing Verilog input from `red_or3x1_test.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 2.1. Analyzing design hierarchy.. Top module: \test 2.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. 3. Executing TECHMAP pass (map to technology primitives). 3.1. Executing Verilog-2005 frontend: red_or3x1_map.v Parsing Verilog input from `red_or3x1_map.v' to AST representation. Generating RTLIL representation for module `\$reduce_or'. Successfully finished Verilog frontend. 3.2. Continuing TECHMAP pass. Using template $paramod\$reduce_or\A_SIGNED=0\A_WIDTH=7\Y_WIDTH=1 for cells of type $reduce_or. Using template $paramod\$reduce_or\A_SIGNED=0\A_WIDTH=1\Y_WIDTH=1 for cells of type $reduce_or. Using template $paramod\$reduce_or\A_SIGNED=0\A_WIDTH=3\Y_WIDTH=1 for cells of type $reduce_or. No more expansions possible. Removed 0 unused cells and 18 unused wires. 4. Executing SPLITNETS pass (splitting up multi-bit signals). 5. Generating Graphviz representation of design. 5.1. Executing Verilog-2005 frontend: red_or3x1_cells.v Parsing Verilog input from `red_or3x1_cells.v' to AST representation. Generating RTLIL representation for module `\OR3X1'. Successfully finished Verilog frontend. 5.2. Continuing show pass. Writing dot description to `red_or3x1.dot'. Dumping module test to page 1. Exec: dot -Tpdf 'red_or3x1.dot' > 'red_or3x1.pdf.new' && mv 'red_or3x1.pdf.new' 'red_or3x1.pdf' End of script. Logfile hash: f7f7720e72 CPU: user 0.01s system 0.00s, MEM: 16.34 MB total, 10.13 MB resident Yosys 0.9 (git sha1 UNKNOWN, gcc 11.0.0 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) Time spent: 43% 1x techmap (0 sec), 22% 4x read_verilog (0 sec), ... ../../yosys sym_mul_test.ys /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2019 Clifford Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.9 (git sha1 UNKNOWN, gcc 11.0.0 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) -- Executing script file `sym_mul_test.ys' -- 1. Executing Verilog-2005 frontend: sym_mul_test.v Parsing Verilog input from `sym_mul_test.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 2.1. Analyzing design hierarchy.. Top module: \test 2.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. 3. Executing TECHMAP pass (map to technology primitives). 3.1. Executing Verilog-2005 frontend: sym_mul_map.v Parsing Verilog input from `sym_mul_map.v' to AST representation. Generating RTLIL representation for module `\$mul'. Successfully finished Verilog frontend. 3.2. Continuing TECHMAP pass. Using template $paramod\$mul\A_SIGNED=0\B_SIGNED=0\A_WIDTH=8\B_WIDTH=8\Y_WIDTH=8 for cells of type $mul. No more expansions possible. Removed 0 unused cells and 6 unused wires. 4. Generating Graphviz representation of design. 4.1. Executing Verilog-2005 frontend: sym_mul_cells.v Parsing Verilog input from `sym_mul_cells.v' to AST representation. Generating RTLIL representation for module `\MYMUL'. Successfully finished Verilog frontend. 4.2. Continuing show pass. Writing dot description to `sym_mul.dot'. Dumping module test to page 1. Exec: dot -Tpdf 'sym_mul.dot' > 'sym_mul.pdf.new' && mv 'sym_mul.pdf.new' 'sym_mul.pdf' End of script. Logfile hash: 976edf2e64 CPU: user 0.01s system 0.00s, MEM: 16.21 MB total, 10.21 MB resident Yosys 0.9 (git sha1 UNKNOWN, gcc 11.0.0 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) Time spent: 30% 1x clean (0 sec), 25% 1x techmap (0 sec), ... ../../yosys mymul_test.ys /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2019 Clifford Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.9 (git sha1 UNKNOWN, gcc 11.0.0 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) -- Executing script file `mymul_test.ys' -- 1. Executing Verilog-2005 frontend: mymul_test.v Parsing Verilog input from `mymul_test.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 2.1. Analyzing design hierarchy.. Top module: \test 2.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. 3. Executing TECHMAP pass (map to technology primitives). 3.1. Executing Verilog-2005 frontend: sym_mul_map.v Parsing Verilog input from `sym_mul_map.v' to AST representation. Generating RTLIL representation for module `\$mul'. Successfully finished Verilog frontend. 3.2. Executing Verilog-2005 frontend: mymul_map.v Parsing Verilog input from `mymul_map.v' to AST representation. Generating RTLIL representation for module `\MYMUL'. Successfully finished Verilog frontend. 3.3. Continuing TECHMAP pass. Using template $paramod\$mul\A_SIGNED=0\B_SIGNED=0\A_WIDTH=2\B_WIDTH=2\Y_WIDTH=2 for cells of type $mul. Using template $paramod\MYMUL\WIDTH=2 for cells of type MYMUL. No more expansions possible. Removed 0 unused cells and 10 unused wires. Renaming module \test to \test_mapped. 4. Executing Verilog-2005 frontend: mymul_test.v Parsing Verilog input from `mymul_test.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 5. Executing MITER pass (creating miter circuit). Creating miter cell "miter" with gold cell "test" and gate cell "test_mapped". 6. Executing FLATTEN pass (flatten design). Using template test_mapped for cells of type test_mapped. Using template test for cells of type test. No more expansions possible. 7. Executing SAT pass (solving SAT problems in the circuit). Setting up SAT problem: Final constraint equation: { } = { } Imported 9 cells to SAT database. Import proof-constraint: \trigger = 1'0 Final proof equation: \trigger = 1'0 Solving problem with 127 variables and 335 clauses.. SAT proof finished - no model found: SUCCESS! /$$$$$$ /$$$$$$$$ /$$$$$$$ /$$__ $$ | $$_____/ | $$__ $$ | $$ \ $$ | $$ | $$ \ $$ | $$ | $$ | $$$$$ | $$ | $$ | $$ | $$ | $$__/ | $$ | $$ | $$/$$ $$ | $$ | $$ | $$ | $$$$$$/ /$$| $$$$$$$$ /$$| $$$$$$$//$$ \____ $$$|__/|________/|__/|_______/|__/ \__/ 8. Executing SPLITNETS pass (splitting up multi-bit signals). 9. Generating Graphviz representation of design. Writing dot description to `mymul.dot'. Dumping module test_mapped to page 1. Exec: dot -Tpdf 'mymul.dot' > 'mymul.pdf.new' && mv 'mymul.pdf.new' 'mymul.pdf' End of script. Logfile hash: 88e74f01d1 CPU: user 0.02s system 0.00s, MEM: 16.33 MB total, 10.80 MB resident Yosys 0.9 (git sha1 UNKNOWN, gcc 11.0.0 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) Time spent: 24% 2x clean (0 sec), 23% 1x techmap (0 sec), ... ../../yosys mulshift_test.ys /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2019 Clifford Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.9 (git sha1 UNKNOWN, gcc 11.0.0 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) -- Executing script file `mulshift_test.ys' -- 1. Executing Verilog-2005 frontend: mulshift_test.v Parsing Verilog input from `mulshift_test.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 2.1. Analyzing design hierarchy.. Top module: \test 2.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. 3. Executing TECHMAP pass (map to technology primitives). 3.1. Executing Verilog-2005 frontend: sym_mul_map.v Parsing Verilog input from `sym_mul_map.v' to AST representation. Generating RTLIL representation for module `\$mul'. Successfully finished Verilog frontend. 3.2. Executing Verilog-2005 frontend: mulshift_map.v Parsing Verilog input from `mulshift_map.v' to AST representation. Generating RTLIL representation for module `\MYMUL'. Successfully finished Verilog frontend. 3.3. Continuing TECHMAP pass. Using template $paramod\$mul\A_SIGNED=0\B_SIGNED=0\A_WIDTH=8\B_WIDTH=8\Y_WIDTH=8 for cells of type $mul. Using template $paramod$bbc3b0b87462ac640198340d7dc539ed37c95c0b\MYMUL for cells of type MYMUL. No more expansions possible. Removed 0 unused cells and 16 unused wires. 4. Generating Graphviz representation of design. 4.1. Executing Verilog-2005 frontend: sym_mul_cells.v Parsing Verilog input from `sym_mul_cells.v' to AST representation. Generating RTLIL representation for module `\MYMUL'. Successfully finished Verilog frontend. 4.2. Continuing show pass. Writing dot description to `mulshift.dot'. Dumping module test to page 1. Exec: dot -Tpdf 'mulshift.dot' > 'mulshift.pdf.new' && mv 'mulshift.pdf.new' 'mulshift.pdf' End of script. Logfile hash: 612c492bc0 CPU: user 0.02s system 0.00s, MEM: 16.44 MB total, 10.39 MB resident Yosys 0.9 (git sha1 UNKNOWN, gcc 11.0.0 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) Time spent: 35% 3x clean (0 sec), 33% 1x techmap (0 sec), ... ../../yosys addshift_test.ys /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2019 Clifford Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.9 (git sha1 UNKNOWN, gcc 11.0.0 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) -- Executing script file `addshift_test.ys' -- 1. Executing Verilog-2005 frontend: addshift_test.v Parsing Verilog input from `addshift_test.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 2.1. Analyzing design hierarchy.. Top module: \test 2.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. 3. Executing TECHMAP pass (map to technology primitives). 3.1. Executing Verilog-2005 frontend: addshift_map.v Parsing Verilog input from `addshift_map.v' to AST representation. Generating RTLIL representation for module `\$add'. Successfully finished Verilog frontend. 3.2. Continuing TECHMAP pass. Using template $paramod$f9d15d41450676d24e4cd1a1cce4370f40b165ac\$add for cells of type $add. No more expansions possible. Removed 0 unused cells and 7 unused wires. 4. Generating Graphviz representation of design. Writing dot description to `addshift.dot'. Dumping module test to page 1. Exec: dot -Tpdf 'addshift.dot' > 'addshift.pdf.new' && mv 'addshift.pdf.new' 'addshift.pdf' End of script. Logfile hash: a0fd954202 CPU: user 0.01s system 0.00s, MEM: 16.22 MB total, 10.18 MB resident Yosys 0.9 (git sha1 UNKNOWN, gcc 11.0.0 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) Time spent: 33% 1x techmap (0 sec), 29% 1x clean (0 sec), ... ../../yosys macc_simple_test.ys /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2019 Clifford Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.9 (git sha1 UNKNOWN, gcc 11.0.0 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) -- Executing script file `macc_simple_test.ys' -- 1. Executing Verilog-2005 frontend: macc_simple_test.v Parsing Verilog input from `macc_simple_test.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 2.1. Analyzing design hierarchy.. Top module: \test 2.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. Removed 0 unused cells and 1 unused wires. 3. Generating Graphviz representation of design. 3.1. Executing Verilog-2005 frontend: macc_simple_xmap.v Parsing Verilog input from `macc_simple_xmap.v' to AST representation. Generating RTLIL representation for module `\macc_16_16_32'. Successfully finished Verilog frontend. 3.2. Continuing show pass. Writing dot description to `macc_simple_test_00a.dot'. Dumping module test to page 1. Exec: dot -Tpdf 'macc_simple_test_00a.dot' > 'macc_simple_test_00a.pdf.new' && mv 'macc_simple_test_00a.pdf.new' 'macc_simple_test_00a.pdf' 4. Executing EXTRACT pass (map subcircuits to cells). 4.1. Executing Verilog-2005 frontend: macc_simple_xmap.v Parsing Verilog input from `macc_simple_xmap.v' to AST representation. Generating RTLIL representation for module `\macc_16_16_32'. Successfully finished Verilog frontend. 4.2. Executing PROC pass (convert processes to netlists). 4.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 4.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 4.2.3. Executing PROC_INIT pass (extract init attributes). 4.2.4. Executing PROC_ARST pass (detect async resets in processes). 4.2.5. Executing PROC_MUX pass (convert decision trees to multiplexers). 4.2.6. Executing PROC_DLATCH pass (convert process syncs to latches). 4.2.7. Executing PROC_DFF pass (convert process syncs to FFs). 4.2.8. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 4.3. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \macc_16_16_32.. Removed 0 unused cells and 1 unused wires. 4.4. Creating graphs for SubCircuit library. Creating needle graph needle_macc_16_16_32. Creating haystack graph haystack_test. 4.5. Running solver from SubCircuit library. Solving for needle_macc_16_16_32 in haystack_test. Found 1 matches. 4.6. Substitute SubCircuits with cells. Match #0: (needle_macc_16_16_32 in haystack_test) $add$macc_simple_xmap.v:5$7 -> $add$macc_simple_test.v:5$2 \A:\A \B:\B \Y:\Y $const$0 -> $const$0 \Y:\Y $const$1 -> $const$1 \Y:\Y $const$x -> $const$x \Y:\Y $const$z -> $const$z \Y:\Y $mul$macc_simple_xmap.v:5$6 -> $mul$macc_simple_test.v:5$1 \A:\A \B:\B \Y:\Y new cell: $extract$\macc_16_16_32$8 Removed 0 unused cells and 1 unused wires. 5. Generating Graphviz representation of design. 5.1. Executing Verilog-2005 frontend: macc_simple_xmap.v Parsing Verilog input from `macc_simple_xmap.v' to AST representation. Generating RTLIL representation for module `\macc_16_16_32'. Successfully finished Verilog frontend. 5.2. Continuing show pass. Writing dot description to `macc_simple_test_00b.dot'. Dumping module test to page 1. Exec: dot -Tpdf 'macc_simple_test_00b.dot' > 'macc_simple_test_00b.pdf.new' && mv 'macc_simple_test_00b.pdf.new' 'macc_simple_test_00b.pdf' 6. Executing Verilog-2005 frontend: macc_simple_test_01.v Parsing Verilog input from `macc_simple_test_01.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 7. Executing HIERARCHY pass (managing design hierarchy). 7.1. Analyzing design hierarchy.. Top module: \test 7.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. Removed 0 unused cells and 1 unused wires. 8. Generating Graphviz representation of design. 8.1. Executing Verilog-2005 frontend: macc_simple_xmap.v Parsing Verilog input from `macc_simple_xmap.v' to AST representation. Generating RTLIL representation for module `\macc_16_16_32'. Successfully finished Verilog frontend. 8.2. Continuing show pass. Writing dot description to `macc_simple_test_01a.dot'. Dumping module test to page 1. Exec: dot -Tpdf 'macc_simple_test_01a.dot' > 'macc_simple_test_01a.pdf.new' && mv 'macc_simple_test_01a.pdf.new' 'macc_simple_test_01a.pdf' 9. Executing EXTRACT pass (map subcircuits to cells). 9.1. Executing Verilog-2005 frontend: macc_simple_xmap.v Parsing Verilog input from `macc_simple_xmap.v' to AST representation. Generating RTLIL representation for module `\macc_16_16_32'. Successfully finished Verilog frontend. 9.2. Executing PROC pass (convert processes to netlists). 9.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 9.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 9.2.3. Executing PROC_INIT pass (extract init attributes). 9.2.4. Executing PROC_ARST pass (detect async resets in processes). 9.2.5. Executing PROC_MUX pass (convert decision trees to multiplexers). 9.2.6. Executing PROC_DLATCH pass (convert process syncs to latches). 9.2.7. Executing PROC_DFF pass (convert process syncs to FFs). 9.2.8. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 9.3. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \macc_16_16_32.. Removed 0 unused cells and 1 unused wires. 9.4. Creating graphs for SubCircuit library. Creating needle graph needle_macc_16_16_32. Creating haystack graph haystack_test. 9.5. Running solver from SubCircuit library. Solving for needle_macc_16_16_32 in haystack_test. Found 1 matches. 9.6. Substitute SubCircuits with cells. Match #0: (needle_macc_16_16_32 in haystack_test) $add$macc_simple_xmap.v:5$18 -> $add$macc_simple_test_01.v:5$13 \A:\A \B:\B \Y:\Y $mul$macc_simple_xmap.v:5$17 -> $mul$macc_simple_test_01.v:5$11 \A:\A \B:\B \Y:\Y new cell: $extract$\macc_16_16_32$19 Removed 0 unused cells and 1 unused wires. 10. Generating Graphviz representation of design. 10.1. Executing Verilog-2005 frontend: macc_simple_xmap.v Parsing Verilog input from `macc_simple_xmap.v' to AST representation. Generating RTLIL representation for module `\macc_16_16_32'. Successfully finished Verilog frontend. 10.2. Continuing show pass. Writing dot description to `macc_simple_test_01b.dot'. Dumping module test to page 1. Exec: dot -Tpdf 'macc_simple_test_01b.dot' > 'macc_simple_test_01b.pdf.new' && mv 'macc_simple_test_01b.pdf.new' 'macc_simple_test_01b.pdf' 11. Executing Verilog-2005 frontend: macc_simple_test_02.v Parsing Verilog input from `macc_simple_test_02.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 12. Executing HIERARCHY pass (managing design hierarchy). 12.1. Analyzing design hierarchy.. Top module: \test 12.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. Removed 0 unused cells and 1 unused wires. 13. Generating Graphviz representation of design. 13.1. Executing Verilog-2005 frontend: macc_simple_xmap.v Parsing Verilog input from `macc_simple_xmap.v' to AST representation. Generating RTLIL representation for module `\macc_16_16_32'. Successfully finished Verilog frontend. 13.2. Continuing show pass. Writing dot description to `macc_simple_test_02a.dot'. Dumping module test to page 1. Exec: dot -Tpdf 'macc_simple_test_02a.dot' > 'macc_simple_test_02a.pdf.new' && mv 'macc_simple_test_02a.pdf.new' 'macc_simple_test_02a.pdf' 14. Executing EXTRACT pass (map subcircuits to cells). 14.1. Executing Verilog-2005 frontend: macc_simple_xmap.v Parsing Verilog input from `macc_simple_xmap.v' to AST representation. Generating RTLIL representation for module `\macc_16_16_32'. Successfully finished Verilog frontend. 14.2. Executing PROC pass (convert processes to netlists). 14.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 14.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 14.2.3. Executing PROC_INIT pass (extract init attributes). 14.2.4. Executing PROC_ARST pass (detect async resets in processes). 14.2.5. Executing PROC_MUX pass (convert decision trees to multiplexers). 14.2.6. Executing PROC_DLATCH pass (convert process syncs to latches). 14.2.7. Executing PROC_DFF pass (convert process syncs to FFs). 14.2.8. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 14.3. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \macc_16_16_32.. Removed 0 unused cells and 1 unused wires. 14.4. Creating graphs for SubCircuit library. Creating needle graph needle_macc_16_16_32. Creating haystack graph haystack_test. 14.5. Running solver from SubCircuit library. Solving for needle_macc_16_16_32 in haystack_test. Found 2 matches. 14.6. Substitute SubCircuits with cells. Match #0: (needle_macc_16_16_32 in haystack_test) $add$macc_simple_xmap.v:5$29 -> $add$macc_simple_test_02.v:5$24 \A:\A \B:\B \Y:\Y $mul$macc_simple_xmap.v:5$28 -> $mul$macc_simple_test_02.v:5$23 \A:\A \B:\B \Y:\Y new cell: $extract$\macc_16_16_32$30 Match #1: (needle_macc_16_16_32 in haystack_test) $add$macc_simple_xmap.v:5$29 -> $add$macc_simple_test_02.v:5$25 \A:\A \B:\B \Y:\Y $mul$macc_simple_xmap.v:5$28 -> $mul$macc_simple_test_02.v:5$22 \A:\A \B:\B \Y:\Y new cell: $extract$\macc_16_16_32$31 Removed 0 unused cells and 2 unused wires. 15. Generating Graphviz representation of design. 15.1. Executing Verilog-2005 frontend: macc_simple_xmap.v Parsing Verilog input from `macc_simple_xmap.v' to AST representation. Generating RTLIL representation for module `\macc_16_16_32'. Successfully finished Verilog frontend. 15.2. Continuing show pass. Writing dot description to `macc_simple_test_02b.dot'. Dumping module test to page 1. Exec: dot -Tpdf 'macc_simple_test_02b.dot' > 'macc_simple_test_02b.pdf.new' && mv 'macc_simple_test_02b.pdf.new' 'macc_simple_test_02b.pdf' 16. Executing Verilog-2005 frontend: macc_simple_xmap.v Parsing Verilog input from `macc_simple_xmap.v' to AST representation. Generating RTLIL representation for module `\macc_16_16_32'. Successfully finished Verilog frontend. 17. Executing HIERARCHY pass (managing design hierarchy). 17.1. Analyzing design hierarchy.. Top module: \macc_16_16_32 17.2. Analyzing design hierarchy.. Top module: \macc_16_16_32 Removed 0 unused modules. Removed 0 unused cells and 1 unused wires. 18. Generating Graphviz representation of design. Writing dot description to `macc_simple_xmap.dot'. Dumping module macc_16_16_32 to page 1. Exec: dot -Tpdf 'macc_simple_xmap.dot' > 'macc_simple_xmap.pdf.new' && mv 'macc_simple_xmap.pdf.new' 'macc_simple_xmap.pdf' End of script. Logfile hash: a22913d34f CPU: user 0.05s system 0.01s, MEM: 16.28 MB total, 10.20 MB resident Yosys 0.9 (git sha1 UNKNOWN, gcc 11.0.0 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) Time spent: 31% 7x clean (0 sec), 18% 3x extract (0 sec), ... ../../yosys macc_xilinx_test.ys /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2019 Clifford Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.9 (git sha1 UNKNOWN, gcc 11.0.0 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) -- Executing script file `macc_xilinx_test.ys' -- 1. Executing Verilog-2005 frontend: macc_xilinx_test.v Parsing Verilog input from `macc_xilinx_test.v' to AST representation. Generating RTLIL representation for module `\test1'. Generating RTLIL representation for module `\test2'. Successfully finished Verilog frontend. 2. Executing Verilog-2005 frontend: macc_xilinx_unwrap_map.v Parsing Verilog input from `macc_xilinx_unwrap_map.v' to AST representation. Generating RTLIL representation for module `$__mul_wrapper'. Generating RTLIL representation for module `$__add_wrapper'. Successfully finished Verilog frontend. 3. Executing Verilog-2005 frontend: macc_xilinx_xmap.v Parsing Verilog input from `macc_xilinx_xmap.v' to AST representation. Generating RTLIL representation for module `\DSP48_MACC'. Successfully finished Verilog frontend. 4. Executing HIERARCHY pass (managing design hierarchy). Removed 0 unused cells and 2 unused wires. 5. Generating Graphviz representation of design. Writing dot description to `macc_xilinx_test1a.dot'. Dumping module test1 to page 1. Exec: dot -Tpdf 'macc_xilinx_test1a.dot' > 'macc_xilinx_test1a.pdf.new' && mv 'macc_xilinx_test1a.pdf.new' 'macc_xilinx_test1a.pdf' 6. Generating Graphviz representation of design. Writing dot description to `macc_xilinx_test2a.dot'. Dumping module test2 to page 1. Exec: dot -Tpdf 'macc_xilinx_test2a.dot' > 'macc_xilinx_test2a.pdf.new' && mv 'macc_xilinx_test2a.pdf.new' 'macc_xilinx_test2a.pdf' 7. Executing TECHMAP pass (map to technology primitives). 7.1. Executing Verilog-2005 frontend: macc_xilinx_swap_map.v Parsing Verilog input from `macc_xilinx_swap_map.v' to AST representation. Generating RTLIL representation for module `\mul_swap_ports'. Successfully finished Verilog frontend. 7.2. Continuing TECHMAP pass. Using template $paramod\mul_swap_ports\A_SIGNED=0\B_SIGNED=0\A_WIDTH=20\B_WIDTH=16\Y_WIDTH=42 for cells of type $mul. No more expansions possible. Removed 0 unused cells and 8 unused wires. 8. Generating Graphviz representation of design. Writing dot description to `macc_xilinx_test1b.dot'. Dumping module test1 to page 1. Exec: dot -Tpdf 'macc_xilinx_test1b.dot' > 'macc_xilinx_test1b.pdf.new' && mv 'macc_xilinx_test1b.pdf.new' 'macc_xilinx_test1b.pdf' 9. Generating Graphviz representation of design. Writing dot description to `macc_xilinx_test2b.dot'. Dumping module test2 to page 1. Exec: dot -Tpdf 'macc_xilinx_test2b.dot' > 'macc_xilinx_test2b.pdf.new' && mv 'macc_xilinx_test2b.pdf.new' 'macc_xilinx_test2b.pdf' 10. Executing TECHMAP pass (map to technology primitives). 10.1. Executing Verilog-2005 frontend: macc_xilinx_wrap_map.v Parsing Verilog input from `macc_xilinx_wrap_map.v' to AST representation. Generating RTLIL representation for module `\mul_wrap'. Generating RTLIL representation for module `\add_wrap'. Successfully finished Verilog frontend. 10.2. Continuing TECHMAP pass. Using template $paramod\mul_wrap\A_SIGNED=0\B_SIGNED=0\A_WIDTH=16\B_WIDTH=20\Y_WIDTH=42 for cells of type $mul. Using template $paramod\add_wrap\A_SIGNED=0\B_SIGNED=0\A_WIDTH=42\B_WIDTH=42\Y_WIDTH=42 for cells of type $add. Using template $paramod\mul_wrap\A_SIGNED=0\B_SIGNED=0\A_WIDTH=16\B_WIDTH=16\Y_WIDTH=42 for cells of type $mul. No more expansions possible. 11. Executing CONNWRAPPERS pass (connect extended ports of wrapper cells). Connected extended bits of test1.$add$macc_xilinx_test.v:5$5:A: { 6'000000 $add$macc_xilinx_test.v:5$3_Y } -> { $techmap19$add$macc_xilinx_test.v:5$3.Y_48 [47:42] $add$macc_xilinx_test.v:5$3_Y } Connected extended bits of test1.$add$macc_xilinx_test.v:5$5:B: { 6'000000 $mul$macc_xilinx_test.v:5$4_Y } -> { $techmap21$mul$macc_xilinx_test.v:5$4.Y_48 [47:42] $mul$macc_xilinx_test.v:5$4_Y } Connected extended bits of test1.$add$macc_xilinx_test.v:5$3:B: { 6'000000 $mul$macc_xilinx_test.v:5$2_Y } -> { $techmap17$mul$macc_xilinx_test.v:5$2.Y_48 [47:42] $mul$macc_xilinx_test.v:5$2_Y } Connected extended bits of test2.$add$macc_xilinx_test.v:12$10:B: { 6'000000 $add$macc_xilinx_test.v:12$9_Y } -> { $techmap25$add$macc_xilinx_test.v:12$9.Y_48 [47:42] $add$macc_xilinx_test.v:12$9_Y } Connected extended bits of test2.$add$macc_xilinx_test.v:12$9:A: { 6'000000 $mul$macc_xilinx_test.v:12$7_Y } -> { $techmap24$mul$macc_xilinx_test.v:12$7.Y_48 [47:42] $mul$macc_xilinx_test.v:12$7_Y } Connected extended bits of test2.$add$macc_xilinx_test.v:12$9:B: { 6'000000 $mul$macc_xilinx_test.v:12$8_Y } -> { $techmap23$mul$macc_xilinx_test.v:12$8.Y_48 [47:42] $mul$macc_xilinx_test.v:12$8_Y } Removed 0 unused cells and 56 unused wires. 12. Generating Graphviz representation of design. Writing dot description to `macc_xilinx_test1c.dot'. Dumping module test1 to page 1. Exec: dot -Tpdf 'macc_xilinx_test1c.dot' > 'macc_xilinx_test1c.pdf.new' && mv 'macc_xilinx_test1c.pdf.new' 'macc_xilinx_test1c.pdf' 13. Generating Graphviz representation of design. Writing dot description to `macc_xilinx_test2c.dot'. Dumping module test2 to page 1. Exec: dot -Tpdf 'macc_xilinx_test2c.dot' > 'macc_xilinx_test2c.pdf.new' && mv 'macc_xilinx_test2c.pdf.new' 'macc_xilinx_test2c.pdf' 14. Executing Verilog-2005 frontend: macc_xilinx_xmap.v Parsing Verilog input from `macc_xilinx_xmap.v' to AST representation. Generating RTLIL representation for module `\DSP48_MACC'. Successfully finished Verilog frontend. 15. Executing TECHMAP pass (map to technology primitives). 15.1. Executing Verilog-2005 frontend: macc_xilinx_swap_map.v Parsing Verilog input from `macc_xilinx_swap_map.v' to AST representation. Generating RTLIL representation for module `\mul_swap_ports'. Successfully finished Verilog frontend. 15.2. Continuing TECHMAP pass. No more expansions possible. 16. Executing TECHMAP pass (map to technology primitives). 16.1. Executing Verilog-2005 frontend: macc_xilinx_wrap_map.v Parsing Verilog input from `macc_xilinx_wrap_map.v' to AST representation. Generating RTLIL representation for module `\mul_wrap'. Generating RTLIL representation for module `\add_wrap'. Successfully finished Verilog frontend. 16.2. Continuing TECHMAP pass. Using template $paramod\mul_wrap\A_SIGNED=0\B_SIGNED=0\A_WIDTH=18\B_WIDTH=25\Y_WIDTH=48 for cells of type $mul. Using template $paramod\add_wrap\A_SIGNED=0\B_SIGNED=0\A_WIDTH=48\B_WIDTH=48\Y_WIDTH=48 for cells of type $add. No more expansions possible. Removed 0 unused cells and 17 unused wires. 17. Executing EXTRACT pass (map subcircuits to cells). 17.1. Creating graphs for SubCircuit library. Creating needle graph needle_DSP48_MACC. Creating haystack graph haystack_$__add_wrapper. Creating haystack graph haystack_$__mul_wrapper. Creating haystack graph haystack_DSP48_MACC. Creating haystack graph haystack_test1. Creating haystack graph haystack_test2. 17.2. Running solver from SubCircuit library. Solving for needle_DSP48_MACC in haystack_$__add_wrapper. Solving for needle_DSP48_MACC in haystack_$__mul_wrapper. Solving for needle_DSP48_MACC in haystack_DSP48_MACC. Solving for needle_DSP48_MACC in haystack_test1. Solving for needle_DSP48_MACC in haystack_test2. Found 3 matches. 17.3. Substitute SubCircuits with cells. Match #0: (needle_DSP48_MACC in haystack_test1) $add$macc_xilinx_xmap.v:8$28 -> $add$macc_xilinx_test.v:5$3 \A:\B \B:\A \Y:\Y $const$0 -> $const$0 \Y:\Y $const$1 -> $const$1 \Y:\Y $const$x -> $const$x \Y:\Y $const$z -> $const$z \Y:\Y $mul$macc_xilinx_xmap.v:8$27 -> $mul$macc_xilinx_test.v:5$2 \A:\A \B:\B \Y:\Y new cell: $extract$\DSP48_MACC$35 Match #1: (needle_DSP48_MACC in haystack_test1) $add$macc_xilinx_xmap.v:8$28 -> $add$macc_xilinx_test.v:5$5 \A:\B \B:\A \Y:\Y $const$0 -> $const$0 \Y:\Y $const$1 -> $const$1 \Y:\Y $const$x -> $const$x \Y:\Y $const$z -> $const$z \Y:\Y $mul$macc_xilinx_xmap.v:8$27 -> $mul$macc_xilinx_test.v:5$4 \A:\A \B:\B \Y:\Y new cell: $extract$\DSP48_MACC$36 Match #2: (needle_DSP48_MACC in haystack_test2) $add$macc_xilinx_xmap.v:8$28 -> $add$macc_xilinx_test.v:12$9 \A:\A \B:\B \Y:\Y $const$0 -> $const$0 \Y:\Y $const$1 -> $const$1 \Y:\Y $const$x -> $const$x \Y:\Y $const$z -> $const$z \Y:\Y $mul$macc_xilinx_xmap.v:8$27 -> $mul$macc_xilinx_test.v:12$7 \A:\A \B:\B \Y:\Y new cell: $extract$\DSP48_MACC$37 Removed 0 unused cells and 6 unused wires. 18. Generating Graphviz representation of design. Writing dot description to `macc_xilinx_test1d.dot'. Dumping module test1 to page 1. Exec: dot -Tpdf 'macc_xilinx_test1d.dot' > 'macc_xilinx_test1d.pdf.new' && mv 'macc_xilinx_test1d.pdf.new' 'macc_xilinx_test1d.pdf' 19. Generating Graphviz representation of design. Writing dot description to `macc_xilinx_test2d.dot'. Dumping module test2 to page 1. Exec: dot -Tpdf 'macc_xilinx_test2d.dot' > 'macc_xilinx_test2d.pdf.new' && mv 'macc_xilinx_test2d.pdf.new' 'macc_xilinx_test2d.pdf' 20. Executing TECHMAP pass (map to technology primitives). 20.1. Executing Verilog-2005 frontend: macc_xilinx_unwrap_map.v Parsing Verilog input from `macc_xilinx_unwrap_map.v' to AST representation. Generating RTLIL representation for module `\$__mul_wrapper'. Generating RTLIL representation for module `\$__add_wrapper'. Successfully finished Verilog frontend. 20.2. Continuing TECHMAP pass. Using template $paramod\$__mul_wrapper\A_SIGNED=0\B_SIGNED=0\A_WIDTH=16\B_WIDTH=16\Y_WIDTH=42 for cells of type $__mul_wrapper. Using template $paramod\$__add_wrapper\A_SIGNED=0\B_SIGNED=0\A_WIDTH=42\B_WIDTH=42\Y_WIDTH=42 for cells of type $__add_wrapper. No more expansions possible. Removed 0 unused cells and 14 unused wires. 21. Generating Graphviz representation of design. Writing dot description to `macc_xilinx_test1e.dot'. Dumping module test1 to page 1. Exec: dot -Tpdf 'macc_xilinx_test1e.dot' > 'macc_xilinx_test1e.pdf.new' && mv 'macc_xilinx_test1e.pdf.new' 'macc_xilinx_test1e.pdf' 22. Generating Graphviz representation of design. Writing dot description to `macc_xilinx_test2e.dot'. Dumping module test2 to page 1. Exec: dot -Tpdf 'macc_xilinx_test2e.dot' > 'macc_xilinx_test2e.pdf.new' && mv 'macc_xilinx_test2e.pdf.new' 'macc_xilinx_test2e.pdf' 23. Generating Graphviz representation of design. Writing dot description to `macc_xilinx_xmap.dot'. Dumping module DSP48_MACC to page 1. Exec: dot -Tpdf 'macc_xilinx_xmap.dot' > 'macc_xilinx_xmap.pdf.new' && mv 'macc_xilinx_xmap.pdf.new' 'macc_xilinx_xmap.pdf' End of script. Logfile hash: f74c6fae71 CPU: user 0.12s system 0.01s, MEM: 16.97 MB total, 10.88 MB resident Yosys 0.9 (git sha1 UNKNOWN, gcc 11.0.0 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) Time spent: 43% 12x clean (0 sec), 19% 5x techmap (0 sec), ... make[1]: Leaving directory '/builddir/build/BUILD/yosys-yosys-0.9/manual/PRESENTATION_ExAdv' + make -C PRESENTATION_ExOth make[1]: warning: jobserver unavailable: using -j1. Add '+' to parent make rule. make[1]: Entering directory '/builddir/build/BUILD/yosys-yosys-0.9/manual/PRESENTATION_ExOth' ../../yosys scrambler.ys /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2019 Clifford Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.9 (git sha1 UNKNOWN, gcc 11.0.0 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) -- Executing script file `scrambler.ys' -- 1. Executing Verilog-2005 frontend: scrambler.v Parsing Verilog input from `scrambler.v' to AST representation. Generating RTLIL representation for module `\scrambler'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 3. Executing PROC pass (convert processes to netlists). 3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Marked 1 switch rules as full_case in process $proc$scrambler.v:6$1 in module scrambler. Removed a total of 0 dead cases. 3.3. Executing PROC_INIT pass (extract init attributes). 3.4. Executing PROC_ARST pass (detect async resets in processes). 3.5. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\scrambler.$proc$scrambler.v:6$1'. 1/3: $1\xs[31:0] 2/3: $0\out_bit[0:0] 3/3: $0\xs[31:0] 3.6. Executing PROC_DLATCH pass (convert process syncs to latches). 3.7. Executing PROC_DFF pass (convert process syncs to FFs). Creating register for signal `\scrambler.\xs' using process `\scrambler.$proc$scrambler.v:6$1'. created $dff cell `$procdff$12' with positive edge clock. Creating register for signal `\scrambler.\out_bit' using process `\scrambler.$proc$scrambler.v:6$1'. created $dff cell `$procdff$13' with positive edge clock. 3.8. Executing PROC_CLEAN pass (remove empty switches from decision trees). Found and cleaned up 1 empty switch in `\scrambler.$proc$scrambler.v:6$1'. Removing empty process `scrambler.$proc$scrambler.v:6$1'. Cleaned up 1 empty switch. Removed 0 unused cells and 4 unused wires. 4. Executing SUBMOD pass (moving cells to submodules as requested). 4.1. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \scrambler.. 4.2. Continuing SUBMOD pass. Creating submodule xorshift32 (\xorshift32) of module \scrambler. signal $shl$scrambler.v:11$6_Y: internal signal $shl$scrambler.v:9$2_Y: internal signal $0\xs[31:0]: output \n1 signal $1\xs[31:0]: input \n2 signal $xor$scrambler.v:9$3_Y: internal signal $shr$scrambler.v:10$4_Y: internal signal $xor$scrambler.v:10$5_Y: internal cell $shl$scrambler.v:9$2 ($shl) cell $xor$scrambler.v:9$3 ($xor) cell $shr$scrambler.v:10$4 ($shr) cell $xor$scrambler.v:10$5 ($xor) cell $shl$scrambler.v:11$6 ($shl) cell $xor$scrambler.v:11$7 ($xor) 5. Generating Graphviz representation of design. Writing dot description to `scrambler_p01.dot'. Dumping module scrambler to page 1. Exec: dot -Tpdf 'scrambler_p01.dot' > 'scrambler_p01.pdf.new' && mv 'scrambler_p01.pdf.new' 'scrambler_p01.pdf' 6. Generating Graphviz representation of design. Writing dot description to `scrambler_p02.dot'. Dumping module xorshift32 to page 1. Exec: dot -Tpdf 'scrambler_p02.dot' > 'scrambler_p02.pdf.new' && mv 'scrambler_p02.pdf.new' 'scrambler_p02.pdf' echo on yosys> cd xorshift32 yosys [xorshift32]> rename n2 in Renaming wire n2 to in in module xorshift32. yosys [xorshift32]> rename n1 out Renaming wire n1 to out in module xorshift32. yosys [xorshift32]> eval -set in 1 -show out 7. Executing EVAL pass (evaluate the circuit given an input). Eval result: \out = 270369. yosys [xorshift32]> eval -set in 270369 -show out 8. Executing EVAL pass (evaluate the circuit given an input). Eval result: \out = 67634689. yosys [xorshift32]> sat -set out 632435482 9. Executing SAT pass (solving SAT problems in the circuit). Setting up SAT problem: Import set-constraint: \out = 632435482 Final constraint equation: \out = 632435482 Imported 6 cells to SAT database. Solving problem with 1119 variables and 2905 clauses.. SAT solving finished - model found: Signal Name Dec Hex Bin --------------- ----------- --------- ----------------------------------- \in 745495504 2c6f5bd0 00101100011011110101101111010000 \out 632435482 25b2331a 00100101101100100011001100011010 End of script. Logfile hash: d4f0cd2a20 CPU: user 0.03s system 0.00s, MEM: 17.05 MB total, 11.32 MB resident Yosys 0.9 (git sha1 UNKNOWN, gcc 11.0.0 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) Time spent: 33% 1x sat (0 sec), 13% 1x clean (0 sec), ... ../../yosys -l equiv.log_new equiv.ys /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2019 Clifford Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.9 (git sha1 UNKNOWN, gcc 11.0.0 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) -- Executing script file `equiv.ys' -- 1. Executing Verilog-2005 frontend: ../PRESENTATION_ExSyn/techmap_01.v Parsing Verilog input from `../PRESENTATION_ExSyn/techmap_01.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 2.1. Analyzing design hierarchy.. Top module: \test 2.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. Renaming module \test to \test_mapped. 3. Executing TECHMAP pass (map to technology primitives). 3.1. Executing Verilog-2005 frontend: ../PRESENTATION_ExSyn/techmap_01_map.v Parsing Verilog input from `../PRESENTATION_ExSyn/techmap_01_map.v' to AST representation. Generating RTLIL representation for module `\$add'. Successfully finished Verilog frontend. 3.2. Continuing TECHMAP pass. Using template $paramod\$add\A_SIGNED=0\B_SIGNED=0\A_WIDTH=32\B_WIDTH=32\Y_WIDTH=32 for cells of type $add. No more expansions possible. 4. Executing MITER pass (creating miter circuit). Creating miter cell "miter" with gold cell "test_orig" and gate cell "test_mapped". 5. Executing FLATTEN pass (flatten design). Using template test_orig for cells of type test_orig. Using template test_mapped for cells of type test_mapped. No more expansions possible. 6. Executing SAT pass (solving SAT problems in the circuit). Setting up SAT problem: Final constraint equation: { } = { } Imported 7 cells to SAT database. Import proof for assert: $auto$miter.cc:211:create_miter_equiv$6 when 1'1. Import show expression: \in_b Import show expression: \in_a Import show expression: \trigger Import show expression: \gate_y Import show expression: \gold_y Solving problem with 945 variables and 2505 clauses.. SAT proof finished - no model found: SUCCESS! /$$$$$$ /$$$$$$$$ /$$$$$$$ /$$__ $$ | $$_____/ | $$__ $$ | $$ \ $$ | $$ | $$ \ $$ | $$ | $$ | $$$$$ | $$ | $$ | $$ | $$ | $$__/ | $$ | $$ | $$/$$ $$ | $$ | $$ | $$ | $$$$$$/ /$$| $$$$$$$$ /$$| $$$$$$$//$$ \____ $$$|__/|________/|__/|_______/|__/ \__/ End of script. Logfile hash: a981646901 CPU: user 0.02s system 0.00s, MEM: 17.20 MB total, 10.89 MB resident Yosys 0.9 (git sha1 UNKNOWN, gcc 11.0.0 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) Time spent: 66% 1x sat (0 sec), 19% 1x techmap (0 sec), ... mv equiv.log_new equiv.log ../../yosys -l axis_test.log_new axis_test.ys /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2019 Clifford Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.9 (git sha1 UNKNOWN, gcc 11.0.0 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) -- Executing script file `axis_test.ys' -- 1. Executing Verilog-2005 frontend: axis_master.v Parsing SystemVerilog input from `axis_master.v' to AST representation. Generating RTLIL representation for module `\axis_master'. Successfully finished Verilog frontend. 2. Executing Verilog-2005 frontend: axis_test.v Parsing SystemVerilog input from `axis_test.v' to AST representation. Generating RTLIL representation for module `\axis_test'. Successfully finished Verilog frontend. 3. Executing HIERARCHY pass (managing design hierarchy). 3.1. Analyzing design hierarchy.. Top module: \axis_test Used module: \axis_master 3.2. Analyzing design hierarchy.. Top module: \axis_test Used module: \axis_master Removed 0 unused modules. Module axis_test directly or indirectly contains formal properties -> setting "keep" attribute. Mapping positional arguments of cell axis_test.uut (axis_master). 4. Executing PROC pass (convert processes to netlists). 4.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 4.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Marked 2 switch rules as full_case in process $proc$axis_master.v:7$1 in module axis_master. Removed a total of 0 dead cases. 4.3. Executing PROC_INIT pass (extract init attributes). Found init rule in `\axis_test.$proc$axis_test.v:22$98'. Set init value: $formal$axis_test.v:22$23_EN = 1'0 Found init rule in `\axis_test.$proc$axis_test.v:21$96'. Set init value: $formal$axis_test.v:21$22_EN = 1'0 Found init rule in `\axis_test.$proc$axis_test.v:20$94'. Set init value: $formal$axis_test.v:20$21_EN = 1'0 Found init rule in `\axis_test.$proc$axis_test.v:19$92'. Set init value: $formal$axis_test.v:19$20_EN = 1'0 Found init rule in `\axis_test.$proc$axis_test.v:18$90'. Set init value: $formal$axis_test.v:18$19_EN = 1'0 Found init rule in `\axis_test.$proc$axis_test.v:17$88'. Set init value: $formal$axis_test.v:17$18_EN = 1'0 Found init rule in `\axis_test.$proc$axis_test.v:16$86'. Set init value: $formal$axis_test.v:16$17_EN = 1'0 Found init rule in `\axis_test.$proc$axis_test.v:15$84'. Set init value: $formal$axis_test.v:15$16_EN = 1'0 Found init rule in `\axis_test.$proc$axis_test.v:14$82'. Set init value: $formal$axis_test.v:14$15_EN = 1'0 Found init rule in `\axis_test.$proc$axis_test.v:13$80'. Set init value: $formal$axis_test.v:13$14_EN = 1'0 Found init rule in `\axis_test.$proc$axis_test.v:7$79'. Set init value: \aresetn = 1'0 Found init rule in `\axis_test.$proc$axis_test.v:6$78'. Set init value: \counter = 0 4.4. Executing PROC_ARST pass (detect async resets in processes). 4.5. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\axis_test.$proc$axis_test.v:22$98'. 1/1: $0$formal$axis_test.v:22$23_EN[0:0]$99 Creating decoders for process `\axis_test.$proc$axis_test.v:21$96'. 1/1: $0$formal$axis_test.v:21$22_EN[0:0]$97 Creating decoders for process `\axis_test.$proc$axis_test.v:20$94'. 1/1: $0$formal$axis_test.v:20$21_EN[0:0]$95 Creating decoders for process `\axis_test.$proc$axis_test.v:19$92'. 1/1: $0$formal$axis_test.v:19$20_EN[0:0]$93 Creating decoders for process `\axis_test.$proc$axis_test.v:18$90'. 1/1: $0$formal$axis_test.v:18$19_EN[0:0]$91 Creating decoders for process `\axis_test.$proc$axis_test.v:17$88'. 1/1: $0$formal$axis_test.v:17$18_EN[0:0]$89 Creating decoders for process `\axis_test.$proc$axis_test.v:16$86'. 1/1: $0$formal$axis_test.v:16$17_EN[0:0]$87 Creating decoders for process `\axis_test.$proc$axis_test.v:15$84'. 1/1: $0$formal$axis_test.v:15$16_EN[0:0]$85 Creating decoders for process `\axis_test.$proc$axis_test.v:14$82'. 1/1: $0$formal$axis_test.v:14$15_EN[0:0]$83 Creating decoders for process `\axis_test.$proc$axis_test.v:13$80'. 1/1: $0$formal$axis_test.v:13$14_EN[0:0]$81 Creating decoders for process `\axis_test.$proc$axis_test.v:7$79'. 1/1: $1\aresetn[0:0] Creating decoders for process `\axis_test.$proc$axis_test.v:6$78'. 1/1: $1\counter[31:0] Creating decoders for process `\axis_test.$proc$axis_test.v:11$24'. 1/22: $0\aresetn[0:0] 2/22: $0$formal$axis_test.v:13$14_EN[0:0]$27 3/22: $0$formal$axis_test.v:13$14_CHECK[0:0]$26 4/22: $0$formal$axis_test.v:14$15_EN[0:0]$28 5/22: $0$formal$axis_test.v:14$15_CHECK[0:0]$32 6/22: $0$formal$axis_test.v:15$16_EN[0:0]$30 7/22: $0$formal$axis_test.v:15$16_CHECK[0:0]$29 8/22: $0$formal$axis_test.v:16$17_EN[0:0]$25 9/22: $0$formal$axis_test.v:16$17_CHECK[0:0]$31 10/22: $0$formal$axis_test.v:17$18_EN[0:0]$34 11/22: $0$formal$axis_test.v:17$18_CHECK[0:0]$33 12/22: $0$formal$axis_test.v:18$19_EN[0:0]$36 13/22: $0$formal$axis_test.v:18$19_CHECK[0:0]$35 14/22: $0$formal$axis_test.v:19$20_EN[0:0]$38 15/22: $0$formal$axis_test.v:19$20_CHECK[0:0]$37 16/22: $0$formal$axis_test.v:20$21_EN[0:0]$40 17/22: $0$formal$axis_test.v:20$21_CHECK[0:0]$39 18/22: $0$formal$axis_test.v:21$22_EN[0:0]$42 19/22: $0$formal$axis_test.v:21$22_CHECK[0:0]$41 20/22: $0$formal$axis_test.v:22$23_EN[0:0]$44 21/22: $0$formal$axis_test.v:22$23_CHECK[0:0]$43 22/22: $0\counter[31:0] Creating decoders for process `\axis_master.$proc$axis_master.v:7$1'. 1/5: $2\state[31:0] 2/5: $1\state[31:0] 3/5: $0\state[31:0] 4/5: $0\tvalid[0:0] 5/5: $0\tdata[7:0] 4.6. Executing PROC_DLATCH pass (convert process syncs to latches). 4.7. Executing PROC_DFF pass (convert process syncs to FFs). Creating register for signal `\axis_test.\aresetn' using process `\axis_test.$proc$axis_test.v:11$24'. created $dff cell `$procdff$209' with positive edge clock. Creating register for signal `\axis_test.$formal$axis_test.v:16$17_EN' using process `\axis_test.$proc$axis_test.v:11$24'. created $dff cell `$procdff$210' with positive edge clock. Creating register for signal `\axis_test.\counter' using process `\axis_test.$proc$axis_test.v:11$24'. created $dff cell `$procdff$211' with positive edge clock. Creating register for signal `\axis_test.$formal$axis_test.v:13$14_CHECK' using process `\axis_test.$proc$axis_test.v:11$24'. created $dff cell `$procdff$212' with positive edge clock. Creating register for signal `\axis_test.$formal$axis_test.v:13$14_EN' using process `\axis_test.$proc$axis_test.v:11$24'. created $dff cell `$procdff$213' with positive edge clock. Creating register for signal `\axis_test.$formal$axis_test.v:14$15_EN' using process `\axis_test.$proc$axis_test.v:11$24'. created $dff cell `$procdff$214' with positive edge clock. Creating register for signal `\axis_test.$formal$axis_test.v:15$16_CHECK' using process `\axis_test.$proc$axis_test.v:11$24'. created $dff cell `$procdff$215' with positive edge clock. Creating register for signal `\axis_test.$formal$axis_test.v:15$16_EN' using process `\axis_test.$proc$axis_test.v:11$24'. created $dff cell `$procdff$216' with positive edge clock. Creating register for signal `\axis_test.$formal$axis_test.v:16$17_CHECK' using process `\axis_test.$proc$axis_test.v:11$24'. created $dff cell `$procdff$217' with positive edge clock. Creating register for signal `\axis_test.$formal$axis_test.v:14$15_CHECK' using process `\axis_test.$proc$axis_test.v:11$24'. created $dff cell `$procdff$218' with positive edge clock. Creating register for signal `\axis_test.$formal$axis_test.v:17$18_CHECK' using process `\axis_test.$proc$axis_test.v:11$24'. created $dff cell `$procdff$219' with positive edge clock. Creating register for signal `\axis_test.$formal$axis_test.v:17$18_EN' using process `\axis_test.$proc$axis_test.v:11$24'. created $dff cell `$procdff$220' with positive edge clock. Creating register for signal `\axis_test.$formal$axis_test.v:18$19_CHECK' using process `\axis_test.$proc$axis_test.v:11$24'. created $dff cell `$procdff$221' with positive edge clock. Creating register for signal `\axis_test.$formal$axis_test.v:18$19_EN' using process `\axis_test.$proc$axis_test.v:11$24'. created $dff cell `$procdff$222' with positive edge clock. Creating register for signal `\axis_test.$formal$axis_test.v:19$20_CHECK' using process `\axis_test.$proc$axis_test.v:11$24'. created $dff cell `$procdff$223' with positive edge clock. Creating register for signal `\axis_test.$formal$axis_test.v:19$20_EN' using process `\axis_test.$proc$axis_test.v:11$24'. created $dff cell `$procdff$224' with positive edge clock. Creating register for signal `\axis_test.$formal$axis_test.v:20$21_CHECK' using process `\axis_test.$proc$axis_test.v:11$24'. created $dff cell `$procdff$225' with positive edge clock. Creating register for signal `\axis_test.$formal$axis_test.v:20$21_EN' using process `\axis_test.$proc$axis_test.v:11$24'. created $dff cell `$procdff$226' with positive edge clock. Creating register for signal `\axis_test.$formal$axis_test.v:21$22_CHECK' using process `\axis_test.$proc$axis_test.v:11$24'. created $dff cell `$procdff$227' with positive edge clock. Creating register for signal `\axis_test.$formal$axis_test.v:21$22_EN' using process `\axis_test.$proc$axis_test.v:11$24'. created $dff cell `$procdff$228' with positive edge clock. Creating register for signal `\axis_test.$formal$axis_test.v:22$23_CHECK' using process `\axis_test.$proc$axis_test.v:11$24'. created $dff cell `$procdff$229' with positive edge clock. Creating register for signal `\axis_test.$formal$axis_test.v:22$23_EN' using process `\axis_test.$proc$axis_test.v:11$24'. created $dff cell `$procdff$230' with positive edge clock. Creating register for signal `\axis_master.\tdata' using process `\axis_master.$proc$axis_master.v:7$1'. created $dff cell `$procdff$231' with positive edge clock. Creating register for signal `\axis_master.\state' using process `\axis_master.$proc$axis_master.v:7$1'. created $dff cell `$procdff$232' with positive edge clock. Creating register for signal `\axis_master.\tvalid' using process `\axis_master.$proc$axis_master.v:7$1'. created $dff cell `$procdff$233' with positive edge clock. 4.8. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `axis_test.$proc$axis_test.v:22$98'. Removing empty process `axis_test.$proc$axis_test.v:21$96'. Removing empty process `axis_test.$proc$axis_test.v:20$94'. Removing empty process `axis_test.$proc$axis_test.v:19$92'. Removing empty process `axis_test.$proc$axis_test.v:18$90'. Removing empty process `axis_test.$proc$axis_test.v:17$88'. Removing empty process `axis_test.$proc$axis_test.v:16$86'. Removing empty process `axis_test.$proc$axis_test.v:15$84'. Removing empty process `axis_test.$proc$axis_test.v:14$82'. Removing empty process `axis_test.$proc$axis_test.v:13$80'. Removing empty process `axis_test.$proc$axis_test.v:7$79'. Removing empty process `axis_test.$proc$axis_test.v:6$78'. Found and cleaned up 11 empty switches in `\axis_test.$proc$axis_test.v:11$24'. Removing empty process `axis_test.$proc$axis_test.v:11$24'. Found and cleaned up 4 empty switches in `\axis_master.$proc$axis_master.v:7$1'. Removing empty process `axis_master.$proc$axis_master.v:7$1'. Cleaned up 15 empty switches. 5. Executing FLATTEN pass (flatten design). Using template axis_master for cells of type axis_master. No more expansions possible. Deleting now unused module axis_master. Removed 0 unused cells and 90 unused wires. 6. Executing SAT pass (solving SAT problems in the circuit). Setting up time step 1: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import set-constraint from init attribute: $formal$axis_test.v:13$14_EN = 1'0 Import set-constraint from init attribute: $formal$axis_test.v:14$15_EN = 1'0 Import set-constraint from init attribute: $formal$axis_test.v:15$16_EN = 1'0 Import set-constraint from init attribute: $formal$axis_test.v:16$17_EN = 1'0 Import set-constraint from init attribute: $formal$axis_test.v:17$18_EN = 1'0 Import set-constraint from init attribute: $formal$axis_test.v:18$19_EN = 1'0 Import set-constraint from init attribute: $formal$axis_test.v:19$20_EN = 1'0 Import set-constraint from init attribute: $formal$axis_test.v:20$21_EN = 1'0 Import set-constraint from init attribute: $formal$axis_test.v:21$22_EN = 1'0 Import set-constraint from init attribute: $formal$axis_test.v:22$23_EN = 1'0 Import set-constraint from init attribute: \aresetn = 1'0 Import set-constraint from init attribute: \counter = 0 Final init constraint equation: { \counter \aresetn $formal$axis_test.v:22$23_EN $formal$axis_test.v:21$22_EN $formal$axis_test.v:20$21_EN $formal$axis_test.v:19$20_EN $formal$axis_test.v:18$19_EN $formal$axis_test.v:17$18_EN $formal$axis_test.v:16$17_EN $formal$axis_test.v:15$16_EN $formal$axis_test.v:14$15_EN $formal$axis_test.v:13$14_EN } = 43'0000000000000000000000000000000000000000000 Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 2: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 3: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 4: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 5: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 6: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 7: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 8: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 9: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 10: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 11: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 12: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 13: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 14: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 15: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 16: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 17: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 18: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 19: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 20: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 21: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 22: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 23: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 24: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 25: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 26: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 27: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 28: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 29: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 30: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 31: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 32: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 33: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 34: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 35: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 36: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 37: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 38: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 39: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 40: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 41: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 42: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 43: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 44: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 45: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 46: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 47: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 48: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 49: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 50: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Solving problem with 159344 variables and 442126 clauses.. SAT proof finished - model found: FAIL! ______ ___ ___ _ _ _ _ (_____ \ / __) / __) (_) | | | | _____) )___ ___ ___ _| |__ _| |__ _____ _| | _____ __| | | | ____/ ___) _ \ / _ (_ __) (_ __|____ | | || ___ |/ _ |_| | | | | | |_| | |_| || | | | / ___ | | || ____( (_| |_ |_| |_| \___/ \___/ |_| |_| \_____|_|\_)_____)\____|_| Time Signal Name Dec Hex Bin ---- ------------------------------------ ----------- --------- ----------------------------------- init $formal$axis_test.v:13$14_CHECK 0 0 0 init $formal$axis_test.v:13$14_EN 0 0 0 init $formal$axis_test.v:14$15_CHECK 0 0 0 init $formal$axis_test.v:14$15_EN 0 0 0 init $formal$axis_test.v:15$16_CHECK 0 0 0 init $formal$axis_test.v:15$16_EN 0 0 0 init $formal$axis_test.v:16$17_CHECK 0 0 0 init $formal$axis_test.v:16$17_EN 0 0 0 init $formal$axis_test.v:17$18_CHECK 0 0 0 init $formal$axis_test.v:17$18_EN 0 0 0 init $formal$axis_test.v:18$19_CHECK 0 0 0 init $formal$axis_test.v:18$19_EN 0 0 0 init $formal$axis_test.v:19$20_CHECK 0 0 0 init $formal$axis_test.v:19$20_EN 0 0 0 init $formal$axis_test.v:20$21_CHECK 0 0 0 init $formal$axis_test.v:20$21_EN 0 0 0 init $formal$axis_test.v:21$22_CHECK 0 0 0 init $formal$axis_test.v:21$22_EN 0 0 0 init $formal$axis_test.v:22$23_CHECK 0 0 0 init $formal$axis_test.v:22$23_EN 0 0 0 init \aresetn 0 0 0 init \counter 0 0 00000000000000000000000000000000 init \uut.state 0 0 00000000000000000000000000000000 init \uut.tdata 80 50 01010000 init \uut.tvalid 1 1 1 End of script. Logfile hash: f85fee5d76 CPU: user 1.36s system 0.09s, MEM: 120.80 MB total, 113.66 MB resident Yosys 0.9 (git sha1 UNKNOWN, gcc 11.0.0 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) Time spent: 97% 1x sat (1 sec), 0% 1x clean (0 sec), ... mv axis_test.log_new axis_test.log make[1]: Leaving directory '/builddir/build/BUILD/yosys-yosys-0.9/manual/PRESENTATION_ExOth' + make -C PRESENTATION_Prog make[1]: warning: jobserver unavailable: using -j1. Add '+' to parent make rule. make[1]: Entering directory '/builddir/build/BUILD/yosys-yosys-0.9/manual/PRESENTATION_Prog' ../../yosys-config --exec --cxx --cxxflags -I../.. --ldflags -o my_cmd.so -shared my_cmd.cc --ldlibs my_cmd.cc: In member function 'virtual void {anonymous}::MyPass::execute(std::vector >, Yosys::RTLIL::Design*)': my_cmd.cc:17:26: warning: format '%zd' expects argument of type 'signed size_t', but argument 3 has type 'int' [-Wformat=] 17 | log(" %s (%zd wires, %zd cells)\n", log_id(mod), | ~~^ | | | long int | %d 18 | GetSize(mod->wires()), GetSize(mod->cells())); | ~~~~~~~~~~~~~~~~~~~~~ | | | int my_cmd.cc:17:37: warning: format '%zd' expects argument of type 'signed size_t', but argument 4 has type 'int' [-Wformat=] 17 | log(" %s (%zd wires, %zd cells)\n", log_id(mod), | ~~^ | | | long int | %d 18 | GetSize(mod->wires()), GetSize(mod->cells())); | ~~~~~~~~~~~~~~~~~~~~~ | | | int ../../yosys -Ql test0.log_new -m ./my_cmd.so -p 'my_cmd foo bar' absval_ref.v -- Parsing `absval_ref.v' using frontend `verilog' -- 1. Executing Verilog-2005 frontend: absval_ref.v Parsing Verilog input from `absval_ref.v' to AST representation. Generating RTLIL representation for module `\absval_ref'. Successfully finished Verilog frontend. -- Running command `my_cmd foo bar' -- Arguments to my_cmd: my_cmd foo bar Modules in current design: absval_ref (4 wires, 2 cells) End of script. Logfile hash: be47de2266 CPU: user 0.00s system 0.00s, MEM: 16.14 MB total, 10.00 MB resident Yosys 0.9 (git sha1 UNKNOWN, gcc 11.0.0 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) Time spent: 96% 1x read_verilog (0 sec), 3% 1x my_cmd (0 sec) mv test0.log_new test0.log ../../yosys -Ql test1.log_new -m ./my_cmd.so -p 'clean; test1; dump' absval_ref.v -- Parsing `absval_ref.v' using frontend `verilog' -- 1. Executing Verilog-2005 frontend: absval_ref.v Parsing Verilog input from `absval_ref.v' to AST representation. Generating RTLIL representation for module `\absval_ref'. Successfully finished Verilog frontend. -- Running command `clean; test1; dump' -- Removed 0 unused cells and 1 unused wires. Name of this module: absval autoidx 6 module \absval wire width 4 $auto$my_cmd.cc:41:execute$3 wire width 4 output 2 \y wire width 4 input 1 \a cell $mux $auto$my_cmd.cc:43:execute$5 parameter \WIDTH 4 connect \Y \y connect \S \a [3] connect \B $auto$my_cmd.cc:41:execute$3 connect \A \a end cell $neg $auto$my_cmd.cc:42:execute$4 parameter \Y_WIDTH 4 parameter \A_WIDTH 4 parameter \A_SIGNED 1 connect \Y $auto$my_cmd.cc:41:execute$3 connect \A \a end end attribute \cells_not_processed 1 attribute \src "absval_ref.v:1" module \absval_ref attribute \src "absval_ref.v:2" wire width 4 $neg$absval_ref.v:2$1_Y attribute \src "absval_ref.v:1" wire width 4 input 1 \a attribute \src "absval_ref.v:1" wire width 4 output 2 \y attribute \src "absval_ref.v:2" cell $neg $neg$absval_ref.v:2$1 parameter \A_SIGNED 1 parameter \A_WIDTH 4 parameter \Y_WIDTH 4 connect \A \a connect \Y $neg$absval_ref.v:2$1_Y end attribute \src "absval_ref.v:2" cell $mux $ternary$absval_ref.v:2$2 parameter \WIDTH 4 connect \A \a connect \B $neg$absval_ref.v:2$1_Y connect \S \a [3] connect \Y \y end end End of script. Logfile hash: 41d715e711 CPU: user 0.00s system 0.00s, MEM: 16.14 MB total, 9.97 MB resident Yosys 0.9 (git sha1 UNKNOWN, gcc 11.0.0 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) Time spent: 66% 1x clean (0 sec), 26% 1x read_verilog (0 sec), ... mv test1.log_new test1.log ../../yosys -Ql test2.log_new -m ./my_cmd.so -p 'test2' sigmap_test.v -- Parsing `sigmap_test.v' using frontend `verilog' -- 1. Executing Verilog-2005 frontend: sigmap_test.v Parsing Verilog input from `sigmap_test.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. -- Running command `test2' -- 0 0 0 1 1 1 Mapped signal x: \a 2. Doing important stuff! Log message #0. Log message #1. Log message #2. Log message #3. Log message #4. Log message #5. Log message #6. Log message #7. Log message #8. Log message #9. End of script. Logfile hash: c613caebe8 CPU: user 0.00s system 0.00s, MEM: 16.14 MB total, 10.33 MB resident Yosys 0.9 (git sha1 UNKNOWN, gcc 11.0.0 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) Time spent: 81% 1x read_verilog (0 sec), 18% 1x test2 (0 sec) mv test2.log_new test2.log make[1]: Leaving directory '/builddir/build/BUILD/yosys-yosys-0.9/manual/PRESENTATION_Prog' + set -ex + pdflatex -shell-escape -halt-on-error presentation.tex This is pdfTeX, Version 3.14159265-2.6-1.40.21 (TeX Live 2020) (preloaded format=pdflatex) \write18 enabled. entering extended mode (./presentation.tex LaTeX2e <2020-02-02> patch level 5 L3 programming layer <2020-04-06> (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamer.cls Document Class: beamer 2019/09/29 v3.57 A class for typesetting presentations (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasemodes.sty (/usr/share/texlive/texmf-dist/tex/latex/etoolbox/etoolbox.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasedecode.sty)) (/usr/share/texlive/texmf-dist/tex/generic/iftex/ifpdf.sty (/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty)) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseoptions.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/keyval.sty)) (/usr/share/texlive/texmf-dist/tex/latex/geometry/geometry.sty (/usr/share/texlive/texmf-dist/tex/generic/iftex/ifvtex.sty)) (/usr/share/texlive/texmf-dist/tex/latex/base/size11.clo) (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common-lists.t ex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def (/usr/share/texlive/texmf-dist/tex/latex/ms/everyshi.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeysfiltered.code.t ex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de f))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. tex)) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet ric.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari thmetics.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation s.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) ) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/xxcolor.sty) (/usr/share/texlive/texmf-dist/tex/generic/atbegshi/atbegshi.sty (/usr/share/texlive/texmf-dist/tex/generic/infwarerr/infwarerr.sty) (/usr/share/texlive/texmf-dist/tex/generic/ltxcmds/ltxcmds.sty)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hyperref.sty (/usr/share/texlive/texmf-dist/tex/latex/pdftexcmds/pdftexcmds.sty) (/usr/share/texlive/texmf-dist/tex/generic/kvsetkeys/kvsetkeys.sty) (/usr/share/texlive/texmf-dist/tex/generic/kvdefinekeys/kvdefinekeys.sty) (/usr/share/texlive/texmf-dist/tex/generic/pdfescape/pdfescape.sty) (/usr/share/texlive/texmf-dist/tex/latex/hycolor/hycolor.sty) (/usr/share/texlive/texmf-dist/tex/latex/letltxmacro/letltxmacro.sty) (/usr/share/texlive/texmf-dist/tex/latex/auxhook/auxhook.sty) (/usr/share/texlive/texmf-dist/tex/latex/kvoptions/kvoptions.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/pd1enc.def) (/usr/share/texlive/texmf-dist/tex/generic/intcalc/intcalc.sty) (/usr/share/texlive/texmf-dist/tex/generic/etexcmds/etexcmds.sty) (/usr/share/texlive/texmf-dist/tex/latex/url/url.sty) (/usr/share/texlive/texmf-dist/tex/generic/bitset/bitset.sty (/usr/share/texlive/texmf-dist/tex/generic/bigintcalc/bigintcalc.sty)) Package hyperref Message: Stopped early. ) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hpdftex.def (/usr/share/texlive/texmf-dist/tex/latex/atveryend/atveryend.sty) (/usr/share/texlive/texmf-dist/tex/latex/rerunfilecheck/rerunfilecheck.sty (/usr/share/texlive/texmf-dist/tex/generic/uniquecounter/uniquecounter.sty))) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaserequires.sty (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasecompatibility.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasefont.sty (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amssymb.sty (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amsfonts.sty)) (/usr/share/texlive/texmf-dist/tex/latex/sansmathaccent/sansmathaccent.sty (/usr/share/texlive/texmf-dist/tex/latex/koma-script/scrlfile.sty))) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasetranslator.sty (/usr/share/texlive/texmf-dist/tex/latex/translator/translator.sty)) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasemisc.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasetwoscreens.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseoverlay.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasetitle.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasesection.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseframe.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseverbatim.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseframesize.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseframecomponents.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasecolor.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasenotes.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasetoc.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasetemplates.sty (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseauxtemplates.sty (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseboxes.sty))) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaselocalstructure.sty (/usr/share/texlive/texmf-dist/tex/latex/tools/enumerate.sty)) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasenavigation.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasetheorems.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty For additional information on amsmath, use the `?' option. (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amscls/amsthm.sty)) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasethemes.sty)) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerthemedefault.sty (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerfontthemedefault.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamercolorthemedefault.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerinnerthemedefault.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerouterthemedefault.sty))) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty) (/usr/share/texlive/texmf-dist/tex/latex/lm/lmodern.sty) (/usr/share/texlive/texmf-dist/tex/latex/libertine/libertine.sty (/usr/share/texlive/texmf-dist/tex/generic/iftex/ifxetex.sty) (/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty) (/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex))) (/usr/share/texlive/texmf-dist/tex/latex/base/textcomp.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty (/usr/share/texlive/texmf-dist/tex/latex/lm/t1lmss.fd)) (/usr/share/texlive/texmf-dist/tex/latex/fontaxes/fontaxes.sty) (/usr/share/texlive/texmf-dist/tex/latex/libertine/LinLibertine_I.tex)) (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.sty (/usr/share/texlive/texmf-dist/tex/generic/babel/switch.def) (/usr/share/texlive/texmf-dist/tex/generic/babel-english/english.ldf (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.def (/usr/share/texlive/texmf-dist/tex/generic/babel/txtbabel.def)))) (/usr/share/texlive/texmf-dist/tex/latex/multirow/multirow.sty) (/usr/share/texlive/texmf-dist/tex/latex/booktabs/booktabs.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.sty (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/setspace/setspace.sty) (/usr/share/texlive/texmf-dist/tex/latex/skull/skull.sty) (/usr/share/texlive/texmf-dist/tex/latex/units/units.sty (/usr/share/texlive/texmf-dist/tex/latex/base/ifthen.sty) (/usr/share/texlive/texmf-dist/tex/latex/units/nicefrac.sty)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex ) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 .sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 .sty)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarytopaths.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarycalc.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryarrows.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryarrows.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryscopes.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarythrough.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryshapes.geometric.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape s.geometric.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerthemeMadrid.sty (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamercolorthemewhale.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamercolorthemeorchid.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerinnerthemerounded.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerouterthemeinfolines.sty)) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamercolorthemeseagull.sty) (/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdfmode.def) No file presentation.aux. (/usr/share/texlive/texmf-dist/tex/latex/libertine/T1LinuxBiolinumT-TLF.fd) *geometry* driver: auto-detecting *geometry* detected driver: pdftex (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii [Loading MPS to PDF converter (version 2006.09.02).] ) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) ABD: EveryShipout initializing macros (/usr/share/texlive/texmf-dist/tex/latex/hyperref/nameref.sty (/usr/share/texlive/texmf-dist/tex/latex/refcount/refcount.sty) (/usr/share/texlive/texmf-dist/tex/generic/gettitlestring/gettitlestring.sty)) (/usr/share/texlive/texmf-dist/tex/latex/translator/translator-basic-dictionary -English.dict) (/usr/share/texlive/texmf-dist/tex/latex/translator/translator-bibliography-dic tionary-English.dict) (/usr/share/texlive/texmf-dist/tex/latex/translator/translator-environment-dict ionary-English.dict) (/usr/share/texlive/texmf-dist/tex/latex/translator/translator-months-dictionar y-English.dict) (/usr/share/texlive/texmf-dist/tex/latex/translator/translator-numbers-dictiona ry-English.dict) (/usr/share/texlive/texmf-dist/tex/latex/translator/translator-theorem-dictiona ry-English.dict) No file presentation.nav. [1{/usr/share/texlive/texmf-dist/fonts/map/pdftex/updmap/pdftex.map}] [2] [3] [4] (./PRESENTATION_Intro.tex [5] [6] [7] [8] [9] [10] [11] [12] [13] (/usr/share/texlive/texmf-dist/tex/latex/libertine/OT1LinuxBiolinumT-TLF.fd) (/usr/share/texlive/texmf-dist/tex/latex/lm/omllmm.fd) (/usr/share/texlive/texmf-dist/tex/latex/lm/omslmsy.fd) (/usr/share/texlive/texmf-dist/tex/latex/lm/omxlmex.fd) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsa.fd) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsb.fd) (/usr/share/texlive/texmf-dist/tex/latex/libertine/T1LinuxLibertineMonoT-TLF.fd ) [14] [15] [16] [17] Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping to internal cell Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping flip-flops to Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 dfflibmap -liberty Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping logic to [18] Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping to internal cell Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping flip-flops to Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 dfflibmap -liberty Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping logic to [19] Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping to internal cell Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping flip-flops to Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 dfflibmap -liberty Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping logic to [20] Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping to internal cell Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping flip-flops to Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 dfflibmap -liberty Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping logic to [21] Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping to internal cell Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping flip-flops to Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 dfflibmap -liberty Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping logic to [22] Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping to internal cell Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping flip-flops to Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 dfflibmap -liberty Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping logic to [23] Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping to internal cell Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping flip-flops to Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 dfflibmap -liberty Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping logic to [24] Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping to internal cell Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping flip-flops to Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 dfflibmap -liberty Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping logic to [25] Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping to internal cell Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping flip-flops to Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 dfflibmap -liberty Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping logic to [26] Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping to internal cell Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping flip-flops to Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 dfflibmap -liberty Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping logic to [27] Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping to internal cell Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping flip-flops to Overfull \hbox (13.33607pt too wide) in paragraph at lines 368--368 [][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping logic to [28] Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping to internal cell Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping flip-flops to Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 dfflibmap -liberty Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping logic to [29] Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping to internal cell Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping flip-flops to Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 dfflibmap -liberty Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping logic to [30] Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping to internal cell Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping flip-flops to Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 dfflibmap -liberty Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping logic to [31] (./presentation.vrb (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang2.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang3.sty) (./PRESENTATION_Intro/counter.v)) [32] (./presentation.vrb (./PRESENTATION_Intro/mycells.lib Overfull \hbox (11.33778pt too wide) in paragraph at lines 4--5 [][][][][][][][][][][][][][][][][] Overfull \hbox (6.53773pt too wide) in paragraph at lines 5--6 [][][][][][][][][][][][][][][] Overfull \hbox (1.73769pt too wide) in paragraph at lines 6--7 [][][][][][][][][][][][] Overfull \hbox (11.33778pt too wide) in paragraph at lines 10--11 [][][][][][][][][][][][][][][][][] Overfull \hbox (6.53773pt too wide) in paragraph at lines 11--12 [][][][][][][][][][][][][][][] Overfull \hbox (6.53773pt too wide) in paragraph at lines 12--13 [][][][][][][][][][][][][] Overfull \hbox (11.33778pt too wide) in paragraph at lines 16--17 [][][][][][][][][][][][][][][][][] Overfull \hbox (11.33778pt too wide) in paragraph at lines 17--18 [][][][][][][][][][][][][][][][][] Overfull \hbox (6.53773pt too wide) in paragraph at lines 18--19 [][][][][][][][][][][][][][][] Overfull \hbox (20.93788pt too wide) in paragraph at lines 19--20 [][][][][][][][][][][][][][][][] ) (./PRESENTATION_Intro/mycells.lib consecutive: Overfull \hbox (11.33778pt too wide) in paragraph at lines 23--24 [][][][][][][][][][][][][][][][][] Overfull \hbox (11.33778pt too wide) in paragraph at lines 24--25 [][][][][][][][][][][][][][][][][] Overfull \hbox (6.53773pt too wide) in paragraph at lines 25--26 [][][][][][][][][][][][][][][] Overfull \hbox (20.93788pt too wide) in paragraph at lines 26--27 [][][][][][][][][][][][][][][][] Overfull \hbox (11.33778pt too wide) in paragraph at lines 30--31 [][][][][][][][][][][][][][][][][][] Overfull \hbox (20.93788pt too wide) in paragraph at lines 31--32 [][][][][][][][][][] Overfull \hbox (1.73769pt too wide) in paragraph at lines 32--33 [][][][][][][][][][][][][][][] Overfull \hbox (6.53773pt too wide) in paragraph at lines 33--34 [][][][][][][][][][] Overfull \hbox (11.33778pt too wide) in paragraph at lines 34--35 [][][][][][][][][][][][][][][][][] Overfull \hbox (6.53773pt too wide) in paragraph at lines 35--36 [][][][][][][][][][][][][][][] Overfull \hbox (6.53773pt too wide) in paragraph at lines 36--37 [][][][][][][][][][][][] )) [33] (./presentation.vrb) [34 <./PRESENTATION_Intro/counter_00.pdf>] (./presentation.vrb) [35 <./PRESENTATION_Intro/counter_01.pdf>] (./presentation.vrb) [36 <./PRESENTATION_Intro/counter_02.pdf>] (./presentation.vrb) [37 <./PRESENTATION_Intro/counter_03.pdf>] (./presentation.vrb Overfull \hbox (44.59058pt too wide) in paragraph at lines 9--11 [][][][][][][][][][][][][][] ) [38] (./presentation.vrb Overfull \hbox (12.5607pt too wide) in paragraph at lines 14--15 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][] Overfull \hbox (26.96085pt too wide) in paragraph at lines 16--17 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][] ) [39] (./presentation.vrb Overfull \hbox (22.1608pt too wide) in paragraph at lines 6--7 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][] Overfull \hbox (17.36075pt too wide) in paragraph at lines 13--14 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][] Overfull \hbox (65.36124pt too wide) in paragraph at lines 24--25 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (22.1608pt too wide) in paragraph at lines 25--26 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][] ) [40] (./presentation.vrb Overfull \hbox (55.76114pt too wide) in paragraph at lines 24--25 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][] ) [41] (./presentation.vrb) [42] (./presentation.vrb Overfull \hbox (22.1608pt too wide) in paragraph at lines 16--17 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] ) [43] (./presentation.vrb) Overfull \vbox (1.85118pt too high) detected at line 641 [44] [45] [46] (./presentation.vrb Overfull \hbox (74.96133pt too wide) in paragraph at lines 13--14 [][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (36.56094pt too wide) in paragraph at lines 14--15 [][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (46.16104pt too wide) in paragraph at lines 15--16 [][][][][][][][][][][][][][][][][][][][][][][] ) [47] [48] [49] (/usr/share/texlive/texmf-dist/tex/latex/libertine/T1LinuxLibertineT-TLF.fd) [50] [51] [52] [53] [54] [55] [56] [57] [58] [59] [60]) (./PRESENTATION_ExSyn.tex [61] [62] (./presentation.vrb) [63] (./presentation.vrb Overfull \hbox (70.33505pt too wide) in paragraph at lines 8--9 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [][][][][][][][][][][][][] Overfull \hbox (51.13486pt too wide) in paragraph at lines 12--13 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [][][][] Overfull \hbox (51.13486pt too wide) in paragraph at lines 13--14 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [][][][][][][][][][] ) [64] (./presentation.vrb Overfull \hbox (17.53452pt too wide) in paragraph at lines 20--21 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] ) [65] (./presentation.vrb (./PRESENTATION_ExSyn/proc_01.v Overfull \hbox (54.53822pt too wide) in paragraph at lines 1--2 [][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (20.93788pt too wide) in paragraph at lines 2--3 [][][][][][][][][][][][][][][][] ) (./PRESENTATION_ExSyn/proc_01.ys)) [66 <./PRESENTATION_ExSyn/proc_01.pdf>] (./presentation.vrb (./PRESENTATION_ExSyn/proc_02.v Overfull \hbox (1.73769pt too wide) in paragraph at lines 1--2 [][][][][][][][][][][][][][][][][][][] Overfull \hbox (20.93788pt too wide) in paragraph at lines 3--4 [][][][][][][][][][][][][][][][] ) (./PRESENTATION_ExSyn/proc_02.ys)) [67 <./PRESENTATION_ExSyn/proc_02.pdf>] (./presentation.vrb (./PRESENTATION_ExSyn/proc_03.ys) (./PRESENTATION_ExSyn/proc_03.v Overfull \hbox (11.33778pt too wide) in paragraph at lines 1--2 [][][][][][][][][][][][][][][][][][][][][][] )) [68 <./PRESENTATION_ExSyn/proc_03.pdf>] (./presentation.vrb Overfull \hbox (12.73447pt too wide) in paragraph at lines 6--7 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][] Overfull \hbox (36.73471pt too wide) in paragraph at lines 10--11 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][] Overfull \hbox (46.33481pt too wide) in paragraph at lines 11--12 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][] Overfull \hbox (17.53452pt too wide) in paragraph at lines 13--14 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][] Overfull \hbox (27.13461pt too wide) in paragraph at lines 14--15 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (12.73447pt too wide) in paragraph at lines 15--16 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][] ) [69] (./presentation.vrb (./PRESENTATION_ExSyn/opt_01.ys) (./PRESENTATION_ExSyn/opt_01.v Overfull \hbox (20.93788pt too wide) in paragraph at lines 1--2 [][][][][][][][][][][][][][][][][][] Overfull \hbox (11.33778pt too wide) in paragraph at lines 2--3 [][][][][][][][][][][][][][][][][][][][][][][][][][][] )) [70 <./PRESENTATION_ExSyn/opt_01.pdf>] (./presentation.vrb (./PRESENTATION_ExSyn/opt_02.ys) (./PRESENTATION_ExSyn/opt_02.v Overfull \hbox (20.93788pt too wide) in paragraph at lines 1--2 [][][][][][][][][][][][][][][][][][] Overfull \hbox (1.73769pt too wide) in paragraph at lines 2--3 [][][][][][][][][][][][][][][][][][][][][][][][][] )) [71 <./PRESENTATION_ExSyn/opt_02.pdf>] (./presentation.vrb (./PRESENTATION_ExSyn/opt_03.ys) (./PRESENTATION_ExSyn/opt_03.v Underfull \hbox (badness 10000) in paragraph at lines 1--2 [][][][][][][][][] Overfull \hbox (6.53773pt too wide) in paragraph at lines 2--3 [][][][][][][][][][][][] )) [72 <./PRESENTATION_ExSyn/opt_03.pdf>] (./presentation.vrb (./PRESENTATION_ExSyn/opt_04.v Overfull \hbox (35.33803pt too wide) in paragraph at lines 2--4 [][][][][][][][][][][][][][][] Overfull \hbox (25.73793pt too wide) in paragraph at lines 6--7 [][][][][][][][][][][][][][][] Overfull \hbox (40.13808pt too wide) in paragraph at lines 10--11 [][][][][][][][][][][][][][][] ) (./PRESENTATION_ExSyn/opt_04.ys)) [73 <./PRESENTATION_ExSyn/opt_04.pdf>] [74] (./presentation.vrb Overfull \hbox (22.33456pt too wide) in paragraph at lines 11--12 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [][][][] Overfull \hbox (36.73471pt too wide) in paragraph at lines 15--16 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [][][][] Overfull \hbox (46.33481pt too wide) in paragraph at lines 16--17 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [][][][][][][][][][][][][][][][][][][] ) [75] (./presentation.vrb (./PRESENTATION_ExSyn/memory_01.ys) (./PRESENTATION_ExSyn/memory_01.v Underfull \hbox (badness 10000) in paragraph at lines 1--2 [][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 2--3 [][][][][][] Overfull \hbox (25.73793pt too wide) in paragraph at lines 3--4 [][][][][][][][][][][] Overfull \hbox (6.53773pt too wide) in paragraph at lines 5--6 [][][][][][][][][][][][][] )) [76 <./PRESENTATION_ExSyn/memory_01.pdf>] (./presentation.vrb (./PRESENTATION_ExSyn/memory_02.v Underfull \hbox (badness 10000) in paragraph at lines 2--3 [][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 3--4 [][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 4--5 [][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 5--6 [][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 6--7 [][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 7--8 [][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 8--9 [][][][][][][][][][] ) (./PRESENTATION_ExSyn/memory_02.ys)) Overfull \vbox (61.13892pt too high) detected at line 290 [77 <./PRESENTATION_ExSyn/memory_02.pdf>] (./presentation.vrb) [78] [79] (./PRESENTATION_ExSyn/techmap_01_map.v) Overfull \vbox (167.4641pt too high) detected at line 362 (./PRESENTATION_ExSyn/techmap_01.v) (./PRESENTATION_ExSyn/techmap_01.ys) Overfull \vbox (77.37361pt too high) detected at line 362 [80 <./PRESENTATION_ExSyn/techmap_01.pdf>] Overfull \vbox (11.4184pt too high) detected at line 383 [81] [82] (./presentation.vrb (./PRESENTATION_ExSyn/abc_01.v Overfull \hbox (6.53773pt too wide) in paragraph at lines 1--2 [][][][][][][][][][][][][][][][][][][] Overfull \hbox (25.73793pt too wide) in paragraph at lines 5--6 [][][][][][][][][][][][][] Overfull \hbox (20.93788pt too wide) in paragraph at lines 6--7 [][][][][][][][][][][][][][][][][][] ) (./PRESENTATION_ExSyn/abc_01.ys Overfull \hbox (11.33778pt too wide) in paragraph at lines 2--3 [][][][][][][][][][] ) Overfull \hbox (30.53798pt too wide) in paragraph at lines 5--6 [][][][][][][][][][][][][][] ) [83 <./PRESENTATION_ExSyn/abc_01.pdf>] [84] (./presentation.vrb Overfull \hbox (44.59059pt too wide) in paragraph at lines 6--7 [][][][][][][][][][][][][][][] Overfull \hbox (12.19026pt too wide) in paragraph at lines 7--8 [][][][][][][][][][][][] Overfull \hbox (12.19026pt too wide) in paragraph at lines 11--13 [][][][][][][][][][][][][][][][][][] Overfull \hbox (4.99019pt too wide) in paragraph at lines 20--21 [][][][][][][][][][][][][][][] Overfull \hbox (66.19081pt too wide) in paragraph at lines 24--25 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (15.7903pt too wide) in paragraph at lines 25--27 [][][][][][][][][][][][][][] Overfull \hbox (73.39088pt too wide) in paragraph at lines 28--29 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (84.191pt too wide) in paragraph at lines 29--31 [][][][][][][][][][][][][][][][][][][][][][][] ) [85] [86]) (./PRESENTATION_ExAdv.tex [87] [88] [89] (./presentation.vrb) [90] (./presentation.vrb Overfull \hbox (3.13437pt too wide) in paragraph at lines 8--9 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (70.33505pt too wide) in paragraph at lines 9--10 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] ) [91] (./presentation.vrb Overfull \hbox (55.9349pt too wide) in paragraph at lines 16--18 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] ) [92] (./presentation.vrb Overfull \hbox (17.53452pt too wide) in paragraph at lines 7--8 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (46.33481pt too wide) in paragraph at lines 8--9 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (17.53452pt too wide) in paragraph at lines 9--10 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][] ) [93] (./presentation.vrb Overfull \hbox (84.7352pt too wide) in paragraph at lines 7--8 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [][][][][][][][][][][][][][][][][] Overfull \hbox (79.93515pt too wide) in paragraph at lines 15--16 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [][][][][][][][][][][][][][][][][][][][] ) [94] (./presentation.vrb) [95] (./presentation.vrb) [96] (./presentation.vrb Overfull \hbox (60.73495pt too wide) in paragraph at lines 8--9 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (60.73495pt too wide) in paragraph at lines 9--10 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (65.535pt too wide) in paragraph at lines 10--11 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][] ) [97] (./presentation.vrb (./PRESENTATION_ExAdv/select.v Overfull \hbox (22.99037pt too wide) in paragraph at lines 12--13 [][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (22.99037pt too wide) in paragraph at lines 13--14 [][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (22.99037pt too wide) in paragraph at lines 14--15 [][][][][][][][][][][][][][][][][][][][] ) (./PRESENTATION_ExAdv/select.ys Overfull \hbox (2.43277pt too wide) in paragraph at lines 8--9 [][][][][][][][][][][][][][][][][][] Overfull \hbox (36.03311pt too wide) in paragraph at lines 9--10 [][][][][][][][][][][][][][][][][][] )) [98 <./PRESENTATION_ExAdv/select.pdf>] [99] [100] (./PRESENTATION_ExAdv/red_or3x1_map.v Overfull \hbox (3.62201pt too wide) in paragraph at lines 1--3 [][][][][][][][][][][][][] Overfull \hbox (7.82205pt too wide) in paragraph at lines 3--4 [][][][][][][][][][] Overfull \hbox (3.62201pt too wide) in paragraph at lines 4--5 [][][][][][][][][][] Overfull \hbox (3.62201pt too wide) in paragraph at lines 5--7 [][][][][][][][][][] Overfull \hbox (3.62201pt too wide) in paragraph at lines 7--8 [][][][][][][][][][][] Overfull \hbox (7.82205pt too wide) in paragraph at lines 8--10 [][][][][][][][][][][] Overfull \hbox (7.82205pt too wide) in paragraph at lines 11--12 [][][][][][][][][][][][] Overfull \hbox (24.62222pt too wide) in paragraph at lines 22--23 [][][][][][][][][][][][][] ) (./PRESENTATION_ExAdv/red_or3x1_map.v consecutive: Overfull \hbox (47.93909pt too wide) in paragraph at lines 30--31 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (47.93909pt too wide) in paragraph at lines 35--36 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (22.73883pt too wide) in paragraph at lines 39--40 [][][][][][][][][][][][][][][][] Overfull \hbox (31.13892pt too wide) in paragraph at lines 41--42 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (26.93887pt too wide) in paragraph at lines 42--43 [][][][][][][][][][][][][][][][][][][][] Overfull \hbox (22.73883pt too wide) in paragraph at lines 43--44 [][][][][][][][][][][][][][][][][][][][][] ) [101] (./PRESENTATION_ExAdv/red_or3x1_test.ys consecutive: ) Overfull \hbox (30.19044pt too wide) in paragraph at lines 4--285 [][][][][][][][][][][] (./PRESENTATION_ExAdv/red_or3x1_test.v) [102 <./PRESENTATION_ExAdv/red_or3x1.pd f>] [103] (./PRESENTATION_ExAdv/sym_mul_map.v) (./PRESENTATION_ExAdv/sym_mul_test.v) (./PRESENTATION_ExAdv/sym_mul_test.ys Overfull \hbox (15.7903pt too wide) in paragraph at lines 1--2 [][][][][][][] Overfull \hbox (10.99025pt too wide) in paragraph at lines 2--4 [][][][][][][][][][][] ) Overfull \hbox (20.59035pt too wide) in paragraph at lines 4--321 [][][][][][][][][][][] Overfull \vbox (2.5389pt too high) detected at line 321 [104 <./PRESENTATION_ExAdv/sym_mul.pdf>] [105] (./PRESENTATION_ExAdv/mymul_map.v Overfull \hbox (54.88577pt too wide) in paragraph at lines 6--8 [][][][][][][][][][][][][][][][][][] Overfull \hbox (6.88528pt too wide) in paragraph at lines 11--12 [][][][][][][][][][][][][][][][][][][][][][][] ) (./PRESENTATION_ExAdv/mymul_test.v Overfull \hbox (10.09984pt too wide) in paragraph at lines 3--4 [][][][][][][][][][][][][][][][][] ) (./PRESENTATION_ExAdv/mymul_test.ys Overfull \hbox (5.29979pt too wide) in paragraph at lines 2--4 [][][][][][][][][][][] Overfull \hbox (14.89989pt too wide) in paragraph at lines 4--5 [][][][][][][][][][][][] ) Overfull \hbox (5.29979pt too wide) in paragraph at lines 5--366 [][][][][][][][][][] (./PRESENTATION_ExAdv/mymul_test.ys consecutive: Overfull \hbox (27.50002pt too wide) in paragraph at lines 9--10 [][][][][][][][][][][][] ) Overfull \hbox (23.29997pt too wide) in paragraph at lines 12--366 [][][][][][][][][][][][][][][] [106 <./PRESENTATION_ExAdv/mymul.pdf>] [107] (./PRESENTATION_ExAdv/mulshift_map.v Overfull \hbox (22.48544pt too wide) in paragraph at lines 6--7 [][][][][][][][][][][][][] Overfull \hbox (22.48544pt too wide) in paragraph at lines 7--9 [][][][][][][][][][][][][] Overfull \hbox (26.68549pt too wide) in paragraph at lines 10--12 [][][][][][][][][][][][][][][][][][] Overfull \hbox (9.88531pt too wide) in paragraph at lines 15--16 [][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (85.48608pt too wide) in paragraph at lines 16--17 [][][][][][][][][][][][][][][][][][][][] Overfull \hbox (85.48608pt too wide) in paragraph at lines 20--21 [][][][][][][][][][][][][][][][][][][][] ) (./PRESENTATION_ExAdv/mulshift_test.v Overfull \hbox (10.09984pt too wide) in paragraph at lines 3--4 [][][][][][][][][][][][][][][][][][] Overfull \hbox (10.09984pt too wide) in paragraph at lines 4--5 [][][][][][][][][][][][][][][][][][] ) (./PRESENTATION_ExAdv/mulshift_test.ys Overfull \hbox (14.89989pt too wide) in paragraph at lines 1--2 [][][][][][][] Overfull \hbox (5.29979pt too wide) in paragraph at lines 2--4 [][][][][][][][][][][] Overfull \hbox (14.89989pt too wide) in paragraph at lines 4--5 [][][][][][][][][][][][] ) Overfull \hbox (19.69994pt too wide) in paragraph at lines 5--404 [][][][][][][][][][] [108 <./PRESENTATION_ExAdv/mulshift.pdf>] [109] (./PRESENTATION_ExAdv/addshift_map.v Overfull \hbox (106.4863pt too wide) in paragraph at lines 16--17 [][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (110.68634pt too wide) in paragraph at lines 17--19 [][][][][][][][][] ) (./PRESENTATION_ExAdv/addshift_test.v) (./PRESENTATION_ExAdv/addshift_test.ys Overfull \hbox (14.89989pt too wide) in paragraph at lines 1--2 [][][][][][][] Overfull \hbox (5.29979pt too wide) in paragraph at lines 2--4 [][][][][][][][][][][] ) Overfull \hbox (19.69994pt too wide) in paragraph at lines 4--445 [][][][][][][][][][][] [110 <./PRESENTATION_ExAdv/addshift.pdf>] [111] [112] (./presentation.vrb) [113] [114] (./presentation.vrb (./PRESENTATION_ExAdv/macc_simple_test.v) (./PRESENTATION_ExAdv/macc_simple_xmap.v Overfull \hbox (16.13783pt too wide) in paragraph at lines 1--2 [][][][][][][][][][][][][][][][][] ) Overfull \hbox (6.53773pt too wide) in paragraph at lines 17--18 [][][][][][][] Overfull \hbox (16.13783pt too wide) in paragraph at lines 20--21 [][][][][][][][][][][] ) [115 <./PRESENTATION_ExAdv/macc_simple_test_00a.pdf> <./PRESENTATION_ExAdv/ma cc_simple_test_00b.pdf pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_00b.pdf): PDF inclusion: multiple pdfs with page group included in a single page >] (./presentation.vrb (./PRESENTATION_ExAdv/macc_simple_test_01.v Overfull \hbox (1.73769pt too wide) in paragraph at lines 1--2 [][][][][][][][][][][][][][][][][][][][][][][] ) Overfull \hbox (1.73769pt too wide) detected at line 3 []| (./PRESENTATION_ExAdv/macc_simple_test_02.v Overfull \hbox (1.73769pt too wide) in paragraph at lines 1--2 [][][][][][][][][][][][][][][][][][][][][][][] ) Overfull \hbox (1.73769pt too wide) detected at line 4 []| ) [116 <./PRESENTATION_ExAdv/macc_simple_test_01a.pdf> <./PRESENTATION_ExAdv/ma cc_simple_test_02a.pdf pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_02a.pdf): PDF inclusion: multiple pdfs with page group included in a single page > <./PRESENTATION_ExAdv/macc_simple_test_01b.pdf pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_01b.pdf): PDF inclusion: multiple pdfs with page group included in a single page > <./PRESENTATION_ExAdv/macc_simple_test_02b.pdf pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_02b.pdf): PDF inclusion: multiple pdfs with page group included in a single page >] [117] (./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_swap_map.v) Overfull \hbox (29.93797pt too wide) in paragraph at lines 14--7 [][][][][][][][][][][][][][] (./PRESENTATION_ExAdv/macc_xilinx_swap_map.v consecutive: )) [118] (./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_wrap_map.v Overfull \hbox (38.33806pt too wide) in paragraph at lines 19--21 [][][][][][][][][][][][][][][][][] ) (./PRESENTATION_ExAdv/macc_xilinx_wrap_map.v consecutive: Overfull \hbox (8.93776pt too wide) in paragraph at lines 25--26 [][][][][][][][] Overfull \hbox (21.53789pt too wide) in paragraph at lines 26--27 [][][][][][][][][][][][][][][][][][][] Overfull \hbox (8.93776pt too wide) in paragraph at lines 27--28 [][][][][][][][] Overfull \hbox (29.93797pt too wide) in paragraph at lines 28--29 [][][][][][][][][][][][][][][][][][][] Overfull \hbox (8.93776pt too wide) in paragraph at lines 29--30 [][][][][][][][] Overfull \hbox (0.53767pt too wide) in paragraph at lines 30--31 [][][][][][][][][][][][][] Overfull \hbox (8.93776pt too wide) in paragraph at lines 31--32 [][][][][][][][] )) Overfull \vbox (1.59166pt too high) detected at line 610 [119] (./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_wrap_map.v consecutive: ) Overfull \hbox (38.33806pt too wide) in paragraph at lines 66--6 [][][][][][][][][][][][][][][][][] (./PRESENTATION_ExAdv/macc_xilinx_wrap_map.v consecutive: Overfull \hbox (8.93776pt too wide) in paragraph at lines 72--73 [][][][][][][][] Overfull \hbox (29.93797pt too wide) in paragraph at lines 73--74 [][][][][][][][][][][][][][][][][][][] Overfull \hbox (8.93776pt too wide) in paragraph at lines 74--75 [][][][][][][][] )) [120] (./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_xmap.v)) [121] (./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_unwrap_map.v) (./PRESENTATION_ExAdv/macc_xilinx_unwrap_map.v consecutive: )) [122] (./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_unwrap_map.v consecutive: ) (./PRESENTATION_ExAdv/macc_xilinx_unwrap_map.v consecutive: )) [123] (./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_test.v Overfull \hbox (20.93788pt too wide) in paragraph at lines 1--2 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (6.53773pt too wide) in paragraph at lines 5--6 [][][][][][][][][][][][][][][][][][][][][][][][][] ) Overfull \hbox (20.93788pt too wide) detected at line 4 []| (./PRESENTATION_ExAdv/macc_xilinx_test.v consecutive: Overfull \hbox (20.93788pt too wide) in paragraph at lines 8--9 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (16.13783pt too wide) in paragraph at lines 12--13 [][][][][][][][][][][][][][][][][][][][][][][][][][] ) Overfull \hbox (20.93788pt too wide) detected at line 5 []| Overfull \hbox (140.9391pt too wide) in paragraph at lines 10--11 [][][][][][][][] Overfull \hbox (68.93837pt too wide) in paragraph at lines 11--12 [][][][][][][] ) [124 <./PRESENTATION_ExAdv/macc_xilinx_test1a.pdf> <./PRESENTATION_ExAdv/macc _xilinx_test2a.pdf pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test2a.pdf): PD F inclusion: multiple pdfs with page group included in a single page >] (./presentation.vrb Overfull \hbox (160.1393pt too wide) in paragraph at lines 10--11 [][][][][][][][][][][][][] ) [125 <./PRESENTATION_ExAdv/macc_xilinx_test1b.pdf> <./PRESENTATION_ExAdv/macc _xilinx_test2b.pdf pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test2b.pdf): PD F inclusion: multiple pdfs with page group included in a single page >] (./presentation.vrb Overfull \hbox (25.73793pt too wide) in paragraph at lines 8--10 [][][][][][][][][][] Overfull \hbox (44.93813pt too wide) in paragraph at lines 10--11 [][][][][][][][][][] Overfull \hbox (44.93813pt too wide) in paragraph at lines 11--12 [][][][][][][][] Overfull \hbox (44.93813pt too wide) in paragraph at lines 12--13 [][][][][][][][][] Overfull \hbox (49.73817pt too wide) in paragraph at lines 13--14 [][][][][][][][] ) [126 <./PRESENTATION_ExAdv/macc_xilinx_test1c.pdf>] (./presentation.vrb Overfull \hbox (25.73793pt too wide) in paragraph at lines 8--10 [][][][][][][][][][] Overfull \hbox (44.93813pt too wide) in paragraph at lines 10--11 [][][][][][][][][][] Overfull \hbox (44.93813pt too wide) in paragraph at lines 11--12 [][][][][][][][] Overfull \hbox (44.93813pt too wide) in paragraph at lines 12--13 [][][][][][][][][] Overfull \hbox (49.73817pt too wide) in paragraph at lines 13--14 [][][][][][][][] ) [127 <./PRESENTATION_ExAdv/macc_xilinx_test2c.pdf>] (./presentation.vrb Overfull \hbox (6.53773pt too wide) in paragraph at lines 8--9 [][][][][][][] Overfull \hbox (25.73793pt too wide) in paragraph at lines 9--10 [][][][][][][][][][] Overfull \hbox (35.33803pt too wide) in paragraph at lines 10--11 [][][][][][][][][][][] Overfull \hbox (6.53773pt too wide) in paragraph at lines 11--12 [][][][][][][][] Overfull \hbox (14.22638pt too wide) in paragraph at lines 4--15 [][] Overfull \hbox (35.51181pt too wide) in paragraph at lines 18--19 [][][][][][][][][][][] Overfull \hbox (30.71176pt too wide) in paragraph at lines 19--20 [][][][][][][][][][] Overfull \hbox (11.51157pt too wide) in paragraph at lines 20--21 [][][][][][][][][][][][][] ) [128 <./PRESENTATION_ExAdv/macc_xilinx_test1d.pdf>] (./presentation.vrb Overfull \hbox (6.53773pt too wide) in paragraph at lines 8--9 [][][][][][][] Overfull \hbox (25.73793pt too wide) in paragraph at lines 9--10 [][][][][][][][][][] Overfull \hbox (35.33803pt too wide) in paragraph at lines 10--11 [][][][][][][][][][][] Overfull \hbox (6.53773pt too wide) in paragraph at lines 11--12 [][][][][][][][] Overfull \hbox (14.22638pt too wide) in paragraph at lines 4--15 [][] Overfull \hbox (35.51181pt too wide) in paragraph at lines 18--19 [][][][][][][][][][][] Overfull \hbox (30.71176pt too wide) in paragraph at lines 19--20 [][][][][][][][][][] Overfull \hbox (11.51157pt too wide) in paragraph at lines 20--21 [][][][][][][][][][][][][] ) [129 <./PRESENTATION_ExAdv/macc_xilinx_test2d.pdf>] (./presentation.vrb Overfull \hbox (35.51181pt too wide) in paragraph at lines 8--9 [][][][][][][][][][][][] ) [130 <./PRESENTATION_ExAdv/macc_xilinx_test2e.pdf>] [131] [132] (./presentation.vrb Overfull \hbox (21.28543pt too wide) in paragraph at lines 22--24 [][][][][][][][][][][][][][][] Overfull \hbox (107.68631pt too wide) in paragraph at lines 24--25 [][][][][][][][][][][][][][][][][] Overfull \hbox (6.1902pt too wide) in paragraph at lines 32--33 [][][][][][][][][][][] Overfull \hbox (20.59035pt too wide) in paragraph at lines 34--35 [][][][][][][][][] ) [133] [134]) (./PRESENTATION_ExOth.tex [135] [136] [137] [138] (./presentation.vrb (./PRESENTATION_ExOth/scrambler.v Overfull \hbox (8.59023pt too wide) in paragraph at lines 12--13 [][][][][][][][][][][][][] )) Overfull \vbox (2.00948pt too high) detected at line 65 [139 <./PRESENTATION_ExOth/scrambler_p01.pdf> <./PRESENTATION_ExOth/scrambler_p 02.pdf pdfTeX warning: pdflatex (file ./PRESENTATION_ExOth/scrambler_p02.pdf): PDF inc lusion: multiple pdfs with page group included in a single page >] (./presentation.vrb Overfull \hbox (32.10844pt too wide) in paragraph at lines 5--7 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][] Overfull \hbox (27.3084pt too wide) in paragraph at lines 18--19 [][][][][][][][][][][][][][][] Overfull \hbox (41.70854pt too wide) in paragraph at lines 19--20 [][][][][][][][][] Overfull \hbox (41.70854pt too wide) in paragraph at lines 20--21 [][][][][][][][][][][][][][][][][] Overfull \hbox (41.70854pt too wide) in paragraph at lines 21--22 [][][][][][][][][][][][][][][][][] ) [140] [141] [142] (./PRESENTATION_ExSyn/techmap_01_map.v) Overfull \vbox (167.4641pt too high) detected at line 131 (./PRESENTATION_ExSyn/techmap_01.v) (./PRESENTATION_ExSyn/techmap_01.ys) Overfull \vbox (77.37361pt too high) detected at line 131 [143] (./presentation.vrb) Overfull \vbox (2.99165pt too high) detected at line 159 [144] (./presentation.vrb (./PRESENTATION_ExOth/axis_master.v Overfull \hbox (28.73796pt too wide) in paragraph at lines 1--2 [][][][][][][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 16--17 [][][][][][][][][][] ) (./PRESENTATION_ExOth/axis_test.v Overfull \hbox (34.73802pt too wide) in paragraph at lines 9--11 [][][][][][][][][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 13--14 [][][][][][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 14--15 [][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.73769pt too wide) in paragraph at lines 15--16 [][][][][][][][][][][][][][][][][][][] Overfull \hbox (10.73778pt too wide) in paragraph at lines 16--17 [][][][][][][][][][][][][][][][][][][] Overfull \hbox (10.73778pt too wide) in paragraph at lines 17--18 [][][][][][][][][][][][][][][][][][][] Overfull \hbox (10.73778pt too wide) in paragraph at lines 18--19 [][][][][][][][][][][][][][][][][][][] Overfull \hbox (10.73778pt too wide) in paragraph at lines 19--20 [][][][][][][][][][][][][][][][][][][] Overfull \hbox (10.73778pt too wide) in paragraph at lines 20--21 [][][][][][][][][][][][][][][][][][][] Overfull \hbox (10.73778pt too wide) in paragraph at lines 21--22 [][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.73769pt too wide) in paragraph at lines 22--23 [][][][][][][][][][][][][][][][][][][] )) Overfull \vbox (31.79161pt too high) detected at line 177 [145] (./presentation.vrb) [146] [147]) (./PRESENTATION_Prog.tex [148] [149] [150] (./presentation.vrb) [151] [152] [153] [154] (./presentation.vrb (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty)) [155] (./presentation.vrb Underfull \hbox (badness 10000) in paragraph at lines 17--17 []\T1/LinuxLibertineMonoT-TLF/regular/n/10.95 start_offset ) [156] (./presentation.vrb) [157] (./presentation.vrb) [158] (./presentation.vrb Overfull \hbox (20.96078pt too wide) in paragraph at lines 25--26 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (35.36093pt too wide) in paragraph at lines 27--28 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (42.561pt too wide) in paragraph at lines 28--29 [][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (35.36093pt too wide) in paragraph at lines 29--30 [][][][][][][][][][][][][][][][][] Overfull \hbox (24.56082pt too wide) in paragraph at lines 30--31 [][][][][][][][][][][][][][][] Overfull \hbox (28.16086pt too wide) in paragraph at lines 31--32 [][][][][][][][][][][][][] ) [159] (./presentation.vrb) [160] (./presentation.vrb Overfull \hbox (2.9606pt too wide) in paragraph at lines 21--22 [][][][][][][][][][][][][][][][][][][] ) [161] (./presentation.vrb) Overfull \vbox (2.59166pt too high) detected at line 400 [162] [163] (./presentation.vrb) [164] (./presentation.vrb) [165] (./presentation.vrb Overfull \hbox (41.361pt too wide) in paragraph at lines 15--16 [][][][][][][][][][][][][][][][][][][][][][][][][][][] ) [166] (./presentation.vrb Overfull \hbox (60.56119pt too wide) in paragraph at lines 12--13 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (2.9606pt too wide) in paragraph at lines 21--22 [][][][][][][][][][][][][][][][] ) [167] (./presentation.vrb) [168] [169]) (./presentation.aux (./PRESENTATION_Intro.aux) (./PRESENTATION_ExSyn.aux) (./PRESENTATION_ExAdv.aux ) (./PRESENTATION_ExOth.aux) (./PRESENTATION_Prog.aux)) Package rerunfilecheck Warning: File `presentation.out' has changed. (rerunfilecheck) Rerun to get outlines right (rerunfilecheck) or use package `bookmark'. ) (see the transcript file for additional information){/usr/share/texlive/texmf-d ist/fonts/enc/dvips/libertine/lbtn_76gpa5.enc}{/usr/share/texlive/texmf-dist/fo nts/enc/dvips/lm/lm-mathsy.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/l ibertine/lbtn_25tcsq.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/liberti ne/lbtn_dhi6qf.enc} Output written on presentation.pdf (169 pages, 808121 bytes). Transcript written on presentation.log. + false + md5sum APPNOTE_010_Verilog_to_BLIF.aux APPNOTE_011_Design_Investigation.aux APPNOTE_012_Verilog_to_BTOR.aux PRESENTATION_ExAdv.aux PRESENTATION_ExOth.aux PRESENTATION_ExSyn.aux PRESENTATION_Intro.aux PRESENTATION_Prog.aux presentation.aux presentation.snm presentation.nav presentation.toc + cmp autoloop.old autoloop.new cmp: EOF on autoloop.old after byte 203, line 3 + cp autoloop.new autoloop.old + pdflatex -shell-escape -halt-on-error presentation.tex This is pdfTeX, Version 3.14159265-2.6-1.40.21 (TeX Live 2020) (preloaded format=pdflatex) \write18 enabled. entering extended mode (./presentation.tex LaTeX2e <2020-02-02> patch level 5 L3 programming layer <2020-04-06> (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamer.cls Document Class: beamer 2019/09/29 v3.57 A class for typesetting presentations (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasemodes.sty (/usr/share/texlive/texmf-dist/tex/latex/etoolbox/etoolbox.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasedecode.sty)) (/usr/share/texlive/texmf-dist/tex/generic/iftex/ifpdf.sty (/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty)) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseoptions.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/keyval.sty)) (/usr/share/texlive/texmf-dist/tex/latex/geometry/geometry.sty (/usr/share/texlive/texmf-dist/tex/generic/iftex/ifvtex.sty)) (/usr/share/texlive/texmf-dist/tex/latex/base/size11.clo) (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common-lists.t ex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def (/usr/share/texlive/texmf-dist/tex/latex/ms/everyshi.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeysfiltered.code.t ex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de f))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. tex)) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet ric.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari thmetics.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation s.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) ) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/xxcolor.sty) (/usr/share/texlive/texmf-dist/tex/generic/atbegshi/atbegshi.sty (/usr/share/texlive/texmf-dist/tex/generic/infwarerr/infwarerr.sty) (/usr/share/texlive/texmf-dist/tex/generic/ltxcmds/ltxcmds.sty)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hyperref.sty (/usr/share/texlive/texmf-dist/tex/latex/pdftexcmds/pdftexcmds.sty) (/usr/share/texlive/texmf-dist/tex/generic/kvsetkeys/kvsetkeys.sty) (/usr/share/texlive/texmf-dist/tex/generic/kvdefinekeys/kvdefinekeys.sty) (/usr/share/texlive/texmf-dist/tex/generic/pdfescape/pdfescape.sty) (/usr/share/texlive/texmf-dist/tex/latex/hycolor/hycolor.sty) (/usr/share/texlive/texmf-dist/tex/latex/letltxmacro/letltxmacro.sty) (/usr/share/texlive/texmf-dist/tex/latex/auxhook/auxhook.sty) (/usr/share/texlive/texmf-dist/tex/latex/kvoptions/kvoptions.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/pd1enc.def) (/usr/share/texlive/texmf-dist/tex/generic/intcalc/intcalc.sty) (/usr/share/texlive/texmf-dist/tex/generic/etexcmds/etexcmds.sty) (/usr/share/texlive/texmf-dist/tex/latex/url/url.sty) (/usr/share/texlive/texmf-dist/tex/generic/bitset/bitset.sty (/usr/share/texlive/texmf-dist/tex/generic/bigintcalc/bigintcalc.sty)) Package hyperref Message: Stopped early. ) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hpdftex.def (/usr/share/texlive/texmf-dist/tex/latex/atveryend/atveryend.sty) (/usr/share/texlive/texmf-dist/tex/latex/rerunfilecheck/rerunfilecheck.sty (/usr/share/texlive/texmf-dist/tex/generic/uniquecounter/uniquecounter.sty))) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaserequires.sty (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasecompatibility.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasefont.sty (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amssymb.sty (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amsfonts.sty)) (/usr/share/texlive/texmf-dist/tex/latex/sansmathaccent/sansmathaccent.sty (/usr/share/texlive/texmf-dist/tex/latex/koma-script/scrlfile.sty))) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasetranslator.sty (/usr/share/texlive/texmf-dist/tex/latex/translator/translator.sty)) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasemisc.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasetwoscreens.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseoverlay.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasetitle.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasesection.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseframe.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseverbatim.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseframesize.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseframecomponents.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasecolor.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasenotes.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasetoc.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasetemplates.sty (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseauxtemplates.sty (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseboxes.sty))) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaselocalstructure.sty (/usr/share/texlive/texmf-dist/tex/latex/tools/enumerate.sty)) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasenavigation.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasetheorems.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty For additional information on amsmath, use the `?' option. (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amscls/amsthm.sty)) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasethemes.sty)) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerthemedefault.sty (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerfontthemedefault.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamercolorthemedefault.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerinnerthemedefault.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerouterthemedefault.sty))) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty) (/usr/share/texlive/texmf-dist/tex/latex/lm/lmodern.sty) (/usr/share/texlive/texmf-dist/tex/latex/libertine/libertine.sty (/usr/share/texlive/texmf-dist/tex/generic/iftex/ifxetex.sty) (/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty) (/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex))) (/usr/share/texlive/texmf-dist/tex/latex/base/textcomp.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty (/usr/share/texlive/texmf-dist/tex/latex/lm/t1lmss.fd)) (/usr/share/texlive/texmf-dist/tex/latex/fontaxes/fontaxes.sty) (/usr/share/texlive/texmf-dist/tex/latex/libertine/LinLibertine_I.tex)) (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.sty (/usr/share/texlive/texmf-dist/tex/generic/babel/switch.def) (/usr/share/texlive/texmf-dist/tex/generic/babel-english/english.ldf (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.def (/usr/share/texlive/texmf-dist/tex/generic/babel/txtbabel.def)))) (/usr/share/texlive/texmf-dist/tex/latex/multirow/multirow.sty) (/usr/share/texlive/texmf-dist/tex/latex/booktabs/booktabs.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.sty (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/setspace/setspace.sty) (/usr/share/texlive/texmf-dist/tex/latex/skull/skull.sty) (/usr/share/texlive/texmf-dist/tex/latex/units/units.sty (/usr/share/texlive/texmf-dist/tex/latex/base/ifthen.sty) (/usr/share/texlive/texmf-dist/tex/latex/units/nicefrac.sty)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex ) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 .sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 .sty)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarytopaths.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarycalc.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryarrows.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryarrows.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryscopes.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarythrough.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryshapes.geometric.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape s.geometric.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerthemeMadrid.sty (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamercolorthemewhale.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamercolorthemeorchid.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerinnerthemerounded.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerouterthemeinfolines.sty)) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamercolorthemeseagull.sty) (/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdfmode.def) (./presentation.aux (./PRESENTATION_Intro.aux) (./PRESENTATION_ExSyn.aux) (./PRESENTATION_ExAdv.aux) (./PRESENTATION_ExOth.aux) (./PRESENTATION_Prog.aux) ) (/usr/share/texlive/texmf-dist/tex/latex/libertine/T1LinuxBiolinumT-TLF.fd) *geometry* driver: auto-detecting *geometry* detected driver: pdftex (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii [Loading MPS to PDF converter (version 2006.09.02).] ) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) ABD: EveryShipout initializing macros (/usr/share/texlive/texmf-dist/tex/latex/hyperref/nameref.sty (/usr/share/texlive/texmf-dist/tex/latex/refcount/refcount.sty) (/usr/share/texlive/texmf-dist/tex/generic/gettitlestring/gettitlestring.sty)) (./presentation.out) (./presentation.out) (/usr/share/texlive/texmf-dist/tex/latex/translator/translator-basic-dictionary -English.dict) (/usr/share/texlive/texmf-dist/tex/latex/translator/translator-bibliography-dic tionary-English.dict) (/usr/share/texlive/texmf-dist/tex/latex/translator/translator-environment-dict ionary-English.dict) (/usr/share/texlive/texmf-dist/tex/latex/translator/translator-months-dictionar y-English.dict) (/usr/share/texlive/texmf-dist/tex/latex/translator/translator-numbers-dictiona ry-English.dict) (/usr/share/texlive/texmf-dist/tex/latex/translator/translator-theorem-dictiona ry-English.dict) (./presentation.nav) [1{/usr/share/texlive/texmf-dist/fonts/ma p/pdftex/updmap/pdftex.map}] [2] [3] [4] (./PRESENTATION_Intro.tex [5] [6] [7] [8] [9] [10] [11] [12] [13] (/usr/share/texlive/texmf-dist/tex/latex/libertine/OT1LinuxBiolinumT-TLF.fd) (/usr/share/texlive/texmf-dist/tex/latex/lm/omllmm.fd) (/usr/share/texlive/texmf-dist/tex/latex/lm/omslmsy.fd) (/usr/share/texlive/texmf-dist/tex/latex/lm/omxlmex.fd) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsa.fd) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsb.fd) (/usr/share/texlive/texmf-dist/tex/latex/libertine/T1LinuxLibertineMonoT-TLF.fd ) [14] [15] [16] [17] Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping to internal cell Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping flip-flops to Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 dfflibmap -liberty Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping logic to [18] Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping to internal cell Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping flip-flops to Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 dfflibmap -liberty Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping logic to [19] Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping to internal cell Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping flip-flops to Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 dfflibmap -liberty Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping logic to [20] Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping to internal cell Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping flip-flops to Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 dfflibmap -liberty Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping logic to [21] Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping to internal cell Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping flip-flops to Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 dfflibmap -liberty Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping logic to [22] Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping to internal cell Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping flip-flops to Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 dfflibmap -liberty Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping logic to [23] Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping to internal cell Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping flip-flops to Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 dfflibmap -liberty Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping logic to [24] Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping to internal cell Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping flip-flops to Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 dfflibmap -liberty Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping logic to [25] Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping to internal cell Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping flip-flops to Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 dfflibmap -liberty Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping logic to [26] Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping to internal cell Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping flip-flops to Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 dfflibmap -liberty Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping logic to [27] Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping to internal cell Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping flip-flops to Overfull \hbox (13.33607pt too wide) in paragraph at lines 368--368 [][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping logic to [28] Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping to internal cell Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping flip-flops to Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 dfflibmap -liberty Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping logic to [29] Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping to internal cell Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping flip-flops to Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 dfflibmap -liberty Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping logic to [30] Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping to internal cell Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping flip-flops to Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 dfflibmap -liberty Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping logic to [31] (./presentation.vrb (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang2.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang3.sty) (./PRESENTATION_Intro/counter.v)) [32] (./presentation.vrb (./PRESENTATION_Intro/mycells.lib Overfull \hbox (11.33778pt too wide) in paragraph at lines 4--5 [][][][][][][][][][][][][][][][][] Overfull \hbox (6.53773pt too wide) in paragraph at lines 5--6 [][][][][][][][][][][][][][][] Overfull \hbox (1.73769pt too wide) in paragraph at lines 6--7 [][][][][][][][][][][][] Overfull \hbox (11.33778pt too wide) in paragraph at lines 10--11 [][][][][][][][][][][][][][][][][] Overfull \hbox (6.53773pt too wide) in paragraph at lines 11--12 [][][][][][][][][][][][][][][] Overfull \hbox (6.53773pt too wide) in paragraph at lines 12--13 [][][][][][][][][][][][][] Overfull \hbox (11.33778pt too wide) in paragraph at lines 16--17 [][][][][][][][][][][][][][][][][] Overfull \hbox (11.33778pt too wide) in paragraph at lines 17--18 [][][][][][][][][][][][][][][][][] Overfull \hbox (6.53773pt too wide) in paragraph at lines 18--19 [][][][][][][][][][][][][][][] Overfull \hbox (20.93788pt too wide) in paragraph at lines 19--20 [][][][][][][][][][][][][][][][] ) (./PRESENTATION_Intro/mycells.lib consecutive: Overfull \hbox (11.33778pt too wide) in paragraph at lines 23--24 [][][][][][][][][][][][][][][][][] Overfull \hbox (11.33778pt too wide) in paragraph at lines 24--25 [][][][][][][][][][][][][][][][][] Overfull \hbox (6.53773pt too wide) in paragraph at lines 25--26 [][][][][][][][][][][][][][][] Overfull \hbox (20.93788pt too wide) in paragraph at lines 26--27 [][][][][][][][][][][][][][][][] Overfull \hbox (11.33778pt too wide) in paragraph at lines 30--31 [][][][][][][][][][][][][][][][][][] Overfull \hbox (20.93788pt too wide) in paragraph at lines 31--32 [][][][][][][][][][] Overfull \hbox (1.73769pt too wide) in paragraph at lines 32--33 [][][][][][][][][][][][][][][] Overfull \hbox (6.53773pt too wide) in paragraph at lines 33--34 [][][][][][][][][][] Overfull \hbox (11.33778pt too wide) in paragraph at lines 34--35 [][][][][][][][][][][][][][][][][] Overfull \hbox (6.53773pt too wide) in paragraph at lines 35--36 [][][][][][][][][][][][][][][] Overfull \hbox (6.53773pt too wide) in paragraph at lines 36--37 [][][][][][][][][][][][] )) [33] (./presentation.vrb) [34 <./PRESENTATION_Intro/counter_00.pdf>] (./presentation.vrb) [35 <./PRESENTATION_Intro/counter_01.pdf>] (./presentation.vrb) [36 <./PRESENTATION_Intro/counter_02.pdf>] (./presentation.vrb) [37 <./PRESENTATION_Intro/counter_03.pdf>] (./presentation.vrb Overfull \hbox (44.59058pt too wide) in paragraph at lines 9--11 [][][][][][][][][][][][][][] ) [38] (./presentation.vrb Overfull \hbox (12.5607pt too wide) in paragraph at lines 14--15 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][] Overfull \hbox (26.96085pt too wide) in paragraph at lines 16--17 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][] ) [39] (./presentation.vrb Overfull \hbox (22.1608pt too wide) in paragraph at lines 6--7 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][] Overfull \hbox (17.36075pt too wide) in paragraph at lines 13--14 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][] Overfull \hbox (65.36124pt too wide) in paragraph at lines 24--25 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (22.1608pt too wide) in paragraph at lines 25--26 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][] ) [40] (./presentation.vrb Overfull \hbox (55.76114pt too wide) in paragraph at lines 24--25 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][] ) [41] (./presentation.vrb) [42] (./presentation.vrb Overfull \hbox (22.1608pt too wide) in paragraph at lines 16--17 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] ) [43] (./presentation.vrb) Overfull \vbox (1.85118pt too high) detected at line 641 [44] [45] [46] (./presentation.vrb Overfull \hbox (74.96133pt too wide) in paragraph at lines 13--14 [][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (36.56094pt too wide) in paragraph at lines 14--15 [][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (46.16104pt too wide) in paragraph at lines 15--16 [][][][][][][][][][][][][][][][][][][][][][][] ) [47] [48] [49] (/usr/share/texlive/texmf-dist/tex/latex/libertine/T1LinuxLibertineT-TLF.fd) [50] [51] [52] [53] [54] [55] [56] [57] [58] [59] [60]) (./PRESENTATION_ExSyn.tex [61] [62] (./presentation.vrb) [63] (./presentation.vrb Overfull \hbox (70.33505pt too wide) in paragraph at lines 8--9 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [][][][][][][][][][][][][] Overfull \hbox (51.13486pt too wide) in paragraph at lines 12--13 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [][][][] Overfull \hbox (51.13486pt too wide) in paragraph at lines 13--14 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [][][][][][][][][][] ) [64] (./presentation.vrb Overfull \hbox (17.53452pt too wide) in paragraph at lines 20--21 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] ) [65] (./presentation.vrb (./PRESENTATION_ExSyn/proc_01.v Overfull \hbox (54.53822pt too wide) in paragraph at lines 1--2 [][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (20.93788pt too wide) in paragraph at lines 2--3 [][][][][][][][][][][][][][][][] ) (./PRESENTATION_ExSyn/proc_01.ys)) [66 <./PRESENTATION_ExSyn/proc_01.pdf>] (./presentation.vrb (./PRESENTATION_ExSyn/proc_02.v Overfull \hbox (1.73769pt too wide) in paragraph at lines 1--2 [][][][][][][][][][][][][][][][][][][] Overfull \hbox (20.93788pt too wide) in paragraph at lines 3--4 [][][][][][][][][][][][][][][][] ) (./PRESENTATION_ExSyn/proc_02.ys)) [67 <./PRESENTATION_ExSyn/proc_02.pdf>] (./presentation.vrb (./PRESENTATION_ExSyn/proc_03.ys) (./PRESENTATION_ExSyn/proc_03.v Overfull \hbox (11.33778pt too wide) in paragraph at lines 1--2 [][][][][][][][][][][][][][][][][][][][][][] )) [68 <./PRESENTATION_ExSyn/proc_03.pdf>] (./presentation.vrb Overfull \hbox (12.73447pt too wide) in paragraph at lines 6--7 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][] Overfull \hbox (36.73471pt too wide) in paragraph at lines 10--11 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][] Overfull \hbox (46.33481pt too wide) in paragraph at lines 11--12 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][] Overfull \hbox (17.53452pt too wide) in paragraph at lines 13--14 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][] Overfull \hbox (27.13461pt too wide) in paragraph at lines 14--15 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (12.73447pt too wide) in paragraph at lines 15--16 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][] ) [69] (./presentation.vrb (./PRESENTATION_ExSyn/opt_01.ys) (./PRESENTATION_ExSyn/opt_01.v Overfull \hbox (20.93788pt too wide) in paragraph at lines 1--2 [][][][][][][][][][][][][][][][][][] Overfull \hbox (11.33778pt too wide) in paragraph at lines 2--3 [][][][][][][][][][][][][][][][][][][][][][][][][][][] )) [70 <./PRESENTATION_ExSyn/opt_01.pdf>] (./presentation.vrb (./PRESENTATION_ExSyn/opt_02.ys) (./PRESENTATION_ExSyn/opt_02.v Overfull \hbox (20.93788pt too wide) in paragraph at lines 1--2 [][][][][][][][][][][][][][][][][][] Overfull \hbox (1.73769pt too wide) in paragraph at lines 2--3 [][][][][][][][][][][][][][][][][][][][][][][][][] )) [71 <./PRESENTATION_ExSyn/opt_02.pdf>] (./presentation.vrb (./PRESENTATION_ExSyn/opt_03.ys) (./PRESENTATION_ExSyn/opt_03.v Underfull \hbox (badness 10000) in paragraph at lines 1--2 [][][][][][][][][] Overfull \hbox (6.53773pt too wide) in paragraph at lines 2--3 [][][][][][][][][][][][] )) [72 <./PRESENTATION_ExSyn/opt_03.pdf>] (./presentation.vrb (./PRESENTATION_ExSyn/opt_04.v Overfull \hbox (35.33803pt too wide) in paragraph at lines 2--4 [][][][][][][][][][][][][][][] Overfull \hbox (25.73793pt too wide) in paragraph at lines 6--7 [][][][][][][][][][][][][][][] Overfull \hbox (40.13808pt too wide) in paragraph at lines 10--11 [][][][][][][][][][][][][][][] ) (./PRESENTATION_ExSyn/opt_04.ys)) [73 <./PRESENTATION_ExSyn/opt_04.pdf>] [74] (./presentation.vrb Overfull \hbox (22.33456pt too wide) in paragraph at lines 11--12 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [][][][] Overfull \hbox (36.73471pt too wide) in paragraph at lines 15--16 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [][][][] Overfull \hbox (46.33481pt too wide) in paragraph at lines 16--17 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [][][][][][][][][][][][][][][][][][][] ) [75] (./presentation.vrb (./PRESENTATION_ExSyn/memory_01.ys) (./PRESENTATION_ExSyn/memory_01.v Underfull \hbox (badness 10000) in paragraph at lines 1--2 [][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 2--3 [][][][][][] Overfull \hbox (25.73793pt too wide) in paragraph at lines 3--4 [][][][][][][][][][][] Overfull \hbox (6.53773pt too wide) in paragraph at lines 5--6 [][][][][][][][][][][][][] )) [76 <./PRESENTATION_ExSyn/memory_01.pdf>] (./presentation.vrb (./PRESENTATION_ExSyn/memory_02.v Underfull \hbox (badness 10000) in paragraph at lines 2--3 [][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 3--4 [][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 4--5 [][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 5--6 [][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 6--7 [][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 7--8 [][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 8--9 [][][][][][][][][][] ) (./PRESENTATION_ExSyn/memory_02.ys)) Overfull \vbox (61.13892pt too high) detected at line 290 [77 <./PRESENTATION_ExSyn/memory_02.pdf>] (./presentation.vrb) [78] [79] (./PRESENTATION_ExSyn/techmap_01_map.v) Overfull \vbox (167.4641pt too high) detected at line 362 (./PRESENTATION_ExSyn/techmap_01.v) (./PRESENTATION_ExSyn/techmap_01.ys) Overfull \vbox (77.37361pt too high) detected at line 362 [80 <./PRESENTATION_ExSyn/techmap_01.pdf>] Overfull \vbox (11.4184pt too high) detected at line 383 [81] [82] (./presentation.vrb (./PRESENTATION_ExSyn/abc_01.v Overfull \hbox (6.53773pt too wide) in paragraph at lines 1--2 [][][][][][][][][][][][][][][][][][][] Overfull \hbox (25.73793pt too wide) in paragraph at lines 5--6 [][][][][][][][][][][][][] Overfull \hbox (20.93788pt too wide) in paragraph at lines 6--7 [][][][][][][][][][][][][][][][][][] ) (./PRESENTATION_ExSyn/abc_01.ys Overfull \hbox (11.33778pt too wide) in paragraph at lines 2--3 [][][][][][][][][][] ) Overfull \hbox (30.53798pt too wide) in paragraph at lines 5--6 [][][][][][][][][][][][][][] ) [83 <./PRESENTATION_ExSyn/abc_01.pdf>] [84] (./presentation.vrb Overfull \hbox (44.59059pt too wide) in paragraph at lines 6--7 [][][][][][][][][][][][][][][] Overfull \hbox (12.19026pt too wide) in paragraph at lines 7--8 [][][][][][][][][][][][] Overfull \hbox (12.19026pt too wide) in paragraph at lines 11--13 [][][][][][][][][][][][][][][][][][] Overfull \hbox (4.99019pt too wide) in paragraph at lines 20--21 [][][][][][][][][][][][][][][] Overfull \hbox (66.19081pt too wide) in paragraph at lines 24--25 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (15.7903pt too wide) in paragraph at lines 25--27 [][][][][][][][][][][][][][] Overfull \hbox (73.39088pt too wide) in paragraph at lines 28--29 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (84.191pt too wide) in paragraph at lines 29--31 [][][][][][][][][][][][][][][][][][][][][][][] ) [85] [86]) (./PRESENTATION_ExAdv.tex [87] [88] [89] (./presentation.vrb) [90] (./presentation.vrb Overfull \hbox (3.13437pt too wide) in paragraph at lines 8--9 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (70.33505pt too wide) in paragraph at lines 9--10 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] ) [91] (./presentation.vrb Overfull \hbox (55.9349pt too wide) in paragraph at lines 16--18 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] ) [92] (./presentation.vrb Overfull \hbox (17.53452pt too wide) in paragraph at lines 7--8 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (46.33481pt too wide) in paragraph at lines 8--9 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (17.53452pt too wide) in paragraph at lines 9--10 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][] ) [93] (./presentation.vrb Overfull \hbox (84.7352pt too wide) in paragraph at lines 7--8 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [][][][][][][][][][][][][][][][][] Overfull \hbox (79.93515pt too wide) in paragraph at lines 15--16 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [][][][][][][][][][][][][][][][][][][][] ) [94] (./presentation.vrb) [95] (./presentation.vrb) [96] (./presentation.vrb Overfull \hbox (60.73495pt too wide) in paragraph at lines 8--9 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (60.73495pt too wide) in paragraph at lines 9--10 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (65.535pt too wide) in paragraph at lines 10--11 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][] ) [97] (./presentation.vrb (./PRESENTATION_ExAdv/select.v Overfull \hbox (22.99037pt too wide) in paragraph at lines 12--13 [][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (22.99037pt too wide) in paragraph at lines 13--14 [][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (22.99037pt too wide) in paragraph at lines 14--15 [][][][][][][][][][][][][][][][][][][][] ) (./PRESENTATION_ExAdv/select.ys Overfull \hbox (2.43277pt too wide) in paragraph at lines 8--9 [][][][][][][][][][][][][][][][][][] Overfull \hbox (36.03311pt too wide) in paragraph at lines 9--10 [][][][][][][][][][][][][][][][][][] )) [98 <./PRESENTATION_ExAdv/select.pdf>] [99] [100] (./PRESENTATION_ExAdv/red_or3x1_map.v Overfull \hbox (3.62201pt too wide) in paragraph at lines 1--3 [][][][][][][][][][][][][] Overfull \hbox (7.82205pt too wide) in paragraph at lines 3--4 [][][][][][][][][][] Overfull \hbox (3.62201pt too wide) in paragraph at lines 4--5 [][][][][][][][][][] Overfull \hbox (3.62201pt too wide) in paragraph at lines 5--7 [][][][][][][][][][] Overfull \hbox (3.62201pt too wide) in paragraph at lines 7--8 [][][][][][][][][][][] Overfull \hbox (7.82205pt too wide) in paragraph at lines 8--10 [][][][][][][][][][][] Overfull \hbox (7.82205pt too wide) in paragraph at lines 11--12 [][][][][][][][][][][][] Overfull \hbox (24.62222pt too wide) in paragraph at lines 22--23 [][][][][][][][][][][][][] ) (./PRESENTATION_ExAdv/red_or3x1_map.v consecutive: Overfull \hbox (47.93909pt too wide) in paragraph at lines 30--31 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (47.93909pt too wide) in paragraph at lines 35--36 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (22.73883pt too wide) in paragraph at lines 39--40 [][][][][][][][][][][][][][][][] Overfull \hbox (31.13892pt too wide) in paragraph at lines 41--42 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (26.93887pt too wide) in paragraph at lines 42--43 [][][][][][][][][][][][][][][][][][][][] Overfull \hbox (22.73883pt too wide) in paragraph at lines 43--44 [][][][][][][][][][][][][][][][][][][][][] ) [101] (./PRESENTATION_ExAdv/red_or3x1_test.ys consecutive: ) Overfull \hbox (30.19044pt too wide) in paragraph at lines 4--285 [][][][][][][][][][][] (./PRESENTATION_ExAdv/red_or3x1_test.v) [102 <./PRESENTATION_ExAdv/red_or3x1.pd f>] [103] (./PRESENTATION_ExAdv/sym_mul_map.v) (./PRESENTATION_ExAdv/sym_mul_test.v) (./PRESENTATION_ExAdv/sym_mul_test.ys Overfull \hbox (15.7903pt too wide) in paragraph at lines 1--2 [][][][][][][] Overfull \hbox (10.99025pt too wide) in paragraph at lines 2--4 [][][][][][][][][][][] ) Overfull \hbox (20.59035pt too wide) in paragraph at lines 4--321 [][][][][][][][][][][] Overfull \vbox (2.5389pt too high) detected at line 321 [104 <./PRESENTATION_ExAdv/sym_mul.pdf>] [105] (./PRESENTATION_ExAdv/mymul_map.v Overfull \hbox (54.88577pt too wide) in paragraph at lines 6--8 [][][][][][][][][][][][][][][][][][] Overfull \hbox (6.88528pt too wide) in paragraph at lines 11--12 [][][][][][][][][][][][][][][][][][][][][][][] ) (./PRESENTATION_ExAdv/mymul_test.v Overfull \hbox (10.09984pt too wide) in paragraph at lines 3--4 [][][][][][][][][][][][][][][][][] ) (./PRESENTATION_ExAdv/mymul_test.ys Overfull \hbox (5.29979pt too wide) in paragraph at lines 2--4 [][][][][][][][][][][] Overfull \hbox (14.89989pt too wide) in paragraph at lines 4--5 [][][][][][][][][][][][] ) Overfull \hbox (5.29979pt too wide) in paragraph at lines 5--366 [][][][][][][][][][] (./PRESENTATION_ExAdv/mymul_test.ys consecutive: Overfull \hbox (27.50002pt too wide) in paragraph at lines 9--10 [][][][][][][][][][][][] ) Overfull \hbox (23.29997pt too wide) in paragraph at lines 12--366 [][][][][][][][][][][][][][][] [106 <./PRESENTATION_ExAdv/mymul.pdf>] [107] (./PRESENTATION_ExAdv/mulshift_map.v Overfull \hbox (22.48544pt too wide) in paragraph at lines 6--7 [][][][][][][][][][][][][] Overfull \hbox (22.48544pt too wide) in paragraph at lines 7--9 [][][][][][][][][][][][][] Overfull \hbox (26.68549pt too wide) in paragraph at lines 10--12 [][][][][][][][][][][][][][][][][][] Overfull \hbox (9.88531pt too wide) in paragraph at lines 15--16 [][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (85.48608pt too wide) in paragraph at lines 16--17 [][][][][][][][][][][][][][][][][][][][] Overfull \hbox (85.48608pt too wide) in paragraph at lines 20--21 [][][][][][][][][][][][][][][][][][][][] ) (./PRESENTATION_ExAdv/mulshift_test.v Overfull \hbox (10.09984pt too wide) in paragraph at lines 3--4 [][][][][][][][][][][][][][][][][][] Overfull \hbox (10.09984pt too wide) in paragraph at lines 4--5 [][][][][][][][][][][][][][][][][][] ) (./PRESENTATION_ExAdv/mulshift_test.ys Overfull \hbox (14.89989pt too wide) in paragraph at lines 1--2 [][][][][][][] Overfull \hbox (5.29979pt too wide) in paragraph at lines 2--4 [][][][][][][][][][][] Overfull \hbox (14.89989pt too wide) in paragraph at lines 4--5 [][][][][][][][][][][][] ) Overfull \hbox (19.69994pt too wide) in paragraph at lines 5--404 [][][][][][][][][][] [108 <./PRESENTATION_ExAdv/mulshift.pdf>] [109] (./PRESENTATION_ExAdv/addshift_map.v Overfull \hbox (106.4863pt too wide) in paragraph at lines 16--17 [][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (110.68634pt too wide) in paragraph at lines 17--19 [][][][][][][][][] ) (./PRESENTATION_ExAdv/addshift_test.v) (./PRESENTATION_ExAdv/addshift_test.ys Overfull \hbox (14.89989pt too wide) in paragraph at lines 1--2 [][][][][][][] Overfull \hbox (5.29979pt too wide) in paragraph at lines 2--4 [][][][][][][][][][][] ) Overfull \hbox (19.69994pt too wide) in paragraph at lines 4--445 [][][][][][][][][][][] [110 <./PRESENTATION_ExAdv/addshift.pdf>] [111] [112] (./presentation.vrb) [113] [114] (./presentation.vrb (./PRESENTATION_ExAdv/macc_simple_test.v) (./PRESENTATION_ExAdv/macc_simple_xmap.v Overfull \hbox (16.13783pt too wide) in paragraph at lines 1--2 [][][][][][][][][][][][][][][][][] ) Overfull \hbox (6.53773pt too wide) in paragraph at lines 17--18 [][][][][][][] Overfull \hbox (16.13783pt too wide) in paragraph at lines 20--21 [][][][][][][][][][][] ) [115 <./PRESENTATION_ExAdv/macc_simple_test_00a.pdf> <./PRESENTATION_ExAdv/ma cc_simple_test_00b.pdf pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_00b.pdf): PDF inclusion: multiple pdfs with page group included in a single page >] (./presentation.vrb (./PRESENTATION_ExAdv/macc_simple_test_01.v Overfull \hbox (1.73769pt too wide) in paragraph at lines 1--2 [][][][][][][][][][][][][][][][][][][][][][][] ) Overfull \hbox (1.73769pt too wide) detected at line 3 []| (./PRESENTATION_ExAdv/macc_simple_test_02.v Overfull \hbox (1.73769pt too wide) in paragraph at lines 1--2 [][][][][][][][][][][][][][][][][][][][][][][] ) Overfull \hbox (1.73769pt too wide) detected at line 4 []| ) [116 <./PRESENTATION_ExAdv/macc_simple_test_01a.pdf> <./PRESENTATION_ExAdv/ma cc_simple_test_02a.pdf pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_02a.pdf): PDF inclusion: multiple pdfs with page group included in a single page > <./PRESENTATION_ExAdv/macc_simple_test_01b.pdf pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_01b.pdf): PDF inclusion: multiple pdfs with page group included in a single page > <./PRESENTATION_ExAdv/macc_simple_test_02b.pdf pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_02b.pdf): PDF inclusion: multiple pdfs with page group included in a single page >] [117] (./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_swap_map.v) Overfull \hbox (29.93797pt too wide) in paragraph at lines 14--7 [][][][][][][][][][][][][][] (./PRESENTATION_ExAdv/macc_xilinx_swap_map.v consecutive: )) [118] (./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_wrap_map.v Overfull \hbox (38.33806pt too wide) in paragraph at lines 19--21 [][][][][][][][][][][][][][][][][] ) (./PRESENTATION_ExAdv/macc_xilinx_wrap_map.v consecutive: Overfull \hbox (8.93776pt too wide) in paragraph at lines 25--26 [][][][][][][][] Overfull \hbox (21.53789pt too wide) in paragraph at lines 26--27 [][][][][][][][][][][][][][][][][][][] Overfull \hbox (8.93776pt too wide) in paragraph at lines 27--28 [][][][][][][][] Overfull \hbox (29.93797pt too wide) in paragraph at lines 28--29 [][][][][][][][][][][][][][][][][][][] Overfull \hbox (8.93776pt too wide) in paragraph at lines 29--30 [][][][][][][][] Overfull \hbox (0.53767pt too wide) in paragraph at lines 30--31 [][][][][][][][][][][][][] Overfull \hbox (8.93776pt too wide) in paragraph at lines 31--32 [][][][][][][][] )) Overfull \vbox (1.59166pt too high) detected at line 610 [119] (./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_wrap_map.v consecutive: ) Overfull \hbox (38.33806pt too wide) in paragraph at lines 66--6 [][][][][][][][][][][][][][][][][] (./PRESENTATION_ExAdv/macc_xilinx_wrap_map.v consecutive: Overfull \hbox (8.93776pt too wide) in paragraph at lines 72--73 [][][][][][][][] Overfull \hbox (29.93797pt too wide) in paragraph at lines 73--74 [][][][][][][][][][][][][][][][][][][] Overfull \hbox (8.93776pt too wide) in paragraph at lines 74--75 [][][][][][][][] )) [120] (./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_xmap.v)) [121] (./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_unwrap_map.v) (./PRESENTATION_ExAdv/macc_xilinx_unwrap_map.v consecutive: )) [122] (./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_unwrap_map.v consecutive: ) (./PRESENTATION_ExAdv/macc_xilinx_unwrap_map.v consecutive: )) [123] (./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_test.v Overfull \hbox (20.93788pt too wide) in paragraph at lines 1--2 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (6.53773pt too wide) in paragraph at lines 5--6 [][][][][][][][][][][][][][][][][][][][][][][][][] ) Overfull \hbox (20.93788pt too wide) detected at line 4 []| (./PRESENTATION_ExAdv/macc_xilinx_test.v consecutive: Overfull \hbox (20.93788pt too wide) in paragraph at lines 8--9 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (16.13783pt too wide) in paragraph at lines 12--13 [][][][][][][][][][][][][][][][][][][][][][][][][][] ) Overfull \hbox (20.93788pt too wide) detected at line 5 []| Overfull \hbox (140.9391pt too wide) in paragraph at lines 10--11 [][][][][][][][] Overfull \hbox (68.93837pt too wide) in paragraph at lines 11--12 [][][][][][][] ) [124 <./PRESENTATION_ExAdv/macc_xilinx_test1a.pdf> <./PRESENTATION_ExAdv/macc _xilinx_test2a.pdf pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test2a.pdf): PD F inclusion: multiple pdfs with page group included in a single page >] (./presentation.vrb Overfull \hbox (160.1393pt too wide) in paragraph at lines 10--11 [][][][][][][][][][][][][] ) [125 <./PRESENTATION_ExAdv/macc_xilinx_test1b.pdf> <./PRESENTATION_ExAdv/macc _xilinx_test2b.pdf pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test2b.pdf): PD F inclusion: multiple pdfs with page group included in a single page >] (./presentation.vrb Overfull \hbox (25.73793pt too wide) in paragraph at lines 8--10 [][][][][][][][][][] Overfull \hbox (44.93813pt too wide) in paragraph at lines 10--11 [][][][][][][][][][] Overfull \hbox (44.93813pt too wide) in paragraph at lines 11--12 [][][][][][][][] Overfull \hbox (44.93813pt too wide) in paragraph at lines 12--13 [][][][][][][][][] Overfull \hbox (49.73817pt too wide) in paragraph at lines 13--14 [][][][][][][][] ) [126 <./PRESENTATION_ExAdv/macc_xilinx_test1c.pdf>] (./presentation.vrb Overfull \hbox (25.73793pt too wide) in paragraph at lines 8--10 [][][][][][][][][][] Overfull \hbox (44.93813pt too wide) in paragraph at lines 10--11 [][][][][][][][][][] Overfull \hbox (44.93813pt too wide) in paragraph at lines 11--12 [][][][][][][][] Overfull \hbox (44.93813pt too wide) in paragraph at lines 12--13 [][][][][][][][][] Overfull \hbox (49.73817pt too wide) in paragraph at lines 13--14 [][][][][][][][] ) [127 <./PRESENTATION_ExAdv/macc_xilinx_test2c.pdf>] (./presentation.vrb Overfull \hbox (6.53773pt too wide) in paragraph at lines 8--9 [][][][][][][] Overfull \hbox (25.73793pt too wide) in paragraph at lines 9--10 [][][][][][][][][][] Overfull \hbox (35.33803pt too wide) in paragraph at lines 10--11 [][][][][][][][][][][] Overfull \hbox (6.53773pt too wide) in paragraph at lines 11--12 [][][][][][][][] Overfull \hbox (14.22638pt too wide) in paragraph at lines 4--15 [][] Overfull \hbox (35.51181pt too wide) in paragraph at lines 18--19 [][][][][][][][][][][] Overfull \hbox (30.71176pt too wide) in paragraph at lines 19--20 [][][][][][][][][][] Overfull \hbox (11.51157pt too wide) in paragraph at lines 20--21 [][][][][][][][][][][][][] ) [128 <./PRESENTATION_ExAdv/macc_xilinx_test1d.pdf>] (./presentation.vrb Overfull \hbox (6.53773pt too wide) in paragraph at lines 8--9 [][][][][][][] Overfull \hbox (25.73793pt too wide) in paragraph at lines 9--10 [][][][][][][][][][] Overfull \hbox (35.33803pt too wide) in paragraph at lines 10--11 [][][][][][][][][][][] Overfull \hbox (6.53773pt too wide) in paragraph at lines 11--12 [][][][][][][][] Overfull \hbox (14.22638pt too wide) in paragraph at lines 4--15 [][] Overfull \hbox (35.51181pt too wide) in paragraph at lines 18--19 [][][][][][][][][][][] Overfull \hbox (30.71176pt too wide) in paragraph at lines 19--20 [][][][][][][][][][] Overfull \hbox (11.51157pt too wide) in paragraph at lines 20--21 [][][][][][][][][][][][][] ) [129 <./PRESENTATION_ExAdv/macc_xilinx_test2d.pdf>] (./presentation.vrb Overfull \hbox (35.51181pt too wide) in paragraph at lines 8--9 [][][][][][][][][][][][] ) [130 <./PRESENTATION_ExAdv/macc_xilinx_test2e.pdf>] [131] [132] (./presentation.vrb Overfull \hbox (21.28543pt too wide) in paragraph at lines 22--24 [][][][][][][][][][][][][][][] Overfull \hbox (107.68631pt too wide) in paragraph at lines 24--25 [][][][][][][][][][][][][][][][][] Overfull \hbox (6.1902pt too wide) in paragraph at lines 32--33 [][][][][][][][][][][] Overfull \hbox (20.59035pt too wide) in paragraph at lines 34--35 [][][][][][][][][] ) [133] [134]) (./PRESENTATION_ExOth.tex [135] [136] [137] [138] (./presentation.vrb (./PRESENTATION_ExOth/scrambler.v Overfull \hbox (8.59023pt too wide) in paragraph at lines 12--13 [][][][][][][][][][][][][] )) Overfull \vbox (2.00948pt too high) detected at line 65 [139 <./PRESENTATION_ExOth/scrambler_p01.pdf> <./PRESENTATION_ExOth/scrambler_p 02.pdf pdfTeX warning: pdflatex (file ./PRESENTATION_ExOth/scrambler_p02.pdf): PDF inc lusion: multiple pdfs with page group included in a single page >] (./presentation.vrb Overfull \hbox (32.10844pt too wide) in paragraph at lines 5--7 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][] Overfull \hbox (27.3084pt too wide) in paragraph at lines 18--19 [][][][][][][][][][][][][][][] Overfull \hbox (41.70854pt too wide) in paragraph at lines 19--20 [][][][][][][][][] Overfull \hbox (41.70854pt too wide) in paragraph at lines 20--21 [][][][][][][][][][][][][][][][][] Overfull \hbox (41.70854pt too wide) in paragraph at lines 21--22 [][][][][][][][][][][][][][][][][] ) [140] [141] [142] (./PRESENTATION_ExSyn/techmap_01_map.v) Overfull \vbox (167.4641pt too high) detected at line 131 (./PRESENTATION_ExSyn/techmap_01.v) (./PRESENTATION_ExSyn/techmap_01.ys) Overfull \vbox (77.37361pt too high) detected at line 131 [143] (./presentation.vrb) Overfull \vbox (2.99165pt too high) detected at line 159 [144] (./presentation.vrb (./PRESENTATION_ExOth/axis_master.v Overfull \hbox (28.73796pt too wide) in paragraph at lines 1--2 [][][][][][][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 16--17 [][][][][][][][][][] ) (./PRESENTATION_ExOth/axis_test.v Overfull \hbox (34.73802pt too wide) in paragraph at lines 9--11 [][][][][][][][][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 13--14 [][][][][][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 14--15 [][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.73769pt too wide) in paragraph at lines 15--16 [][][][][][][][][][][][][][][][][][][] Overfull \hbox (10.73778pt too wide) in paragraph at lines 16--17 [][][][][][][][][][][][][][][][][][][] Overfull \hbox (10.73778pt too wide) in paragraph at lines 17--18 [][][][][][][][][][][][][][][][][][][] Overfull \hbox (10.73778pt too wide) in paragraph at lines 18--19 [][][][][][][][][][][][][][][][][][][] Overfull \hbox (10.73778pt too wide) in paragraph at lines 19--20 [][][][][][][][][][][][][][][][][][][] Overfull \hbox (10.73778pt too wide) in paragraph at lines 20--21 [][][][][][][][][][][][][][][][][][][] Overfull \hbox (10.73778pt too wide) in paragraph at lines 21--22 [][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.73769pt too wide) in paragraph at lines 22--23 [][][][][][][][][][][][][][][][][][][] )) Overfull \vbox (31.79161pt too high) detected at line 177 [145] (./presentation.vrb) [146] [147]) (./PRESENTATION_Prog.tex [148] [149] [150] (./presentation.vrb) [151] [152] [153] [154] (./presentation.vrb (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty)) [155] (./presentation.vrb Underfull \hbox (badness 10000) in paragraph at lines 17--17 []\T1/LinuxLibertineMonoT-TLF/regular/n/10.95 start_offset ) [156] (./presentation.vrb) [157] (./presentation.vrb) [158] (./presentation.vrb Overfull \hbox (20.96078pt too wide) in paragraph at lines 25--26 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (35.36093pt too wide) in paragraph at lines 27--28 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (42.561pt too wide) in paragraph at lines 28--29 [][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (35.36093pt too wide) in paragraph at lines 29--30 [][][][][][][][][][][][][][][][][] Overfull \hbox (24.56082pt too wide) in paragraph at lines 30--31 [][][][][][][][][][][][][][][] Overfull \hbox (28.16086pt too wide) in paragraph at lines 31--32 [][][][][][][][][][][][][] ) [159] (./presentation.vrb) [160] (./presentation.vrb Overfull \hbox (2.9606pt too wide) in paragraph at lines 21--22 [][][][][][][][][][][][][][][][][][][] ) [161] (./presentation.vrb) Overfull \vbox (2.59166pt too high) detected at line 400 [162] [163] (./presentation.vrb) [164] (./presentation.vrb) [165] (./presentation.vrb Overfull \hbox (41.361pt too wide) in paragraph at lines 15--16 [][][][][][][][][][][][][][][][][][][][][][][][][][][] ) [166] (./presentation.vrb Overfull \hbox (60.56119pt too wide) in paragraph at lines 12--13 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (2.9606pt too wide) in paragraph at lines 21--22 [][][][][][][][][][][][][][][][] ) [167] (./presentation.vrb) [168] [169]) (./presentation.aux (./PRESENTATION_Intro.aux) (./PRESENTATION_ExSyn.aux) (./PRESENTATION_ExAdv.aux ) (./PRESENTATION_ExOth.aux) (./PRESENTATION_Prog.aux)) ) (see the transcript file for additional information){/usr/share/texlive/texmf-d ist/fonts/enc/dvips/libertine/lbtn_76gpa5.enc}{/usr/share/texlive/texmf-dist/fo nts/enc/dvips/lm/lm-mathsy.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/l ibertine/lbtn_25tcsq.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/liberti ne/lbtn_dhi6qf.enc} Output written on presentation.pdf (169 pages, 814800 bytes). Transcript written on presentation.log. + md5sum APPNOTE_010_Verilog_to_BLIF.aux APPNOTE_011_Design_Investigation.aux APPNOTE_012_Verilog_to_BTOR.aux PRESENTATION_ExAdv.aux PRESENTATION_ExOth.aux PRESENTATION_ExSyn.aux PRESENTATION_Intro.aux PRESENTATION_Prog.aux presentation.aux presentation.snm presentation.nav presentation.toc + cmp autoloop.old autoloop.new + rm -f autoloop.old + rm -f autoloop.new cd manual && bash manual.sh md5sum: '*.bbl': No such file or directory md5sum: '*.blg': No such file or directory + pdflatex -shell-escape -halt-on-error manual.tex This is pdfTeX, Version 3.14159265-2.6-1.40.21 (TeX Live 2020) (preloaded format=pdflatex) \write18 enabled. entering extended mode (./manual.tex LaTeX2e <2020-02-02> patch level 5 L3 programming layer <2020-04-06> (/usr/share/texlive/texmf-dist/tex/latex/base/book.cls Document Class: book 2019/12/20 v1.4l Standard LaTeX document class (/usr/share/texlive/texmf-dist/tex/latex/base/bk10.clo)) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty) (/usr/share/texlive/texmf-dist/tex/latex/lm/lmodern.sty) (/usr/share/texlive/texmf-dist/tex/latex/libertine/libertine.sty (/usr/share/texlive/texmf-dist/tex/generic/iftex/ifxetex.sty (/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty)) (/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty) (/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)))) (/usr/share/texlive/texmf-dist/tex/latex/base/textcomp.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty (/usr/share/texlive/texmf-dist/tex/latex/lm/t1lmr.fd)) (/usr/share/texlive/texmf-dist/tex/latex/fontaxes/fontaxes.sty) (/usr/share/texlive/texmf-dist/tex/latex/libertine/LinLibertine_I.tex)) (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.sty (/usr/share/texlive/texmf-dist/tex/generic/babel/switch.def) (/usr/share/texlive/texmf-dist/tex/generic/babel-english/english.ldf (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.def (/usr/share/texlive/texmf-dist/tex/generic/babel/txtbabel.def)))) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def) (/usr/share/texlive/texmf-dist/tex/latex/colortbl/colortbl.sty (/usr/share/texlive/texmf-dist/tex/latex/tools/array.sty))) (/usr/share/texlive/texmf-dist/tex/latex/base/makeidx.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg))) (/usr/share/texlive/texmf-dist/tex/latex/pdfpages/pdfpages.sty (/usr/share/texlive/texmf-dist/tex/latex/base/ifthen.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/calc.sty) (/usr/share/texlive/texmf-dist/tex/latex/eso-pic/eso-pic.sty (/usr/share/texlive/texmf-dist/tex/generic/atbegshi/atbegshi.sty (/usr/share/texlive/texmf-dist/tex/generic/infwarerr/infwarerr.sty) (/usr/share/texlive/texmf-dist/tex/generic/ltxcmds/ltxcmds.sty))) (/usr/share/texlive/texmf-dist/tex/latex/pdfpages/pppdftex.def)) (/usr/share/texlive/texmf-dist/tex/latex/float/float.sty) (/usr/share/texlive/texmf-dist/tex/latex/subfigure/subfigure.sty **************************************** * Local config file subfigure.cfg used * **************************************** (/usr/share/texlive/texmf-dist/tex/latex/subfigure/subfigure.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/caption/caption.sty (/usr/share/texlive/texmf-dist/tex/latex/caption/caption3.sty)) (/usr/share/texlive/texmf-dist/tex/latex/moreverb/moreverb.sty (/usr/share/texlive/texmf-dist/tex/latex/tools/verbatim.sty)) (/usr/share/texlive/texmf-dist/tex/latex/fancyhdr/fancyhdr.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty For additional information on amsmath, use the `?' option. (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) (/usr/share/texlive/texmf-dist/tex/latex/graphics/rotating.sty) (/usr/share/texlive/texmf-dist/tex/latex/natbib/natbib.sty) (/usr/share/texlive/texmf-dist/tex/generic/epsf/epsf.sty This is `epsf.tex' v2.7.4 <14 February 2011> ) (/usr/share/texlive/texmf-dist/tex/latex/doublestroke/dsfont.sty) (/usr/share/texlive/texmf-dist/tex/latex/algorithm2e/algorithm2e.sty (/usr/share/texlive/texmf-dist/tex/latex/ifoddpage/ifoddpage.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/xspace.sty) (/usr/share/texlive/texmf-dist/tex/latex/relsize/relsize.sty)) (/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty (/usr/share/texlive/texmf-dist/tex/latex/base/latin1.def)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hyperref.sty (/usr/share/texlive/texmf-dist/tex/latex/pdftexcmds/pdftexcmds.sty) (/usr/share/texlive/texmf-dist/tex/generic/kvsetkeys/kvsetkeys.sty) (/usr/share/texlive/texmf-dist/tex/generic/kvdefinekeys/kvdefinekeys.sty) (/usr/share/texlive/texmf-dist/tex/generic/pdfescape/pdfescape.sty) (/usr/share/texlive/texmf-dist/tex/latex/hycolor/hycolor.sty) (/usr/share/texlive/texmf-dist/tex/latex/letltxmacro/letltxmacro.sty) (/usr/share/texlive/texmf-dist/tex/latex/auxhook/auxhook.sty) (/usr/share/texlive/texmf-dist/tex/latex/kvoptions/kvoptions.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/pd1enc.def) (/usr/share/texlive/texmf-dist/tex/generic/intcalc/intcalc.sty) (/usr/share/texlive/texmf-dist/tex/generic/etexcmds/etexcmds.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/backref.sty (/usr/share/texlive/texmf-dist/tex/latex/rerunfilecheck/rerunfilecheck.sty (/usr/share/texlive/texmf-dist/tex/latex/atveryend/atveryend.sty) (/usr/share/texlive/texmf-dist/tex/generic/uniquecounter/uniquecounter.sty (/usr/share/texlive/texmf-dist/tex/generic/bigintcalc/bigintcalc.sty)))) (/usr/share/texlive/texmf-dist/tex/latex/url/url.sty) (/usr/share/texlive/texmf-dist/tex/generic/bitset/bitset.sty)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hpdftex.def) (/usr/share/texlive/texmf-dist/tex/latex/multibib/multibib.sty) (/usr/share/texlive/texmf-dist/tex/latex/multirow/multirow.sty) (/usr/share/texlive/texmf-dist/tex/latex/booktabs/booktabs.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.sty (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/psnfss/pifont.sty (/usr/share/texlive/texmf-dist/tex/latex/psnfss/upzd.fd) (/usr/share/texlive/texmf-dist/tex/latex/psnfss/upsy.fd)) (/usr/share/texlive/texmf-dist/tex/latex/skull/skull.sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common-lists.t ex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def (/usr/share/texlive/texmf-dist/tex/latex/ms/everyshi.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeysfiltered.code.t ex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de f))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet ric.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari thmetics.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation s.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex ) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 .sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 .sty)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarytopaths.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarycalc.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryarrows.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryarrows.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryscopes.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarythrough.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryshapes.geometric.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape s.geometric.code.tex)) No file weblink.aux. Writing index file manual.idx (/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdfmode.def) No file manual.aux. (/usr/share/texlive/texmf-dist/tex/latex/libertine/T1LinuxLibertineT-TLF.fd) (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii [Loading MPS to PDF converter (version 2006.09.02).] ) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/pdflscape/pdflscape.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/lscape.sty)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/nameref.sty (/usr/share/texlive/texmf-dist/tex/latex/refcount/refcount.sty) (/usr/share/texlive/texmf-dist/tex/generic/gettitlestring/gettitlestring.sty)) ABD: EveryShipout initializing macros [1{/usr/share/texlive/texmf-dist/fonts/ma p/pdftex/updmap/pdftex.map}] Package natbib Warning: Citation `BACC' on page 2 undefined on input line 168. [2] (/usr/share/texlive/texmf-dist/tex/latex/lm/ot1lmr.fd) (/usr/share/texlive/texmf-dist/tex/latex/lm/omllmm.fd) (/usr/share/texlive/texmf-dist/tex/latex/lm/omslmsy.fd) (/usr/share/texlive/texmf-dist/tex/latex/lm/omxlmex.fd) [3] [4] (./CHAPTER_Intro.tex Chapter 1. Package natbib Warning: Citation `Verilog2005' on page 5 undefined on input lin e 17. Package natbib Warning: Citation `VerilogSynth' on page 5 undefined on input li ne 17. Package natbib Warning: Citation `VHDL' on page 5 undefined on input line 20. Package natbib Warning: Citation `VHDLSynth' on page 5 undefined on input line 20. Package natbib Warning: Citation `intersynth' on page 5 undefined on input line 27. Package natbib Warning: Citation `LogicSynthesis' on page 5 undefined on input line 42. Package natbib Warning: Citation `Dragonbook' on page 5 undefined on input line 43. LaTeX Warning: Reference `chapter:sota' on page 5 undefined on input line 52. [5] LaTeX Warning: Reference `chapter:intro' on page 6 undefined on input line 61. LaTeX Warning: Reference `chapter:basics' on page 6 undefined on input line 63. LaTeX Warning: Reference `chapter:approach' on page 6 undefined on input line 6 6. LaTeX Warning: Reference `chapter:overview' on page 6 undefined on input line 7 0. LaTeX Warning: Reference `chapter:celllib' on page 6 undefined on input line 75 . LaTeX Warning: Reference `chapter:prog' on page 6 undefined on input line 79. LaTeX Warning: Reference `chapter:verilog' on page 6 undefined on input line 84 . LaTeX Warning: Reference `chapter:opt' on page 6 undefined on input line 84. LaTeX Warning: Reference `chapter:techmap' on page 6 undefined on input line 84 . LaTeX Warning: Reference `chapter:eval' on page 6 undefined on input line 89. LaTeX Warning: Reference `commandref' on page 6 undefined on input line 95. LaTeX Warning: Reference `chapter:sota' on page 6 undefined on input line 96. ) [6] (./CHAPTER_Basics.tex Chapter 2. LaTeX Warning: Reference `fig:Basics_abstractions' on page 7 undefined on input line 18. Package natbib Warning: Citation `ABEL' on page 7 undefined on input line 68. [7] Package natbib Warning: Citation `IP-XACT' on page 8 undefined on input line 85 . Package natbib Warning: Citation `C_to_Verilog' on page 8 undefined on input li ne 101. Package natbib Warning: Citation `LegUp' on page 8 undefined on input line 101. (/usr/share/texlive/texmf-dist/tex/latex/libertine/T1LinuxLibertineMonoT-TLF.fd ) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang2.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang3.sty) LaTeX Warning: Reference `chapter:sota' on page 8 undefined on input line 139. [8] Package natbib Warning: Citation `MultiLevelLogicSynth' on page 9 undefined on input line 199. Package natbib Warning: Citation `ABC' on page 9 undefined on input line 205. Package natbib Warning: Citation `AIGER' on page 9 undefined on input line 206. Package natbib Warning: Citation `MVSIS' on page 9 undefined on input line 206. Package natbib Warning: Citation `ABC' on page 9 undefined on input line 209. [9] Package natbib Warning: Citation `Verilog2005' on page 10 undefined on input li ne 244. Package natbib Warning: Citation `VerilogSynth' on page 10 undefined on input l ine 245. (/usr/share/texlive/texmf-dist/tex/latex/libertine/TS1LinuxLibertineT-TLF.fd) [10] [11] Package natbib Warning: Citation `Cummings00' on page 12 undefined on input lin e 356. LaTeX Warning: Reference `sec:blocking_nonblocking' on page 12 undefined on inp ut line 363. LaTeX Warning: Reference `fig:StateOfTheArt_for' on page 12 undefined on input line 390. LaTeX Warning: Reference `fig:StateOfTheArt_gen' on page 12 undefined on input line 391. LaTeX Warning: Reference `chapter:sota' on page 12 undefined on input line 391. [12] [13] LaTeX Warning: Reference `fig:Basics_flow' on page 14 undefined on input line 5 02. [14] Package natbib Warning: Citation `Dragonbook' on page 15 undefined on input lin e 585. LaTeX Warning: Reference `tab:Basics_tokens' on page 15 undefined on input line 590. Package natbib Warning: Citation `flex' on page 15 undefined on input line 609. Package natbib Warning: Citation `bison' on page 15 undefined on input line 623 . Overfull \hbox (9.2878pt too wide) in paragraph at lines 633--665 [] [] LaTeX Warning: Reference `fig:Basics_parsetree' on page 15 undefined on input l ine 669. [15] LaTeX Warning: Reference `fig:Basics_ast' on page 16 undefined on input line 67 9. LaTeX Warning: Reference `fig:Basics_parsetree' on page 16 undefined on input l ine 680. Package natbib Warning: Citation `Dragonbook' on page 16 undefined on input lin e 683. ) [16] [17] (./CHAPTER_Approach.tex Chapter 3. LaTeX Warning: Reference `fig:approach_flow' on page 18 undefined on input line 13. [18] Package natbib Warning: Citation `LibertyFormat' on page 19 undefined on input line 107. (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) Overfull \hbox (31.07037pt too wide) in paragraph at lines 113--114 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [19] LaTeX Warning: Reference `commandref' on page 20 undefined on input line 144. ) [20] (./CHAPTER_Overview.tex Chapter 4. Package natbib Warning: Citation `OR1200' on page 21 undefined on input line 13 . Package natbib Warning: Citation `openMSP430' on page 21 undefined on input lin e 13. Package natbib Warning: Citation `i2cmaster' on page 21 undefined on input line 14. Package natbib Warning: Citation `k68' on page 21 undefined on input line 14. LaTeX Warning: Reference `fig:Overview_flow' on page 21 undefined on input line 26. [21] LaTeX Warning: Reference `fig:Overview_RTLIL' on page 22 undefined on input lin e 103. [22] [23] Overfull \hbox (8.12611pt too wide) in paragraph at lines 195--200 \T1/LinuxLibertineT-TLF/m/n/10 The RTLIL::Design ob-ject is ba-si-cally just a con-tainer for RTLIL::Module ob-jects. In ad-di-tion to a list of RTLIL::Module LaTeX Warning: Reference `fig:Overview_RTLIL' on page 24 undefined on input lin e 201. [24] [25] Overfull \hbox (3.44838pt too wide) in paragraph at lines 324--330 []\T1/LinuxLibertineT-TLF/m/n/10 This RTLIL::Process con-tains two RTLIL::SyncR ule ob-jects, two RTLIL::SwitchRule ob-jects and five RTLIL::CaseRule Overfull \hbox (3.24974pt too wide) in paragraph at lines 338--341 []\T1/LinuxLibertineT-TLF/m/n/10 An RTLIL::CaseRule is a con-tainer for zero or more as-sign-ments (RTLIL::SigSig) and zero or more RTLIL::SwitchRule [26] [27] LaTeX Warning: Reference `sec:memcells' on page 28 undefined on input line 458. LaTeX Warning: Reference `sec:typusecase' on page 28 undefined on input line 46 6. [28] Underfull \hbox (badness 1675) in paragraph at lines 521--526 []\T1/LinuxLibertineT-TLF/m/n/10 The top-level Make-file in-cludes \T1/LinuxLib ertineMonoT-TLF/regular/n/10 frontends/*/Makefile.inc\T1/LinuxLibertineT-TLF/m/ n/10 , \T1/LinuxLibertineMonoT-TLF/regular/n/10 passes/*/Makefile.inc \T1/Linux LibertineT-TLF/m/n/10 and Overfull \hbox (28.0696pt too wide) in paragraph at lines 528--530 []\T1/LinuxLibertineT-TLF/m/n/10 Good start-ing points for read-ing ex-am-ple s ource code to learn how to write passes are \T1/LinuxLibertineMonoT-TLF/regular /n/10 passes/opt/opt_rmdff.cc ) [29] (./CHAPTER_CellLib.tex Chapter 5. LaTeX Warning: Reference `tab:CellLib_unary' on page 30 undefined on input line 45. [30] LaTeX Warning: Reference `tab:CellLib_binary' on page 31 undefined on input lin e 98. [31] [32] [33] [34] LaTeX Warning: Reference `tab:CellLib_gates' on page 35 undefined on input line 437. Overfull \hbox (2.224pt too wide) in paragraph at lines 443--449 []\T1/LinuxLibertineT-TLF/m/n/10 The cell types \T1/LinuxLibertineMonoT-TLF/reg ular/n/10 $_DFF_NN0_\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibertineMonoT-TL F/regular/n/10 $_DFF_NN1_\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibertineMon oT-TLF/regular/n/10 $_DFF_NP0_\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLiberti neMonoT-TLF/regular/n/10 $_DFF_NP1_\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLi bertineMonoT-TLF/regular/n/10 $_DFF_PN0_\T1/LinuxLibertineT-TLF/m/n/10 , \T1/Li nuxLibertineMonoT-TLF/regular/n/10 $_DFF_PN1_\T1/LinuxLibertineT-TLF/m/n/10 , [35] Package natbib Warning: Citation `ABC' on page 36 undefined on input line 460. Underfull \hbox (badness 1701) in paragraph at lines 464--466 []\T1/LinuxLibertineT-TLF/m/n/10 Add in-for-ma-tion about \T1/LinuxLibertineMon oT-TLF/regular/n/10 $assert\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibertineM onoT-TLF/regular/n/10 $assume\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibertin eMonoT-TLF/regular/n/10 $live\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibertin eMonoT-TLF/regular/n/10 $fair\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibertin eMonoT-TLF/regular/n/10 $cover\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLiberti neMonoT-TLF/regular/n/10 $equiv\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibert ineMonoT-TLF/regular/n/10 $initstate\T1/LinuxLibertineT-TLF/m/n/10 , [36]) [37] (./CHAPTER_Prog.tex Chapter 6. (../CodingReadme consecutive: Overfull \hbox (91.07098pt too wide) in paragraph at lines 33--35 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [38] Overfull \hbox (7.07013pt too wide) in paragraph at lines 47--48 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 48--49 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 59--60 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (31.07037pt too wide) in paragraph at lines 60--62 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 63--64 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 67--68 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 76--77 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 79--80 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [39] Overfull \hbox (13.07019pt too wide) in paragraph at lines 96--97 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [40] Overfull \hbox (7.07013pt too wide) in paragraph at lines 146--147 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 163--164 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 167--169 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 169--170 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 173--174 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (43.0705pt too wide) in paragraph at lines 189--190 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 190--191 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 191--192 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (31.07037pt too wide) in paragraph at lines 192--195 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [41] Overfull \hbox (55.07062pt too wide) in paragraph at lines 198--199 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 209--210 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 210--214 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] ) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) [42] (./CHAPTER_Prog/stubnets.cc Overfull \hbox (79.07086pt too wide) in paragraph at lines 19--20 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (73.0708pt too wide) in paragraph at lines 27--28 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (43.0705pt too wide) in paragraph at lines 30--32 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [43] Overfull \hbox (103.0711pt too wide) in paragraph at lines 58--59 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (73.0708pt too wide) in paragraph at lines 61--62 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (67.07074pt too wide) in paragraph at lines 65--66 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (115.07123pt too wide) in paragraph at lines 67--68 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 74--75 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (37.07043pt too wide) in paragraph at lines 77--78 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (97.07104pt too wide) in paragraph at lines 81--82 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (67.07074pt too wide) in paragraph at lines 83--84 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ] Overfull \hbox (43.0705pt too wide) in paragraph at lines 93--94 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (55.07062pt too wide) in paragraph at lines 101--102 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [44] Overfull \hbox (31.07037pt too wide) in paragraph at lines 106--108 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 126--127 [][][][][][][][][][][][][][][][][] ) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang2.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) (./CHAPTER_Prog/Makefile Overfull \hbox (91.07098pt too wide) in paragraph at lines 4--5 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][] Overfull \hbox (67.07074pt too wide) in paragraph at lines 8--10 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] ) (./CHAPTER_Prog/test.v)) [45] (./CHAPTER_Verilog.tex Chapter 7. LaTeX Warning: Reference `fig:Verilog_flow' on page 46 undefined on input line 9. [46] Package natbib Warning: Citation `flex' on page 47 undefined on input line 95. Underfull \hbox (badness 4752) in paragraph at lines 95--99 \T1/LinuxLibertineT-TLF/m/n/10 The Ver-ilog Lexer is writ-ten us-ing the lexer gen-er-a-tor \T1/LinuxLibertineT-TLF/m/it/10 flex \T1/LinuxLibertineT-TLF/b/n/1 0 ?\T1/LinuxLibertineT-TLF/m/n/10 . Its source code can be found in Package natbib Warning: Citation `bison' on page 47 undefined on input line 117 . Overfull \hbox (83.20296pt too wide) in paragraph at lines 117--119 \T1/LinuxLibertineT-TLF/m/n/10 The Ver-ilog Parser is writ-ten us-ing the parse r gen-er-a-tor \T1/LinuxLibertineT-TLF/m/it/10 bi-son \T1/LinuxLibertineT-TLF/b /n/10 ?\T1/LinuxLibertineT-TLF/m/n/10 . Its source code can be found in \T1/Lin uxLibertineMonoT-TLF/regular/n/10 frontends/verilog/parser.y LaTeX Warning: Reference `tab:Verilog_AstNodeType' on page 47 undefined on inpu t line 392. Overfull \hbox (4.14989pt too wide) in paragraph at lines 399--403 \T1/LinuxLibertineT-TLF/m/n/10 am-ple the mem-ber [][][][][][][] can hold a str ing value and is used e.g. in the \T1/LinuxLibertineMonoT-TLF/regular/n/10 AST_ IDENTIFIER Overfull \hbox (25.69577pt too wide) in paragraph at lines 403--408 \T1/LinuxLibertineT-TLF/m/n/10 Each [][][][][] is au-to-mat-i-cally an-no-tated with the cur-rent source code lo-ca-tion by the [][][][][] [47] [48] Overfull \hbox (11.2028pt too wide) in paragraph at lines 447--449 []\T1/LinuxLibertineT-TLF/m/n/10 Perform const fold-ing where it is nec-es-sary (e.g. in the value part of \T1/LinuxLibertineMonoT-TLF/regular/n/10 AST_PARAME TER\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibertineMonoT-TLF/regular/n/10 AS T_LOCALPARAM\T1/LinuxLibertineT-TLF/m/n/10 , [49] Overfull \hbox (17.49321pt too wide) in paragraph at lines 488--494 \T1/LinuxLibertineT-TLF/m/n/10 in-stance of [][][][][]. This ob-ject then gen-e r-ates the [][][][][] [50] [51] Overfull \hbox (30.01938pt too wide) in paragraph at lines 595--599 []\T1/LinuxLibertineT-TLF/m/n/10 Note that in con-trast to this, the or-der wit hin the [][][][][] ob-jects within a [][][][][] Underfull \hbox (badness 1360) in paragraph at lines 600--605 []\T1/LinuxLibertineT-TLF/m/n/10 The whole [][][][][]/[][][][][] tree de-scribe s an asyn-chronous cir-cuit. Overfull \hbox (27.5457pt too wide) in paragraph at lines 608--615 \T1/LinuxLibertineT-TLF/m/n/10 ob-ject is later sim-ply trans-formed into a set of d-type flip-flops and the [][][][][]/[][][][][] Underfull \hbox (badness 10000) in paragraph at lines 617--621 []\T1/LinuxLibertineT-TLF/m/n/10 In more com-plex ex-am-ples (e.g. asyn-chronou s re-sets) the part of the Underfull \hbox (badness 1087) in paragraph at lines 617--621 [][][][][]\T1/LinuxLibertineT-TLF/m/n/10 /[][][][][] tree that de-scribes the a syn-chronous re-set must first be Underfull \hbox (badness 10000) in paragraph at lines 629--633 \T1/LinuxLibertineT-TLF/m/n/10 These two vari-ables hold the re-place-ment pat- tern that should be used by Underfull \hbox (badness 2027) in paragraph at lines 629--633 [][][][][][][][] \T1/LinuxLibertineT-TLF/m/n/10 for sig-nals with block-ing as- sign-ments. Af-ter ini-tial-iza-tion of Overfull \hbox (16.68127pt too wide) in paragraph at lines 639--642 \T1/LinuxLibertineT-TLF/m/n/10 A pointer to a [][][][][] ob-ject. Ini-tially th is is the root case of the gen-er-ated [][][][][]. [52] Overfull \hbox (4.04175pt too wide) in paragraph at lines 654--657 []\T1/LinuxLibertineT-TLF/m/n/10 During this re-cur-sive eval-u-a-tion, three d if-fer-ent rel-e-vant types of AST nodes can be dis-cov-ered: \T1/LinuxLibertin eMonoT-TLF/regular/n/10 AST_ASSIGN_LE Underfull \hbox (badness 10000) in paragraph at lines 700--703 []\T1/LinuxLibertineT-TLF/m/n/10 The val-ues of [][][], [][][], [][][] and Underfull \hbox (badness 10000) in paragraph at lines 703--708 []\T1/LinuxLibertineT-TLF/m/n/10 A new [][][][][] ob-ject is gen-er-ated, the s e-lec-tion ex-pres-sion is eval-u-ated Underfull \hbox (badness 10000) in paragraph at lines 703--708 \T1/LinuxLibertineT-TLF/m/n/10 us-ing [][][][][][][][] (with the use of [][][] and Underfull \hbox (badness 1231) in paragraph at lines 703--708 [][][]\T1/LinuxLibertineT-TLF/m/n/10 ) and added to the [][][][][] ob-ject and the ob-ject is added Underfull \hbox (badness 1226) in paragraph at lines 711--714 []\T1/LinuxLibertineT-TLF/m/n/10 New tem-po-rary sig-nals are gen-er-ated for a ll sig-nals in [][][] and stored in Underfull \hbox (badness 10000) in paragraph at lines 714--717 []\T1/LinuxLibertineT-TLF/m/n/10 The sig-nals in [][][] are mapped us-ing [][][ ] and [53] Underfull \hbox (badness 10000) in paragraph at lines 722--726 []\T1/LinuxLibertineT-TLF/m/n/10 Set [][][], [][][], [][][] and Underfull \hbox (badness 10000) in paragraph at lines 729--732 []\T1/LinuxLibertineT-TLF/m/n/10 Append [][][] to [][][] and ap-pend Underfull \hbox (badness 3514) in paragraph at lines 737--740 []\T1/LinuxLibertineT-TLF/m/n/10 Add an as-sign-ment from [][][] to [][][] to t he new Underfull \hbox (badness 10000) in paragraph at lines 752--755 []\T1/LinuxLibertineT-TLF/m/n/10 The val-ues of [][][], [][][], [][][] and Underfull \hbox (badness 10000) in paragraph at lines 755--758 []\T1/LinuxLibertineT-TLF/m/n/10 The sig-nals from [][][] are re-moved from the Underfull \hbox (badness 10000) in paragraph at lines 767--769 []\T1/LinuxLibertineT-TLF/m/n/10 Add an as-sign-ment from [][][] to [][][] to Overfull \hbox (0.63689pt too wide) in paragraph at lines 787--794 \T1/LinuxLibertineT-TLF/m/n/10 calls to [][][][][][][][] with a [][][]/[][][]-t uple that [54] Overfull \hbox (32.64174pt too wide) in paragraph at lines 797--800 \T1/LinuxLibertineT-TLF/m/n/10 The Pro-cess-Gen-er-a-tor con-verts a be-haviour al model in AST rep-re-sen-ta-tion to a be-havioural model in [][][][][] ) [55] [56] (./CHAPTER_Optimize.tex Chapter 8. LaTeX Warning: Reference `chapter:celllib' on page 57 undefined on input line 3 7. LaTeX Warning: Reference `tab:opt_expr_and' on page 57 undefined on input line 64. Package natbib Warning: Citation `Verilog2005' on page 57 undefined on input li ne 69. [57] [58] Overfull \hbox (1.26599pt too wide) in paragraph at lines 129--132 \T1/LinuxLibertineT-TLF/m/n/10 This pass iden-ti-fies un-used sig-nals and cell s and re-moves them from the de-sign. It also cre-ates an \T1/LinuxLibertineMon oT-TLF/regular/n/10 \unused_bits Overfull \hbox (43.95105pt too wide) in paragraph at lines 190--196 \T1/LinuxLibertineT-TLF/m/n/10 at-tribute. The \T1/LinuxLibertineMonoT-TLF/regu lar/n/10 fsm_extract \T1/LinuxLibertineT-TLF/m/n/10 ex-tracts all FSMs marked u s-ing the \T1/LinuxLibertineMonoT-TLF/regular/n/10 \fsm_encoding \T1/LinuxLiber tineT-TLF/m/n/10 at-tribute (un-less \T1/LinuxLibertineMonoT-TLF/regular/n/10 \ fsm_encoding [59] Package natbib Warning: Citation `fsmextract' on page 60 undefined on input lin e 202. Overfull \hbox (1.6189pt too wide) in paragraph at lines 220--224 []\T1/LinuxLibertineT-TLF/m/n/10 This heuris-tic has proven to work very well. It is pos-si-ble to over-write it by set-ting \T1/LinuxLibertineMonoT-TLF/regul ar/n/10 \fsm_encoding= "auto" [60] LaTeX Warning: Reference `enum:fsm_extract_cealg_try' on page 61 undefined on i nput line 276. LaTeX Warning: Reference `enum:fsm_extract_cealg_try' on page 61 undefined on i nput line 278. LaTeX Warning: Reference `enum:fsm_extract_cealg_try' on page 61 undefined on i nput line 279. LaTeX Warning: Reference `enum:fsm_extract_cealg_try' on page 61 undefined on i nput line 281. [61] Package natbib Warning: Citation `Formality' on page 62 undefined on input line 315. Package natbib Warning: Citation `ABC' on page 62 undefined on input line 320. LaTeX Warning: Reference `sec:techmap_extern' on page 62 undefined on input lin e 323. ) [62] (./CHAPTER_Techmap.tex Chapter 9. LaTeX Warning: Reference `sec:celllib_gates' on page 63 undefined on input line 12. LaTeX Warning: Reference `cmd:techmap' on page 63 undefined on input line 34. [63] Package natbib Warning: Citation `intersynthFdlBookChapter' on page 64 undefine d on input line 61. LaTeX Warning: Reference `sec:SubCircuit' on page 64 undefined on input line 66 . Package natbib Warning: Citation `LibertyFormat' on page 64 undefined on input line 74. Package natbib Warning: Citation `ABC' on page 64 undefined on input line 92. LaTeX Warning: Reference `sec:filterlib' on page 64 undefined on input line 100 . ) [64] (./CHAPTER_Auxlibs.tex Appendix A. Package natbib Warning: Citation `bigint' on page 65 undefined on input line 16 . Package natbib Warning: Citation `UllmannSubgraphIsomorphism' on page 65 undefi ned on input line 26. LaTeX Warning: Reference `cmd:extract' on page 65 undefined on input line 27. LaTeX Warning: Reference `cmd:sat' on page 65 undefined on input line 34. ) [65] (./CHAPTER_Auxprogs.tex Appendix B. LaTeX Warning: Reference `chapter:prog' on page 66 undefined on input line 11. LaTeX Warning: Reference `sec:techmap_extern' on page 66 undefined on input lin e 17. Package natbib Warning: Citation `ABC' on page 66 undefined on input line 22. ) [66] Appendix C. (./command-reference-manual.tex Overfull \hbox (13.07019pt too wide) in paragraph at lines 8--9 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (61.07068pt too wide) in paragraph at lines 12--13 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 13--15 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [67] [68] Overfull \hbox (1.07007pt too wide) in paragraph at lines 106--107 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 111--112 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (31.07037pt too wide) in paragraph at lines 119--120 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][] Overfull \hbox (25.07031pt too wide) in paragraph at lines 122--123 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 130--131 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 134--135 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 135--136 [][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 143--144 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [69] Overfull \hbox (7.07013pt too wide) in paragraph at lines 151--152 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 155--156 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 156--157 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 163--164 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 164--165 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 165--166 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 178--181 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][] [70] Overfull \hbox (1.07007pt too wide) in paragraph at lines 211--212 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 240--241 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 241--242 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [71] Overfull \hbox (7.07013pt too wide) in paragraph at lines 274--276 [][][][][][][][][][][][][][][][][][][][][][][][][][][] [72] [73] Overfull \hbox (7.07013pt too wide) in paragraph at lines 373--374 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [74] Overfull \hbox (13.07019pt too wide) in paragraph at lines 438--439 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 441--442 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 451--452 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] [75] Overfull \hbox (7.07013pt too wide) in paragraph at lines 477--478 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 493--494 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.92607pt too wide) in paragraph at lines 507--507 []\T1/LinuxLibertineT-TLF/b/n/14.4 coolrunner2_sop -- break $sop cells into AND TER-M/ORTERM cells [76] Overfull \hbox (13.07019pt too wide) in paragraph at lines 529--530 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 534--536 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 549--550 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [77] Overfull \hbox (13.07019pt too wide) in paragraph at lines 609--612 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 624--625 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 630--631 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [78] Overfull \hbox (1.07007pt too wide) in paragraph at lines 658--659 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 662--663 [][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (73.0708pt too wide) in paragraph at lines 687--688 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [79] Overfull \hbox (19.07025pt too wide) in paragraph at lines 731--732 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [80] Overfull \hbox (19.07025pt too wide) in paragraph at lines 774--775 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [81] Overfull \hbox (1.07007pt too wide) in paragraph at lines 839--840 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [82] Overfull \hbox (1.07007pt too wide) in paragraph at lines 849--850 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 860--862 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 880--881 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 881--882 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 890--891 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [83] Overfull \hbox (13.07019pt too wide) in paragraph at lines 941--942 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 942--943 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] [84] Overfull \hbox (1.07007pt too wide) in paragraph at lines 983--984 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 999--1000 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1003--1004 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1007--1008 [][][][][][][][][][][][][][][][][][][][][][][][][][][] [85] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1018--1019 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1038--1039 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [86] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1080--1082 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1093--1094 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1104--1105 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1116--1117 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [87] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1155--1156 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1156--1157 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1157--1158 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1158--1159 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1159--1160 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1160--1162 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1163--1164 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1164--1165 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [88] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1173--1174 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1186--1187 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1188--1190 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [89] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1272--1273 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [90] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1285--1286 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1286--1287 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1307--1308 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] [91] [92] [93] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1434--1435 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [94] [95] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1560--1561 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [96] Overfull \hbox (19.07025pt too wide) in paragraph at lines 1599--1600 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (25.07031pt too wide) in paragraph at lines 1604--1605 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1605--1607 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1625--1626 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1633--1634 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [97] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1653--1654 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1682--1683 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1683--1684 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1686--1687 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [98] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1702--1703 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1710--1711 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1711--1712 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 1714--1715 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (25.07031pt too wide) in paragraph at lines 1715--1717 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 1717--1718 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [99] [100] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1829--1831 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1832--1833 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1836--1837 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1845--1846 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1854--1855 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [101] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1857--1858 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1865--1866 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [102] Overfull \hbox (49.07056pt too wide) in paragraph at lines 1937--1938 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (73.0708pt too wide) in paragraph at lines 1946--1947 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (73.0708pt too wide) in paragraph at lines 1952--1953 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [103] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1969--1970 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.13467pt too wide) in paragraph at lines 1978--1978 []\T1/LinuxLibertineT-TLF/b/n/14.4 opt_demorgan -- Op-ti-mize re-duc-tions with De-Mor-gan equiv-a-lents Overfull \hbox (1.07007pt too wide) in paragraph at lines 1992--1993 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] [104] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2014--2015 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2015--2016 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2040--2041 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [105] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2106--2107 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2107--2108 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [106] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2121--2122 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2125--2126 [][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2132--2133 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [107] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2207--2208 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2209--2210 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2210--2211 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] [108] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2269--2270 [][][][][][][][][][][][][][][][][][][][][][][][][][] [109] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2281--2282 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 2304--2306 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 2309--2310 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 2310--2313 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [110] Overfull \hbox (13.07019pt too wide) in paragraph at lines 2379--2381 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [111] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2411--2412 [][][][][][][][][][][][][][][][][][][][][][] [112] [113] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2542--2543 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [114] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2544--2545 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2549--2550 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2571--2572 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 2596--2598 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [115] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2612--2614 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2643--2645 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [116] Overfull \hbox (13.07019pt too wide) in paragraph at lines 2668--2669 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2669--2670 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2677--2679 [][][][][][][][][][][][][][][][][][][][][][][][][][][] [117] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2737--2738 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2738--2739 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2739--2740 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2750--2751 [][][][][][][][][][][][][][][][][][][][][][][][][][][] [118] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2768--2769 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2777--2778 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 2805--2806 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2806--2807 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [119] Overfull \hbox (19.07025pt too wide) in paragraph at lines 2820--2821 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 2860--2862 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [120] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2872--2873 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [121] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2974--2975 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [122] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2976--2977 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2977--2978 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2992--2993 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2996--2998 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3018--3019 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (25.07031pt too wide) in paragraph at lines 3028--3030 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [123] [124] Overfull \hbox (19.07025pt too wide) in paragraph at lines 3095--3096 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 3096--3097 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3100--3101 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3122--3124 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [125] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3141--3142 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3150--3151 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3154--3155 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3166--3167 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 3171--3172 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 3172--3173 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3176--3177 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3177--3178 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [126] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3207--3208 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 3210--3211 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 3223--3224 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 3224--3225 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3225--3226 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3244--3246 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [127] Overfull \hbox (13.07019pt too wide) in paragraph at lines 3247--3249 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3256--3257 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3257--3258 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3258--3259 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3268--3269 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] [128] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3335--3336 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3349--3350 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [129] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3363--3364 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3378--3379 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3384--3386 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 3387--3388 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3400--3401 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3401--3402 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [130] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3430--3431 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3431--3432 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3434--3435 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3444--3445 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] [131] [132] Overfull \hbox (85.07092pt too wide) in paragraph at lines 3523--3525 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3529--3530 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [133] Overfull \hbox (37.07043pt too wide) in paragraph at lines 3587--3588 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 3595--3596 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3603--3604 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [134] Overfull \hbox (319.0733pt too wide) in paragraph at lines 3656--3657 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][] [135] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3682--3683 [][][][][][][][][][][][][][][][][][][][][][][][][][][] [136] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3748--3749 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3752--3753 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3756--3757 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [137] [138] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3867--3868 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [139] Overfull \hbox (31.07037pt too wide) in paragraph at lines 3920--3921 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3940--3941 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [140] Overfull \hbox (457.0747pt too wide) in paragraph at lines 3994--3995 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][] [141] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4021--4022 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4025--4026 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4029--4030 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [142] [143] Overfull \hbox (55.07062pt too wide) in paragraph at lines 4135--4136 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (61.07068pt too wide) in paragraph at lines 4136--4138 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (49.07056pt too wide) in paragraph at lines 4142--4143 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (79.07086pt too wide) in paragraph at lines 4146--4147 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [144] Overfull \hbox (55.07062pt too wide) in paragraph at lines 4208--4209 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [145] Overfull \hbox (91.07098pt too wide) in paragraph at lines 4219--4221 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4231--4232 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4232--4233 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4239--4240 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4243--4244 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [146] [147] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4364--4365 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4368--4369 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4372--4373 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4373--4374 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 4376--4377 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4377--4379 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4379--4380 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [148] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4388--4389 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4400--4401 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4401--4402 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4402--4403 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4406--4407 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4410--4411 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4411--4412 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4413--4414 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4415--4416 [][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4418--4419 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 4419--4420 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4424--4425 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4428--4429 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4433--4434 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [149] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4434--4435 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4435--4437 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4439--4440 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 4440--4441 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4441--4442 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4442--4443 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4445--4446 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4447--4448 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4468--4470 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [150] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4504--4506 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4523--4524 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4524--4526 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [151] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4546--4548 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4588--4589 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [152] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4623--4624 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4624--4625 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4644--4645 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4645--4646 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [153] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4661--4662 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4691--4692 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [154] [155] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4789--4790 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4790--4792 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [156] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4846--4848 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4855--4856 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4856--4857 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 4858--4859 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4859--4860 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [157] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4864--4865 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4867--4868 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (25.07031pt too wide) in paragraph at lines 4868--4869 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [158] [159] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4994--4995 [][][][][][][][][][][][][][][][][][][][][][][][][][] [160] [161] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5084--5085 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [162] [163] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5190--5192 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5192--5193 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5217--5218 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5218--5219 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5235--5236 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [164] Overfull \hbox (7.07013pt too wide) in paragraph at lines 5259--5260 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5269--5270 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 5276--5277 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 5281--5283 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5284--5286 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5288--5289 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5293--5294 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [165] Overfull \hbox (7.07013pt too wide) in paragraph at lines 5298--5299 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5299--5301 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5316--5317 [][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 5324--5325 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5325--5326 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5329--5330 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5336--5337 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5337--5339 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [166] [167] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5435--5436 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5457--5458 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [168] [169]) [170] (./CHAPTER_Appnotes.tex Appendix D. LaTeX Warning: Reference `app:010' on page 171 undefined on input line 16. LaTeX Warning: Reference `app:011' on page 171 undefined on input line 17. LaTeX Warning: Reference `app:012' on page 171 undefined on input line 18. [171] [172 <./APPNOTE_010_Verilog_to_BLIF.pdf>] [173 <./APPNOTE_010_Verilog_to_ BLIF.pdf>] [174 <./APPNOTE_010_Verilog_to_BLIF.pdf>] [175 <./APPNOTE_011_Design _Investigation.pdf>] [176 <./APPNOTE_011_Design_Investigation.pdf>] [177 <./APP NOTE_011_Design_Investigation.pdf>] [178 <./APPNOTE_011_Design_Investigation.pd f>] [179 <./APPNOTE_011_Design_Investigation.pdf>] [180 <./APPNOTE_011_Design_I nvestigation.pdf>] [181 <./APPNOTE_011_Design_Investigation.pdf>] [182 <./APPNO TE_011_Design_Investigation.pdf>] [183 <./APPNOTE_011_Design_Investigation.pdf> ] [184 <./APPNOTE_011_Design_Investigation.pdf>] [185 <./APPNOTE_012_Verilog_to _BTOR.pdf>] [186 <./APPNOTE_012_Verilog_to_BTOR.pdf>] [187 <./APPNOTE_012_Veril og_to_BTOR.pdf>] [188 <./APPNOTE_012_Verilog_to_BTOR.pdf>]) No file manual.bbl. No file weblink.bbl. Package natbib Warning: There were undefined citations. (./manual.aux (./CHAPTER_Intro.aux) (./CHAPTER_Basics.aux) (./CHAPTER_Approach.aux) (./CHAPTER_Overview.aux) (./CHAPTER_CellLib.aux) (./CHAPTER_Prog.aux) (./CHAPTER_Verilog.aux) (./CHAPTER_Optimize.aux) (./CHAPTER_Techmap.aux) (./CHAPTER_Auxlibs.aux) (./CHAPTER_Auxprogs.aux) (./CHAPTER_Appnotes.aux)) Package rerunfilecheck Warning: File `manual.out' has changed. (rerunfilecheck) Rerun to get outlines right (rerunfilecheck) or use package `bookmark'. LaTeX Warning: There were undefined references. LaTeX Warning: Label(s) may have changed. Rerun to get cross-references right. ) (see the transcript file for additional information){/usr/share/texlive/texmf-d ist/fonts/enc/dvips/lm/lm-mathit.enc}{/usr/share/texlive/texmf-dist/fonts/enc/d vips/lm/lm-rm.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/libertine/lbtn _naooyc.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/libertine/lbtn_dhi6q f.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/libertine/lbtn_7grukw.enc} {/usr/share/texlive/texmf-dist/fonts/enc/dvips/libertine/lbtn_76gpa5.enc}{/usr/ share/texlive/texmf-dist/fonts/enc/dvips/libertine/lbtn_nh77jq.enc} Output written on manual.pdf (188 pages, 1393341 bytes). Transcript written on manual.log. + false + bibtex manual.aux This is BibTeX, Version 0.99d (TeX Live 2020) The top-level auxiliary file: manual.aux The style file: alphadin.bst Database file #1: literature.bib Warning--to sort, need author or key in Verilog2005 Warning--to sort, need author or key in VerilogSynth Warning--to sort, need author or key in VHDL Warning--to sort, need author or key in VHDLSynth Warning--to sort, need author or key in IP-XACT Warning--empty pages in Cummings00 Warning--empty pages in intersynthFdlBookChapter Warning--empty author in IP-XACT Warning--empty author in VerilogSynth Warning--empty author in Verilog2005 Warning--empty author in VHDLSynth Warning--empty author in VHDL (There were 12 warnings) + bibtex weblink.aux This is BibTeX, Version 0.99d (TeX Live 2020) The top-level auxiliary file: weblink.aux The style file: abbrv.bst Database file #1: weblinks.bib Warning--to sort, need author or key in C_to_Verilog Warning--to sort, need author or key in LegUp Warning--to sort, need author or key in flex Warning--to sort, need author or key in bison Warning--to sort, need author or key in LibertyFormat Warning--to sort, need author or key in OR1200 Warning--to sort, need author or key in openMSP430 Warning--to sort, need author or key in i2cmaster Warning--to sort, need author or key in k68 Warning--to sort, need author or key in Formality (There were 10 warnings) + md5sum APPNOTE_010_Verilog_to_BLIF.aux APPNOTE_011_Design_Investigation.aux APPNOTE_012_Verilog_to_BTOR.aux CHAPTER_Appnotes.aux CHAPTER_Approach.aux CHAPTER_Auxlibs.aux CHAPTER_Auxprogs.aux CHAPTER_Basics.aux CHAPTER_CellLib.aux CHAPTER_Intro.aux CHAPTER_Optimize.aux CHAPTER_Overview.aux CHAPTER_Prog.aux CHAPTER_Techmap.aux CHAPTER_Verilog.aux PRESENTATION_ExAdv.aux PRESENTATION_ExOth.aux PRESENTATION_ExSyn.aux PRESENTATION_Intro.aux PRESENTATION_Prog.aux manual.aux presentation.aux weblink.aux manual.bbl weblink.bbl manual.blg weblink.blg + cmp autoloop.old autoloop.new autoloop.old autoloop.new differ: char 204, line 4 + cp autoloop.new autoloop.old + pdflatex -shell-escape -halt-on-error manual.tex This is pdfTeX, Version 3.14159265-2.6-1.40.21 (TeX Live 2020) (preloaded format=pdflatex) \write18 enabled. entering extended mode (./manual.tex LaTeX2e <2020-02-02> patch level 5 L3 programming layer <2020-04-06> (/usr/share/texlive/texmf-dist/tex/latex/base/book.cls Document Class: book 2019/12/20 v1.4l Standard LaTeX document class (/usr/share/texlive/texmf-dist/tex/latex/base/bk10.clo)) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty) (/usr/share/texlive/texmf-dist/tex/latex/lm/lmodern.sty) (/usr/share/texlive/texmf-dist/tex/latex/libertine/libertine.sty (/usr/share/texlive/texmf-dist/tex/generic/iftex/ifxetex.sty (/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty)) (/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty) (/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)))) (/usr/share/texlive/texmf-dist/tex/latex/base/textcomp.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty (/usr/share/texlive/texmf-dist/tex/latex/lm/t1lmr.fd)) (/usr/share/texlive/texmf-dist/tex/latex/fontaxes/fontaxes.sty) (/usr/share/texlive/texmf-dist/tex/latex/libertine/LinLibertine_I.tex)) (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.sty (/usr/share/texlive/texmf-dist/tex/generic/babel/switch.def) (/usr/share/texlive/texmf-dist/tex/generic/babel-english/english.ldf (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.def (/usr/share/texlive/texmf-dist/tex/generic/babel/txtbabel.def)))) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def) (/usr/share/texlive/texmf-dist/tex/latex/colortbl/colortbl.sty (/usr/share/texlive/texmf-dist/tex/latex/tools/array.sty))) (/usr/share/texlive/texmf-dist/tex/latex/base/makeidx.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg))) (/usr/share/texlive/texmf-dist/tex/latex/pdfpages/pdfpages.sty (/usr/share/texlive/texmf-dist/tex/latex/base/ifthen.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/calc.sty) (/usr/share/texlive/texmf-dist/tex/latex/eso-pic/eso-pic.sty (/usr/share/texlive/texmf-dist/tex/generic/atbegshi/atbegshi.sty (/usr/share/texlive/texmf-dist/tex/generic/infwarerr/infwarerr.sty) (/usr/share/texlive/texmf-dist/tex/generic/ltxcmds/ltxcmds.sty))) (/usr/share/texlive/texmf-dist/tex/latex/pdfpages/pppdftex.def)) (/usr/share/texlive/texmf-dist/tex/latex/float/float.sty) (/usr/share/texlive/texmf-dist/tex/latex/subfigure/subfigure.sty **************************************** * Local config file subfigure.cfg used * **************************************** (/usr/share/texlive/texmf-dist/tex/latex/subfigure/subfigure.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/caption/caption.sty (/usr/share/texlive/texmf-dist/tex/latex/caption/caption3.sty)) (/usr/share/texlive/texmf-dist/tex/latex/moreverb/moreverb.sty (/usr/share/texlive/texmf-dist/tex/latex/tools/verbatim.sty)) (/usr/share/texlive/texmf-dist/tex/latex/fancyhdr/fancyhdr.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty For additional information on amsmath, use the `?' option. (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) (/usr/share/texlive/texmf-dist/tex/latex/graphics/rotating.sty) (/usr/share/texlive/texmf-dist/tex/latex/natbib/natbib.sty) (/usr/share/texlive/texmf-dist/tex/generic/epsf/epsf.sty This is `epsf.tex' v2.7.4 <14 February 2011> ) (/usr/share/texlive/texmf-dist/tex/latex/doublestroke/dsfont.sty) (/usr/share/texlive/texmf-dist/tex/latex/algorithm2e/algorithm2e.sty (/usr/share/texlive/texmf-dist/tex/latex/ifoddpage/ifoddpage.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/xspace.sty) (/usr/share/texlive/texmf-dist/tex/latex/relsize/relsize.sty)) (/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty (/usr/share/texlive/texmf-dist/tex/latex/base/latin1.def)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hyperref.sty (/usr/share/texlive/texmf-dist/tex/latex/pdftexcmds/pdftexcmds.sty) (/usr/share/texlive/texmf-dist/tex/generic/kvsetkeys/kvsetkeys.sty) (/usr/share/texlive/texmf-dist/tex/generic/kvdefinekeys/kvdefinekeys.sty) (/usr/share/texlive/texmf-dist/tex/generic/pdfescape/pdfescape.sty) (/usr/share/texlive/texmf-dist/tex/latex/hycolor/hycolor.sty) (/usr/share/texlive/texmf-dist/tex/latex/letltxmacro/letltxmacro.sty) (/usr/share/texlive/texmf-dist/tex/latex/auxhook/auxhook.sty) (/usr/share/texlive/texmf-dist/tex/latex/kvoptions/kvoptions.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/pd1enc.def) (/usr/share/texlive/texmf-dist/tex/generic/intcalc/intcalc.sty) (/usr/share/texlive/texmf-dist/tex/generic/etexcmds/etexcmds.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/backref.sty (/usr/share/texlive/texmf-dist/tex/latex/rerunfilecheck/rerunfilecheck.sty (/usr/share/texlive/texmf-dist/tex/latex/atveryend/atveryend.sty) (/usr/share/texlive/texmf-dist/tex/generic/uniquecounter/uniquecounter.sty (/usr/share/texlive/texmf-dist/tex/generic/bigintcalc/bigintcalc.sty)))) (/usr/share/texlive/texmf-dist/tex/latex/url/url.sty) (/usr/share/texlive/texmf-dist/tex/generic/bitset/bitset.sty)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hpdftex.def) (/usr/share/texlive/texmf-dist/tex/latex/multibib/multibib.sty) (/usr/share/texlive/texmf-dist/tex/latex/multirow/multirow.sty) (/usr/share/texlive/texmf-dist/tex/latex/booktabs/booktabs.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.sty (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/psnfss/pifont.sty (/usr/share/texlive/texmf-dist/tex/latex/psnfss/upzd.fd) (/usr/share/texlive/texmf-dist/tex/latex/psnfss/upsy.fd)) (/usr/share/texlive/texmf-dist/tex/latex/skull/skull.sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common-lists.t ex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def (/usr/share/texlive/texmf-dist/tex/latex/ms/everyshi.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeysfiltered.code.t ex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de f))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet ric.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari thmetics.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation s.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex ) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 .sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 .sty)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarytopaths.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarycalc.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryarrows.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryarrows.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryscopes.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarythrough.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryshapes.geometric.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape s.geometric.code.tex)) (./weblink.aux) Writing index file manual.idx (/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdfmode.def) (./manual.aux (./CHAPTER_Intro.aux) (./CHAPTER_Basics.aux) (./CHAPTER_Approach.aux) (./CHAPTER_Overview.aux) (./CHAPTER_CellLib.aux) (./CHAPTER_Prog.aux) (./CHAPTER_Verilog.aux) (./CHAPTER_Optimize.aux) (./CHAPTER_Techmap.aux) (./CHAPTER_Auxlibs.aux) (./CHAPTER_Auxprogs.aux) (./CHAPTER_Appnotes.aux)) (/usr/share/texlive/texmf-dist/tex/latex/libertine/T1LinuxLibertineT-TLF.fd) (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii [Loading MPS to PDF converter (version 2006.09.02).] ) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/pdflscape/pdflscape.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/lscape.sty)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/nameref.sty (/usr/share/texlive/texmf-dist/tex/latex/refcount/refcount.sty) (/usr/share/texlive/texmf-dist/tex/generic/gettitlestring/gettitlestring.sty)) (./manual.out) (./manual.out) ABD: EveryShipout initializing macros [1{/usr/sha re/texlive/texmf-dist/fonts/map/pdftex/updmap/pdftex.map}] Package natbib Warning: Citation `BACC' on page 2 undefined on input line 168. [2] (/usr/share/texlive/texmf-dist/tex/latex/lm/ot1lmr.fd) (/usr/share/texlive/texmf-dist/tex/latex/lm/omllmm.fd) (/usr/share/texlive/texmf-dist/tex/latex/lm/omslmsy.fd) (/usr/share/texlive/texmf-dist/tex/latex/lm/omxlmex.fd) [3] (./manual.toc [4] [5] [6] [7] [8] [9] [10]) [11] (./CHAPTER_Intro.tex Chapter 1. Package natbib Warning: Citation `Verilog2005' on page 12 undefined on input li ne 17. Package natbib Warning: Citation `VerilogSynth' on page 12 undefined on input l ine 17. Package natbib Warning: Citation `VHDL' on page 12 undefined on input line 20. Package natbib Warning: Citation `VHDLSynth' on page 12 undefined on input line 20. Package natbib Warning: Citation `intersynth' on page 12 undefined on input lin e 27. Package natbib Warning: Citation `LogicSynthesis' on page 12 undefined on input line 42. Package natbib Warning: Citation `Dragonbook' on page 12 undefined on input lin e 43. LaTeX Warning: Reference `chapter:sota' on page 12 undefined on input line 52. [12] LaTeX Warning: Reference `chapter:eval' on page 13 undefined on input line 89. LaTeX Warning: Reference `chapter:sota' on page 13 undefined on input line 96. ) [13] (./CHAPTER_Basics.tex Chapter 2. Package natbib Warning: Citation `ABEL' on page 14 undefined on input line 68. [14] Package natbib Warning: Citation `IP-XACT' on page 15 undefined on input line 8 5. Package natbib Warning: Citation `C_to_Verilog' on page 15 undefined on input l ine 101. Package natbib Warning: Citation `LegUp' on page 15 undefined on input line 101 . (/usr/share/texlive/texmf-dist/tex/latex/libertine/T1LinuxLibertineMonoT-TLF.fd ) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang2.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang3.sty) LaTeX Warning: Reference `chapter:sota' on page 15 undefined on input line 139. [15] Package natbib Warning: Citation `MultiLevelLogicSynth' on page 16 undefined on input line 199. Package natbib Warning: Citation `ABC' on page 16 undefined on input line 205. Package natbib Warning: Citation `AIGER' on page 16 undefined on input line 206 . Package natbib Warning: Citation `MVSIS' on page 16 undefined on input line 206 . Package natbib Warning: Citation `ABC' on page 16 undefined on input line 209. [16] Package natbib Warning: Citation `Verilog2005' on page 17 undefined on input li ne 244. Package natbib Warning: Citation `VerilogSynth' on page 17 undefined on input l ine 245. (/usr/share/texlive/texmf-dist/tex/latex/libertine/TS1LinuxLibertineT-TLF.fd) [17] [18] Package natbib Warning: Citation `Cummings00' on page 19 undefined on input lin e 356. LaTeX Warning: Reference `sec:blocking_nonblocking' on page 19 undefined on inp ut line 363. LaTeX Warning: Reference `fig:StateOfTheArt_for' on page 19 undefined on input line 390. LaTeX Warning: Reference `fig:StateOfTheArt_gen' on page 19 undefined on input line 391. LaTeX Warning: Reference `chapter:sota' on page 19 undefined on input line 391. [19] [20] [21] Package natbib Warning: Citation `Dragonbook' on page 22 undefined on input lin e 585. Package natbib Warning: Citation `flex' on page 22 undefined on input line 609. Package natbib Warning: Citation `bison' on page 22 undefined on input line 623 . Overfull \hbox (9.2878pt too wide) in paragraph at lines 633--665 [] [] [22] Package natbib Warning: Citation `Dragonbook' on page 23 undefined on input lin e 683. ) [23] [24] (./CHAPTER_Approach.tex Chapter 3. [25] Package natbib Warning: Citation `LibertyFormat' on page 26 undefined on input line 107. (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) Overfull \hbox (31.07037pt too wide) in paragraph at lines 113--114 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [26]) [27] (./CHAPTER_Overview.tex Chapter 4. Package natbib Warning: Citation `OR1200' on page 28 undefined on input line 13 . Package natbib Warning: Citation `openMSP430' on page 28 undefined on input lin e 13. Package natbib Warning: Citation `i2cmaster' on page 28 undefined on input line 14. Package natbib Warning: Citation `k68' on page 28 undefined on input line 14. [28] [29] [30] Overfull \hbox (8.12611pt too wide) in paragraph at lines 195--200 \T1/LinuxLibertineT-TLF/m/n/10 The RTLIL::Design ob-ject is ba-si-cally just a con-tainer for RTLIL::Module ob-jects. In ad-di-tion to a list of RTLIL::Module [31] [32] Overfull \hbox (3.44838pt too wide) in paragraph at lines 324--330 []\T1/LinuxLibertineT-TLF/m/n/10 This RTLIL::Process con-tains two RTLIL::SyncR ule ob-jects, two RTLIL::SwitchRule ob-jects and five RTLIL::CaseRule Overfull \hbox (3.24974pt too wide) in paragraph at lines 338--341 []\T1/LinuxLibertineT-TLF/m/n/10 An RTLIL::CaseRule is a con-tainer for zero or more as-sign-ments (RTLIL::SigSig) and zero or more RTLIL::SwitchRule [33] [34] [35] Underfull \hbox (badness 1675) in paragraph at lines 521--526 []\T1/LinuxLibertineT-TLF/m/n/10 The top-level Make-file in-cludes \T1/LinuxLib ertineMonoT-TLF/regular/n/10 frontends/*/Makefile.inc\T1/LinuxLibertineT-TLF/m/ n/10 , \T1/LinuxLibertineMonoT-TLF/regular/n/10 passes/*/Makefile.inc \T1/Linux LibertineT-TLF/m/n/10 and Overfull \hbox (28.0696pt too wide) in paragraph at lines 528--530 []\T1/LinuxLibertineT-TLF/m/n/10 Good start-ing points for read-ing ex-am-ple s ource code to learn how to write passes are \T1/LinuxLibertineMonoT-TLF/regular /n/10 passes/opt/opt_rmdff.cc ) [36] (./CHAPTER_CellLib.tex Chapter 5. [37] [38] [39] [40] [41] Overfull \hbox (2.224pt too wide) in paragraph at lines 443--449 []\T1/LinuxLibertineT-TLF/m/n/10 The cell types \T1/LinuxLibertineMonoT-TLF/reg ular/n/10 $_DFF_NN0_\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibertineMonoT-TL F/regular/n/10 $_DFF_NN1_\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibertineMon oT-TLF/regular/n/10 $_DFF_NP0_\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLiberti neMonoT-TLF/regular/n/10 $_DFF_NP1_\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLi bertineMonoT-TLF/regular/n/10 $_DFF_PN0_\T1/LinuxLibertineT-TLF/m/n/10 , \T1/Li nuxLibertineMonoT-TLF/regular/n/10 $_DFF_PN1_\T1/LinuxLibertineT-TLF/m/n/10 , [42] Package natbib Warning: Citation `ABC' on page 43 undefined on input line 460. Underfull \hbox (badness 1701) in paragraph at lines 464--466 []\T1/LinuxLibertineT-TLF/m/n/10 Add in-for-ma-tion about \T1/LinuxLibertineMon oT-TLF/regular/n/10 $assert\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibertineM onoT-TLF/regular/n/10 $assume\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibertin eMonoT-TLF/regular/n/10 $live\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibertin eMonoT-TLF/regular/n/10 $fair\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibertin eMonoT-TLF/regular/n/10 $cover\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLiberti neMonoT-TLF/regular/n/10 $equiv\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibert ineMonoT-TLF/regular/n/10 $initstate\T1/LinuxLibertineT-TLF/m/n/10 , [43]) [44] (./CHAPTER_Prog.tex Chapter 6. (../CodingReadme consecutive: Overfull \hbox (91.07098pt too wide) in paragraph at lines 33--35 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [45] Overfull \hbox (7.07013pt too wide) in paragraph at lines 47--48 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 48--49 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 59--60 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (31.07037pt too wide) in paragraph at lines 60--62 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 63--64 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 67--68 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 76--77 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 79--80 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [46] Overfull \hbox (13.07019pt too wide) in paragraph at lines 96--97 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [47] Overfull \hbox (7.07013pt too wide) in paragraph at lines 146--147 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 163--164 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 167--169 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 169--170 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 173--174 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (43.0705pt too wide) in paragraph at lines 189--190 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 190--191 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 191--192 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (31.07037pt too wide) in paragraph at lines 192--195 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [48] Overfull \hbox (55.07062pt too wide) in paragraph at lines 198--199 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 209--210 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 210--214 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] ) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) [49] (./CHAPTER_Prog/stubnets.cc Overfull \hbox (79.07086pt too wide) in paragraph at lines 19--20 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (73.0708pt too wide) in paragraph at lines 27--28 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (43.0705pt too wide) in paragraph at lines 30--32 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [50] Overfull \hbox (103.0711pt too wide) in paragraph at lines 58--59 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (73.0708pt too wide) in paragraph at lines 61--62 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (67.07074pt too wide) in paragraph at lines 65--66 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (115.07123pt too wide) in paragraph at lines 67--68 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 74--75 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (37.07043pt too wide) in paragraph at lines 77--78 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (97.07104pt too wide) in paragraph at lines 81--82 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (67.07074pt too wide) in paragraph at lines 83--84 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ] Overfull \hbox (43.0705pt too wide) in paragraph at lines 93--94 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (55.07062pt too wide) in paragraph at lines 101--102 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [51] Overfull \hbox (31.07037pt too wide) in paragraph at lines 106--108 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 126--127 [][][][][][][][][][][][][][][][][] ) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang2.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) (./CHAPTER_Prog/Makefile Overfull \hbox (91.07098pt too wide) in paragraph at lines 4--5 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][] Overfull \hbox (67.07074pt too wide) in paragraph at lines 8--10 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] ) (./CHAPTER_Prog/test.v)) [52] (./CHAPTER_Verilog.tex Chapter 7. [53] Package natbib Warning: Citation `flex' on page 54 undefined on input line 95. Underfull \hbox (badness 2103) in paragraph at lines 95--99 \T1/LinuxLibertineT-TLF/m/n/10 The Ver-ilog Lexer is writ-ten us-ing the lexer gen-er-a-tor \T1/LinuxLibertineT-TLF/m/it/10 flex \T1/LinuxLibertineT-TLF/m/n/1 0 [\T1/LinuxLibertineT-TLF/b/n/10 ? \T1/LinuxLibertineT-TLF/m/n/10 ]. Its sourc e code can be found in Package natbib Warning: Citation `bison' on page 54 undefined on input line 117 . Overfull \hbox (92.96228pt too wide) in paragraph at lines 117--119 \T1/LinuxLibertineT-TLF/m/n/10 The Ver-ilog Parser is writ-ten us-ing the parse r gen-er-a-tor \T1/LinuxLibertineT-TLF/m/it/10 bi-son \T1/LinuxLibertineT-TLF/m /n/10 [\T1/LinuxLibertineT-TLF/b/n/10 ? \T1/LinuxLibertineT-TLF/m/n/10 ]. Its s ource code can be found in \T1/LinuxLibertineMonoT-TLF/regular/n/10 frontends/v erilog/parser.y Overfull \hbox (4.14989pt too wide) in paragraph at lines 399--403 \T1/LinuxLibertineT-TLF/m/n/10 am-ple the mem-ber [][][][][][][] can hold a str ing value and is used e.g. in the \T1/LinuxLibertineMonoT-TLF/regular/n/10 AST_ IDENTIFIER Overfull \hbox (25.69577pt too wide) in paragraph at lines 403--408 \T1/LinuxLibertineT-TLF/m/n/10 Each [][][][][] is au-to-mat-i-cally an-no-tated with the cur-rent source code lo-ca-tion by the [][][][][] [54] [55] Overfull \hbox (11.2028pt too wide) in paragraph at lines 447--449 []\T1/LinuxLibertineT-TLF/m/n/10 Perform const fold-ing where it is nec-es-sary (e.g. in the value part of \T1/LinuxLibertineMonoT-TLF/regular/n/10 AST_PARAME TER\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibertineMonoT-TLF/regular/n/10 AS T_LOCALPARAM\T1/LinuxLibertineT-TLF/m/n/10 , [56] Overfull \hbox (17.49321pt too wide) in paragraph at lines 488--494 \T1/LinuxLibertineT-TLF/m/n/10 in-stance of [][][][][]. This ob-ject then gen-e r-ates the [][][][][] [57] [58] Overfull \hbox (30.01938pt too wide) in paragraph at lines 595--599 []\T1/LinuxLibertineT-TLF/m/n/10 Note that in con-trast to this, the or-der wit hin the [][][][][] ob-jects within a [][][][][] Underfull \hbox (badness 1360) in paragraph at lines 600--605 []\T1/LinuxLibertineT-TLF/m/n/10 The whole [][][][][]/[][][][][] tree de-scribe s an asyn-chronous cir-cuit. Overfull \hbox (27.5457pt too wide) in paragraph at lines 608--615 \T1/LinuxLibertineT-TLF/m/n/10 ob-ject is later sim-ply trans-formed into a set of d-type flip-flops and the [][][][][]/[][][][][] Underfull \hbox (badness 10000) in paragraph at lines 617--621 []\T1/LinuxLibertineT-TLF/m/n/10 In more com-plex ex-am-ples (e.g. asyn-chronou s re-sets) the part of the Underfull \hbox (badness 1087) in paragraph at lines 617--621 [][][][][]\T1/LinuxLibertineT-TLF/m/n/10 /[][][][][] tree that de-scribes the a syn-chronous re-set must first be Underfull \hbox (badness 10000) in paragraph at lines 629--633 \T1/LinuxLibertineT-TLF/m/n/10 These two vari-ables hold the re-place-ment pat- tern that should be used by Underfull \hbox (badness 2027) in paragraph at lines 629--633 [][][][][][][][] \T1/LinuxLibertineT-TLF/m/n/10 for sig-nals with block-ing as- sign-ments. Af-ter ini-tial-iza-tion of Overfull \hbox (16.68127pt too wide) in paragraph at lines 639--642 \T1/LinuxLibertineT-TLF/m/n/10 A pointer to a [][][][][] ob-ject. Ini-tially th is is the root case of the gen-er-ated [][][][][]. [59] Overfull \hbox (4.04175pt too wide) in paragraph at lines 654--657 []\T1/LinuxLibertineT-TLF/m/n/10 During this re-cur-sive eval-u-a-tion, three d if-fer-ent rel-e-vant types of AST nodes can be dis-cov-ered: \T1/LinuxLibertin eMonoT-TLF/regular/n/10 AST_ASSIGN_LE Underfull \hbox (badness 10000) in paragraph at lines 700--703 []\T1/LinuxLibertineT-TLF/m/n/10 The val-ues of [][][], [][][], [][][] and Underfull \hbox (badness 10000) in paragraph at lines 703--708 []\T1/LinuxLibertineT-TLF/m/n/10 A new [][][][][] ob-ject is gen-er-ated, the s e-lec-tion ex-pres-sion is eval-u-ated Underfull \hbox (badness 10000) in paragraph at lines 703--708 \T1/LinuxLibertineT-TLF/m/n/10 us-ing [][][][][][][][] (with the use of [][][] and Underfull \hbox (badness 1231) in paragraph at lines 703--708 [][][]\T1/LinuxLibertineT-TLF/m/n/10 ) and added to the [][][][][] ob-ject and the ob-ject is added Underfull \hbox (badness 1226) in paragraph at lines 711--714 []\T1/LinuxLibertineT-TLF/m/n/10 New tem-po-rary sig-nals are gen-er-ated for a ll sig-nals in [][][] and stored in Underfull \hbox (badness 10000) in paragraph at lines 714--717 []\T1/LinuxLibertineT-TLF/m/n/10 The sig-nals in [][][] are mapped us-ing [][][ ] and [60] Underfull \hbox (badness 10000) in paragraph at lines 722--726 []\T1/LinuxLibertineT-TLF/m/n/10 Set [][][], [][][], [][][] and Underfull \hbox (badness 10000) in paragraph at lines 729--732 []\T1/LinuxLibertineT-TLF/m/n/10 Append [][][] to [][][] and ap-pend Underfull \hbox (badness 3514) in paragraph at lines 737--740 []\T1/LinuxLibertineT-TLF/m/n/10 Add an as-sign-ment from [][][] to [][][] to t he new Underfull \hbox (badness 10000) in paragraph at lines 752--755 []\T1/LinuxLibertineT-TLF/m/n/10 The val-ues of [][][], [][][], [][][] and Underfull \hbox (badness 10000) in paragraph at lines 755--758 []\T1/LinuxLibertineT-TLF/m/n/10 The sig-nals from [][][] are re-moved from the Underfull \hbox (badness 10000) in paragraph at lines 767--769 []\T1/LinuxLibertineT-TLF/m/n/10 Add an as-sign-ment from [][][] to [][][] to Overfull \hbox (0.63689pt too wide) in paragraph at lines 787--794 \T1/LinuxLibertineT-TLF/m/n/10 calls to [][][][][][][][] with a [][][]/[][][]-t uple that [61] Overfull \hbox (32.64174pt too wide) in paragraph at lines 797--800 \T1/LinuxLibertineT-TLF/m/n/10 The Pro-cess-Gen-er-a-tor con-verts a be-haviour al model in AST rep-re-sen-ta-tion to a be-havioural model in [][][][][] ) [62] [63] (./CHAPTER_Optimize.tex Chapter 8. Package natbib Warning: Citation `Verilog2005' on page 64 undefined on input li ne 69. [64] [65] Overfull \hbox (1.26599pt too wide) in paragraph at lines 129--132 \T1/LinuxLibertineT-TLF/m/n/10 This pass iden-ti-fies un-used sig-nals and cell s and re-moves them from the de-sign. It also cre-ates an \T1/LinuxLibertineMon oT-TLF/regular/n/10 \unused_bits Overfull \hbox (43.95105pt too wide) in paragraph at lines 190--196 \T1/LinuxLibertineT-TLF/m/n/10 at-tribute. The \T1/LinuxLibertineMonoT-TLF/regu lar/n/10 fsm_extract \T1/LinuxLibertineT-TLF/m/n/10 ex-tracts all FSMs marked u s-ing the \T1/LinuxLibertineMonoT-TLF/regular/n/10 \fsm_encoding \T1/LinuxLiber tineT-TLF/m/n/10 at-tribute (un-less \T1/LinuxLibertineMonoT-TLF/regular/n/10 \ fsm_encoding [66] Package natbib Warning: Citation `fsmextract' on page 67 undefined on input lin e 202. Overfull \hbox (1.6189pt too wide) in paragraph at lines 220--224 []\T1/LinuxLibertineT-TLF/m/n/10 This heuris-tic has proven to work very well. It is pos-si-ble to over-write it by set-ting \T1/LinuxLibertineMonoT-TLF/regul ar/n/10 \fsm_encoding= "auto" [67] [68] Package natbib Warning: Citation `Formality' on page 69 undefined on input line 315. Package natbib Warning: Citation `ABC' on page 69 undefined on input line 320. ) [69] (./CHAPTER_Techmap.tex Chapter 9. [70] Package natbib Warning: Citation `intersynthFdlBookChapter' on page 71 undefine d on input line 61. Package natbib Warning: Citation `LibertyFormat' on page 71 undefined on input line 74. Package natbib Warning: Citation `ABC' on page 71 undefined on input line 92. ) [71] (./CHAPTER_Auxlibs.tex Appendix A. Package natbib Warning: Citation `bigint' on page 72 undefined on input line 16 . Package natbib Warning: Citation `UllmannSubgraphIsomorphism' on page 72 undefi ned on input line 26. ) [72] (./CHAPTER_Auxprogs.tex Appendix B. Package natbib Warning: Citation `ABC' on page 73 undefined on input line 22. ) [73] Appendix C. (./command-reference-manual.tex Overfull \hbox (13.07019pt too wide) in paragraph at lines 8--9 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (61.07068pt too wide) in paragraph at lines 12--13 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 13--15 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [74] [75] Overfull \hbox (1.07007pt too wide) in paragraph at lines 106--107 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 111--112 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (31.07037pt too wide) in paragraph at lines 119--120 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][] Overfull \hbox (25.07031pt too wide) in paragraph at lines 122--123 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 130--131 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 134--135 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 135--136 [][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 143--144 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [76] Overfull \hbox (7.07013pt too wide) in paragraph at lines 151--152 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 155--156 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 156--157 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 163--164 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 164--165 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 165--166 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 178--181 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][] [77] Overfull \hbox (1.07007pt too wide) in paragraph at lines 211--212 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 240--241 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 241--242 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [78] Overfull \hbox (7.07013pt too wide) in paragraph at lines 274--276 [][][][][][][][][][][][][][][][][][][][][][][][][][][] [79] [80] Overfull \hbox (7.07013pt too wide) in paragraph at lines 373--374 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [81] Overfull \hbox (13.07019pt too wide) in paragraph at lines 438--439 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 441--442 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 451--452 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] [82] Overfull \hbox (7.07013pt too wide) in paragraph at lines 477--478 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 493--494 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.92607pt too wide) in paragraph at lines 507--507 []\T1/LinuxLibertineT-TLF/b/n/14.4 coolrunner2_sop -- break $sop cells into AND TER-M/ORTERM cells [83] Overfull \hbox (13.07019pt too wide) in paragraph at lines 529--530 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 534--536 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 549--550 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [84] Overfull \hbox (13.07019pt too wide) in paragraph at lines 609--612 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 624--625 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 630--631 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [85] Overfull \hbox (1.07007pt too wide) in paragraph at lines 658--659 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 662--663 [][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (73.0708pt too wide) in paragraph at lines 687--688 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [86] Overfull \hbox (19.07025pt too wide) in paragraph at lines 731--732 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [87] Overfull \hbox (19.07025pt too wide) in paragraph at lines 774--775 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [88] Overfull \hbox (1.07007pt too wide) in paragraph at lines 839--840 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [89] Overfull \hbox (1.07007pt too wide) in paragraph at lines 849--850 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 860--862 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 880--881 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 881--882 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 890--891 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [90] Overfull \hbox (13.07019pt too wide) in paragraph at lines 941--942 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 942--943 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] [91] Overfull \hbox (1.07007pt too wide) in paragraph at lines 983--984 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 999--1000 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1003--1004 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1007--1008 [][][][][][][][][][][][][][][][][][][][][][][][][][][] [92] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1018--1019 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1038--1039 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [93] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1080--1082 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1093--1094 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1104--1105 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1116--1117 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [94] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1155--1156 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1156--1157 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1157--1158 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1158--1159 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1159--1160 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1160--1162 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1163--1164 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1164--1165 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [95] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1173--1174 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1186--1187 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1188--1190 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [96] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1272--1273 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [97] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1285--1286 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1286--1287 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1307--1308 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] [98] [99] [100] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1434--1435 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [101] [102] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1560--1561 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [103] Overfull \hbox (19.07025pt too wide) in paragraph at lines 1599--1600 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (25.07031pt too wide) in paragraph at lines 1604--1605 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1605--1607 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1625--1626 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1633--1634 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [104] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1653--1654 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1682--1683 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1683--1684 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1686--1687 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [105] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1702--1703 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1710--1711 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1711--1712 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 1714--1715 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (25.07031pt too wide) in paragraph at lines 1715--1717 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 1717--1718 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [106] [107] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1829--1831 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1832--1833 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1836--1837 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1845--1846 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1854--1855 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [108] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1857--1858 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1865--1866 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [109] Overfull \hbox (49.07056pt too wide) in paragraph at lines 1937--1938 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (73.0708pt too wide) in paragraph at lines 1946--1947 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (73.0708pt too wide) in paragraph at lines 1952--1953 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [110] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1969--1970 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.13467pt too wide) in paragraph at lines 1978--1978 []\T1/LinuxLibertineT-TLF/b/n/14.4 opt_demorgan -- Op-ti-mize re-duc-tions with De-Mor-gan equiv-a-lents Overfull \hbox (1.07007pt too wide) in paragraph at lines 1992--1993 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] [111] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2014--2015 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2015--2016 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2040--2041 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [112] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2106--2107 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2107--2108 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [113] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2121--2122 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2125--2126 [][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2132--2133 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [114] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2207--2208 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2209--2210 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2210--2211 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] [115] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2269--2270 [][][][][][][][][][][][][][][][][][][][][][][][][][] [116] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2281--2282 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 2304--2306 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 2309--2310 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 2310--2313 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [117] Overfull \hbox (13.07019pt too wide) in paragraph at lines 2379--2381 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [118] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2411--2412 [][][][][][][][][][][][][][][][][][][][][][] [119] [120] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2542--2543 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [121] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2544--2545 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2549--2550 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2571--2572 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 2596--2598 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [122] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2612--2614 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2643--2645 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [123] Overfull \hbox (13.07019pt too wide) in paragraph at lines 2668--2669 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2669--2670 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2677--2679 [][][][][][][][][][][][][][][][][][][][][][][][][][][] [124] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2737--2738 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2738--2739 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2739--2740 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2750--2751 [][][][][][][][][][][][][][][][][][][][][][][][][][][] [125] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2768--2769 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2777--2778 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 2805--2806 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2806--2807 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [126] Overfull \hbox (19.07025pt too wide) in paragraph at lines 2820--2821 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 2860--2862 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [127] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2872--2873 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [128] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2974--2975 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [129] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2976--2977 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2977--2978 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2992--2993 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2996--2998 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3018--3019 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (25.07031pt too wide) in paragraph at lines 3028--3030 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [130] [131] Overfull \hbox (19.07025pt too wide) in paragraph at lines 3095--3096 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 3096--3097 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3100--3101 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3122--3124 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [132] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3141--3142 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3150--3151 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3154--3155 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3166--3167 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 3171--3172 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 3172--3173 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3176--3177 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3177--3178 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [133] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3207--3208 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 3210--3211 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 3223--3224 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 3224--3225 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3225--3226 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3244--3246 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [134] Overfull \hbox (13.07019pt too wide) in paragraph at lines 3247--3249 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3256--3257 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3257--3258 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3258--3259 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3268--3269 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] [135] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3335--3336 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3349--3350 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [136] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3363--3364 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3378--3379 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3384--3386 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 3387--3388 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3400--3401 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3401--3402 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [137] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3430--3431 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3431--3432 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3434--3435 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3444--3445 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] [138] [139] Overfull \hbox (85.07092pt too wide) in paragraph at lines 3523--3525 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3529--3530 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [140] Overfull \hbox (37.07043pt too wide) in paragraph at lines 3587--3588 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 3595--3596 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3603--3604 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [141] Overfull \hbox (319.0733pt too wide) in paragraph at lines 3656--3657 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][] [142] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3682--3683 [][][][][][][][][][][][][][][][][][][][][][][][][][][] [143] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3748--3749 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3752--3753 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3756--3757 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [144] [145] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3867--3868 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [146] Overfull \hbox (31.07037pt too wide) in paragraph at lines 3920--3921 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3940--3941 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [147] Overfull \hbox (457.0747pt too wide) in paragraph at lines 3994--3995 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][] [148] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4021--4022 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4025--4026 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4029--4030 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [149] [150] Overfull \hbox (55.07062pt too wide) in paragraph at lines 4135--4136 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (61.07068pt too wide) in paragraph at lines 4136--4138 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (49.07056pt too wide) in paragraph at lines 4142--4143 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (79.07086pt too wide) in paragraph at lines 4146--4147 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [151] Overfull \hbox (55.07062pt too wide) in paragraph at lines 4208--4209 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [152] Overfull \hbox (91.07098pt too wide) in paragraph at lines 4219--4221 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4231--4232 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4232--4233 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4239--4240 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4243--4244 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [153] [154] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4364--4365 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4368--4369 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4372--4373 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4373--4374 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 4376--4377 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4377--4379 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4379--4380 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [155] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4388--4389 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4400--4401 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4401--4402 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4402--4403 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4406--4407 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4410--4411 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4411--4412 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4413--4414 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4415--4416 [][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4418--4419 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 4419--4420 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4424--4425 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4428--4429 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4433--4434 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [156] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4434--4435 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4435--4437 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4439--4440 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 4440--4441 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4441--4442 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4442--4443 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4445--4446 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4447--4448 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4468--4470 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [157] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4504--4506 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4523--4524 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4524--4526 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [158] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4546--4548 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4588--4589 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [159] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4623--4624 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4624--4625 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4644--4645 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4645--4646 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [160] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4661--4662 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4691--4692 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [161] [162] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4789--4790 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4790--4792 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [163] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4846--4848 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4855--4856 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4856--4857 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 4858--4859 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4859--4860 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [164] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4864--4865 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4867--4868 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (25.07031pt too wide) in paragraph at lines 4868--4869 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [165] [166] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4994--4995 [][][][][][][][][][][][][][][][][][][][][][][][][][] [167] [168] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5084--5085 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [169] [170] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5190--5192 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5192--5193 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5217--5218 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5218--5219 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5235--5236 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [171] Overfull \hbox (7.07013pt too wide) in paragraph at lines 5259--5260 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5269--5270 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 5276--5277 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 5281--5283 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5284--5286 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5288--5289 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5293--5294 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [172] Overfull \hbox (7.07013pt too wide) in paragraph at lines 5298--5299 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5299--5301 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5316--5317 [][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 5324--5325 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5325--5326 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5329--5330 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5336--5337 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5337--5339 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [173] [174] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5435--5436 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5457--5458 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [175] [176]) [177] (./CHAPTER_Appnotes.tex Appendix D. [178] [179 <./APPNOTE_010_Verilog_to_BLIF.pdf>] [180 <./APPNOTE_010_Verilog_to_ BLIF.pdf>] [181 <./APPNOTE_010_Verilog_to_BLIF.pdf>] [182 <./APPNOTE_011_Design _Investigation.pdf>] [183 <./APPNOTE_011_Design_Investigation.pdf>] [184 <./APP NOTE_011_Design_Investigation.pdf>] [185 <./APPNOTE_011_Design_Investigation.pd f>] [186 <./APPNOTE_011_Design_Investigation.pdf>] [187 <./APPNOTE_011_Design_I nvestigation.pdf>] [188 <./APPNOTE_011_Design_Investigation.pdf>] [189 <./APPNO TE_011_Design_Investigation.pdf>] [190 <./APPNOTE_011_Design_Investigation.pdf> ] [191 <./APPNOTE_011_Design_Investigation.pdf>] [192 <./APPNOTE_012_Verilog_to _BTOR.pdf>] [193 <./APPNOTE_012_Verilog_to_BTOR.pdf>] [194 <./APPNOTE_012_Veril og_to_BTOR.pdf>] [195 <./APPNOTE_012_Verilog_to_BTOR.pdf>]) (./manual.bbl [196]) (./weblink.bbl [197] Underfull \hbox (badness 10000) in paragraph at lines 39--39 []\T1/LinuxLibertineT-TLF/m/n/10 Synopsys For-mal-ity Equiv-a-lence Check-ing. [][]$\T1/LinuxLibertineMonoT-TLF/regular/n/10 http : / / www . synopsys . com / Tools / ) Package natbib Warning: There were undefined citations. [198] (./manual.aux (./CHAPTER_Intro.aux) (./CHAPTER_Basics.aux) (./CHAPTER_Approach.aux) (./CHAPTER_Overview.aux) (./CHAPTER_CellLib.aux) (./CHAPTER_Prog.aux) (./CHAPTER_Verilog.aux) (./CHAPTER_Optimize.aux) (./CHAPTER_Techmap.aux) (./CHAPTER_Auxlibs.aux) (./CHAPTER_Auxprogs.aux) (./CHAPTER_Appnotes.aux) Package natbib Warning: Citation(s) may have changed. (natbib) Rerun to get citations correct. ) LaTeX Warning: There were undefined references. LaTeX Warning: Label(s) may have changed. Rerun to get cross-references right. ) (see the transcript file for additional information){/usr/share/texlive/texmf-d ist/fonts/enc/dvips/libertine/lbtn_ncsllp.enc}{/usr/share/texlive/texmf-dist/fo nts/enc/dvips/lm/lm-mathit.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/l m/lm-rm.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/libertine/lbtn_naooy c.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/libertine/lbtn_dhi6qf.enc} {/usr/share/texlive/texmf-dist/fonts/enc/dvips/libertine/lbtn_7grukw.enc}{/usr/ share/texlive/texmf-dist/fonts/enc/dvips/libertine/lbtn_76gpa5.enc}{/usr/share/ texlive/texmf-dist/fonts/enc/dvips/libertine/lbtn_nh77jq.enc} Output written on manual.pdf (198 pages, 1452811 bytes). Transcript written on manual.log. + md5sum APPNOTE_010_Verilog_to_BLIF.aux APPNOTE_011_Design_Investigation.aux APPNOTE_012_Verilog_to_BTOR.aux CHAPTER_Appnotes.aux CHAPTER_Approach.aux CHAPTER_Auxlibs.aux CHAPTER_Auxprogs.aux CHAPTER_Basics.aux CHAPTER_CellLib.aux CHAPTER_Intro.aux CHAPTER_Optimize.aux CHAPTER_Overview.aux CHAPTER_Prog.aux CHAPTER_Techmap.aux CHAPTER_Verilog.aux PRESENTATION_ExAdv.aux PRESENTATION_ExOth.aux PRESENTATION_ExSyn.aux PRESENTATION_Intro.aux PRESENTATION_Prog.aux manual.aux presentation.aux weblink.aux manual.bbl weblink.bbl manual.blg weblink.blg + cmp autoloop.old autoloop.new autoloop.old autoloop.new differ: char 204, line 4 + cp autoloop.new autoloop.old + pdflatex -shell-escape -halt-on-error manual.tex This is pdfTeX, Version 3.14159265-2.6-1.40.21 (TeX Live 2020) (preloaded format=pdflatex) \write18 enabled. entering extended mode (./manual.tex LaTeX2e <2020-02-02> patch level 5 L3 programming layer <2020-04-06> (/usr/share/texlive/texmf-dist/tex/latex/base/book.cls Document Class: book 2019/12/20 v1.4l Standard LaTeX document class (/usr/share/texlive/texmf-dist/tex/latex/base/bk10.clo)) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty) (/usr/share/texlive/texmf-dist/tex/latex/lm/lmodern.sty) (/usr/share/texlive/texmf-dist/tex/latex/libertine/libertine.sty (/usr/share/texlive/texmf-dist/tex/generic/iftex/ifxetex.sty (/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty)) (/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty) (/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)))) (/usr/share/texlive/texmf-dist/tex/latex/base/textcomp.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty (/usr/share/texlive/texmf-dist/tex/latex/lm/t1lmr.fd)) (/usr/share/texlive/texmf-dist/tex/latex/fontaxes/fontaxes.sty) (/usr/share/texlive/texmf-dist/tex/latex/libertine/LinLibertine_I.tex)) (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.sty (/usr/share/texlive/texmf-dist/tex/generic/babel/switch.def) (/usr/share/texlive/texmf-dist/tex/generic/babel-english/english.ldf (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.def (/usr/share/texlive/texmf-dist/tex/generic/babel/txtbabel.def)))) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def) (/usr/share/texlive/texmf-dist/tex/latex/colortbl/colortbl.sty (/usr/share/texlive/texmf-dist/tex/latex/tools/array.sty))) (/usr/share/texlive/texmf-dist/tex/latex/base/makeidx.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg))) (/usr/share/texlive/texmf-dist/tex/latex/pdfpages/pdfpages.sty (/usr/share/texlive/texmf-dist/tex/latex/base/ifthen.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/calc.sty) (/usr/share/texlive/texmf-dist/tex/latex/eso-pic/eso-pic.sty (/usr/share/texlive/texmf-dist/tex/generic/atbegshi/atbegshi.sty (/usr/share/texlive/texmf-dist/tex/generic/infwarerr/infwarerr.sty) (/usr/share/texlive/texmf-dist/tex/generic/ltxcmds/ltxcmds.sty))) (/usr/share/texlive/texmf-dist/tex/latex/pdfpages/pppdftex.def)) (/usr/share/texlive/texmf-dist/tex/latex/float/float.sty) (/usr/share/texlive/texmf-dist/tex/latex/subfigure/subfigure.sty **************************************** * Local config file subfigure.cfg used * **************************************** (/usr/share/texlive/texmf-dist/tex/latex/subfigure/subfigure.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/caption/caption.sty (/usr/share/texlive/texmf-dist/tex/latex/caption/caption3.sty)) (/usr/share/texlive/texmf-dist/tex/latex/moreverb/moreverb.sty (/usr/share/texlive/texmf-dist/tex/latex/tools/verbatim.sty)) (/usr/share/texlive/texmf-dist/tex/latex/fancyhdr/fancyhdr.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty For additional information on amsmath, use the `?' option. (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) (/usr/share/texlive/texmf-dist/tex/latex/graphics/rotating.sty) (/usr/share/texlive/texmf-dist/tex/latex/natbib/natbib.sty) (/usr/share/texlive/texmf-dist/tex/generic/epsf/epsf.sty This is `epsf.tex' v2.7.4 <14 February 2011> ) (/usr/share/texlive/texmf-dist/tex/latex/doublestroke/dsfont.sty) (/usr/share/texlive/texmf-dist/tex/latex/algorithm2e/algorithm2e.sty (/usr/share/texlive/texmf-dist/tex/latex/ifoddpage/ifoddpage.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/xspace.sty) (/usr/share/texlive/texmf-dist/tex/latex/relsize/relsize.sty)) (/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty (/usr/share/texlive/texmf-dist/tex/latex/base/latin1.def)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hyperref.sty (/usr/share/texlive/texmf-dist/tex/latex/pdftexcmds/pdftexcmds.sty) (/usr/share/texlive/texmf-dist/tex/generic/kvsetkeys/kvsetkeys.sty) (/usr/share/texlive/texmf-dist/tex/generic/kvdefinekeys/kvdefinekeys.sty) (/usr/share/texlive/texmf-dist/tex/generic/pdfescape/pdfescape.sty) (/usr/share/texlive/texmf-dist/tex/latex/hycolor/hycolor.sty) (/usr/share/texlive/texmf-dist/tex/latex/letltxmacro/letltxmacro.sty) (/usr/share/texlive/texmf-dist/tex/latex/auxhook/auxhook.sty) (/usr/share/texlive/texmf-dist/tex/latex/kvoptions/kvoptions.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/pd1enc.def) (/usr/share/texlive/texmf-dist/tex/generic/intcalc/intcalc.sty) (/usr/share/texlive/texmf-dist/tex/generic/etexcmds/etexcmds.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/backref.sty (/usr/share/texlive/texmf-dist/tex/latex/rerunfilecheck/rerunfilecheck.sty (/usr/share/texlive/texmf-dist/tex/latex/atveryend/atveryend.sty) (/usr/share/texlive/texmf-dist/tex/generic/uniquecounter/uniquecounter.sty (/usr/share/texlive/texmf-dist/tex/generic/bigintcalc/bigintcalc.sty)))) (/usr/share/texlive/texmf-dist/tex/latex/url/url.sty) (/usr/share/texlive/texmf-dist/tex/generic/bitset/bitset.sty)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hpdftex.def) (/usr/share/texlive/texmf-dist/tex/latex/multibib/multibib.sty) (/usr/share/texlive/texmf-dist/tex/latex/multirow/multirow.sty) (/usr/share/texlive/texmf-dist/tex/latex/booktabs/booktabs.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.sty (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/psnfss/pifont.sty (/usr/share/texlive/texmf-dist/tex/latex/psnfss/upzd.fd) (/usr/share/texlive/texmf-dist/tex/latex/psnfss/upsy.fd)) (/usr/share/texlive/texmf-dist/tex/latex/skull/skull.sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common-lists.t ex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def (/usr/share/texlive/texmf-dist/tex/latex/ms/everyshi.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeysfiltered.code.t ex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de f))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet ric.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari thmetics.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation s.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex ) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 .sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 .sty)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarytopaths.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarycalc.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryarrows.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryarrows.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryscopes.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarythrough.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryshapes.geometric.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape s.geometric.code.tex)) (./weblink.aux) Writing index file manual.idx (/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdfmode.def) (./manual.aux (./CHAPTER_Intro.aux) (./CHAPTER_Basics.aux) (./CHAPTER_Approach.aux) (./CHAPTER_Overview.aux) (./CHAPTER_CellLib.aux) (./CHAPTER_Prog.aux) (./CHAPTER_Verilog.aux) (./CHAPTER_Optimize.aux) (./CHAPTER_Techmap.aux) (./CHAPTER_Auxlibs.aux) (./CHAPTER_Auxprogs.aux) (./CHAPTER_Appnotes.aux)) (/usr/share/texlive/texmf-dist/tex/latex/libertine/T1LinuxLibertineT-TLF.fd) (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii [Loading MPS to PDF converter (version 2006.09.02).] ) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/pdflscape/pdflscape.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/lscape.sty)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/nameref.sty (/usr/share/texlive/texmf-dist/tex/latex/refcount/refcount.sty) (/usr/share/texlive/texmf-dist/tex/generic/gettitlestring/gettitlestring.sty)) (./manual.out) (./manual.out) ABD: EveryShipout initializing macros [1{/usr/sha re/texlive/texmf-dist/fonts/map/pdftex/updmap/pdftex.map}] [2] (/usr/share/texlive/texmf-dist/tex/latex/lm/ot1lmr.fd) (/usr/share/texlive/texmf-dist/tex/latex/lm/omllmm.fd) (/usr/share/texlive/texmf-dist/tex/latex/lm/omslmsy.fd) (/usr/share/texlive/texmf-dist/tex/latex/lm/omxlmex.fd) [3] (./manual.toc [4] [5] [6] [7] [8] [9] [10]) [11] (./CHAPTER_Intro.tex Chapter 1. LaTeX Warning: Reference `chapter:sota' on page 12 undefined on input line 52. [12] LaTeX Warning: Reference `chapter:eval' on page 13 undefined on input line 89. LaTeX Warning: Reference `chapter:sota' on page 13 undefined on input line 96. ) [13] (./CHAPTER_Basics.tex Chapter 2. [14] (/usr/share/texlive/texmf-dist/tex/latex/libertine/T1LinuxLibertineMonoT-TLF.fd ) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang2.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang3.sty) LaTeX Warning: Reference `chapter:sota' on page 15 undefined on input line 139. [15] Overfull \hbox (12.97556pt too wide) in paragraph at lines 198--204 []\T1/LinuxLibertineT-TLF/m/n/10 Therefore mod-ern logic syn-the-sis tools uti- lize much more com-pli-cated \T1/LinuxLibertineT-TLF/m/it/10 multi-level logic syn-the-sis \T1/LinuxLibertineT-TLF/m/n/10 al-go-rithms [[]]. [16] (/usr/share/texlive/texmf-dist/tex/latex/libertine/TS1LinuxLibertineT-TLF.fd) [17] [18] LaTeX Warning: Reference `sec:blocking_nonblocking' on page 19 undefined on inp ut line 363. LaTeX Warning: Reference `fig:StateOfTheArt_for' on page 19 undefined on input line 390. LaTeX Warning: Reference `fig:StateOfTheArt_gen' on page 19 undefined on input line 391. LaTeX Warning: Reference `chapter:sota' on page 19 undefined on input line 391. [19] [20] [21] Overfull \hbox (9.2878pt too wide) in paragraph at lines 633--665 [] [] [22]) [23] [24] (./CHAPTER_Approach.tex Chapter 3. [25] (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) Overfull \hbox (31.07037pt too wide) in paragraph at lines 113--114 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [26]) [27] (./CHAPTER_Overview.tex Chapter 4. [28] [29] [30] Overfull \hbox (8.12611pt too wide) in paragraph at lines 195--200 \T1/LinuxLibertineT-TLF/m/n/10 The RTLIL::Design ob-ject is ba-si-cally just a con-tainer for RTLIL::Module ob-jects. In ad-di-tion to a list of RTLIL::Module [31] [32] Overfull \hbox (3.44838pt too wide) in paragraph at lines 324--330 []\T1/LinuxLibertineT-TLF/m/n/10 This RTLIL::Process con-tains two RTLIL::SyncR ule ob-jects, two RTLIL::SwitchRule ob-jects and five RTLIL::CaseRule Overfull \hbox (3.24974pt too wide) in paragraph at lines 338--341 []\T1/LinuxLibertineT-TLF/m/n/10 An RTLIL::CaseRule is a con-tainer for zero or more as-sign-ments (RTLIL::SigSig) and zero or more RTLIL::SwitchRule [33] [34] [35] Underfull \hbox (badness 1675) in paragraph at lines 521--526 []\T1/LinuxLibertineT-TLF/m/n/10 The top-level Make-file in-cludes \T1/LinuxLib ertineMonoT-TLF/regular/n/10 frontends/*/Makefile.inc\T1/LinuxLibertineT-TLF/m/ n/10 , \T1/LinuxLibertineMonoT-TLF/regular/n/10 passes/*/Makefile.inc \T1/Linux LibertineT-TLF/m/n/10 and Overfull \hbox (28.0696pt too wide) in paragraph at lines 528--530 []\T1/LinuxLibertineT-TLF/m/n/10 Good start-ing points for read-ing ex-am-ple s ource code to learn how to write passes are \T1/LinuxLibertineMonoT-TLF/regular /n/10 passes/opt/opt_rmdff.cc ) [36] (./CHAPTER_CellLib.tex Chapter 5. [37] [38] [39] [40] [41] Overfull \hbox (2.224pt too wide) in paragraph at lines 443--449 []\T1/LinuxLibertineT-TLF/m/n/10 The cell types \T1/LinuxLibertineMonoT-TLF/reg ular/n/10 $_DFF_NN0_\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibertineMonoT-TL F/regular/n/10 $_DFF_NN1_\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibertineMon oT-TLF/regular/n/10 $_DFF_NP0_\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLiberti neMonoT-TLF/regular/n/10 $_DFF_NP1_\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLi bertineMonoT-TLF/regular/n/10 $_DFF_PN0_\T1/LinuxLibertineT-TLF/m/n/10 , \T1/Li nuxLibertineMonoT-TLF/regular/n/10 $_DFF_PN1_\T1/LinuxLibertineT-TLF/m/n/10 , [42] Underfull \hbox (badness 1701) in paragraph at lines 464--466 []\T1/LinuxLibertineT-TLF/m/n/10 Add in-for-ma-tion about \T1/LinuxLibertineMon oT-TLF/regular/n/10 $assert\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibertineM onoT-TLF/regular/n/10 $assume\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibertin eMonoT-TLF/regular/n/10 $live\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibertin eMonoT-TLF/regular/n/10 $fair\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibertin eMonoT-TLF/regular/n/10 $cover\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLiberti neMonoT-TLF/regular/n/10 $equiv\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibert ineMonoT-TLF/regular/n/10 $initstate\T1/LinuxLibertineT-TLF/m/n/10 , [43]) [44] (./CHAPTER_Prog.tex Chapter 6. (../CodingReadme consecutive: Overfull \hbox (91.07098pt too wide) in paragraph at lines 33--35 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [45] Overfull \hbox (7.07013pt too wide) in paragraph at lines 47--48 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 48--49 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 59--60 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (31.07037pt too wide) in paragraph at lines 60--62 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 63--64 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 67--68 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 76--77 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 79--80 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [46] Overfull \hbox (13.07019pt too wide) in paragraph at lines 96--97 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [47] Overfull \hbox (7.07013pt too wide) in paragraph at lines 146--147 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 163--164 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 167--169 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 169--170 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 173--174 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (43.0705pt too wide) in paragraph at lines 189--190 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 190--191 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 191--192 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (31.07037pt too wide) in paragraph at lines 192--195 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [48] Overfull \hbox (55.07062pt too wide) in paragraph at lines 198--199 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 209--210 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 210--214 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] ) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) [49] (./CHAPTER_Prog/stubnets.cc Overfull \hbox (79.07086pt too wide) in paragraph at lines 19--20 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (73.0708pt too wide) in paragraph at lines 27--28 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (43.0705pt too wide) in paragraph at lines 30--32 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [50] Overfull \hbox (103.0711pt too wide) in paragraph at lines 58--59 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (73.0708pt too wide) in paragraph at lines 61--62 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (67.07074pt too wide) in paragraph at lines 65--66 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (115.07123pt too wide) in paragraph at lines 67--68 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 74--75 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (37.07043pt too wide) in paragraph at lines 77--78 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (97.07104pt too wide) in paragraph at lines 81--82 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (67.07074pt too wide) in paragraph at lines 83--84 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ] Overfull \hbox (43.0705pt too wide) in paragraph at lines 93--94 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (55.07062pt too wide) in paragraph at lines 101--102 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [51] Overfull \hbox (31.07037pt too wide) in paragraph at lines 106--108 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 126--127 [][][][][][][][][][][][][][][][][] ) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang2.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) (./CHAPTER_Prog/Makefile Overfull \hbox (91.07098pt too wide) in paragraph at lines 4--5 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][] Overfull \hbox (67.07074pt too wide) in paragraph at lines 8--10 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] ) (./CHAPTER_Prog/test.v)) [52] (./CHAPTER_Verilog.tex Chapter 7. [53] Underfull \hbox (badness 3009) in paragraph at lines 95--99 \T1/LinuxLibertineT-TLF/m/n/10 The Ver-ilog Lexer is writ-ten us-ing the lexer gen-er-a-tor \T1/LinuxLibertineT-TLF/m/it/10 flex \T1/LinuxLibertineT-TLF/m/n/1 0 [[]]. Its source code can be found in Overfull \hbox (95.32294pt too wide) in paragraph at lines 117--119 \T1/LinuxLibertineT-TLF/m/n/10 The Ver-ilog Parser is writ-ten us-ing the parse r gen-er-a-tor \T1/LinuxLibertineT-TLF/m/it/10 bi-son \T1/LinuxLibertineT-TLF/m /n/10 [[]]. Its source code can be found in \T1/LinuxLibertineMonoT-TLF/regular /n/10 frontends/verilog/parser.y Overfull \hbox (4.14989pt too wide) in paragraph at lines 399--403 \T1/LinuxLibertineT-TLF/m/n/10 am-ple the mem-ber [][][][][][][] can hold a str ing value and is used e.g. in the \T1/LinuxLibertineMonoT-TLF/regular/n/10 AST_ IDENTIFIER Overfull \hbox (25.69577pt too wide) in paragraph at lines 403--408 \T1/LinuxLibertineT-TLF/m/n/10 Each [][][][][] is au-to-mat-i-cally an-no-tated with the cur-rent source code lo-ca-tion by the [][][][][] [54] [55] Overfull \hbox (11.2028pt too wide) in paragraph at lines 447--449 []\T1/LinuxLibertineT-TLF/m/n/10 Perform const fold-ing where it is nec-es-sary (e.g. in the value part of \T1/LinuxLibertineMonoT-TLF/regular/n/10 AST_PARAME TER\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibertineMonoT-TLF/regular/n/10 AS T_LOCALPARAM\T1/LinuxLibertineT-TLF/m/n/10 , [56] Overfull \hbox (17.49321pt too wide) in paragraph at lines 488--494 \T1/LinuxLibertineT-TLF/m/n/10 in-stance of [][][][][]. This ob-ject then gen-e r-ates the [][][][][] [57] [58] Overfull \hbox (30.01938pt too wide) in paragraph at lines 595--599 []\T1/LinuxLibertineT-TLF/m/n/10 Note that in con-trast to this, the or-der wit hin the [][][][][] ob-jects within a [][][][][] Underfull \hbox (badness 1360) in paragraph at lines 600--605 []\T1/LinuxLibertineT-TLF/m/n/10 The whole [][][][][]/[][][][][] tree de-scribe s an asyn-chronous cir-cuit. Overfull \hbox (27.5457pt too wide) in paragraph at lines 608--615 \T1/LinuxLibertineT-TLF/m/n/10 ob-ject is later sim-ply trans-formed into a set of d-type flip-flops and the [][][][][]/[][][][][] Underfull \hbox (badness 10000) in paragraph at lines 617--621 []\T1/LinuxLibertineT-TLF/m/n/10 In more com-plex ex-am-ples (e.g. asyn-chronou s re-sets) the part of the Underfull \hbox (badness 1087) in paragraph at lines 617--621 [][][][][]\T1/LinuxLibertineT-TLF/m/n/10 /[][][][][] tree that de-scribes the a syn-chronous re-set must first be Underfull \hbox (badness 10000) in paragraph at lines 629--633 \T1/LinuxLibertineT-TLF/m/n/10 These two vari-ables hold the re-place-ment pat- tern that should be used by Underfull \hbox (badness 2027) in paragraph at lines 629--633 [][][][][][][][] \T1/LinuxLibertineT-TLF/m/n/10 for sig-nals with block-ing as- sign-ments. Af-ter ini-tial-iza-tion of Overfull \hbox (16.68127pt too wide) in paragraph at lines 639--642 \T1/LinuxLibertineT-TLF/m/n/10 A pointer to a [][][][][] ob-ject. Ini-tially th is is the root case of the gen-er-ated [][][][][]. [59] Overfull \hbox (4.04175pt too wide) in paragraph at lines 654--657 []\T1/LinuxLibertineT-TLF/m/n/10 During this re-cur-sive eval-u-a-tion, three d if-fer-ent rel-e-vant types of AST nodes can be dis-cov-ered: \T1/LinuxLibertin eMonoT-TLF/regular/n/10 AST_ASSIGN_LE Underfull \hbox (badness 10000) in paragraph at lines 700--703 []\T1/LinuxLibertineT-TLF/m/n/10 The val-ues of [][][], [][][], [][][] and Underfull \hbox (badness 10000) in paragraph at lines 703--708 []\T1/LinuxLibertineT-TLF/m/n/10 A new [][][][][] ob-ject is gen-er-ated, the s e-lec-tion ex-pres-sion is eval-u-ated Underfull \hbox (badness 10000) in paragraph at lines 703--708 \T1/LinuxLibertineT-TLF/m/n/10 us-ing [][][][][][][][] (with the use of [][][] and Underfull \hbox (badness 1231) in paragraph at lines 703--708 [][][]\T1/LinuxLibertineT-TLF/m/n/10 ) and added to the [][][][][] ob-ject and the ob-ject is added Underfull \hbox (badness 1226) in paragraph at lines 711--714 []\T1/LinuxLibertineT-TLF/m/n/10 New tem-po-rary sig-nals are gen-er-ated for a ll sig-nals in [][][] and stored in Underfull \hbox (badness 10000) in paragraph at lines 714--717 []\T1/LinuxLibertineT-TLF/m/n/10 The sig-nals in [][][] are mapped us-ing [][][ ] and [60] Underfull \hbox (badness 10000) in paragraph at lines 722--726 []\T1/LinuxLibertineT-TLF/m/n/10 Set [][][], [][][], [][][] and Underfull \hbox (badness 10000) in paragraph at lines 729--732 []\T1/LinuxLibertineT-TLF/m/n/10 Append [][][] to [][][] and ap-pend Underfull \hbox (badness 3514) in paragraph at lines 737--740 []\T1/LinuxLibertineT-TLF/m/n/10 Add an as-sign-ment from [][][] to [][][] to t he new Underfull \hbox (badness 10000) in paragraph at lines 752--755 []\T1/LinuxLibertineT-TLF/m/n/10 The val-ues of [][][], [][][], [][][] and Underfull \hbox (badness 10000) in paragraph at lines 755--758 []\T1/LinuxLibertineT-TLF/m/n/10 The sig-nals from [][][] are re-moved from the Underfull \hbox (badness 10000) in paragraph at lines 767--769 []\T1/LinuxLibertineT-TLF/m/n/10 Add an as-sign-ment from [][][] to [][][] to Overfull \hbox (0.63689pt too wide) in paragraph at lines 787--794 \T1/LinuxLibertineT-TLF/m/n/10 calls to [][][][][][][][] with a [][][]/[][][]-t uple that [61] Overfull \hbox (32.64174pt too wide) in paragraph at lines 797--800 \T1/LinuxLibertineT-TLF/m/n/10 The Pro-cess-Gen-er-a-tor con-verts a be-haviour al model in AST rep-re-sen-ta-tion to a be-havioural model in [][][][][] ) [62] [63] (./CHAPTER_Optimize.tex Chapter 8. [64] [65] Overfull \hbox (1.26599pt too wide) in paragraph at lines 129--132 \T1/LinuxLibertineT-TLF/m/n/10 This pass iden-ti-fies un-used sig-nals and cell s and re-moves them from the de-sign. It also cre-ates an \T1/LinuxLibertineMon oT-TLF/regular/n/10 \unused_bits Overfull \hbox (43.95105pt too wide) in paragraph at lines 190--196 \T1/LinuxLibertineT-TLF/m/n/10 at-tribute. The \T1/LinuxLibertineMonoT-TLF/regu lar/n/10 fsm_extract \T1/LinuxLibertineT-TLF/m/n/10 ex-tracts all FSMs marked u s-ing the \T1/LinuxLibertineMonoT-TLF/regular/n/10 \fsm_encoding \T1/LinuxLiber tineT-TLF/m/n/10 at-tribute (un-less \T1/LinuxLibertineMonoT-TLF/regular/n/10 \ fsm_encoding [66] Overfull \hbox (7.16573pt too wide) in paragraph at lines 201--203 []\T1/LinuxLibertineT-TLF/m/n/10 The al-go-rithms used for FSM de-tec-tion and ex-trac-tion are in-flu-enced by a more gen-eral re-ported tech-nique [[]]. Overfull \hbox (1.6189pt too wide) in paragraph at lines 220--224 []\T1/LinuxLibertineT-TLF/m/n/10 This heuris-tic has proven to work very well. It is pos-si-ble to over-write it by set-ting \T1/LinuxLibertineMonoT-TLF/regul ar/n/10 \fsm_encoding= "auto" [67] [68]) [69] (./CHAPTER_Techmap.tex Chapter 9. [70]) [71] (./CHAPTER_Auxlibs.tex Appendix A. ) [72] (./CHAPTER_Auxprogs.tex Appendix B. ) [73] Appendix C. (./command-reference-manual.tex Overfull \hbox (13.07019pt too wide) in paragraph at lines 8--9 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (61.07068pt too wide) in paragraph at lines 12--13 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 13--15 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [74] [75] Overfull \hbox (1.07007pt too wide) in paragraph at lines 106--107 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 111--112 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (31.07037pt too wide) in paragraph at lines 119--120 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][] Overfull \hbox (25.07031pt too wide) in paragraph at lines 122--123 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 130--131 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 134--135 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 135--136 [][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 143--144 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [76] Overfull \hbox (7.07013pt too wide) in paragraph at lines 151--152 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 155--156 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 156--157 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 163--164 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 164--165 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 165--166 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 178--181 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][] [77] Overfull \hbox (1.07007pt too wide) in paragraph at lines 211--212 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 240--241 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 241--242 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [78] Overfull \hbox (7.07013pt too wide) in paragraph at lines 274--276 [][][][][][][][][][][][][][][][][][][][][][][][][][][] [79] [80] Overfull \hbox (7.07013pt too wide) in paragraph at lines 373--374 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [81] Overfull \hbox (13.07019pt too wide) in paragraph at lines 438--439 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 441--442 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 451--452 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] [82] Overfull \hbox (7.07013pt too wide) in paragraph at lines 477--478 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 493--494 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.92607pt too wide) in paragraph at lines 507--507 []\T1/LinuxLibertineT-TLF/b/n/14.4 coolrunner2_sop -- break $sop cells into AND TER-M/ORTERM cells [83] Overfull \hbox (13.07019pt too wide) in paragraph at lines 529--530 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 534--536 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 549--550 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [84] Overfull \hbox (13.07019pt too wide) in paragraph at lines 609--612 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 624--625 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 630--631 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [85] Overfull \hbox (1.07007pt too wide) in paragraph at lines 658--659 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 662--663 [][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (73.0708pt too wide) in paragraph at lines 687--688 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [86] Overfull \hbox (19.07025pt too wide) in paragraph at lines 731--732 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [87] Overfull \hbox (19.07025pt too wide) in paragraph at lines 774--775 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [88] Overfull \hbox (1.07007pt too wide) in paragraph at lines 839--840 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [89] Overfull \hbox (1.07007pt too wide) in paragraph at lines 849--850 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 860--862 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 880--881 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 881--882 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 890--891 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [90] Overfull \hbox (13.07019pt too wide) in paragraph at lines 941--942 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 942--943 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] [91] Overfull \hbox (1.07007pt too wide) in paragraph at lines 983--984 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 999--1000 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1003--1004 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1007--1008 [][][][][][][][][][][][][][][][][][][][][][][][][][][] [92] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1018--1019 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1038--1039 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [93] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1080--1082 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1093--1094 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1104--1105 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1116--1117 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [94] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1155--1156 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1156--1157 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1157--1158 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1158--1159 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1159--1160 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1160--1162 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1163--1164 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1164--1165 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [95] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1173--1174 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1186--1187 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1188--1190 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [96] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1272--1273 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [97] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1285--1286 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1286--1287 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1307--1308 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] [98] [99] [100] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1434--1435 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [101] [102] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1560--1561 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [103] Overfull \hbox (19.07025pt too wide) in paragraph at lines 1599--1600 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (25.07031pt too wide) in paragraph at lines 1604--1605 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1605--1607 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1625--1626 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1633--1634 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [104] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1653--1654 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1682--1683 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1683--1684 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1686--1687 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [105] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1702--1703 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1710--1711 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1711--1712 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 1714--1715 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (25.07031pt too wide) in paragraph at lines 1715--1717 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 1717--1718 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [106] [107] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1829--1831 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1832--1833 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1836--1837 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1845--1846 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1854--1855 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [108] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1857--1858 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1865--1866 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [109] Overfull \hbox (49.07056pt too wide) in paragraph at lines 1937--1938 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (73.0708pt too wide) in paragraph at lines 1946--1947 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (73.0708pt too wide) in paragraph at lines 1952--1953 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [110] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1969--1970 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.13467pt too wide) in paragraph at lines 1978--1978 []\T1/LinuxLibertineT-TLF/b/n/14.4 opt_demorgan -- Op-ti-mize re-duc-tions with De-Mor-gan equiv-a-lents Overfull \hbox (1.07007pt too wide) in paragraph at lines 1992--1993 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] [111] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2014--2015 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2015--2016 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2040--2041 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [112] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2106--2107 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2107--2108 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [113] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2121--2122 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2125--2126 [][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2132--2133 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [114] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2207--2208 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2209--2210 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2210--2211 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] [115] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2269--2270 [][][][][][][][][][][][][][][][][][][][][][][][][][] [116] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2281--2282 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 2304--2306 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 2309--2310 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 2310--2313 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [117] Overfull \hbox (13.07019pt too wide) in paragraph at lines 2379--2381 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [118] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2411--2412 [][][][][][][][][][][][][][][][][][][][][][] [119] [120] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2542--2543 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [121] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2544--2545 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2549--2550 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2571--2572 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 2596--2598 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [122] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2612--2614 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2643--2645 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [123] Overfull \hbox (13.07019pt too wide) in paragraph at lines 2668--2669 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2669--2670 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2677--2679 [][][][][][][][][][][][][][][][][][][][][][][][][][][] [124] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2737--2738 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2738--2739 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2739--2740 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2750--2751 [][][][][][][][][][][][][][][][][][][][][][][][][][][] [125] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2768--2769 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2777--2778 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 2805--2806 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2806--2807 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [126] Overfull \hbox (19.07025pt too wide) in paragraph at lines 2820--2821 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 2860--2862 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [127] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2872--2873 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [128] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2974--2975 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [129] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2976--2977 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2977--2978 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2992--2993 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2996--2998 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3018--3019 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (25.07031pt too wide) in paragraph at lines 3028--3030 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [130] [131] Overfull \hbox (19.07025pt too wide) in paragraph at lines 3095--3096 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 3096--3097 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3100--3101 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3122--3124 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [132] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3141--3142 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3150--3151 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3154--3155 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3166--3167 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 3171--3172 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 3172--3173 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3176--3177 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3177--3178 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [133] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3207--3208 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 3210--3211 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 3223--3224 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 3224--3225 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3225--3226 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3244--3246 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [134] Overfull \hbox (13.07019pt too wide) in paragraph at lines 3247--3249 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3256--3257 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3257--3258 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3258--3259 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3268--3269 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] [135] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3335--3336 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3349--3350 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [136] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3363--3364 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3378--3379 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3384--3386 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 3387--3388 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3400--3401 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3401--3402 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [137] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3430--3431 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3431--3432 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3434--3435 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3444--3445 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] [138] [139] Overfull \hbox (85.07092pt too wide) in paragraph at lines 3523--3525 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3529--3530 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [140] Overfull \hbox (37.07043pt too wide) in paragraph at lines 3587--3588 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 3595--3596 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3603--3604 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [141] Overfull \hbox (319.0733pt too wide) in paragraph at lines 3656--3657 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][] [142] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3682--3683 [][][][][][][][][][][][][][][][][][][][][][][][][][][] [143] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3748--3749 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3752--3753 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3756--3757 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [144] [145] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3867--3868 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [146] Overfull \hbox (31.07037pt too wide) in paragraph at lines 3920--3921 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3940--3941 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [147] Overfull \hbox (457.0747pt too wide) in paragraph at lines 3994--3995 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][] [148] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4021--4022 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4025--4026 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4029--4030 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [149] [150] Overfull \hbox (55.07062pt too wide) in paragraph at lines 4135--4136 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (61.07068pt too wide) in paragraph at lines 4136--4138 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (49.07056pt too wide) in paragraph at lines 4142--4143 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (79.07086pt too wide) in paragraph at lines 4146--4147 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [151] Overfull \hbox (55.07062pt too wide) in paragraph at lines 4208--4209 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [152] Overfull \hbox (91.07098pt too wide) in paragraph at lines 4219--4221 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4231--4232 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4232--4233 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4239--4240 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4243--4244 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [153] [154] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4364--4365 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4368--4369 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4372--4373 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4373--4374 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 4376--4377 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4377--4379 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4379--4380 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [155] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4388--4389 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4400--4401 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4401--4402 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4402--4403 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4406--4407 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4410--4411 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4411--4412 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4413--4414 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4415--4416 [][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4418--4419 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 4419--4420 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4424--4425 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4428--4429 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4433--4434 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [156] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4434--4435 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4435--4437 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4439--4440 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 4440--4441 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4441--4442 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4442--4443 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4445--4446 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4447--4448 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4468--4470 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [157] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4504--4506 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4523--4524 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4524--4526 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [158] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4546--4548 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4588--4589 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [159] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4623--4624 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4624--4625 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4644--4645 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4645--4646 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [160] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4661--4662 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4691--4692 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [161] [162] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4789--4790 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4790--4792 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [163] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4846--4848 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4855--4856 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4856--4857 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 4858--4859 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4859--4860 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [164] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4864--4865 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4867--4868 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (25.07031pt too wide) in paragraph at lines 4868--4869 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [165] [166] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4994--4995 [][][][][][][][][][][][][][][][][][][][][][][][][][] [167] [168] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5084--5085 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [169] [170] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5190--5192 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5192--5193 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5217--5218 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5218--5219 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5235--5236 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [171] Overfull \hbox (7.07013pt too wide) in paragraph at lines 5259--5260 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5269--5270 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 5276--5277 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 5281--5283 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5284--5286 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5288--5289 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5293--5294 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [172] Overfull \hbox (7.07013pt too wide) in paragraph at lines 5298--5299 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5299--5301 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5316--5317 [][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 5324--5325 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5325--5326 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5329--5330 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5336--5337 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5337--5339 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [173] [174] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5435--5436 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5457--5458 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [175] [176]) [177] (./CHAPTER_Appnotes.tex Appendix D. [178] [179 <./APPNOTE_010_Verilog_to_BLIF.pdf>] [180 <./APPNOTE_010_Verilog_to_ BLIF.pdf>] [181 <./APPNOTE_010_Verilog_to_BLIF.pdf>] [182 <./APPNOTE_011_Design _Investigation.pdf>] [183 <./APPNOTE_011_Design_Investigation.pdf>] [184 <./APP NOTE_011_Design_Investigation.pdf>] [185 <./APPNOTE_011_Design_Investigation.pd f>] [186 <./APPNOTE_011_Design_Investigation.pdf>] [187 <./APPNOTE_011_Design_I nvestigation.pdf>] [188 <./APPNOTE_011_Design_Investigation.pdf>] [189 <./APPNO TE_011_Design_Investigation.pdf>] [190 <./APPNOTE_011_Design_Investigation.pdf> ] [191 <./APPNOTE_011_Design_Investigation.pdf>] [192 <./APPNOTE_012_Verilog_to _BTOR.pdf>] [193 <./APPNOTE_012_Verilog_to_BTOR.pdf>] [194 <./APPNOTE_012_Veril og_to_BTOR.pdf>] [195 <./APPNOTE_012_Verilog_to_BTOR.pdf>]) (./manual.bbl [196]) (./weblink.bbl [197] Underfull \hbox (badness 10000) in paragraph at lines 39--39 []\T1/LinuxLibertineT-TLF/m/n/10 Synopsys For-mal-ity Equiv-a-lence Check-ing. [][]$\T1/LinuxLibertineMonoT-TLF/regular/n/10 http : / / www . synopsys . com / Tools / ) [198] (./manual.aux (./CHAPTER_Intro.aux) (./CHAPTER_Basics.aux) (./CHAPTER_Approach.aux) (./CHAPTER_Overview.aux) (./CHAPTER_CellLib.aux) (./CHAPTER_Prog.aux) (./CHAPTER_Verilog.aux) (./CHAPTER_Optimize.aux) (./CHAPTER_Techmap.aux) (./CHAPTER_Auxlibs.aux) (./CHAPTER_Auxprogs.aux) (./CHAPTER_Appnotes.aux)) LaTeX Warning: There were undefined references. ) (see the transcript file for additional information){/usr/share/texlive/texmf-d ist/fonts/enc/dvips/libertine/lbtn_ncsllp.enc}{/usr/share/texlive/texmf-dist/fo nts/enc/dvips/lm/lm-mathit.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/l m/lm-rm.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/libertine/lbtn_naooy c.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/libertine/lbtn_dhi6qf.enc} {/usr/share/texlive/texmf-dist/fonts/enc/dvips/libertine/lbtn_7grukw.enc}{/usr/ share/texlive/texmf-dist/fonts/enc/dvips/libertine/lbtn_76gpa5.enc}{/usr/share/ texlive/texmf-dist/fonts/enc/dvips/libertine/lbtn_nh77jq.enc} Output written on manual.pdf (198 pages, 1454055 bytes). Transcript written on manual.log. + md5sum APPNOTE_010_Verilog_to_BLIF.aux APPNOTE_011_Design_Investigation.aux APPNOTE_012_Verilog_to_BTOR.aux CHAPTER_Appnotes.aux CHAPTER_Approach.aux CHAPTER_Auxlibs.aux CHAPTER_Auxprogs.aux CHAPTER_Basics.aux CHAPTER_CellLib.aux CHAPTER_Intro.aux CHAPTER_Optimize.aux CHAPTER_Overview.aux CHAPTER_Prog.aux CHAPTER_Techmap.aux CHAPTER_Verilog.aux PRESENTATION_ExAdv.aux PRESENTATION_ExOth.aux PRESENTATION_ExSyn.aux PRESENTATION_Intro.aux PRESENTATION_Prog.aux manual.aux presentation.aux weblink.aux manual.bbl weblink.bbl manual.blg weblink.blg + cmp autoloop.old autoloop.new autoloop.old autoloop.new differ: char 314, line 6 + cp autoloop.new autoloop.old + pdflatex -shell-escape -halt-on-error manual.tex This is pdfTeX, Version 3.14159265-2.6-1.40.21 (TeX Live 2020) (preloaded format=pdflatex) \write18 enabled. entering extended mode (./manual.tex LaTeX2e <2020-02-02> patch level 5 L3 programming layer <2020-04-06> (/usr/share/texlive/texmf-dist/tex/latex/base/book.cls Document Class: book 2019/12/20 v1.4l Standard LaTeX document class (/usr/share/texlive/texmf-dist/tex/latex/base/bk10.clo)) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty) (/usr/share/texlive/texmf-dist/tex/latex/lm/lmodern.sty) (/usr/share/texlive/texmf-dist/tex/latex/libertine/libertine.sty (/usr/share/texlive/texmf-dist/tex/generic/iftex/ifxetex.sty (/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty)) (/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty) (/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)))) (/usr/share/texlive/texmf-dist/tex/latex/base/textcomp.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty (/usr/share/texlive/texmf-dist/tex/latex/lm/t1lmr.fd)) (/usr/share/texlive/texmf-dist/tex/latex/fontaxes/fontaxes.sty) (/usr/share/texlive/texmf-dist/tex/latex/libertine/LinLibertine_I.tex)) (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.sty (/usr/share/texlive/texmf-dist/tex/generic/babel/switch.def) (/usr/share/texlive/texmf-dist/tex/generic/babel-english/english.ldf (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.def (/usr/share/texlive/texmf-dist/tex/generic/babel/txtbabel.def)))) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def) (/usr/share/texlive/texmf-dist/tex/latex/colortbl/colortbl.sty (/usr/share/texlive/texmf-dist/tex/latex/tools/array.sty))) (/usr/share/texlive/texmf-dist/tex/latex/base/makeidx.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg))) (/usr/share/texlive/texmf-dist/tex/latex/pdfpages/pdfpages.sty (/usr/share/texlive/texmf-dist/tex/latex/base/ifthen.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/calc.sty) (/usr/share/texlive/texmf-dist/tex/latex/eso-pic/eso-pic.sty (/usr/share/texlive/texmf-dist/tex/generic/atbegshi/atbegshi.sty (/usr/share/texlive/texmf-dist/tex/generic/infwarerr/infwarerr.sty) (/usr/share/texlive/texmf-dist/tex/generic/ltxcmds/ltxcmds.sty))) (/usr/share/texlive/texmf-dist/tex/latex/pdfpages/pppdftex.def)) (/usr/share/texlive/texmf-dist/tex/latex/float/float.sty) (/usr/share/texlive/texmf-dist/tex/latex/subfigure/subfigure.sty **************************************** * Local config file subfigure.cfg used * **************************************** (/usr/share/texlive/texmf-dist/tex/latex/subfigure/subfigure.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/caption/caption.sty (/usr/share/texlive/texmf-dist/tex/latex/caption/caption3.sty)) (/usr/share/texlive/texmf-dist/tex/latex/moreverb/moreverb.sty (/usr/share/texlive/texmf-dist/tex/latex/tools/verbatim.sty)) (/usr/share/texlive/texmf-dist/tex/latex/fancyhdr/fancyhdr.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty For additional information on amsmath, use the `?' option. (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) (/usr/share/texlive/texmf-dist/tex/latex/graphics/rotating.sty) (/usr/share/texlive/texmf-dist/tex/latex/natbib/natbib.sty) (/usr/share/texlive/texmf-dist/tex/generic/epsf/epsf.sty This is `epsf.tex' v2.7.4 <14 February 2011> ) (/usr/share/texlive/texmf-dist/tex/latex/doublestroke/dsfont.sty) (/usr/share/texlive/texmf-dist/tex/latex/algorithm2e/algorithm2e.sty (/usr/share/texlive/texmf-dist/tex/latex/ifoddpage/ifoddpage.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/xspace.sty) (/usr/share/texlive/texmf-dist/tex/latex/relsize/relsize.sty)) (/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty (/usr/share/texlive/texmf-dist/tex/latex/base/latin1.def)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hyperref.sty (/usr/share/texlive/texmf-dist/tex/latex/pdftexcmds/pdftexcmds.sty) (/usr/share/texlive/texmf-dist/tex/generic/kvsetkeys/kvsetkeys.sty) (/usr/share/texlive/texmf-dist/tex/generic/kvdefinekeys/kvdefinekeys.sty) (/usr/share/texlive/texmf-dist/tex/generic/pdfescape/pdfescape.sty) (/usr/share/texlive/texmf-dist/tex/latex/hycolor/hycolor.sty) (/usr/share/texlive/texmf-dist/tex/latex/letltxmacro/letltxmacro.sty) (/usr/share/texlive/texmf-dist/tex/latex/auxhook/auxhook.sty) (/usr/share/texlive/texmf-dist/tex/latex/kvoptions/kvoptions.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/pd1enc.def) (/usr/share/texlive/texmf-dist/tex/generic/intcalc/intcalc.sty) (/usr/share/texlive/texmf-dist/tex/generic/etexcmds/etexcmds.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/backref.sty (/usr/share/texlive/texmf-dist/tex/latex/rerunfilecheck/rerunfilecheck.sty (/usr/share/texlive/texmf-dist/tex/latex/atveryend/atveryend.sty) (/usr/share/texlive/texmf-dist/tex/generic/uniquecounter/uniquecounter.sty (/usr/share/texlive/texmf-dist/tex/generic/bigintcalc/bigintcalc.sty)))) (/usr/share/texlive/texmf-dist/tex/latex/url/url.sty) (/usr/share/texlive/texmf-dist/tex/generic/bitset/bitset.sty)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hpdftex.def) (/usr/share/texlive/texmf-dist/tex/latex/multibib/multibib.sty) (/usr/share/texlive/texmf-dist/tex/latex/multirow/multirow.sty) (/usr/share/texlive/texmf-dist/tex/latex/booktabs/booktabs.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.sty (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/psnfss/pifont.sty (/usr/share/texlive/texmf-dist/tex/latex/psnfss/upzd.fd) (/usr/share/texlive/texmf-dist/tex/latex/psnfss/upsy.fd)) (/usr/share/texlive/texmf-dist/tex/latex/skull/skull.sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common-lists.t ex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def (/usr/share/texlive/texmf-dist/tex/latex/ms/everyshi.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeysfiltered.code.t ex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de f))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet ric.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari thmetics.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation s.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex ) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 .sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 .sty)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarytopaths.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarycalc.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryarrows.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryarrows.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryscopes.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarythrough.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryshapes.geometric.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape s.geometric.code.tex)) (./weblink.aux) Writing index file manual.idx (/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdfmode.def) (./manual.aux (./CHAPTER_Intro.aux) (./CHAPTER_Basics.aux) (./CHAPTER_Approach.aux) (./CHAPTER_Overview.aux) (./CHAPTER_CellLib.aux) (./CHAPTER_Prog.aux) (./CHAPTER_Verilog.aux) (./CHAPTER_Optimize.aux) (./CHAPTER_Techmap.aux) (./CHAPTER_Auxlibs.aux) (./CHAPTER_Auxprogs.aux) (./CHAPTER_Appnotes.aux)) (/usr/share/texlive/texmf-dist/tex/latex/libertine/T1LinuxLibertineT-TLF.fd) (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii [Loading MPS to PDF converter (version 2006.09.02).] ) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/pdflscape/pdflscape.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/lscape.sty)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/nameref.sty (/usr/share/texlive/texmf-dist/tex/latex/refcount/refcount.sty) (/usr/share/texlive/texmf-dist/tex/generic/gettitlestring/gettitlestring.sty)) (./manual.out) (./manual.out) ABD: EveryShipout initializing macros [1{/usr/sha re/texlive/texmf-dist/fonts/map/pdftex/updmap/pdftex.map}] [2] (/usr/share/texlive/texmf-dist/tex/latex/lm/ot1lmr.fd) (/usr/share/texlive/texmf-dist/tex/latex/lm/omllmm.fd) (/usr/share/texlive/texmf-dist/tex/latex/lm/omslmsy.fd) (/usr/share/texlive/texmf-dist/tex/latex/lm/omxlmex.fd) [3] (./manual.toc [4] [5] [6] [7] [8] [9] [10]) [11] (./CHAPTER_Intro.tex Chapter 1. LaTeX Warning: Reference `chapter:sota' on page 12 undefined on input line 52. [12] LaTeX Warning: Reference `chapter:eval' on page 13 undefined on input line 89. LaTeX Warning: Reference `chapter:sota' on page 13 undefined on input line 96. ) [13] (./CHAPTER_Basics.tex Chapter 2. [14] (/usr/share/texlive/texmf-dist/tex/latex/libertine/T1LinuxLibertineMonoT-TLF.fd ) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang2.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang3.sty) LaTeX Warning: Reference `chapter:sota' on page 15 undefined on input line 139. [15] Overfull \hbox (12.97556pt too wide) in paragraph at lines 198--204 []\T1/LinuxLibertineT-TLF/m/n/10 Therefore mod-ern logic syn-the-sis tools uti- lize much more com-pli-cated \T1/LinuxLibertineT-TLF/m/it/10 multi-level logic syn-the-sis \T1/LinuxLibertineT-TLF/m/n/10 al-go-rithms [[]]. [16] (/usr/share/texlive/texmf-dist/tex/latex/libertine/TS1LinuxLibertineT-TLF.fd) [17] [18] LaTeX Warning: Reference `sec:blocking_nonblocking' on page 19 undefined on inp ut line 363. LaTeX Warning: Reference `fig:StateOfTheArt_for' on page 19 undefined on input line 390. LaTeX Warning: Reference `fig:StateOfTheArt_gen' on page 19 undefined on input line 391. LaTeX Warning: Reference `chapter:sota' on page 19 undefined on input line 391. [19] [20] [21] Overfull \hbox (9.2878pt too wide) in paragraph at lines 633--665 [] [] [22]) [23] [24] (./CHAPTER_Approach.tex Chapter 3. [25] (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) Overfull \hbox (31.07037pt too wide) in paragraph at lines 113--114 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [26]) [27] (./CHAPTER_Overview.tex Chapter 4. [28] [29] [30] Overfull \hbox (8.12611pt too wide) in paragraph at lines 195--200 \T1/LinuxLibertineT-TLF/m/n/10 The RTLIL::Design ob-ject is ba-si-cally just a con-tainer for RTLIL::Module ob-jects. In ad-di-tion to a list of RTLIL::Module [31] [32] Overfull \hbox (3.44838pt too wide) in paragraph at lines 324--330 []\T1/LinuxLibertineT-TLF/m/n/10 This RTLIL::Process con-tains two RTLIL::SyncR ule ob-jects, two RTLIL::SwitchRule ob-jects and five RTLIL::CaseRule Overfull \hbox (3.24974pt too wide) in paragraph at lines 338--341 []\T1/LinuxLibertineT-TLF/m/n/10 An RTLIL::CaseRule is a con-tainer for zero or more as-sign-ments (RTLIL::SigSig) and zero or more RTLIL::SwitchRule [33] [34] [35] Underfull \hbox (badness 1675) in paragraph at lines 521--526 []\T1/LinuxLibertineT-TLF/m/n/10 The top-level Make-file in-cludes \T1/LinuxLib ertineMonoT-TLF/regular/n/10 frontends/*/Makefile.inc\T1/LinuxLibertineT-TLF/m/ n/10 , \T1/LinuxLibertineMonoT-TLF/regular/n/10 passes/*/Makefile.inc \T1/Linux LibertineT-TLF/m/n/10 and Overfull \hbox (28.0696pt too wide) in paragraph at lines 528--530 []\T1/LinuxLibertineT-TLF/m/n/10 Good start-ing points for read-ing ex-am-ple s ource code to learn how to write passes are \T1/LinuxLibertineMonoT-TLF/regular /n/10 passes/opt/opt_rmdff.cc ) [36] (./CHAPTER_CellLib.tex Chapter 5. [37] [38] [39] [40] [41] Overfull \hbox (2.224pt too wide) in paragraph at lines 443--449 []\T1/LinuxLibertineT-TLF/m/n/10 The cell types \T1/LinuxLibertineMonoT-TLF/reg ular/n/10 $_DFF_NN0_\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibertineMonoT-TL F/regular/n/10 $_DFF_NN1_\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibertineMon oT-TLF/regular/n/10 $_DFF_NP0_\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLiberti neMonoT-TLF/regular/n/10 $_DFF_NP1_\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLi bertineMonoT-TLF/regular/n/10 $_DFF_PN0_\T1/LinuxLibertineT-TLF/m/n/10 , \T1/Li nuxLibertineMonoT-TLF/regular/n/10 $_DFF_PN1_\T1/LinuxLibertineT-TLF/m/n/10 , [42] Underfull \hbox (badness 1701) in paragraph at lines 464--466 []\T1/LinuxLibertineT-TLF/m/n/10 Add in-for-ma-tion about \T1/LinuxLibertineMon oT-TLF/regular/n/10 $assert\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibertineM onoT-TLF/regular/n/10 $assume\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibertin eMonoT-TLF/regular/n/10 $live\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibertin eMonoT-TLF/regular/n/10 $fair\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibertin eMonoT-TLF/regular/n/10 $cover\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLiberti neMonoT-TLF/regular/n/10 $equiv\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibert ineMonoT-TLF/regular/n/10 $initstate\T1/LinuxLibertineT-TLF/m/n/10 , [43]) [44] (./CHAPTER_Prog.tex Chapter 6. (../CodingReadme consecutive: Overfull \hbox (91.07098pt too wide) in paragraph at lines 33--35 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [45] Overfull \hbox (7.07013pt too wide) in paragraph at lines 47--48 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 48--49 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 59--60 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (31.07037pt too wide) in paragraph at lines 60--62 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 63--64 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 67--68 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 76--77 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 79--80 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [46] Overfull \hbox (13.07019pt too wide) in paragraph at lines 96--97 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [47] Overfull \hbox (7.07013pt too wide) in paragraph at lines 146--147 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 163--164 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 167--169 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 169--170 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 173--174 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (43.0705pt too wide) in paragraph at lines 189--190 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 190--191 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 191--192 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (31.07037pt too wide) in paragraph at lines 192--195 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [48] Overfull \hbox (55.07062pt too wide) in paragraph at lines 198--199 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 209--210 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 210--214 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] ) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) [49] (./CHAPTER_Prog/stubnets.cc Overfull \hbox (79.07086pt too wide) in paragraph at lines 19--20 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (73.0708pt too wide) in paragraph at lines 27--28 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (43.0705pt too wide) in paragraph at lines 30--32 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [50] Overfull \hbox (103.0711pt too wide) in paragraph at lines 58--59 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (73.0708pt too wide) in paragraph at lines 61--62 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (67.07074pt too wide) in paragraph at lines 65--66 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (115.07123pt too wide) in paragraph at lines 67--68 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 74--75 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (37.07043pt too wide) in paragraph at lines 77--78 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (97.07104pt too wide) in paragraph at lines 81--82 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (67.07074pt too wide) in paragraph at lines 83--84 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ] Overfull \hbox (43.0705pt too wide) in paragraph at lines 93--94 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (55.07062pt too wide) in paragraph at lines 101--102 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [51] Overfull \hbox (31.07037pt too wide) in paragraph at lines 106--108 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 126--127 [][][][][][][][][][][][][][][][][] ) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang2.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) (./CHAPTER_Prog/Makefile Overfull \hbox (91.07098pt too wide) in paragraph at lines 4--5 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][] Overfull \hbox (67.07074pt too wide) in paragraph at lines 8--10 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] ) (./CHAPTER_Prog/test.v)) [52] (./CHAPTER_Verilog.tex Chapter 7. [53] Underfull \hbox (badness 3009) in paragraph at lines 95--99 \T1/LinuxLibertineT-TLF/m/n/10 The Ver-ilog Lexer is writ-ten us-ing the lexer gen-er-a-tor \T1/LinuxLibertineT-TLF/m/it/10 flex \T1/LinuxLibertineT-TLF/m/n/1 0 [[]]. Its source code can be found in Overfull \hbox (95.32294pt too wide) in paragraph at lines 117--119 \T1/LinuxLibertineT-TLF/m/n/10 The Ver-ilog Parser is writ-ten us-ing the parse r gen-er-a-tor \T1/LinuxLibertineT-TLF/m/it/10 bi-son \T1/LinuxLibertineT-TLF/m /n/10 [[]]. Its source code can be found in \T1/LinuxLibertineMonoT-TLF/regular /n/10 frontends/verilog/parser.y Overfull \hbox (4.14989pt too wide) in paragraph at lines 399--403 \T1/LinuxLibertineT-TLF/m/n/10 am-ple the mem-ber [][][][][][][] can hold a str ing value and is used e.g. in the \T1/LinuxLibertineMonoT-TLF/regular/n/10 AST_ IDENTIFIER Overfull \hbox (25.69577pt too wide) in paragraph at lines 403--408 \T1/LinuxLibertineT-TLF/m/n/10 Each [][][][][] is au-to-mat-i-cally an-no-tated with the cur-rent source code lo-ca-tion by the [][][][][] [54] [55] Overfull \hbox (11.2028pt too wide) in paragraph at lines 447--449 []\T1/LinuxLibertineT-TLF/m/n/10 Perform const fold-ing where it is nec-es-sary (e.g. in the value part of \T1/LinuxLibertineMonoT-TLF/regular/n/10 AST_PARAME TER\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibertineMonoT-TLF/regular/n/10 AS T_LOCALPARAM\T1/LinuxLibertineT-TLF/m/n/10 , [56] Overfull \hbox (17.49321pt too wide) in paragraph at lines 488--494 \T1/LinuxLibertineT-TLF/m/n/10 in-stance of [][][][][]. This ob-ject then gen-e r-ates the [][][][][] [57] [58] Overfull \hbox (30.01938pt too wide) in paragraph at lines 595--599 []\T1/LinuxLibertineT-TLF/m/n/10 Note that in con-trast to this, the or-der wit hin the [][][][][] ob-jects within a [][][][][] Underfull \hbox (badness 1360) in paragraph at lines 600--605 []\T1/LinuxLibertineT-TLF/m/n/10 The whole [][][][][]/[][][][][] tree de-scribe s an asyn-chronous cir-cuit. Overfull \hbox (27.5457pt too wide) in paragraph at lines 608--615 \T1/LinuxLibertineT-TLF/m/n/10 ob-ject is later sim-ply trans-formed into a set of d-type flip-flops and the [][][][][]/[][][][][] Underfull \hbox (badness 10000) in paragraph at lines 617--621 []\T1/LinuxLibertineT-TLF/m/n/10 In more com-plex ex-am-ples (e.g. asyn-chronou s re-sets) the part of the Underfull \hbox (badness 1087) in paragraph at lines 617--621 [][][][][]\T1/LinuxLibertineT-TLF/m/n/10 /[][][][][] tree that de-scribes the a syn-chronous re-set must first be Underfull \hbox (badness 10000) in paragraph at lines 629--633 \T1/LinuxLibertineT-TLF/m/n/10 These two vari-ables hold the re-place-ment pat- tern that should be used by Underfull \hbox (badness 2027) in paragraph at lines 629--633 [][][][][][][][] \T1/LinuxLibertineT-TLF/m/n/10 for sig-nals with block-ing as- sign-ments. Af-ter ini-tial-iza-tion of Overfull \hbox (16.68127pt too wide) in paragraph at lines 639--642 \T1/LinuxLibertineT-TLF/m/n/10 A pointer to a [][][][][] ob-ject. Ini-tially th is is the root case of the gen-er-ated [][][][][]. [59] Overfull \hbox (4.04175pt too wide) in paragraph at lines 654--657 []\T1/LinuxLibertineT-TLF/m/n/10 During this re-cur-sive eval-u-a-tion, three d if-fer-ent rel-e-vant types of AST nodes can be dis-cov-ered: \T1/LinuxLibertin eMonoT-TLF/regular/n/10 AST_ASSIGN_LE Underfull \hbox (badness 10000) in paragraph at lines 700--703 []\T1/LinuxLibertineT-TLF/m/n/10 The val-ues of [][][], [][][], [][][] and Underfull \hbox (badness 10000) in paragraph at lines 703--708 []\T1/LinuxLibertineT-TLF/m/n/10 A new [][][][][] ob-ject is gen-er-ated, the s e-lec-tion ex-pres-sion is eval-u-ated Underfull \hbox (badness 10000) in paragraph at lines 703--708 \T1/LinuxLibertineT-TLF/m/n/10 us-ing [][][][][][][][] (with the use of [][][] and Underfull \hbox (badness 1231) in paragraph at lines 703--708 [][][]\T1/LinuxLibertineT-TLF/m/n/10 ) and added to the [][][][][] ob-ject and the ob-ject is added Underfull \hbox (badness 1226) in paragraph at lines 711--714 []\T1/LinuxLibertineT-TLF/m/n/10 New tem-po-rary sig-nals are gen-er-ated for a ll sig-nals in [][][] and stored in Underfull \hbox (badness 10000) in paragraph at lines 714--717 []\T1/LinuxLibertineT-TLF/m/n/10 The sig-nals in [][][] are mapped us-ing [][][ ] and [60] Underfull \hbox (badness 10000) in paragraph at lines 722--726 []\T1/LinuxLibertineT-TLF/m/n/10 Set [][][], [][][], [][][] and Underfull \hbox (badness 10000) in paragraph at lines 729--732 []\T1/LinuxLibertineT-TLF/m/n/10 Append [][][] to [][][] and ap-pend Underfull \hbox (badness 3514) in paragraph at lines 737--740 []\T1/LinuxLibertineT-TLF/m/n/10 Add an as-sign-ment from [][][] to [][][] to t he new Underfull \hbox (badness 10000) in paragraph at lines 752--755 []\T1/LinuxLibertineT-TLF/m/n/10 The val-ues of [][][], [][][], [][][] and Underfull \hbox (badness 10000) in paragraph at lines 755--758 []\T1/LinuxLibertineT-TLF/m/n/10 The sig-nals from [][][] are re-moved from the Underfull \hbox (badness 10000) in paragraph at lines 767--769 []\T1/LinuxLibertineT-TLF/m/n/10 Add an as-sign-ment from [][][] to [][][] to Overfull \hbox (0.63689pt too wide) in paragraph at lines 787--794 \T1/LinuxLibertineT-TLF/m/n/10 calls to [][][][][][][][] with a [][][]/[][][]-t uple that [61] Overfull \hbox (32.64174pt too wide) in paragraph at lines 797--800 \T1/LinuxLibertineT-TLF/m/n/10 The Pro-cess-Gen-er-a-tor con-verts a be-haviour al model in AST rep-re-sen-ta-tion to a be-havioural model in [][][][][] ) [62] [63] (./CHAPTER_Optimize.tex Chapter 8. [64] [65] Overfull \hbox (1.26599pt too wide) in paragraph at lines 129--132 \T1/LinuxLibertineT-TLF/m/n/10 This pass iden-ti-fies un-used sig-nals and cell s and re-moves them from the de-sign. It also cre-ates an \T1/LinuxLibertineMon oT-TLF/regular/n/10 \unused_bits Overfull \hbox (43.95105pt too wide) in paragraph at lines 190--196 \T1/LinuxLibertineT-TLF/m/n/10 at-tribute. The \T1/LinuxLibertineMonoT-TLF/regu lar/n/10 fsm_extract \T1/LinuxLibertineT-TLF/m/n/10 ex-tracts all FSMs marked u s-ing the \T1/LinuxLibertineMonoT-TLF/regular/n/10 \fsm_encoding \T1/LinuxLiber tineT-TLF/m/n/10 at-tribute (un-less \T1/LinuxLibertineMonoT-TLF/regular/n/10 \ fsm_encoding [66] Overfull \hbox (7.16573pt too wide) in paragraph at lines 201--203 []\T1/LinuxLibertineT-TLF/m/n/10 The al-go-rithms used for FSM de-tec-tion and ex-trac-tion are in-flu-enced by a more gen-eral re-ported tech-nique [[]]. Overfull \hbox (1.6189pt too wide) in paragraph at lines 220--224 []\T1/LinuxLibertineT-TLF/m/n/10 This heuris-tic has proven to work very well. It is pos-si-ble to over-write it by set-ting \T1/LinuxLibertineMonoT-TLF/regul ar/n/10 \fsm_encoding= "auto" [67] [68]) [69] (./CHAPTER_Techmap.tex Chapter 9. [70]) [71] (./CHAPTER_Auxlibs.tex Appendix A. ) [72] (./CHAPTER_Auxprogs.tex Appendix B. ) [73] Appendix C. (./command-reference-manual.tex Overfull \hbox (13.07019pt too wide) in paragraph at lines 8--9 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (61.07068pt too wide) in paragraph at lines 12--13 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 13--15 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [74] [75] Overfull \hbox (1.07007pt too wide) in paragraph at lines 106--107 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 111--112 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (31.07037pt too wide) in paragraph at lines 119--120 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][] Overfull \hbox (25.07031pt too wide) in paragraph at lines 122--123 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 130--131 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 134--135 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 135--136 [][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 143--144 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [76] Overfull \hbox (7.07013pt too wide) in paragraph at lines 151--152 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 155--156 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 156--157 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 163--164 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 164--165 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 165--166 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 178--181 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][] [77] Overfull \hbox (1.07007pt too wide) in paragraph at lines 211--212 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 240--241 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 241--242 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [78] Overfull \hbox (7.07013pt too wide) in paragraph at lines 274--276 [][][][][][][][][][][][][][][][][][][][][][][][][][][] [79] [80] Overfull \hbox (7.07013pt too wide) in paragraph at lines 373--374 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [81] Overfull \hbox (13.07019pt too wide) in paragraph at lines 438--439 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 441--442 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 451--452 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] [82] Overfull \hbox (7.07013pt too wide) in paragraph at lines 477--478 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 493--494 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.92607pt too wide) in paragraph at lines 507--507 []\T1/LinuxLibertineT-TLF/b/n/14.4 coolrunner2_sop -- break $sop cells into AND TER-M/ORTERM cells [83] Overfull \hbox (13.07019pt too wide) in paragraph at lines 529--530 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 534--536 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 549--550 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [84] Overfull \hbox (13.07019pt too wide) in paragraph at lines 609--612 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 624--625 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 630--631 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [85] Overfull \hbox (1.07007pt too wide) in paragraph at lines 658--659 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 662--663 [][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (73.0708pt too wide) in paragraph at lines 687--688 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [86] Overfull \hbox (19.07025pt too wide) in paragraph at lines 731--732 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [87] Overfull \hbox (19.07025pt too wide) in paragraph at lines 774--775 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [88] Overfull \hbox (1.07007pt too wide) in paragraph at lines 839--840 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [89] Overfull \hbox (1.07007pt too wide) in paragraph at lines 849--850 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 860--862 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 880--881 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 881--882 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 890--891 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [90] Overfull \hbox (13.07019pt too wide) in paragraph at lines 941--942 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 942--943 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] [91] Overfull \hbox (1.07007pt too wide) in paragraph at lines 983--984 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 999--1000 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1003--1004 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1007--1008 [][][][][][][][][][][][][][][][][][][][][][][][][][][] [92] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1018--1019 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1038--1039 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [93] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1080--1082 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1093--1094 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1104--1105 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1116--1117 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [94] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1155--1156 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1156--1157 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1157--1158 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1158--1159 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1159--1160 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1160--1162 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1163--1164 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1164--1165 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [95] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1173--1174 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1186--1187 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1188--1190 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [96] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1272--1273 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [97] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1285--1286 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1286--1287 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1307--1308 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] [98] [99] [100] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1434--1435 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [101] [102] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1560--1561 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [103] Overfull \hbox (19.07025pt too wide) in paragraph at lines 1599--1600 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (25.07031pt too wide) in paragraph at lines 1604--1605 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1605--1607 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1625--1626 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1633--1634 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [104] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1653--1654 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1682--1683 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1683--1684 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1686--1687 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [105] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1702--1703 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1710--1711 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1711--1712 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 1714--1715 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (25.07031pt too wide) in paragraph at lines 1715--1717 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 1717--1718 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [106] [107] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1829--1831 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1832--1833 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1836--1837 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1845--1846 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1854--1855 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [108] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1857--1858 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1865--1866 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [109] Overfull \hbox (49.07056pt too wide) in paragraph at lines 1937--1938 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (73.0708pt too wide) in paragraph at lines 1946--1947 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (73.0708pt too wide) in paragraph at lines 1952--1953 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [110] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1969--1970 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.13467pt too wide) in paragraph at lines 1978--1978 []\T1/LinuxLibertineT-TLF/b/n/14.4 opt_demorgan -- Op-ti-mize re-duc-tions with De-Mor-gan equiv-a-lents Overfull \hbox (1.07007pt too wide) in paragraph at lines 1992--1993 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] [111] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2014--2015 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2015--2016 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2040--2041 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [112] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2106--2107 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2107--2108 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [113] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2121--2122 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2125--2126 [][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2132--2133 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [114] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2207--2208 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2209--2210 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2210--2211 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] [115] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2269--2270 [][][][][][][][][][][][][][][][][][][][][][][][][][] [116] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2281--2282 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 2304--2306 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 2309--2310 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 2310--2313 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [117] Overfull \hbox (13.07019pt too wide) in paragraph at lines 2379--2381 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [118] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2411--2412 [][][][][][][][][][][][][][][][][][][][][][] [119] [120] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2542--2543 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [121] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2544--2545 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2549--2550 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2571--2572 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 2596--2598 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [122] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2612--2614 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2643--2645 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [123] Overfull \hbox (13.07019pt too wide) in paragraph at lines 2668--2669 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2669--2670 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2677--2679 [][][][][][][][][][][][][][][][][][][][][][][][][][][] [124] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2737--2738 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2738--2739 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2739--2740 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2750--2751 [][][][][][][][][][][][][][][][][][][][][][][][][][][] [125] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2768--2769 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2777--2778 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 2805--2806 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2806--2807 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [126] Overfull \hbox (19.07025pt too wide) in paragraph at lines 2820--2821 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 2860--2862 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [127] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2872--2873 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [128] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2974--2975 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [129] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2976--2977 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2977--2978 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2992--2993 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2996--2998 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3018--3019 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (25.07031pt too wide) in paragraph at lines 3028--3030 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [130] [131] Overfull \hbox (19.07025pt too wide) in paragraph at lines 3095--3096 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 3096--3097 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3100--3101 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3122--3124 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [132] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3141--3142 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3150--3151 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3154--3155 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3166--3167 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 3171--3172 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 3172--3173 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3176--3177 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3177--3178 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [133] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3207--3208 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 3210--3211 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 3223--3224 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 3224--3225 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3225--3226 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3244--3246 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [134] Overfull \hbox (13.07019pt too wide) in paragraph at lines 3247--3249 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3256--3257 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3257--3258 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3258--3259 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3268--3269 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] [135] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3335--3336 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3349--3350 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [136] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3363--3364 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3378--3379 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3384--3386 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 3387--3388 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3400--3401 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3401--3402 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [137] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3430--3431 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3431--3432 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3434--3435 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3444--3445 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] [138] [139] Overfull \hbox (85.07092pt too wide) in paragraph at lines 3523--3525 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3529--3530 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [140] Overfull \hbox (37.07043pt too wide) in paragraph at lines 3587--3588 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 3595--3596 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3603--3604 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [141] Overfull \hbox (319.0733pt too wide) in paragraph at lines 3656--3657 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][] [142] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3682--3683 [][][][][][][][][][][][][][][][][][][][][][][][][][][] [143] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3748--3749 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3752--3753 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3756--3757 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [144] [145] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3867--3868 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [146] Overfull \hbox (31.07037pt too wide) in paragraph at lines 3920--3921 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3940--3941 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [147] Overfull \hbox (457.0747pt too wide) in paragraph at lines 3994--3995 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][] [148] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4021--4022 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4025--4026 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4029--4030 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [149] [150] Overfull \hbox (55.07062pt too wide) in paragraph at lines 4135--4136 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (61.07068pt too wide) in paragraph at lines 4136--4138 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (49.07056pt too wide) in paragraph at lines 4142--4143 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (79.07086pt too wide) in paragraph at lines 4146--4147 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [151] Overfull \hbox (55.07062pt too wide) in paragraph at lines 4208--4209 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [152] Overfull \hbox (91.07098pt too wide) in paragraph at lines 4219--4221 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4231--4232 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4232--4233 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4239--4240 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4243--4244 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [153] [154] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4364--4365 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4368--4369 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4372--4373 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4373--4374 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 4376--4377 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4377--4379 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4379--4380 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [155] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4388--4389 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4400--4401 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4401--4402 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4402--4403 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4406--4407 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4410--4411 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4411--4412 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4413--4414 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4415--4416 [][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4418--4419 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 4419--4420 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4424--4425 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4428--4429 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4433--4434 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [156] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4434--4435 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4435--4437 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4439--4440 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 4440--4441 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4441--4442 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4442--4443 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4445--4446 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4447--4448 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4468--4470 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [157] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4504--4506 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4523--4524 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4524--4526 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [158] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4546--4548 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4588--4589 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [159] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4623--4624 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4624--4625 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4644--4645 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4645--4646 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [160] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4661--4662 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4691--4692 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [161] [162] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4789--4790 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4790--4792 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [163] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4846--4848 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4855--4856 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4856--4857 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 4858--4859 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4859--4860 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [164] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4864--4865 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4867--4868 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (25.07031pt too wide) in paragraph at lines 4868--4869 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [165] [166] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4994--4995 [][][][][][][][][][][][][][][][][][][][][][][][][][] [167] [168] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5084--5085 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [169] [170] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5190--5192 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5192--5193 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5217--5218 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5218--5219 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5235--5236 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [171] Overfull \hbox (7.07013pt too wide) in paragraph at lines 5259--5260 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5269--5270 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 5276--5277 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 5281--5283 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5284--5286 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5288--5289 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5293--5294 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [172] Overfull \hbox (7.07013pt too wide) in paragraph at lines 5298--5299 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5299--5301 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5316--5317 [][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 5324--5325 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5325--5326 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5329--5330 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5336--5337 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5337--5339 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [173] [174] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5435--5436 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5457--5458 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [175] [176]) [177] (./CHAPTER_Appnotes.tex Appendix D. [178] [179 <./APPNOTE_010_Verilog_to_BLIF.pdf>] [180 <./APPNOTE_010_Verilog_to_ BLIF.pdf>] [181 <./APPNOTE_010_Verilog_to_BLIF.pdf>] [182 <./APPNOTE_011_Design _Investigation.pdf>] [183 <./APPNOTE_011_Design_Investigation.pdf>] [184 <./APP NOTE_011_Design_Investigation.pdf>] [185 <./APPNOTE_011_Design_Investigation.pd f>] [186 <./APPNOTE_011_Design_Investigation.pdf>] [187 <./APPNOTE_011_Design_I nvestigation.pdf>] [188 <./APPNOTE_011_Design_Investigation.pdf>] [189 <./APPNO TE_011_Design_Investigation.pdf>] [190 <./APPNOTE_011_Design_Investigation.pdf> ] [191 <./APPNOTE_011_Design_Investigation.pdf>] [192 <./APPNOTE_012_Verilog_to _BTOR.pdf>] [193 <./APPNOTE_012_Verilog_to_BTOR.pdf>] [194 <./APPNOTE_012_Veril og_to_BTOR.pdf>] [195 <./APPNOTE_012_Verilog_to_BTOR.pdf>]) (./manual.bbl [196]) (./weblink.bbl [197] Underfull \hbox (badness 10000) in paragraph at lines 39--39 []\T1/LinuxLibertineT-TLF/m/n/10 Synopsys For-mal-ity Equiv-a-lence Check-ing. [][]$\T1/LinuxLibertineMonoT-TLF/regular/n/10 http : / / www . synopsys . com / Tools / ) [198] (./manual.aux (./CHAPTER_Intro.aux) (./CHAPTER_Basics.aux) (./CHAPTER_Approach.aux) (./CHAPTER_Overview.aux) (./CHAPTER_CellLib.aux) (./CHAPTER_Prog.aux) (./CHAPTER_Verilog.aux) (./CHAPTER_Optimize.aux) (./CHAPTER_Techmap.aux) (./CHAPTER_Auxlibs.aux) (./CHAPTER_Auxprogs.aux) (./CHAPTER_Appnotes.aux)) LaTeX Warning: There were undefined references. ) (see the transcript file for additional information){/usr/share/texlive/texmf-d ist/fonts/enc/dvips/libertine/lbtn_ncsllp.enc}{/usr/share/texlive/texmf-dist/fo nts/enc/dvips/lm/lm-mathit.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/l m/lm-rm.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/libertine/lbtn_naooy c.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/libertine/lbtn_dhi6qf.enc} {/usr/share/texlive/texmf-dist/fonts/enc/dvips/libertine/lbtn_7grukw.enc}{/usr/ share/texlive/texmf-dist/fonts/enc/dvips/libertine/lbtn_76gpa5.enc}{/usr/share/ texlive/texmf-dist/fonts/enc/dvips/libertine/lbtn_nh77jq.enc} Output written on manual.pdf (198 pages, 1454055 bytes). Transcript written on manual.log. + md5sum APPNOTE_010_Verilog_to_BLIF.aux APPNOTE_011_Design_Investigation.aux APPNOTE_012_Verilog_to_BTOR.aux CHAPTER_Appnotes.aux CHAPTER_Approach.aux CHAPTER_Auxlibs.aux CHAPTER_Auxprogs.aux CHAPTER_Basics.aux CHAPTER_CellLib.aux CHAPTER_Intro.aux CHAPTER_Optimize.aux CHAPTER_Overview.aux CHAPTER_Prog.aux CHAPTER_Techmap.aux CHAPTER_Verilog.aux PRESENTATION_ExAdv.aux PRESENTATION_ExOth.aux PRESENTATION_ExSyn.aux PRESENTATION_Intro.aux PRESENTATION_Prog.aux manual.aux presentation.aux weblink.aux manual.bbl weblink.bbl manual.blg weblink.blg + cmp autoloop.old autoloop.new + rm -f autoloop.old + rm -f autoloop.new ++ stat -c %y debian/man/yosys-smtbmc.txt ++ awk '{ print $1 }' + txt2man -d 2019-10-18 -t YOSYS-SMTBMC debian/man/yosys-smtbmc.txt gawk: cmd. line:58: warning: regexp escape sequence `\o' is not a known regexp operator gawk: cmd. line:116: warning: regexp escape sequence `\,' is not a known regexp operator gawk: cmd. line:116: warning: regexp escape sequence `\;' is not a known regexp operator + RPM_EC=0 ++ jobs -p + exit 0 Executing(%install): /bin/sh -e /var/tmp/rpm-tmp.IEDGHk + umask 022 + cd /builddir/build/BUILD + '[' /builddir/build/BUILDROOT/yosys-0.9-8.fc34.x86_64 '!=' / ']' + rm -rf /builddir/build/BUILDROOT/yosys-0.9-8.fc34.x86_64 ++ dirname /builddir/build/BUILDROOT/yosys-0.9-8.fc34.x86_64 + mkdir -p /builddir/build/BUILDROOT + mkdir /builddir/build/BUILDROOT/yosys-0.9-8.fc34.x86_64 + cd yosys-yosys-0.9 + /usr/bin/make install DESTDIR=/builddir/build/BUILDROOT/yosys-0.9-8.fc34.x86_64 'INSTALL=/usr/bin/install -p' PREFIX=/usr ABCEXTERNAL=/usr/bin/abc STRIP=/bin/true [Makefile.conf] CONFIG := gcc mkdir -p /builddir/build/BUILDROOT/yosys-0.9-8.fc34.x86_64/usr/bin cp yosys yosys-config yosys-filterlib yosys-smtbmc /builddir/build/BUILDROOT/yosys-0.9-8.fc34.x86_64/usr/bin /bin/true -S /builddir/build/BUILDROOT/yosys-0.9-8.fc34.x86_64/usr/bin/yosys /bin/true /builddir/build/BUILDROOT/yosys-0.9-8.fc34.x86_64/usr/bin/yosys-filterlib mkdir -p /builddir/build/BUILDROOT/yosys-0.9-8.fc34.x86_64/usr/share/yosys cp -r share/. /builddir/build/BUILDROOT/yosys-0.9-8.fc34.x86_64/usr/share/yosys/. + install -d -m0755 /builddir/build/BUILDROOT/yosys-0.9-8.fc34.x86_64/usr/include + mv /builddir/build/BUILDROOT/yosys-0.9-8.fc34.x86_64/usr/share/yosys/include /builddir/build/BUILDROOT/yosys-0.9-8.fc34.x86_64/usr/include/yosys + install -d -m0755 /builddir/build/BUILDROOT/yosys-0.9-8.fc34.x86_64/usr/share/man/man1 + install -m 0644 yosys-smtbmc.1 debian/yosys.1 debian/yosys-config.1 debian/yosys-filterlib.1 /builddir/build/BUILDROOT/yosys-0.9-8.fc34.x86_64/usr/share/man/man1 + install -d -m0755 /builddir/build/BUILDROOT/yosys-0.9-8.fc34.x86_64/usr/share/doc/yosys + install -m 0644 manual/APPNOTE_010_Verilog_to_BLIF.pdf manual/APPNOTE_011_Design_Investigation.pdf manual/APPNOTE_012_Verilog_to_BTOR.pdf manual/manual.pdf manual/presentation.pdf /builddir/build/BUILDROOT/yosys-0.9-8.fc34.x86_64/usr/share/doc/yosys + /usr/lib/rpm/find-debuginfo.sh -j2 --strict-build-id -m -i --build-id-seed 0.9-8.fc34 --unique-debug-suffix -0.9-8.fc34.x86_64 --unique-debug-src-base yosys-0.9-8.fc34.x86_64 --run-dwz --dwz-low-mem-die-limit 10000000 --dwz-max-die-limit 110000000 -S debugsourcefiles.list /builddir/build/BUILD/yosys-yosys-0.9 explicitly decompress any DWARF compressed ELF sections in /builddir/build/BUILDROOT/yosys-0.9-8.fc34.x86_64/usr/bin/yosys explicitly decompress any DWARF compressed ELF sections in /builddir/build/BUILDROOT/yosys-0.9-8.fc34.x86_64/usr/bin/yosys-filterlib extracting debug info from /builddir/build/BUILDROOT/yosys-0.9-8.fc34.x86_64/usr/bin/yosys extracting debug info from /builddir/build/BUILDROOT/yosys-0.9-8.fc34.x86_64/usr/bin/yosys-filterlib original debug info size: 180736kB, size after compression: 151040kB /usr/lib/rpm/sepdebugcrcfix: Updated 2 CRC32s, 0 CRC32s did match. 8748 blocks + /usr/lib/rpm/check-buildroot + /usr/lib/rpm/redhat/brp-ldconfig + /usr/lib/rpm/brp-compress + /usr/lib/rpm/redhat/brp-strip-lto /usr/bin/strip + /usr/lib/rpm/brp-strip-static-archive /usr/bin/strip + /usr/lib/rpm/redhat/brp-python-bytecompile '' 1 0 + /usr/lib/rpm/brp-python-hardlink + /usr/lib/rpm/redhat/brp-mangle-shebangs *** WARNING: ./usr/src/debug/yosys-0.9-8.fc34.x86_64/techlibs/achronix/synth_achronix.cc is executable but has no shebang, removing executable bit *** WARNING: ./usr/share/yosys/achronix/speedster22i/cells_map.v is executable but has no shebang, removing executable bit *** WARNING: ./usr/share/yosys/achronix/speedster22i/cells_sim.v is executable but has no shebang, removing executable bit mangling shebang in /usr/bin/yosys-config from /bin/bash to #!/usr/bin/bash Executing(%check): /bin/sh -e /var/tmp/rpm-tmp.Ek1zCd + umask 022 + cd /builddir/build/BUILD + cd yosys-yosys-0.9 + make test ABCEXTERNAL=/usr/bin/abc SEED=314159265359 [Makefile.conf] CONFIG := gcc cd tests/simple && bash run-test.sh "-S 314159265359" make[1]: Entering directory '/builddir/build/BUILD/yosys-yosys-0.9/tests/simple' + gcc -Wall -o /builddir/build/BUILD/yosys-yosys-0.9/tests/tools/cmp_tbdata /builddir/build/BUILD/yosys-yosys-0.9/tests/tools/cmp_tbdata.c Test: arrays02 -> ok Test: defvalue -> ok Test: aes_kexp128 -> ok Test: always01 -> ok Test: always02 -> ok Test: always03 -> ok Test: arraycells -> ok Test: arrays01 -> ok Test: attrib01_module -> ok Test: attrib02_port_decl -> ok Test: attrib03_parameter -> ok Test: attrib04_net_var -> ok Test: attrib06_operator_suffix -> ok Test: attrib08_mod_inst -> ok Test: attrib09_case -> ok Test: carryadd -> ok Test: constmuldivmod -> ok Test: constpower -> ok Test: dff_different_styles -> ok Test: dff_init -> ok Test: fiedler-cooley -> ok Test: forgen01 -> ok Test: forgen02 -> ok Test: forloops -> ok Test: fsm -> ok Test: generate -> ok Test: graphtest -> ok Test: hierarchy -> ok Test: hierdefparam -> ok Test: i2c_master_tests -> ok Test: implicit_ports -> ok Test: localparam_attr -> ok Test: loops -> ok Test: macros -> ok Test: mem2reg -> ok Test: mem_arst -> ok Test: memory -> ok Test: multiplier -> ok Test: muxtree -> ok Test: omsp_dbg_uart -> ok Test: operators -> ok Test: param_attr -> ok Test: paramods -> ok Test: partsel -> ok Test: peepopt -> ok Test: process -> ok Test: realexpr -> ok Test: repwhile -> ok Test: retime -> ok Test: rotate -> ok Test: scopes -> ok Test: signedexpr -> ok Test: sincos -> ok Test: specify -> ok Test: subbytes -> ok Test: task_func -> ok Test: undef_eqx_nex -> ok Test: usb_phy_tests -> ok Test: values -> ok Test: vloghammer -> ok Test: wandwor -> ok Test: wreduce -> ok make[1]: Leaving directory '/builddir/build/BUILD/yosys-yosys-0.9/tests/simple' cd tests/hana && bash run-test.sh "-S 314159265359" make[1]: Entering directory '/builddir/build/BUILD/yosys-yosys-0.9/tests/hana' Test: test_intermout -> ok Test: test_parse2synthtrans -> ok Test: test_parser -> ok Test: test_simulation_always -> ok Test: test_simulation_and -> ok Test: test_simulation_buffer -> ok Test: test_simulation_decoder -> ok Test: test_simulation_inc -> ok Test: test_simulation_mux -> ok Test: test_simulation_nand -> ok Test: test_simulation_nor -> ok Test: test_simulation_or -> ok Test: test_simulation_seq -> ok Test: test_simulation_shifter -> ok Test: test_simulation_sop -> ok Test: test_simulation_techmap -> ok Test: test_simulation_techmap_tech -> ok Test: test_simulation_vlib -> ok Test: test_simulation_xnor -> ok Test: test_simulation_xor -> ok make[1]: Leaving directory '/builddir/build/BUILD/yosys-yosys-0.9/tests/hana' cd tests/asicworld && bash run-test.sh "-S 314159265359" make[1]: Entering directory '/builddir/build/BUILD/yosys-yosys-0.9/tests/asicworld' Test: code_hdl_models_GrayCounter -> ok Test: code_hdl_models_arbiter -> ok Test: code_hdl_models_cam -> ok Test: code_hdl_models_clk_div -> ok Test: code_hdl_models_clk_div_45 -> ok Test: code_hdl_models_d_ff_gates -> ok Test: code_hdl_models_d_latch_gates -> ok Test: code_hdl_models_decoder_2to4_gates -> ok Test: code_hdl_models_decoder_using_assign -> ok Test: code_hdl_models_decoder_using_case -> ok Test: code_hdl_models_dff_async_reset -> ok Test: code_hdl_models_dff_sync_reset -> ok Test: code_hdl_models_encoder_4to2_gates -> ok Test: code_hdl_models_encoder_using_case -> ok Test: code_hdl_models_encoder_using_if -> ok Test: code_hdl_models_full_adder_gates -> ok Test: code_hdl_models_full_subtracter_gates -> ok Test: code_hdl_models_gray_counter -> ok Test: code_hdl_models_half_adder_gates -> ok Test: code_hdl_models_lfsr -> ok Test: code_hdl_models_lfsr_updown -> ok Test: code_hdl_models_mux_2to1_gates -> ok Test: code_hdl_models_mux_using_assign -> ok Test: code_hdl_models_mux_using_case -> ok Test: code_hdl_models_mux_using_if -> ok Test: code_hdl_models_one_hot_cnt -> ok Test: code_hdl_models_parallel_crc -> ok Test: code_hdl_models_parity_using_assign -> ok Test: code_hdl_models_parity_using_bitwise -> ok Test: code_hdl_models_parity_using_function -> ok Test: code_hdl_models_pri_encoder_using_assign -> ok Test: code_hdl_models_rom_using_case -> ok Test: code_hdl_models_serial_crc -> ok Test: code_hdl_models_tff_async_reset -> ok Test: code_hdl_models_tff_sync_reset -> ok Test: code_hdl_models_uart -> ok Test: code_hdl_models_up_counter -> ok Test: code_hdl_models_up_counter_load -> ok Test: code_hdl_models_up_down_counter -> ok Test: code_specman_switch_fabric -> ok Test: code_tidbits_asyn_reset -> ok Test: code_tidbits_blocking -> ok Test: code_tidbits_fsm_using_always -> ok Test: code_tidbits_fsm_using_function -> ok Test: code_tidbits_fsm_using_single_always -> ok Test: code_tidbits_nonblocking -> ok Test: code_tidbits_reg_combo_example -> ok Test: code_tidbits_reg_seq_example -> ok Test: code_tidbits_syn_reset -> ok Test: code_tidbits_wire_example -> ok Test: code_verilog_tutorial_addbit -> ok Test: code_verilog_tutorial_always_example -> ok Test: code_verilog_tutorial_bus_con -> ok Test: code_verilog_tutorial_comment -> ok Test: code_verilog_tutorial_counter -> ok Test: code_verilog_tutorial_d_ff -> ok Test: code_verilog_tutorial_decoder -> ok Test: code_verilog_tutorial_decoder_always -> ok Test: code_verilog_tutorial_escape_id -> ok Test: code_verilog_tutorial_explicit -> ok Test: code_verilog_tutorial_first_counter -> ok Test: code_verilog_tutorial_flip_flop -> ok Test: code_verilog_tutorial_fsm_full -> ok Test: code_verilog_tutorial_good_code -> ok Test: code_verilog_tutorial_if_else -> ok Test: code_verilog_tutorial_multiply -> ok Test: code_verilog_tutorial_mux_21 -> ok Test: code_verilog_tutorial_n_out_primitive -> ok Test: code_verilog_tutorial_parallel_if -> ok Test: code_verilog_tutorial_parity -> ok Test: code_verilog_tutorial_simple_function -> ok Test: code_verilog_tutorial_simple_if -> ok Test: code_verilog_tutorial_task_global -> ok Test: code_verilog_tutorial_tri_buf -> ok Test: code_verilog_tutorial_v2k_reg -> ok Test: code_verilog_tutorial_which_clock -> ok make[1]: Leaving directory '/builddir/build/BUILD/yosys-yosys-0.9/tests/asicworld' # +cd tests/realmath && bash run-test.sh "-S 314159265359" cd tests/share && bash run-test.sh "-S 314159265359" generating tests.. PRNG seed: 314159265359 running tests.. [0][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][19][20][21][22][23][24][25][26][27][28][29][30][31][32][33][34][35][36][37][38][39][40][41][42][43][44][45][46][47][48][49][50][51][52][53][54][55][56][57][58][59][60][61][62][63][64][65][66][67][68][69][70][71][72][73][74][75][76][77][78][79][80][81][82][83][84][85][86][87][88][89][90][91][92][93][94][95][96][97][98][99] cd tests/fsm && bash run-test.sh "-S 314159265359" generating tests.. PRNG seed: 314159265359 running tests.. make[1]: Entering directory '/builddir/build/BUILD/yosys-yosys-0.9/tests/fsm' [0]K[1]K[2]K[3]K[4]K[5]K[6]T[7]K[8]K[9]K[10]K[11]K[12]K[13]K[14]K[15]K[16]K[17]K[18]K[19]K[20]K[21]K[22]K[23]K[24]K[25]K[26]K[27]K[28]K[29]K[30]K[31]K[32]K[33]K[34]K[35]K[36]K[37]K[38]K[39]K[40]K[41]K[42]K[43]K[44]K[45]T[46]K[47]K[48]K[49]K[50]K[51]K[52]K[53]K[54]K[55]K[56]K[57]K[58]K[59]K[60]K[61]K[62]K[63]K[64]K[65]K[66]K[67]K[68]K[69]K[70]K[71]K[72]K[73]K[74]K[75]K[76]T[77]K[78]K[79]K[80]K[81]K[82]K[83]K[84]K[85]K[86]K[87]K[88]K[89]K[90]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[91]K[92]K[93]K[94]K[95]K[96]K[97]K[98]K[99]K make[1]: Leaving directory '/builddir/build/BUILD/yosys-yosys-0.9/tests/fsm' cd tests/techmap && bash run-test.sh Running mem_simple_4x1_runtest.sh.. cd tests/memories && bash run-test.sh "-A /usr/bin/abc" "-S 314159265359" Test: amber23_sram_byte_en -> ok Test: firrtl_938 -> ok Test: implicit_en -> ok Test: issue00335 -> ok Test: issue00710 -> ok Test: no_implicit_en -> ok Test: read_two_mux -> ok Test: shared_ports -> ok Test: simple_sram_byte_en -> ok Testing expectations for amber23_sram_byte_en.v .. ok. Testing expectations for implicit_en.v .. ok. Testing expectations for issue00335.v .. ok. Testing expectations for issue00710.v .. ok. Testing expectations for no_implicit_en.v .. ok. Testing expectations for read_two_mux.v .. ok. Testing expectations for shared_ports.v .. ok. Testing expectations for simple_sram_byte_en.v .. ok. cd tests/bram && bash run-test.sh "-S 314159265359" generating tests.. PRNG seed: 314159265359 running tests.. make[1]: Entering directory '/builddir/build/BUILD/yosys-yosys-0.9/tests/bram' Passed memory_bram test 00_01. Passed memory_bram test 00_02. Passed memory_bram test 00_03. Passed memory_bram test 00_04. Passed memory_bram test 01_00. Passed memory_bram test 01_02. Passed memory_bram test 01_03. Passed memory_bram test 01_04. Passed memory_bram test 02_00. Passed memory_bram test 02_01. Passed memory_bram test 02_03. Passed memory_bram test 02_04. Passed memory_bram test 03_00. Passed memory_bram test 03_01. Passed memory_bram test 03_02. Passed memory_bram test 03_04. Passed memory_bram test 04_00. Passed memory_bram test 04_01. Passed memory_bram test 04_02. Passed memory_bram test 04_03. make[1]: Leaving directory '/builddir/build/BUILD/yosys-yosys-0.9/tests/bram' cd tests/various && bash run-test.sh Running attrib05_port_conn.ys.. Running attrib07_func_call.ys.. Warning: wire '\out' is assigned in a block at attrib07_func_call.v:17. Warning: wire '\out' is assigned in a block at attrib07_func_call.v:18. Running constmsk_test.ys.. constmsk_testmap.v:45: Warning: Range [1:0] select out of bounds on signal `\tmp': Setting 1 MSB bits to undef. Running elab_sys_tasks.ys.. elab_sys_tasks.sv:8: Warning: X is 1. elab_sys_tasks.sv:22: Warning: Running muxcover.ys.. Warning: Yosys has only limited support for tri-state logic at the moment. (/builddir/build/BUILD/yosys-yosys-0.9/share/simcells.v:437) Running muxpack.ys.. Running opt_rmdff.ys.. Warning: Wire opt_rmdff_test.\Q [22] is used but has no driver. Running pmux2shiftx.ys.. Warning: wire '\o' is assigned in a block at pmux2shiftx.v:39. Warning: wire '\o' is assigned in a block at pmux2shiftx.v:40. Warning: wire '\o' is assigned in a block at pmux2shiftx.v:41. Warning: wire '\o' is assigned in a block at pmux2shiftx.v:42. Running reg_wire_error.ys.. Warning: wire '\o_reg' is assigned in a block at reg_wire_error.sv:26. Warning: wire '\o_reg' is assigned in a block at reg_wire_error.sv:29. Warning: reg '\l_reg' is assigned in a continuous assignment at reg_wire_error.sv:35. Warning: wire '\mw2' is assigned in a block at reg_wire_error.sv:62. Warning: wire '\mw3' is assigned in a block at reg_wire_error.sv:69. Warning: Replacing memory \ml3 with list of registers. See reg_wire_error.sv:70 Warning: Replacing memory \mr3 with list of registers. See reg_wire_error.sv:68 Warning: Replacing memory \ml2 with list of registers. See reg_wire_error.sv:63 Warning: Replacing memory \mr2 with list of registers. See reg_wire_error.sv:61 Running shregmap.ys.. /* Generated by Yosys 0.9 (git sha1 UNKNOWN, gcc 11.0.0 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) */ (* top = 1 *) (* src = "shregmap.v:24" *) module shregmap_variable_test(i, clk, l1, l2, q); wire \$auto$shregmap.cc:228:fixup$96 ; wire \$auto$shregmap.cc:228:fixup$98 ; wire \$auto$shregmap.cc:307:make_sigbit_chain_next_prev$94 ; (* src = "shregmap.v:24" *) input clk; (* init = 1'h0 *) (* src = "shregmap.v:25" *) wire head; (* src = "shregmap.v:24" *) input i; (* src = "shregmap.v:24" *) input [1:0] l1; (* src = "shregmap.v:24" *) input [1:0] l2; (* src = "shregmap.v:24" *) output [1:0] q; (* src = "shregmap.v:26" *) wire [3:0] shift1; (* src = "shregmap.v:27" *) wire [3:0] shift2; (* src = "shregmap.v:29" *) \$__XILINX_SHREG_ #( .CLKPOL(32'd1), .DEPTH(32'd4), .ENPOL(32'd2), .INIT(4'h0) ) \$auto$shregmap.cc:211:fixup$95 ( .C(clk), .D(head), .L(l1), .Q(q[0]) ); (* src = "shregmap.v:29" *) \$__XILINX_SHREG_ #( .CLKPOL(32'd1), .DEPTH(32'd4), .ENPOL(32'd2), .INIT(4'h0) ) \$auto$shregmap.cc:211:fixup$97 ( .C(clk), .D(head), .L(l2), .Q(q[1]) ); (* src = "shregmap.v:29" *) \$_DFF_P_ \$auto$simplemap.cc:420:simplemap_dff$93 ( .C(clk), .D(i), .Q(head) ); (* src = "shregmap.v:35" *) \$shiftx #( .A_SIGNED(32'd0), .A_WIDTH(32'd4), .B_SIGNED(32'd0), .B_WIDTH(32'd2), .Y_WIDTH(32'd1) ) \$shiftx$shregmap.v:35$8 ( .A(shift1), .B(l1), .Y(\$auto$shregmap.cc:228:fixup$96 ) ); (* src = "shregmap.v:35" *) \$shiftx #( .A_SIGNED(32'd0), .A_WIDTH(32'd4), .B_SIGNED(32'd0), .B_WIDTH(32'd2), .Y_WIDTH(32'd1) ) \$shiftx$shregmap.v:35$9 ( .A(shift2), .B(l2), .Y(\$auto$shregmap.cc:228:fixup$98 ) ); assign \$auto$shregmap.cc:307:make_sigbit_chain_next_prev$94 = head; endmodule Running signext.ys.. Warning: Yosys has only limited support for tri-state logic at the moment. (< ok Test: svinterface_at_top -> ok cd tests/opt && bash run-test.sh Running opt_expr_cmp.ys.. Running opt_ff.ys.. Running opt_lut.ys.. Warning: Yosys has only limited support for tri-state logic at the moment. (/builddir/build/BUILD/yosys-yosys-0.9/share/ice40/cells_sim.v:31) Warning: Yosys has only limited support for tri-state logic at the moment. (/builddir/build/BUILD/yosys-yosys-0.9/share/ice40/cells_sim.v:76) Warning: Yosys has only limited support for tri-state logic at the moment. (/builddir/build/BUILD/yosys-yosys-0.9/share/ice40/cells_sim.v:77) Warning: Yosys has only limited support for tri-state logic at the moment. (/builddir/build/BUILD/yosys-yosys-0.9/share/ice40/cells_sim.v:1193) Warning: Yosys has only limited support for tri-state logic at the moment. (/builddir/build/BUILD/yosys-yosys-0.9/share/ice40/cells_sim.v:1194) Warning: Yosys has only limited support for tri-state logic at the moment. (/builddir/build/BUILD/yosys-yosys-0.9/share/ice40/cells_sim.v:1256) Warning: Yosys has only limited support for tri-state logic at the moment. (/builddir/build/BUILD/yosys-yosys-0.9/share/ice40/cells_sim.v:1257) Warning: Yosys has only limited support for tri-state logic at the moment. (/builddir/build/BUILD/yosys-yosys-0.9/share/ice40/cells_sim.v:1258) Running opt_lut_elim.ys.. Running opt_lut_port.ys.. cd tests/aiger && bash run-test.sh "-A /usr/bin/abc" Checking and_.aag. Checking buffer.aag. Checking cnt1.aag. Warning: The new network has no primary inputs. It is recommended to add a dummy PI to make sure all commands work correctly. Checking cnt1e.aag. Checking empty.aag. Warning: The new network has no primary inputs. It is recommended to add a dummy PI to make sure all commands work correctly. Warning: The current network has no primary outputs. Some commands may not work correctly. Checking false.aag. Warning: The new network has no primary inputs. It is recommended to add a dummy PI to make sure all commands work correctly. Checking halfadder.aag. Checking inverter.aag. Checking notcnt1.aag. Warning: The new network has no primary inputs. It is recommended to add a dummy PI to make sure all commands work correctly. Checking notcnt1e.aag. Checking or_.aag. Checking toggle-re.aag. Checking toggle.aag. Warning: The new network has no primary inputs. It is recommended to add a dummy PI to make sure all commands work correctly. Checking true.aag. Warning: The new network has no primary inputs. It is recommended to add a dummy PI to make sure all commands work correctly. Checking and_.aig. Checking buffer.aig. Checking cnt1.aig. Warning: The new network has no primary inputs. It is recommended to add a dummy PI to make sure all commands work correctly. Checking cnt1e.aig. Checking empty.aig. Warning: The new network has no primary inputs. It is recommended to add a dummy PI to make sure all commands work correctly. Warning: The current network has no primary outputs. Some commands may not work correctly. Checking false.aig. Warning: The new network has no primary inputs. It is recommended to add a dummy PI to make sure all commands work correctly. Checking halfadder.aig. Checking inverter.aig. Checking notcnt1.aig. Warning: The new network has no primary inputs. It is recommended to add a dummy PI to make sure all commands work correctly. Checking notcnt1e.aig. Checking or_.aig. Checking toggle-re.aig. Checking toggle.aig. Warning: The new network has no primary inputs. It is recommended to add a dummy PI to make sure all commands work correctly. Checking true.aig. Warning: The new network has no primary inputs. It is recommended to add a dummy PI to make sure all commands work correctly. cd tests/arch && bash run-test.sh Running syntax check on arch sim models Test ../../techlibs/achronix/speedster22i/cells_sim.v -> ok Test ../../techlibs/anlogic/cells_sim.v -> ok Test ../../techlibs/coolrunner2/cells_sim.v -> ok Test ../../techlibs/ecp5/cells_sim.v -> ok Test ../../techlibs/gowin/cells_sim.v -> ok Test ../../techlibs/greenpak4/cells_sim.v -> ok Test ../../techlibs/ice40/cells_sim.v -> ok Test ../../techlibs/intel/max10/cells_sim.v -> ok Test ../../techlibs/intel/cyclonev/cells_sim.v -> ok Test ../../techlibs/intel/cycloneive/cells_sim.v -> ok Test ../../techlibs/intel/cycloneiv/cells_sim.v -> ok Test ../../techlibs/intel/cyclone10/cells_sim.v -> ok Test ../../techlibs/intel/a10gx/cells_sim.v -> ok Test ../../techlibs/sf2/cells_sim.v -> ok Test ../../techlibs/xilinx/cells_sim.v -> ok Test ../../techlibs/common/simcells.v -> ok Test ../../techlibs/common/simlib.v -> ok Passed "make test". + RPM_EC=0 ++ jobs -p + exit 0 Processing files: yosys-0.9-8.fc34.x86_64 Executing(%doc): /bin/sh -e /var/tmp/rpm-tmp.hdgXTx + umask 022 + cd /builddir/build/BUILD + cd yosys-yosys-0.9 + DOCDIR=/builddir/build/BUILDROOT/yosys-0.9-8.fc34.x86_64/usr/share/doc/yosys + export LC_ALL=C + LC_ALL=C + export DOCDIR + /usr/bin/mkdir -p /builddir/build/BUILDROOT/yosys-0.9-8.fc34.x86_64/usr/share/doc/yosys + cp -pr README.md /builddir/build/BUILDROOT/yosys-0.9-8.fc34.x86_64/usr/share/doc/yosys + RPM_EC=0 ++ jobs -p + exit 0 Executing(%license): /bin/sh -e /var/tmp/rpm-tmp.tKtESw + umask 022 + cd /builddir/build/BUILD + cd yosys-yosys-0.9 + LICENSEDIR=/builddir/build/BUILDROOT/yosys-0.9-8.fc34.x86_64/usr/share/licenses/yosys + export LC_ALL=C + LC_ALL=C + export LICENSEDIR + /usr/bin/mkdir -p /builddir/build/BUILDROOT/yosys-0.9-8.fc34.x86_64/usr/share/licenses/yosys + cp -pr COPYING /builddir/build/BUILDROOT/yosys-0.9-8.fc34.x86_64/usr/share/licenses/yosys + RPM_EC=0 ++ jobs -p + exit 0 Provides: yosys = 0.9-8.fc34 yosys(x86-64) = 0.9-8.fc34 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Requires: /usr/bin/python3 libc.so.6()(64bit) libc.so.6(GLIBC_2.11)(64bit) libc.so.6(GLIBC_2.2.5)(64bit) libc.so.6(GLIBC_2.27)(64bit) libc.so.6(GLIBC_2.3.4)(64bit) libc.so.6(GLIBC_2.32)(64bit) libc.so.6(GLIBC_2.33)(64bit) libc.so.6(GLIBC_2.4)(64bit) libc.so.6(GLIBC_2.7)(64bit) libc.so.6(GLIBC_2.8)(64bit) libdl.so.2()(64bit) libdl.so.2(GLIBC_2.2.5)(64bit) libffi.so.6()(64bit) libgcc_s.so.1()(64bit) libgcc_s.so.1(GCC_3.0)(64bit) libm.so.6()(64bit) libm.so.6(GLIBC_2.2.5)(64bit) libm.so.6(GLIBC_2.29)(64bit) libreadline.so.8()(64bit) libstdc++.so.6()(64bit) libstdc++.so.6(CXXABI_1.3)(64bit) libstdc++.so.6(GLIBCXX_3.4)(64bit) libstdc++.so.6(GLIBCXX_3.4.11)(64bit) libstdc++.so.6(GLIBCXX_3.4.14)(64bit) libstdc++.so.6(GLIBCXX_3.4.15)(64bit) libstdc++.so.6(GLIBCXX_3.4.20)(64bit) libstdc++.so.6(GLIBCXX_3.4.21)(64bit) libstdc++.so.6(GLIBCXX_3.4.26)(64bit) libstdc++.so.6(GLIBCXX_3.4.29)(64bit) libstdc++.so.6(GLIBCXX_3.4.5)(64bit) libstdc++.so.6(GLIBCXX_3.4.9)(64bit) libtcl8.6.so()(64bit) rtld(GNU_HASH) Processing files: yosys-doc-0.9-8.fc34.x86_64 Provides: yosys-doc = 0.9-8.fc34 yosys-doc(x86-64) = 0.9-8.fc34 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Processing files: yosys-share-0.9-8.fc34.noarch Provides: yosys-share = 0.9-8.fc34 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Processing files: yosys-devel-0.9-8.fc34.x86_64 Provides: yosys-devel = 0.9-8.fc34 yosys-devel(x86-64) = 0.9-8.fc34 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Requires: /usr/bin/bash Processing files: yosys-debugsource-0.9-8.fc34.x86_64 Provides: yosys-debugsource = 0.9-8.fc34 yosys-debugsource(x86-64) = 0.9-8.fc34 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Processing files: yosys-debuginfo-0.9-8.fc34.x86_64 Provides: debuginfo(build-id) = 847c52adcac86f042697ef71b10c37a2b89ddf98 debuginfo(build-id) = f3122941273291232bc6ed0ad3da2951cd003129 yosys-debuginfo = 0.9-8.fc34 yosys-debuginfo(x86-64) = 0.9-8.fc34 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Recommends: yosys-debugsource(x86-64) = 0.9-8.fc34 Checking for unpackaged file(s): /usr/lib/rpm/check-files /builddir/build/BUILDROOT/yosys-0.9-8.fc34.x86_64 Wrote: /builddir/build/RPMS/yosys-0.9-8.fc34.x86_64.rpm Wrote: /builddir/build/RPMS/yosys-debugsource-0.9-8.fc34.x86_64.rpm Wrote: /builddir/build/RPMS/yosys-doc-0.9-8.fc34.x86_64.rpm Wrote: /builddir/build/RPMS/yosys-share-0.9-8.fc34.noarch.rpm Wrote: /builddir/build/RPMS/yosys-devel-0.9-8.fc34.x86_64.rpm Wrote: /builddir/build/RPMS/yosys-debuginfo-0.9-8.fc34.x86_64.rpm Executing(%clean): /bin/sh -e /var/tmp/rpm-tmp.gxwGIO + umask 022 + cd /builddir/build/BUILD + cd yosys-yosys-0.9 + /usr/bin/rm -rf /builddir/build/BUILDROOT/yosys-0.9-8.fc34.x86_64 + RPM_EC=0 ++ jobs -p + exit 0 Finish: rpmbuild yosys-0.9-8.fc34.src.rpm Finish: build phase for yosys-0.9-8.fc34.src.rpm INFO: chroot_scan: 3 files copied to /var/lib/copr-rpmbuild/results/chroot_scan INFO: /var/lib/mock/fedora-rawhide-x86_64-1611951707.719038/root/var/log/dnf.rpm.log /var/lib/mock/fedora-rawhide-x86_64-1611951707.719038/root/var/log/dnf.librepo.log /var/lib/mock/fedora-rawhide-x86_64-1611951707.719038/root/var/log/dnf.log INFO: Done(/var/lib/copr-rpmbuild/results/yosys-0.9-8.fc34.src.rpm) Config(child) 31 minutes 12 seconds INFO: Results and/or logs in: /var/lib/copr-rpmbuild/results INFO: Cleaning up build root ('cleanup_on_success=True') Start: clean chroot INFO: unmounting tmpfs. Finish: clean chroot Finish: run INFO: unmounting tmpfs.