Warning: Permanently added '2620:52:3:1:dead:beef:cafe:c11b' (ED25519) to the list of known hosts. You can reproduce this build on your computer by running: sudo dnf install copr-rpmbuild /usr/bin/copr-rpmbuild --verbose --drop-resultdir --task-url https://copr.fedorainfracloud.org/backend/get-build-task/6684602-fedora-rawhide-x86_64 --chroot fedora-rawhide-x86_64 Version: 0.69 PID: 31818 Logging PID: 31819 Task: {'appstream': False, 'background': False, 'build_id': 6684602, 'buildroot_pkgs': [], 'chroot': 'fedora-rawhide-x86_64', 'enable_net': False, 'fedora_review': False, 'git_hash': 'e1d0dcd74fbaed891c3ccb5be864c3328d3c9e99', 'git_repo': 'https://copr-dist-git.fedorainfracloud.org/git/thrnciar/python-requests-5b54aca4/yosys', 'isolation': 'default', 'memory_reqs': 2048, 'package_name': 'yosys', 'package_version': '0.35-1.20231108git5691cd0', 'project_dirname': 'python-requests-5b54aca4', 'project_name': 'python-requests-5b54aca4', 'project_owner': 'thrnciar', 'repo_priority': None, 'repos': [{'baseurl': 'https://download.copr.fedorainfracloud.org/results/thrnciar/python-requests-5b54aca4/fedora-rawhide-x86_64/', 'id': 'copr_base', 'name': 'Copr repository', 'priority': None}], 'sandbox': 'thrnciar/python-requests-5b54aca4--thrnciar', 'source_json': {}, 'source_type': None, 'submitter': 'thrnciar', 'tags': [], 'task_id': '6684602-fedora-rawhide-x86_64', 'timeout': 18000, 'uses_devel_repo': False, 'with_opts': [], 'without_opts': []} Running: git clone https://copr-dist-git.fedorainfracloud.org/git/thrnciar/python-requests-5b54aca4/yosys /var/lib/copr-rpmbuild/workspace/workdir-rucecq3w/yosys --depth 500 --no-single-branch --recursive cmd: ['git', 'clone', 'https://copr-dist-git.fedorainfracloud.org/git/thrnciar/python-requests-5b54aca4/yosys', '/var/lib/copr-rpmbuild/workspace/workdir-rucecq3w/yosys', '--depth', '500', '--no-single-branch', '--recursive'] cwd: . rc: 0 stdout: stderr: Cloning into '/var/lib/copr-rpmbuild/workspace/workdir-rucecq3w/yosys'... Running: git checkout e1d0dcd74fbaed891c3ccb5be864c3328d3c9e99 -- cmd: ['git', 'checkout', 'e1d0dcd74fbaed891c3ccb5be864c3328d3c9e99', '--'] cwd: /var/lib/copr-rpmbuild/workspace/workdir-rucecq3w/yosys rc: 0 stdout: stderr: Note: switching to 'e1d0dcd74fbaed891c3ccb5be864c3328d3c9e99'. You are in 'detached HEAD' state. You can look around, make experimental changes and commit them, and you can discard any commits you make in this state without impacting any branches by switching back to a branch. If you want to create a new branch to retain commits you create, you may do so (now or later) by using -c with the switch command. Example: git switch -c Or undo this operation with: git switch - Turn off this advice by setting config variable advice.detachedHead to false HEAD is now at e1d0dcd automatic import of yosys Running: copr-distgit-client sources /usr/bin/tail: /var/lib/copr-rpmbuild/main.log: file truncated cmd: ['copr-distgit-client', 'sources'] cwd: /var/lib/copr-rpmbuild/workspace/workdir-rucecq3w/yosys rc: 0 stdout: stderr: INFO: Reading stdout from command: git rev-parse --abbrev-ref HEAD INFO: Reading stdout from command: git rev-parse HEAD INFO: Reading sources specification file: sources INFO: Downloading yosys-5691cd0.tar.gz INFO: Reading stdout from command: curl --help all INFO: Calling: curl -H Pragma: -o yosys-5691cd0.tar.gz --location --connect-timeout 60 --retry 3 --retry-delay 10 --remote-time --show-error --fail --retry-all-errors https://copr-dist-git.fedorainfracloud.org/repo/pkgs/thrnciar/python-requests-5b54aca4/yosys/yosys-5691cd0.tar.gz/md5/28ba0bf7b45f9264114c3c9573ab3c1e/yosys-5691cd0.tar.gz % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 100 2556k 100 2556k 0 0 12.9M 0 --:--:-- --:--:-- --:--:-- 12.8M INFO: Reading stdout from command: md5sum yosys-5691cd0.tar.gz INFO: Downloading yosys_0.9-1.debian.tar.xz INFO: Calling: curl -H Pragma: -o yosys_0.9-1.debian.tar.xz --location --connect-timeout 60 --retry 3 --retry-delay 10 --remote-time --show-error --fail --retry-all-errors https://copr-dist-git.fedorainfracloud.org/repo/pkgs/thrnciar/python-requests-5b54aca4/yosys/yosys_0.9-1.debian.tar.xz/md5/cd3e7ea8ea41b6095a23ff309dd873eb/yosys_0.9-1.debian.tar.xz % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 100 17276 100 17276 0 0 182k 0 --:--:-- --:--:-- --:--:-- 183k INFO: Reading stdout from command: md5sum yosys_0.9-1.debian.tar.xz Running (timeout=18000): unbuffer mock --spec /var/lib/copr-rpmbuild/workspace/workdir-rucecq3w/yosys/yosys.spec --sources /var/lib/copr-rpmbuild/workspace/workdir-rucecq3w/yosys --resultdir /var/lib/copr-rpmbuild/results --uniqueext 1700760024.289174 -r /var/lib/copr-rpmbuild/results/configs/child.cfg INFO: mock.py version 5.2 starting (python version = 3.11.3, NVR = mock-5.2-1.fc38), args: /usr/libexec/mock/mock --spec /var/lib/copr-rpmbuild/workspace/workdir-rucecq3w/yosys/yosys.spec --sources /var/lib/copr-rpmbuild/workspace/workdir-rucecq3w/yosys --resultdir /var/lib/copr-rpmbuild/results --uniqueext 1700760024.289174 -r /var/lib/copr-rpmbuild/results/configs/child.cfg Start(bootstrap): init plugins INFO: tmpfs initialized INFO: selinux enabled INFO: chroot_scan: initialized INFO: compress_logs: initialized Finish(bootstrap): init plugins Start: init plugins INFO: tmpfs initialized INFO: selinux enabled INFO: chroot_scan: initialized INFO: compress_logs: initialized Finish: init plugins INFO: Signal handler active Start: run INFO: Start(/var/lib/copr-rpmbuild/workspace/workdir-rucecq3w/yosys/yosys.spec) Config(fedora-rawhide-x86_64) Start: clean chroot Finish: clean chroot Mock Version: 5.2 INFO: Mock Version: 5.2 Start(bootstrap): chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-rawhide-x86_64-bootstrap-1700760024.289174/root. INFO: calling preinit hooks INFO: enabled root cache INFO: enabled package manager cache Start(bootstrap): cleaning package manager metadata Finish(bootstrap): cleaning package manager metadata INFO: Guessed host environment type: unknown INFO: Using bootstrap image: registry.fedoraproject.org/fedora:rawhide INFO: Pulling image: registry.fedoraproject.org/fedora:rawhide INFO: Copy content of container registry.fedoraproject.org/fedora:rawhide to /var/lib/mock/fedora-rawhide-x86_64-bootstrap-1700760024.289174/root INFO: Checking that registry.fedoraproject.org/fedora:rawhide image matches host's architecture INFO: mounting registry.fedoraproject.org/fedora:rawhide with podman image mount INFO: image registry.fedoraproject.org/fedora:rawhide as /var/lib/containers/storage/overlay/2867afab974f7855400f2f3efe60e26946dde0e813e737eaca38ba651d113485/merged INFO: umounting image registry.fedoraproject.org/fedora:rawhide (/var/lib/containers/storage/overlay/2867afab974f7855400f2f3efe60e26946dde0e813e737eaca38ba651d113485/merged) with podman image umount INFO: Package manager dnf detected and used (fallback) INFO: Bootstrap image not marked ready Start(bootstrap): installing dnf tooling No matches found for the following disable plugin patterns: local, spacewalk, versionlock Copr repository 32 MB/s | 6.1 MB 00:00 fedora 22 MB/s | 72 MB 00:03 Last metadata expiration check: 0:00:02 ago on Thu Nov 23 17:20:30 2023. Package python3-dnf-4.18.1-2.fc40.noarch is already installed. Dependencies resolved. ================================================================================ Package Arch Version Repository Size ================================================================================ Installing: python3-dnf-plugins-core noarch 4.4.3-1.fc40 copr_base 302 k Installing dependencies: dbus-libs x86_64 1:1.14.10-1.fc40 fedora 155 k python3-dateutil noarch 1:2.8.2-11.fc40 copr_base 345 k python3-dbus x86_64 1.3.2-4.fc39 fedora 157 k python3-distro noarch 1.8.0-6.fc39 fedora 49 k python3-six noarch 1.16.0-12.fc39 fedora 41 k python3-systemd x86_64 235-7.fc40 copr_base 101 k Transaction Summary ================================================================================ Install 7 Packages Total download size: 1.1 M Installed size: 3.6 M Downloading Packages: (1/7): python3-dnf-plugins-core-4.4.3-1.fc40.no 5.4 MB/s | 302 kB 00:00 (2/7): python3-systemd-235-7.fc40.x86_64.rpm 1.7 MB/s | 101 kB 00:00 (3/7): python3-dateutil-2.8.2-11.fc40.noarch.rp 5.0 MB/s | 345 kB 00:00 (4/7): python3-distro-1.8.0-6.fc39.noarch.rpm 163 kB/s | 49 kB 00:00 (5/7): python3-six-1.16.0-12.fc39.noarch.rpm 388 kB/s | 41 kB 00:00 (6/7): dbus-libs-1.14.10-1.fc40.x86_64.rpm 366 kB/s | 155 kB 00:00 (7/7): python3-dbus-1.3.2-4.fc39.x86_64.rpm 369 kB/s | 157 kB 00:00 -------------------------------------------------------------------------------- Total 2.2 MB/s | 1.1 MB 00:00 Running transaction check Transaction check succeeded. Running transaction test Transaction test succeeded. Running transaction Preparing : 1/1 Installing : python3-six-1.16.0-12.fc39.noarch 1/7 Installing : python3-dateutil-1:2.8.2-11.fc40.noarch 2/7 Installing : python3-distro-1.8.0-6.fc39.noarch 3/7 Installing : dbus-libs-1:1.14.10-1.fc40.x86_64 4/7 Installing : python3-dbus-1.3.2-4.fc39.x86_64 5/7 Installing : python3-systemd-235-7.fc40.x86_64 6/7 Installing : python3-dnf-plugins-core-4.4.3-1.fc40.noarch 7/7 Running scriptlet: python3-dnf-plugins-core-4.4.3-1.fc40.noarch 7/7 Installed: dbus-libs-1:1.14.10-1.fc40.x86_64 python3-dateutil-1:2.8.2-11.fc40.noarch python3-dbus-1.3.2-4.fc39.x86_64 python3-distro-1.8.0-6.fc39.noarch python3-dnf-plugins-core-4.4.3-1.fc40.noarch python3-six-1.16.0-12.fc39.noarch python3-systemd-235-7.fc40.x86_64 Complete! Finish(bootstrap): installing dnf tooling Start(bootstrap): creating root cache Finish(bootstrap): creating root cache Finish(bootstrap): chroot init Start: chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-rawhide-x86_64-1700760024.289174/root. INFO: calling preinit hooks INFO: enabled root cache INFO: enabled package manager cache Start: cleaning package manager metadata Finish: cleaning package manager metadata INFO: enabled HW Info plugin INFO: Package manager dnf detected and used (direct choice) Start: installing minimal buildroot with dnf No matches found for the following disable plugin patterns: local, spacewalk, versionlock Copr repository 44 kB/s | 1.5 kB 00:00 Copr repository 28 MB/s | 6.1 MB 00:00 fedora 654 kB/s | 20 kB 00:00 Dependencies resolved. ================================================================================ Package Arch Version Repo Size ================================================================================ Installing group/module packages: bash x86_64 5.2.21-2.fc40 fedora 1.8 M bzip2 x86_64 1.0.8-16.fc39 fedora 52 k coreutils x86_64 9.4-1.fc40 fedora 1.1 M cpio x86_64 2.14-5.fc40 fedora 280 k diffutils x86_64 3.10-3.fc39 fedora 398 k fedora-release-common noarch 40-0.20 fedora 20 k findutils x86_64 1:4.9.0-6.fc40 fedora 492 k gawk x86_64 5.2.2-2.fc39 fedora 1.1 M glibc-minimal-langpack x86_64 2.38.9000-22.fc40 fedora 81 k grep x86_64 3.11-5.fc40 fedora 298 k gzip x86_64 1.12-6.fc39 fedora 166 k info x86_64 7.1-1.fc40 fedora 184 k patch x86_64 2.7.6-22.fc39 fedora 125 k redhat-rpm-config noarch 271-1.fc40 fedora 81 k rpm-build x86_64 4.19.0-2.fc40 fedora 79 k sed x86_64 4.8-14.fc39 fedora 306 k shadow-utils x86_64 2:4.14.0-2.fc40 fedora 1.3 M tar x86_64 2:1.35-2.fc40 fedora 864 k unzip x86_64 6.0-62.fc39 fedora 184 k util-linux x86_64 2.39.2-1.fc40 fedora 1.2 M which x86_64 2.21-40.fc39 fedora 42 k xz x86_64 5.4.5-1.fc40 fedora 557 k Installing dependencies: alternatives x86_64 1.25-1.fc39 fedora 39 k ansible-srpm-macros noarch 1-11.fc39 fedora 21 k audit-libs x86_64 3.1.2-5.fc40 fedora 117 k authselect x86_64 1.4.3-1.fc40 fedora 149 k authselect-libs x86_64 1.4.3-1.fc40 fedora 249 k basesystem noarch 11-18.fc39 fedora 7.2 k binutils x86_64 2.41-14.fc40 fedora 6.3 M binutils-gold x86_64 2.41-14.fc40 fedora 797 k bzip2-libs x86_64 1.0.8-16.fc39 fedora 41 k ca-certificates noarch 2023.2.62_v7.0.401-4.fc40 fedora 863 k coreutils-common x86_64 9.4-1.fc40 fedora 2.1 M cracklib x86_64 2.9.11-2.fc39 fedora 94 k crypto-policies noarch 20231113-1.gitb402e82.fc40 fedora 99 k curl x86_64 8.4.0-1.fc40 fedora 362 k cyrus-sasl-lib x86_64 2.1.28-11.fc39 fedora 793 k debugedit x86_64 5.0-11.fc40 fedora 77 k dwz x86_64 0.15-3.fc39 fedora 134 k ed x86_64 1.19-4.fc39 fedora 79 k efi-srpm-macros noarch 5-9.fc39 fedora 22 k elfutils x86_64 0.190-2.fc40 fedora 551 k elfutils-debuginfod-client x86_64 0.190-2.fc40 fedora 39 k elfutils-default-yama-scope noarch 0.190-2.fc40 fedora 14 k elfutils-libelf x86_64 0.190-2.fc40 fedora 195 k elfutils-libs x86_64 0.190-2.fc40 fedora 260 k fedora-gpg-keys noarch 40-0.2 fedora 130 k fedora-release noarch 40-0.20 fedora 9.3 k fedora-release-identity-basic noarch 40-0.20 fedora 10 k fedora-repos noarch 40-0.2 fedora 9.3 k fedora-repos-rawhide noarch 40-0.2 fedora 8.9 k file x86_64 5.45-1.fc40 fedora 49 k file-libs x86_64 5.45-1.fc40 fedora 763 k filesystem x86_64 3.18-6.fc39 fedora 1.1 M fonts-srpm-macros noarch 1:2.0.5-12.fc39 fedora 26 k forge-srpm-macros noarch 0.1.0-1.fc40 fedora 18 k fpc-srpm-macros noarch 1.3-8.fc39 fedora 7.4 k gdb-minimal x86_64 13.2-11.fc40 fedora 4.2 M gdbm x86_64 1:1.23-4.fc39 fedora 155 k gdbm-libs x86_64 1:1.23-4.fc39 fedora 56 k ghc-srpm-macros noarch 1.6.1-3.fc40 fedora 8.1 k glibc x86_64 2.38.9000-22.fc40 fedora 2.2 M glibc-common x86_64 2.38.9000-22.fc40 fedora 363 k glibc-gconv-extra x86_64 2.38.9000-22.fc40 fedora 1.7 M gmp x86_64 1:6.2.1-5.fc39 fedora 313 k gnat-srpm-macros noarch 6-3.fc39 fedora 8.8 k go-srpm-macros noarch 3.3.1-1.fc40 fedora 28 k jansson x86_64 2.13.1-7.fc40 copr_base 45 k kernel-srpm-macros noarch 1.0-20.fc39 fedora 10 k keyutils-libs x86_64 1.6.1-7.fc39 fedora 31 k krb5-libs x86_64 1.21.2-2.fc40 copr_base 761 k libacl x86_64 2.3.1-10.fc40 fedora 23 k libarchive x86_64 3.7.2-1.fc40 fedora 408 k libattr x86_64 2.5.1-9.fc40 fedora 18 k libblkid x86_64 2.39.2-1.fc40 fedora 116 k libbrotli x86_64 1.1.0-1.fc40 fedora 336 k libcap x86_64 2.69-1.fc40 fedora 83 k libcap-ng x86_64 0.8.3-8.fc40 fedora 32 k libcom_err x86_64 1.47.0-2.fc39 fedora 26 k libcurl x86_64 8.4.0-1.fc40 fedora 343 k libeconf x86_64 0.5.2-1.fc40 fedora 30 k libevent x86_64 2.1.12-9.fc39 fedora 258 k libfdisk x86_64 2.39.2-1.fc40 fedora 162 k libffi x86_64 3.4.4-4.fc39 fedora 40 k libgcc x86_64 13.2.1-5.fc40 fedora 112 k libgomp x86_64 13.2.1-5.fc40 fedora 321 k libidn2 x86_64 2.3.4-3.fc39 fedora 117 k libmount x86_64 2.39.2-1.fc40 fedora 154 k libnghttp2 x86_64 1.58.0-1.fc40 fedora 76 k libnsl2 x86_64 2.0.0-6.fc39 fedora 30 k libpkgconf x86_64 1.9.5-2.fc39 fedora 38 k libpsl x86_64 0.21.2-4.fc39 fedora 63 k libpwquality x86_64 1.4.5-6.fc39 fedora 120 k libselinux x86_64 3.6-0.rc1.1.fc40 fedora 87 k libsemanage x86_64 3.6-0.rc1.1.fc40 fedora 116 k libsepol x86_64 3.6-0.rc1.1.fc40 fedora 340 k libsigsegv x86_64 2.14-5.fc39 fedora 27 k libsmartcols x86_64 2.39.2-1.fc40 fedora 67 k libssh x86_64 0.10.5-2.fc39 fedora 211 k libssh-config noarch 0.10.5-2.fc39 fedora 9.2 k libstdc++ x86_64 13.2.1-5.fc40 fedora 864 k libtasn1 x86_64 4.19.0-3.fc39 fedora 74 k libtirpc x86_64 1.3.4-0.fc40 fedora 94 k libunistring x86_64 1.1-5.fc40 fedora 543 k libutempter x86_64 1.2.1-10.fc39 fedora 26 k libuuid x86_64 2.39.2-1.fc40 fedora 28 k libverto x86_64 0.3.2-6.fc39 fedora 20 k libxcrypt x86_64 4.4.36-2.fc39 fedora 119 k libxml2 x86_64 2.12.0-1.fc40 fedora 698 k libzstd x86_64 1.5.5-4.fc39 fedora 309 k lua-libs x86_64 5.4.6-3.fc39 fedora 133 k lua-srpm-macros noarch 1-9.fc39 fedora 8.6 k lz4-libs x86_64 1.9.4-4.fc39 fedora 67 k mpfr x86_64 4.2.1-1.fc40 fedora 343 k ncurses-base noarch 6.4-8.20231001.fc40 fedora 88 k ncurses-libs x86_64 6.4-8.20231001.fc40 fedora 338 k ocaml-srpm-macros noarch 9-1.fc40 fedora 9.0 k openblas-srpm-macros noarch 2-14.fc39 fedora 7.5 k openldap x86_64 2.6.6-1.fc39 fedora 255 k openssl-libs x86_64 1:3.1.4-1.fc40 fedora 2.2 M p11-kit x86_64 0.25.3-1.fc40 fedora 522 k p11-kit-trust x86_64 0.25.3-1.fc40 fedora 142 k package-notes-srpm-macros noarch 0.5-9.fc39 fedora 11 k pam x86_64 1.5.3-8.fc40 fedora 547 k pam-libs x86_64 1.5.3-8.fc40 fedora 57 k pcre2 x86_64 10.42-2.fc40 fedora 233 k pcre2-syntax noarch 10.42-2.fc40 fedora 143 k perl-srpm-macros noarch 1-51.fc39 fedora 8.0 k pkgconf x86_64 1.9.5-2.fc39 fedora 42 k pkgconf-m4 noarch 1.9.5-2.fc39 fedora 14 k pkgconf-pkg-config x86_64 1.9.5-2.fc39 fedora 9.6 k popt x86_64 1.19-3.fc39 fedora 66 k publicsuffix-list-dafsa noarch 20230812-1.fc40 fedora 57 k pyproject-srpm-macros noarch 1.10.0-1.fc40 fedora 14 k python-srpm-macros noarch 3.12-4.fc40 fedora 25 k qt5-srpm-macros noarch 5.15.11-1.fc40 fedora 8.3 k qt6-srpm-macros noarch 6.6.0-1.fc40 fedora 8.7 k readline x86_64 8.2-4.fc39 fedora 213 k rpm x86_64 4.19.0-2.fc40 fedora 538 k rpm-build-libs x86_64 4.19.0-2.fc40 fedora 96 k rpm-libs x86_64 4.19.0-2.fc40 fedora 312 k rpm-sequoia x86_64 1.5.0-1.fc40 fedora 883 k rust-srpm-macros noarch 25.2-2.fc40 fedora 13 k setup noarch 2.14.4-1.fc39 fedora 154 k sqlite-libs x86_64 3.44.0-1.fc40 fedora 692 k systemd-libs x86_64 255~rc3-1.fc40 fedora 702 k util-linux-core x86_64 2.39.2-1.fc40 fedora 493 k xxhash-libs x86_64 0.8.2-1.fc39 fedora 37 k xz-libs x86_64 5.4.5-1.fc40 fedora 108 k zip x86_64 3.0-39.fc40 fedora 266 k zlib x86_64 1.2.13-5.fc40 fedora 94 k zstd x86_64 1.5.5-4.fc39 fedora 482 k Installing Groups: Buildsystem building group Transaction Summary ================================================================================ Install 152 Packages Total size: 52 M Installed size: 180 M Downloading Packages: [SKIPPED] jansson-2.13.1-7.fc40.x86_64.rpm: Already downloaded [SKIPPED] krb5-libs-1.21.2-2.fc40.x86_64.rpm: Already downloaded [SKIPPED] alternatives-1.25-1.fc39.x86_64.rpm: Already downloaded [SKIPPED] ansible-srpm-macros-1-11.fc39.noarch.rpm: Already downloaded [SKIPPED] audit-libs-3.1.2-5.fc40.x86_64.rpm: Already downloaded [SKIPPED] authselect-1.4.3-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] authselect-libs-1.4.3-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] basesystem-11-18.fc39.noarch.rpm: Already downloaded [SKIPPED] bash-5.2.21-2.fc40.x86_64.rpm: Already downloaded [SKIPPED] binutils-2.41-14.fc40.x86_64.rpm: Already downloaded [SKIPPED] binutils-gold-2.41-14.fc40.x86_64.rpm: Already downloaded [SKIPPED] bzip2-1.0.8-16.fc39.x86_64.rpm: Already downloaded [SKIPPED] bzip2-libs-1.0.8-16.fc39.x86_64.rpm: Already downloaded [SKIPPED] ca-certificates-2023.2.62_v7.0.401-4.fc40.noarch.rpm: Already downloaded [SKIPPED] coreutils-9.4-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] coreutils-common-9.4-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] cpio-2.14-5.fc40.x86_64.rpm: Already downloaded [SKIPPED] cracklib-2.9.11-2.fc39.x86_64.rpm: Already downloaded [SKIPPED] crypto-policies-20231113-1.gitb402e82.fc40.noarch.rpm: Already downloaded [SKIPPED] curl-8.4.0-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] cyrus-sasl-lib-2.1.28-11.fc39.x86_64.rpm: Already downloaded [SKIPPED] debugedit-5.0-11.fc40.x86_64.rpm: Already downloaded [SKIPPED] diffutils-3.10-3.fc39.x86_64.rpm: Already downloaded [SKIPPED] dwz-0.15-3.fc39.x86_64.rpm: Already downloaded [SKIPPED] ed-1.19-4.fc39.x86_64.rpm: Already downloaded [SKIPPED] efi-srpm-macros-5-9.fc39.noarch.rpm: Already downloaded [SKIPPED] elfutils-0.190-2.fc40.x86_64.rpm: Already downloaded [SKIPPED] elfutils-debuginfod-client-0.190-2.fc40.x86_64.rpm: Already downloaded [SKIPPED] elfutils-default-yama-scope-0.190-2.fc40.noarch.rpm: Already downloaded [SKIPPED] elfutils-libelf-0.190-2.fc40.x86_64.rpm: Already downloaded [SKIPPED] elfutils-libs-0.190-2.fc40.x86_64.rpm: Already downloaded [SKIPPED] fedora-gpg-keys-40-0.2.noarch.rpm: Already downloaded [SKIPPED] fedora-release-40-0.20.noarch.rpm: Already downloaded [SKIPPED] fedora-release-common-40-0.20.noarch.rpm: Already downloaded [SKIPPED] fedora-release-identity-basic-40-0.20.noarch.rpm: Already downloaded [SKIPPED] fedora-repos-40-0.2.noarch.rpm: Already downloaded [SKIPPED] fedora-repos-rawhide-40-0.2.noarch.rpm: Already downloaded [SKIPPED] file-5.45-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] file-libs-5.45-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] filesystem-3.18-6.fc39.x86_64.rpm: Already downloaded [SKIPPED] findutils-4.9.0-6.fc40.x86_64.rpm: Already downloaded [SKIPPED] fonts-srpm-macros-2.0.5-12.fc39.noarch.rpm: Already downloaded [SKIPPED] forge-srpm-macros-0.1.0-1.fc40.noarch.rpm: Already downloaded [SKIPPED] fpc-srpm-macros-1.3-8.fc39.noarch.rpm: Already downloaded [SKIPPED] gawk-5.2.2-2.fc39.x86_64.rpm: Already downloaded [SKIPPED] gdb-minimal-13.2-11.fc40.x86_64.rpm: Already downloaded [SKIPPED] gdbm-1.23-4.fc39.x86_64.rpm: Already downloaded [SKIPPED] gdbm-libs-1.23-4.fc39.x86_64.rpm: Already downloaded [SKIPPED] ghc-srpm-macros-1.6.1-3.fc40.noarch.rpm: Already downloaded [SKIPPED] glibc-2.38.9000-22.fc40.x86_64.rpm: Already downloaded [SKIPPED] glibc-common-2.38.9000-22.fc40.x86_64.rpm: Already downloaded [SKIPPED] glibc-gconv-extra-2.38.9000-22.fc40.x86_64.rpm: Already downloaded [SKIPPED] glibc-minimal-langpack-2.38.9000-22.fc40.x86_64.rpm: Already downloaded [SKIPPED] gmp-6.2.1-5.fc39.x86_64.rpm: Already downloaded [SKIPPED] gnat-srpm-macros-6-3.fc39.noarch.rpm: Already downloaded [SKIPPED] go-srpm-macros-3.3.1-1.fc40.noarch.rpm: Already downloaded [SKIPPED] grep-3.11-5.fc40.x86_64.rpm: Already downloaded [SKIPPED] gzip-1.12-6.fc39.x86_64.rpm: Already downloaded [SKIPPED] info-7.1-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] kernel-srpm-macros-1.0-20.fc39.noarch.rpm: Already downloaded [SKIPPED] keyutils-libs-1.6.1-7.fc39.x86_64.rpm: Already downloaded [SKIPPED] libacl-2.3.1-10.fc40.x86_64.rpm: Already downloaded [SKIPPED] libarchive-3.7.2-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] libattr-2.5.1-9.fc40.x86_64.rpm: Already downloaded [SKIPPED] libblkid-2.39.2-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] libbrotli-1.1.0-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] libcap-2.69-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] libcap-ng-0.8.3-8.fc40.x86_64.rpm: Already downloaded [SKIPPED] libcom_err-1.47.0-2.fc39.x86_64.rpm: Already downloaded [SKIPPED] libcurl-8.4.0-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] libeconf-0.5.2-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] libevent-2.1.12-9.fc39.x86_64.rpm: Already downloaded [SKIPPED] libfdisk-2.39.2-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] libffi-3.4.4-4.fc39.x86_64.rpm: Already downloaded [SKIPPED] libgcc-13.2.1-5.fc40.x86_64.rpm: Already downloaded [SKIPPED] libgomp-13.2.1-5.fc40.x86_64.rpm: Already downloaded [SKIPPED] libidn2-2.3.4-3.fc39.x86_64.rpm: Already downloaded [SKIPPED] libmount-2.39.2-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] libnghttp2-1.58.0-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] libnsl2-2.0.0-6.fc39.x86_64.rpm: Already downloaded [SKIPPED] libpkgconf-1.9.5-2.fc39.x86_64.rpm: Already downloaded [SKIPPED] libpsl-0.21.2-4.fc39.x86_64.rpm: Already downloaded [SKIPPED] libpwquality-1.4.5-6.fc39.x86_64.rpm: Already downloaded [SKIPPED] libselinux-3.6-0.rc1.1.fc40.x86_64.rpm: Already downloaded [SKIPPED] libsemanage-3.6-0.rc1.1.fc40.x86_64.rpm: Already downloaded [SKIPPED] libsepol-3.6-0.rc1.1.fc40.x86_64.rpm: Already downloaded [SKIPPED] libsigsegv-2.14-5.fc39.x86_64.rpm: Already downloaded [SKIPPED] libsmartcols-2.39.2-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] libssh-0.10.5-2.fc39.x86_64.rpm: Already downloaded [SKIPPED] libssh-config-0.10.5-2.fc39.noarch.rpm: Already downloaded [SKIPPED] libstdc++-13.2.1-5.fc40.x86_64.rpm: Already downloaded [SKIPPED] libtasn1-4.19.0-3.fc39.x86_64.rpm: Already downloaded [SKIPPED] libtirpc-1.3.4-0.fc40.x86_64.rpm: Already downloaded [SKIPPED] libunistring-1.1-5.fc40.x86_64.rpm: Already downloaded [SKIPPED] libutempter-1.2.1-10.fc39.x86_64.rpm: Already downloaded [SKIPPED] libuuid-2.39.2-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] libverto-0.3.2-6.fc39.x86_64.rpm: Already downloaded [SKIPPED] libxcrypt-4.4.36-2.fc39.x86_64.rpm: Already downloaded [SKIPPED] libxml2-2.12.0-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] libzstd-1.5.5-4.fc39.x86_64.rpm: Already downloaded [SKIPPED] lua-libs-5.4.6-3.fc39.x86_64.rpm: Already downloaded [SKIPPED] lua-srpm-macros-1-9.fc39.noarch.rpm: Already downloaded [SKIPPED] lz4-libs-1.9.4-4.fc39.x86_64.rpm: Already downloaded [SKIPPED] mpfr-4.2.1-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] ncurses-base-6.4-8.20231001.fc40.noarch.rpm: Already downloaded [SKIPPED] ncurses-libs-6.4-8.20231001.fc40.x86_64.rpm: Already downloaded [SKIPPED] ocaml-srpm-macros-9-1.fc40.noarch.rpm: Already downloaded [SKIPPED] openblas-srpm-macros-2-14.fc39.noarch.rpm: Already downloaded [SKIPPED] openldap-2.6.6-1.fc39.x86_64.rpm: Already downloaded [SKIPPED] openssl-libs-3.1.4-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] p11-kit-0.25.3-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] p11-kit-trust-0.25.3-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] package-notes-srpm-macros-0.5-9.fc39.noarch.rpm: Already downloaded [SKIPPED] pam-1.5.3-8.fc40.x86_64.rpm: Already downloaded [SKIPPED] pam-libs-1.5.3-8.fc40.x86_64.rpm: Already downloaded [SKIPPED] patch-2.7.6-22.fc39.x86_64.rpm: Already downloaded [SKIPPED] pcre2-10.42-2.fc40.x86_64.rpm: Already downloaded [SKIPPED] pcre2-syntax-10.42-2.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-srpm-macros-1-51.fc39.noarch.rpm: Already downloaded [SKIPPED] pkgconf-1.9.5-2.fc39.x86_64.rpm: Already downloaded [SKIPPED] pkgconf-m4-1.9.5-2.fc39.noarch.rpm: Already downloaded [SKIPPED] pkgconf-pkg-config-1.9.5-2.fc39.x86_64.rpm: Already downloaded [SKIPPED] popt-1.19-3.fc39.x86_64.rpm: Already downloaded [SKIPPED] publicsuffix-list-dafsa-20230812-1.fc40.noarch.rpm: Already downloaded [SKIPPED] pyproject-srpm-macros-1.10.0-1.fc40.noarch.rpm: Already downloaded [SKIPPED] python-srpm-macros-3.12-4.fc40.noarch.rpm: Already downloaded [SKIPPED] qt5-srpm-macros-5.15.11-1.fc40.noarch.rpm: Already downloaded [SKIPPED] qt6-srpm-macros-6.6.0-1.fc40.noarch.rpm: Already downloaded [SKIPPED] readline-8.2-4.fc39.x86_64.rpm: Already downloaded [SKIPPED] redhat-rpm-config-271-1.fc40.noarch.rpm: Already downloaded [SKIPPED] rpm-4.19.0-2.fc40.x86_64.rpm: Already downloaded [SKIPPED] rpm-build-4.19.0-2.fc40.x86_64.rpm: Already downloaded [SKIPPED] rpm-build-libs-4.19.0-2.fc40.x86_64.rpm: Already downloaded [SKIPPED] rpm-libs-4.19.0-2.fc40.x86_64.rpm: Already downloaded [SKIPPED] rpm-sequoia-1.5.0-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] rust-srpm-macros-25.2-2.fc40.noarch.rpm: Already downloaded [SKIPPED] sed-4.8-14.fc39.x86_64.rpm: Already downloaded [SKIPPED] setup-2.14.4-1.fc39.noarch.rpm: Already downloaded [SKIPPED] shadow-utils-4.14.0-2.fc40.x86_64.rpm: Already downloaded [SKIPPED] sqlite-libs-3.44.0-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] systemd-libs-255~rc3-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] tar-1.35-2.fc40.x86_64.rpm: Already downloaded [SKIPPED] unzip-6.0-62.fc39.x86_64.rpm: Already downloaded [SKIPPED] util-linux-2.39.2-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] util-linux-core-2.39.2-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] which-2.21-40.fc39.x86_64.rpm: Already downloaded [SKIPPED] xxhash-libs-0.8.2-1.fc39.x86_64.rpm: Already downloaded [SKIPPED] xz-5.4.5-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] xz-libs-5.4.5-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] zip-3.0-39.fc40.x86_64.rpm: Already downloaded [SKIPPED] zlib-1.2.13-5.fc40.x86_64.rpm: Already downloaded [SKIPPED] zstd-1.5.5-4.fc39.x86_64.rpm: Already downloaded fedora 1.6 MB/s | 1.6 kB 00:00 Importing GPG key 0xA15B79CC: Userid : "Fedora (40) " Fingerprint: 115D F9AE F857 853E E844 5D0A 0727 707E A15B 79CC From : /usr/share/distribution-gpg-keys/fedora/RPM-GPG-KEY-fedora-40-primary Key imported successfully fedora 1.6 MB/s | 1.6 kB 00:00 GPG key at file:///usr/share/distribution-gpg-keys/fedora/RPM-GPG-KEY-fedora-40-primary (0xA15B79CC) is already installed fedora 1.6 MB/s | 1.6 kB 00:00 Importing GPG key 0x18B8E74C: Userid : "Fedora (39) " Fingerprint: E8F2 3996 F232 1864 0CB4 4CBE 75CF 5AC4 18B8 E74C From : /usr/share/distribution-gpg-keys/fedora/RPM-GPG-KEY-fedora-39-primary Key imported successfully Running transaction check Transaction check succeeded. Running transaction test Transaction test succeeded. Running transaction Running scriptlet: filesystem-3.18-6.fc39.x86_64 1/1 Preparing : 1/1 Installing : libgcc-13.2.1-5.fc40.x86_64 1/152 Running scriptlet: libgcc-13.2.1-5.fc40.x86_64 1/152 Installing : crypto-policies-20231113-1.gitb402e82.fc40.noarc 2/152 Running scriptlet: crypto-policies-20231113-1.gitb402e82.fc40.noarc 2/152 Installing : fedora-release-identity-basic-40-0.20.noarch 3/152 Installing : fedora-repos-rawhide-40-0.2.noarch 4/152 Installing : fedora-gpg-keys-40-0.2.noarch 5/152 Installing : fedora-repos-40-0.2.noarch 6/152 Installing : fedora-release-common-40-0.20.noarch 7/152 Installing : fedora-release-40-0.20.noarch 8/152 Installing : setup-2.14.4-1.fc39.noarch 9/152 warning: /etc/hosts created as /etc/hosts.rpmnew Running scriptlet: setup-2.14.4-1.fc39.noarch 9/152 Installing : filesystem-3.18-6.fc39.x86_64 10/152 Installing : basesystem-11-18.fc39.noarch 11/152 Installing : rust-srpm-macros-25.2-2.fc40.noarch 12/152 Installing : qt6-srpm-macros-6.6.0-1.fc40.noarch 13/152 Installing : qt5-srpm-macros-5.15.11-1.fc40.noarch 14/152 Installing : publicsuffix-list-dafsa-20230812-1.fc40.noarch 15/152 Installing : pkgconf-m4-1.9.5-2.fc39.noarch 16/152 Installing : perl-srpm-macros-1-51.fc39.noarch 17/152 Installing : pcre2-syntax-10.42-2.fc40.noarch 18/152 Installing : package-notes-srpm-macros-0.5-9.fc39.noarch 19/152 Installing : openblas-srpm-macros-2-14.fc39.noarch 20/152 Installing : ocaml-srpm-macros-9-1.fc40.noarch 21/152 Installing : ncurses-base-6.4-8.20231001.fc40.noarch 22/152 Installing : glibc-gconv-extra-2.38.9000-22.fc40.x86_64 23/152 Running scriptlet: glibc-gconv-extra-2.38.9000-22.fc40.x86_64 23/152 Installing : glibc-minimal-langpack-2.38.9000-22.fc40.x86_64 24/152 Installing : glibc-common-2.38.9000-22.fc40.x86_64 25/152 Running scriptlet: glibc-2.38.9000-22.fc40.x86_64 26/152 Installing : glibc-2.38.9000-22.fc40.x86_64 26/152 Running scriptlet: glibc-2.38.9000-22.fc40.x86_64 26/152 Installing : ncurses-libs-6.4-8.20231001.fc40.x86_64 27/152 Installing : bash-5.2.21-2.fc40.x86_64 28/152 Running scriptlet: bash-5.2.21-2.fc40.x86_64 28/152 Installing : zlib-1.2.13-5.fc40.x86_64 29/152 Installing : xz-libs-5.4.5-1.fc40.x86_64 30/152 Installing : bzip2-libs-1.0.8-16.fc39.x86_64 31/152 Installing : readline-8.2-4.fc39.x86_64 32/152 Installing : libstdc++-13.2.1-5.fc40.x86_64 33/152 Installing : libuuid-2.39.2-1.fc40.x86_64 34/152 Installing : libzstd-1.5.5-4.fc39.x86_64 35/152 Installing : elfutils-libelf-0.190-2.fc40.x86_64 36/152 Installing : popt-1.19-3.fc39.x86_64 37/152 Installing : libblkid-2.39.2-1.fc40.x86_64 38/152 Installing : gmp-1:6.2.1-5.fc39.x86_64 39/152 Installing : libattr-2.5.1-9.fc40.x86_64 40/152 Installing : libacl-2.3.1-10.fc40.x86_64 41/152 Installing : libxcrypt-4.4.36-2.fc39.x86_64 42/152 Installing : gdbm-libs-1:1.23-4.fc39.x86_64 43/152 Installing : libeconf-0.5.2-1.fc40.x86_64 44/152 Installing : lz4-libs-1.9.4-4.fc39.x86_64 45/152 Installing : mpfr-4.2.1-1.fc40.x86_64 46/152 Installing : dwz-0.15-3.fc39.x86_64 47/152 Installing : unzip-6.0-62.fc39.x86_64 48/152 Installing : file-libs-5.45-1.fc40.x86_64 49/152 Installing : file-5.45-1.fc40.x86_64 50/152 Installing : jansson-2.13.1-7.fc40.x86_64 51/152 Installing : alternatives-1.25-1.fc39.x86_64 52/152 Installing : libcap-ng-0.8.3-8.fc40.x86_64 53/152 Installing : audit-libs-3.1.2-5.fc40.x86_64 54/152 Installing : pam-libs-1.5.3-8.fc40.x86_64 55/152 Installing : libcap-2.69-1.fc40.x86_64 56/152 Installing : systemd-libs-255~rc3-1.fc40.x86_64 57/152 Installing : libcom_err-1.47.0-2.fc39.x86_64 58/152 Installing : libsepol-3.6-0.rc1.1.fc40.x86_64 59/152 Installing : libsmartcols-2.39.2-1.fc40.x86_64 60/152 Installing : libtasn1-4.19.0-3.fc39.x86_64 61/152 Installing : libunistring-1.1-5.fc40.x86_64 62/152 Installing : libidn2-2.3.4-3.fc39.x86_64 63/152 Installing : lua-libs-5.4.6-3.fc39.x86_64 64/152 Installing : pcre2-10.42-2.fc40.x86_64 65/152 Installing : libselinux-3.6-0.rc1.1.fc40.x86_64 66/152 Installing : sed-4.8-14.fc39.x86_64 67/152 Installing : grep-3.11-5.fc40.x86_64 68/152 Installing : findutils-1:4.9.0-6.fc40.x86_64 69/152 Installing : xz-5.4.5-1.fc40.x86_64 70/152 Installing : libmount-2.39.2-1.fc40.x86_64 71/152 Installing : util-linux-core-2.39.2-1.fc40.x86_64 72/152 Installing : libsemanage-3.6-0.rc1.1.fc40.x86_64 73/152 Installing : shadow-utils-2:4.14.0-2.fc40.x86_64 74/152 Running scriptlet: libutempter-1.2.1-10.fc39.x86_64 75/152 Installing : libutempter-1.2.1-10.fc39.x86_64 75/152 Installing : tar-2:1.35-2.fc40.x86_64 76/152 Installing : libpsl-0.21.2-4.fc39.x86_64 77/152 Installing : zip-3.0-39.fc40.x86_64 78/152 Installing : zstd-1.5.5-4.fc39.x86_64 79/152 Installing : cyrus-sasl-lib-2.1.28-11.fc39.x86_64 80/152 Installing : gdbm-1:1.23-4.fc39.x86_64 81/152 Installing : libfdisk-2.39.2-1.fc40.x86_64 82/152 Installing : bzip2-1.0.8-16.fc39.x86_64 83/152 Installing : libxml2-2.12.0-1.fc40.x86_64 84/152 Installing : sqlite-libs-3.44.0-1.fc40.x86_64 85/152 Installing : ed-1.19-4.fc39.x86_64 86/152 Installing : patch-2.7.6-22.fc39.x86_64 87/152 Installing : elfutils-default-yama-scope-0.190-2.fc40.noarch 88/152 Running scriptlet: elfutils-default-yama-scope-0.190-2.fc40.noarch 88/152 Installing : cpio-2.14-5.fc40.x86_64 89/152 Installing : diffutils-3.10-3.fc39.x86_64 90/152 Installing : keyutils-libs-1.6.1-7.fc39.x86_64 91/152 Installing : libbrotli-1.1.0-1.fc40.x86_64 92/152 Installing : libffi-3.4.4-4.fc39.x86_64 93/152 Installing : p11-kit-0.25.3-1.fc40.x86_64 94/152 Installing : p11-kit-trust-0.25.3-1.fc40.x86_64 95/152 Running scriptlet: p11-kit-trust-0.25.3-1.fc40.x86_64 95/152 Installing : libgomp-13.2.1-5.fc40.x86_64 96/152 Installing : libnghttp2-1.58.0-1.fc40.x86_64 97/152 Installing : libpkgconf-1.9.5-2.fc39.x86_64 98/152 Installing : pkgconf-1.9.5-2.fc39.x86_64 99/152 Installing : pkgconf-pkg-config-1.9.5-2.fc39.x86_64 100/152 Installing : libsigsegv-2.14-5.fc39.x86_64 101/152 Installing : gawk-5.2.2-2.fc39.x86_64 102/152 Installing : libverto-0.3.2-6.fc39.x86_64 103/152 Installing : xxhash-libs-0.8.2-1.fc39.x86_64 104/152 Installing : libssh-config-0.10.5-2.fc39.noarch 105/152 Installing : kernel-srpm-macros-1.0-20.fc39.noarch 106/152 Installing : gnat-srpm-macros-6-3.fc39.noarch 107/152 Installing : ghc-srpm-macros-1.6.1-3.fc40.noarch 108/152 Installing : fpc-srpm-macros-1.3-8.fc39.noarch 109/152 Installing : coreutils-common-9.4-1.fc40.x86_64 110/152 Installing : openssl-libs-1:3.1.4-1.fc40.x86_64 111/152 Installing : coreutils-9.4-1.fc40.x86_64 112/152 Running scriptlet: ca-certificates-2023.2.62_v7.0.401-4.fc40.noarch 113/152 Installing : ca-certificates-2023.2.62_v7.0.401-4.fc40.noarch 113/152 Running scriptlet: ca-certificates-2023.2.62_v7.0.401-4.fc40.noarch 113/152 Installing : krb5-libs-1.21.2-2.fc40.x86_64 114/152 Installing : libtirpc-1.3.4-0.fc40.x86_64 115/152 Running scriptlet: authselect-libs-1.4.3-1.fc40.x86_64 116/152 Installing : authselect-libs-1.4.3-1.fc40.x86_64 116/152 Installing : gzip-1.12-6.fc39.x86_64 117/152 Installing : cracklib-2.9.11-2.fc39.x86_64 118/152 Installing : libpwquality-1.4.5-6.fc39.x86_64 119/152 Installing : authselect-1.4.3-1.fc40.x86_64 120/152 Installing : libnsl2-2.0.0-6.fc39.x86_64 121/152 Installing : pam-1.5.3-8.fc40.x86_64 122/152 Installing : libssh-0.10.5-2.fc39.x86_64 123/152 Installing : libarchive-3.7.2-1.fc40.x86_64 124/152 Installing : libevent-2.1.12-9.fc39.x86_64 125/152 Installing : openldap-2.6.6-1.fc39.x86_64 126/152 Installing : libcurl-8.4.0-1.fc40.x86_64 127/152 Installing : elfutils-libs-0.190-2.fc40.x86_64 128/152 Installing : elfutils-debuginfod-client-0.190-2.fc40.x86_64 129/152 Installing : binutils-2.41-14.fc40.x86_64 130/152 Running scriptlet: binutils-2.41-14.fc40.x86_64 130/152 Installing : binutils-gold-2.41-14.fc40.x86_64 131/152 Running scriptlet: binutils-gold-2.41-14.fc40.x86_64 131/152 Installing : elfutils-0.190-2.fc40.x86_64 132/152 Installing : gdb-minimal-13.2-11.fc40.x86_64 133/152 Installing : debugedit-5.0-11.fc40.x86_64 134/152 Installing : curl-8.4.0-1.fc40.x86_64 135/152 Installing : rpm-sequoia-1.5.0-1.fc40.x86_64 136/152 Installing : rpm-libs-4.19.0-2.fc40.x86_64 137/152 Running scriptlet: rpm-4.19.0-2.fc40.x86_64 138/152 Installing : rpm-4.19.0-2.fc40.x86_64 138/152 Installing : efi-srpm-macros-5-9.fc39.noarch 139/152 Installing : lua-srpm-macros-1-9.fc39.noarch 140/152 Installing : rpm-build-libs-4.19.0-2.fc40.x86_64 141/152 Installing : ansible-srpm-macros-1-11.fc39.noarch 142/152 Installing : fonts-srpm-macros-1:2.0.5-12.fc39.noarch 143/152 Installing : forge-srpm-macros-0.1.0-1.fc40.noarch 144/152 Installing : go-srpm-macros-3.3.1-1.fc40.noarch 145/152 Installing : python-srpm-macros-3.12-4.fc40.noarch 146/152 Installing : redhat-rpm-config-271-1.fc40.noarch 147/152 Installing : rpm-build-4.19.0-2.fc40.x86_64 148/152 Installing : pyproject-srpm-macros-1.10.0-1.fc40.noarch 149/152 Installing : util-linux-2.39.2-1.fc40.x86_64 150/152 Installing : which-2.21-40.fc39.x86_64 151/152 Installing : info-7.1-1.fc40.x86_64 152/152 Running scriptlet: filesystem-3.18-6.fc39.x86_64 152/152 Running scriptlet: ca-certificates-2023.2.62_v7.0.401-4.fc40.noarch 152/152 Running scriptlet: authselect-libs-1.4.3-1.fc40.x86_64 152/152 Running scriptlet: rpm-4.19.0-2.fc40.x86_64 152/152 Running scriptlet: info-7.1-1.fc40.x86_64 152/152 Installed: alternatives-1.25-1.fc39.x86_64 ansible-srpm-macros-1-11.fc39.noarch audit-libs-3.1.2-5.fc40.x86_64 authselect-1.4.3-1.fc40.x86_64 authselect-libs-1.4.3-1.fc40.x86_64 basesystem-11-18.fc39.noarch bash-5.2.21-2.fc40.x86_64 binutils-2.41-14.fc40.x86_64 binutils-gold-2.41-14.fc40.x86_64 bzip2-1.0.8-16.fc39.x86_64 bzip2-libs-1.0.8-16.fc39.x86_64 ca-certificates-2023.2.62_v7.0.401-4.fc40.noarch coreutils-9.4-1.fc40.x86_64 coreutils-common-9.4-1.fc40.x86_64 cpio-2.14-5.fc40.x86_64 cracklib-2.9.11-2.fc39.x86_64 crypto-policies-20231113-1.gitb402e82.fc40.noarch curl-8.4.0-1.fc40.x86_64 cyrus-sasl-lib-2.1.28-11.fc39.x86_64 debugedit-5.0-11.fc40.x86_64 diffutils-3.10-3.fc39.x86_64 dwz-0.15-3.fc39.x86_64 ed-1.19-4.fc39.x86_64 efi-srpm-macros-5-9.fc39.noarch elfutils-0.190-2.fc40.x86_64 elfutils-debuginfod-client-0.190-2.fc40.x86_64 elfutils-default-yama-scope-0.190-2.fc40.noarch elfutils-libelf-0.190-2.fc40.x86_64 elfutils-libs-0.190-2.fc40.x86_64 fedora-gpg-keys-40-0.2.noarch fedora-release-40-0.20.noarch fedora-release-common-40-0.20.noarch fedora-release-identity-basic-40-0.20.noarch fedora-repos-40-0.2.noarch fedora-repos-rawhide-40-0.2.noarch file-5.45-1.fc40.x86_64 file-libs-5.45-1.fc40.x86_64 filesystem-3.18-6.fc39.x86_64 findutils-1:4.9.0-6.fc40.x86_64 fonts-srpm-macros-1:2.0.5-12.fc39.noarch forge-srpm-macros-0.1.0-1.fc40.noarch fpc-srpm-macros-1.3-8.fc39.noarch gawk-5.2.2-2.fc39.x86_64 gdb-minimal-13.2-11.fc40.x86_64 gdbm-1:1.23-4.fc39.x86_64 gdbm-libs-1:1.23-4.fc39.x86_64 ghc-srpm-macros-1.6.1-3.fc40.noarch glibc-2.38.9000-22.fc40.x86_64 glibc-common-2.38.9000-22.fc40.x86_64 glibc-gconv-extra-2.38.9000-22.fc40.x86_64 glibc-minimal-langpack-2.38.9000-22.fc40.x86_64 gmp-1:6.2.1-5.fc39.x86_64 gnat-srpm-macros-6-3.fc39.noarch go-srpm-macros-3.3.1-1.fc40.noarch grep-3.11-5.fc40.x86_64 gzip-1.12-6.fc39.x86_64 info-7.1-1.fc40.x86_64 jansson-2.13.1-7.fc40.x86_64 kernel-srpm-macros-1.0-20.fc39.noarch keyutils-libs-1.6.1-7.fc39.x86_64 krb5-libs-1.21.2-2.fc40.x86_64 libacl-2.3.1-10.fc40.x86_64 libarchive-3.7.2-1.fc40.x86_64 libattr-2.5.1-9.fc40.x86_64 libblkid-2.39.2-1.fc40.x86_64 libbrotli-1.1.0-1.fc40.x86_64 libcap-2.69-1.fc40.x86_64 libcap-ng-0.8.3-8.fc40.x86_64 libcom_err-1.47.0-2.fc39.x86_64 libcurl-8.4.0-1.fc40.x86_64 libeconf-0.5.2-1.fc40.x86_64 libevent-2.1.12-9.fc39.x86_64 libfdisk-2.39.2-1.fc40.x86_64 libffi-3.4.4-4.fc39.x86_64 libgcc-13.2.1-5.fc40.x86_64 libgomp-13.2.1-5.fc40.x86_64 libidn2-2.3.4-3.fc39.x86_64 libmount-2.39.2-1.fc40.x86_64 libnghttp2-1.58.0-1.fc40.x86_64 libnsl2-2.0.0-6.fc39.x86_64 libpkgconf-1.9.5-2.fc39.x86_64 libpsl-0.21.2-4.fc39.x86_64 libpwquality-1.4.5-6.fc39.x86_64 libselinux-3.6-0.rc1.1.fc40.x86_64 libsemanage-3.6-0.rc1.1.fc40.x86_64 libsepol-3.6-0.rc1.1.fc40.x86_64 libsigsegv-2.14-5.fc39.x86_64 libsmartcols-2.39.2-1.fc40.x86_64 libssh-0.10.5-2.fc39.x86_64 libssh-config-0.10.5-2.fc39.noarch libstdc++-13.2.1-5.fc40.x86_64 libtasn1-4.19.0-3.fc39.x86_64 libtirpc-1.3.4-0.fc40.x86_64 libunistring-1.1-5.fc40.x86_64 libutempter-1.2.1-10.fc39.x86_64 libuuid-2.39.2-1.fc40.x86_64 libverto-0.3.2-6.fc39.x86_64 libxcrypt-4.4.36-2.fc39.x86_64 libxml2-2.12.0-1.fc40.x86_64 libzstd-1.5.5-4.fc39.x86_64 lua-libs-5.4.6-3.fc39.x86_64 lua-srpm-macros-1-9.fc39.noarch lz4-libs-1.9.4-4.fc39.x86_64 mpfr-4.2.1-1.fc40.x86_64 ncurses-base-6.4-8.20231001.fc40.noarch ncurses-libs-6.4-8.20231001.fc40.x86_64 ocaml-srpm-macros-9-1.fc40.noarch openblas-srpm-macros-2-14.fc39.noarch openldap-2.6.6-1.fc39.x86_64 openssl-libs-1:3.1.4-1.fc40.x86_64 p11-kit-0.25.3-1.fc40.x86_64 p11-kit-trust-0.25.3-1.fc40.x86_64 package-notes-srpm-macros-0.5-9.fc39.noarch pam-1.5.3-8.fc40.x86_64 pam-libs-1.5.3-8.fc40.x86_64 patch-2.7.6-22.fc39.x86_64 pcre2-10.42-2.fc40.x86_64 pcre2-syntax-10.42-2.fc40.noarch perl-srpm-macros-1-51.fc39.noarch pkgconf-1.9.5-2.fc39.x86_64 pkgconf-m4-1.9.5-2.fc39.noarch pkgconf-pkg-config-1.9.5-2.fc39.x86_64 popt-1.19-3.fc39.x86_64 publicsuffix-list-dafsa-20230812-1.fc40.noarch pyproject-srpm-macros-1.10.0-1.fc40.noarch python-srpm-macros-3.12-4.fc40.noarch qt5-srpm-macros-5.15.11-1.fc40.noarch qt6-srpm-macros-6.6.0-1.fc40.noarch readline-8.2-4.fc39.x86_64 redhat-rpm-config-271-1.fc40.noarch rpm-4.19.0-2.fc40.x86_64 rpm-build-4.19.0-2.fc40.x86_64 rpm-build-libs-4.19.0-2.fc40.x86_64 rpm-libs-4.19.0-2.fc40.x86_64 rpm-sequoia-1.5.0-1.fc40.x86_64 rust-srpm-macros-25.2-2.fc40.noarch sed-4.8-14.fc39.x86_64 setup-2.14.4-1.fc39.noarch shadow-utils-2:4.14.0-2.fc40.x86_64 sqlite-libs-3.44.0-1.fc40.x86_64 systemd-libs-255~rc3-1.fc40.x86_64 tar-2:1.35-2.fc40.x86_64 unzip-6.0-62.fc39.x86_64 util-linux-2.39.2-1.fc40.x86_64 util-linux-core-2.39.2-1.fc40.x86_64 which-2.21-40.fc39.x86_64 xxhash-libs-0.8.2-1.fc39.x86_64 xz-5.4.5-1.fc40.x86_64 xz-libs-5.4.5-1.fc40.x86_64 zip-3.0-39.fc40.x86_64 zlib-1.2.13-5.fc40.x86_64 zstd-1.5.5-4.fc39.x86_64 Complete! Finish: installing minimal buildroot with dnf Start: creating root cache Finish: creating root cache Finish: chroot init INFO: Installed packages: INFO: alternatives-1.25-1.fc39.x86_64 ansible-srpm-macros-1-11.fc39.noarch audit-libs-3.1.2-5.fc40.x86_64 authselect-1.4.3-1.fc40.x86_64 authselect-libs-1.4.3-1.fc40.x86_64 basesystem-11-18.fc39.noarch bash-5.2.21-2.fc40.x86_64 binutils-2.41-14.fc40.x86_64 binutils-gold-2.41-14.fc40.x86_64 bzip2-1.0.8-16.fc39.x86_64 bzip2-libs-1.0.8-16.fc39.x86_64 ca-certificates-2023.2.62_v7.0.401-4.fc40.noarch coreutils-9.4-1.fc40.x86_64 coreutils-common-9.4-1.fc40.x86_64 cpio-2.14-5.fc40.x86_64 cracklib-2.9.11-2.fc39.x86_64 crypto-policies-20231113-1.gitb402e82.fc40.noarch curl-8.4.0-1.fc40.x86_64 cyrus-sasl-lib-2.1.28-11.fc39.x86_64 debugedit-5.0-11.fc40.x86_64 diffutils-3.10-3.fc39.x86_64 dwz-0.15-3.fc39.x86_64 ed-1.19-4.fc39.x86_64 efi-srpm-macros-5-9.fc39.noarch elfutils-0.190-2.fc40.x86_64 elfutils-debuginfod-client-0.190-2.fc40.x86_64 elfutils-default-yama-scope-0.190-2.fc40.noarch elfutils-libelf-0.190-2.fc40.x86_64 elfutils-libs-0.190-2.fc40.x86_64 fedora-gpg-keys-40-0.2.noarch fedora-release-40-0.20.noarch fedora-release-common-40-0.20.noarch fedora-release-identity-basic-40-0.20.noarch fedora-repos-40-0.2.noarch fedora-repos-rawhide-40-0.2.noarch file-5.45-1.fc40.x86_64 file-libs-5.45-1.fc40.x86_64 filesystem-3.18-6.fc39.x86_64 findutils-4.9.0-6.fc40.x86_64 fonts-srpm-macros-2.0.5-12.fc39.noarch forge-srpm-macros-0.1.0-1.fc40.noarch fpc-srpm-macros-1.3-8.fc39.noarch gawk-5.2.2-2.fc39.x86_64 gdb-minimal-13.2-11.fc40.x86_64 gdbm-1.23-4.fc39.x86_64 gdbm-libs-1.23-4.fc39.x86_64 ghc-srpm-macros-1.6.1-3.fc40.noarch glibc-2.38.9000-22.fc40.x86_64 glibc-common-2.38.9000-22.fc40.x86_64 glibc-gconv-extra-2.38.9000-22.fc40.x86_64 glibc-minimal-langpack-2.38.9000-22.fc40.x86_64 gmp-6.2.1-5.fc39.x86_64 gnat-srpm-macros-6-3.fc39.noarch go-srpm-macros-3.3.1-1.fc40.noarch gpg-pubkey-18b8e74c-62f2920f gpg-pubkey-a15b79cc-63d04c2c grep-3.11-5.fc40.x86_64 gzip-1.12-6.fc39.x86_64 info-7.1-1.fc40.x86_64 jansson-2.13.1-7.fc40.x86_64 kernel-srpm-macros-1.0-20.fc39.noarch keyutils-libs-1.6.1-7.fc39.x86_64 krb5-libs-1.21.2-2.fc40.x86_64 libacl-2.3.1-10.fc40.x86_64 libarchive-3.7.2-1.fc40.x86_64 libattr-2.5.1-9.fc40.x86_64 libblkid-2.39.2-1.fc40.x86_64 libbrotli-1.1.0-1.fc40.x86_64 libcap-2.69-1.fc40.x86_64 libcap-ng-0.8.3-8.fc40.x86_64 libcom_err-1.47.0-2.fc39.x86_64 libcurl-8.4.0-1.fc40.x86_64 libeconf-0.5.2-1.fc40.x86_64 libevent-2.1.12-9.fc39.x86_64 libfdisk-2.39.2-1.fc40.x86_64 libffi-3.4.4-4.fc39.x86_64 libgcc-13.2.1-5.fc40.x86_64 libgomp-13.2.1-5.fc40.x86_64 libidn2-2.3.4-3.fc39.x86_64 libmount-2.39.2-1.fc40.x86_64 libnghttp2-1.58.0-1.fc40.x86_64 libnsl2-2.0.0-6.fc39.x86_64 libpkgconf-1.9.5-2.fc39.x86_64 libpsl-0.21.2-4.fc39.x86_64 libpwquality-1.4.5-6.fc39.x86_64 libselinux-3.6-0.rc1.1.fc40.x86_64 libsemanage-3.6-0.rc1.1.fc40.x86_64 libsepol-3.6-0.rc1.1.fc40.x86_64 libsigsegv-2.14-5.fc39.x86_64 libsmartcols-2.39.2-1.fc40.x86_64 libssh-0.10.5-2.fc39.x86_64 libssh-config-0.10.5-2.fc39.noarch libstdc++-13.2.1-5.fc40.x86_64 libtasn1-4.19.0-3.fc39.x86_64 libtirpc-1.3.4-0.fc40.x86_64 libunistring-1.1-5.fc40.x86_64 libutempter-1.2.1-10.fc39.x86_64 libuuid-2.39.2-1.fc40.x86_64 libverto-0.3.2-6.fc39.x86_64 libxcrypt-4.4.36-2.fc39.x86_64 libxml2-2.12.0-1.fc40.x86_64 libzstd-1.5.5-4.fc39.x86_64 lua-libs-5.4.6-3.fc39.x86_64 lua-srpm-macros-1-9.fc39.noarch lz4-libs-1.9.4-4.fc39.x86_64 mpfr-4.2.1-1.fc40.x86_64 ncurses-base-6.4-8.20231001.fc40.noarch ncurses-libs-6.4-8.20231001.fc40.x86_64 ocaml-srpm-macros-9-1.fc40.noarch openblas-srpm-macros-2-14.fc39.noarch openldap-2.6.6-1.fc39.x86_64 openssl-libs-3.1.4-1.fc40.x86_64 p11-kit-0.25.3-1.fc40.x86_64 p11-kit-trust-0.25.3-1.fc40.x86_64 package-notes-srpm-macros-0.5-9.fc39.noarch pam-1.5.3-8.fc40.x86_64 pam-libs-1.5.3-8.fc40.x86_64 patch-2.7.6-22.fc39.x86_64 pcre2-10.42-2.fc40.x86_64 pcre2-syntax-10.42-2.fc40.noarch perl-srpm-macros-1-51.fc39.noarch pkgconf-1.9.5-2.fc39.x86_64 pkgconf-m4-1.9.5-2.fc39.noarch pkgconf-pkg-config-1.9.5-2.fc39.x86_64 popt-1.19-3.fc39.x86_64 publicsuffix-list-dafsa-20230812-1.fc40.noarch pyproject-srpm-macros-1.10.0-1.fc40.noarch python-srpm-macros-3.12-4.fc40.noarch qt5-srpm-macros-5.15.11-1.fc40.noarch qt6-srpm-macros-6.6.0-1.fc40.noarch readline-8.2-4.fc39.x86_64 redhat-rpm-config-271-1.fc40.noarch rpm-4.19.0-2.fc40.x86_64 rpm-build-4.19.0-2.fc40.x86_64 rpm-build-libs-4.19.0-2.fc40.x86_64 rpm-libs-4.19.0-2.fc40.x86_64 rpm-sequoia-1.5.0-1.fc40.x86_64 rust-srpm-macros-25.2-2.fc40.noarch sed-4.8-14.fc39.x86_64 setup-2.14.4-1.fc39.noarch shadow-utils-4.14.0-2.fc40.x86_64 sqlite-libs-3.44.0-1.fc40.x86_64 systemd-libs-255~rc3-1.fc40.x86_64 tar-1.35-2.fc40.x86_64 unzip-6.0-62.fc39.x86_64 util-linux-2.39.2-1.fc40.x86_64 util-linux-core-2.39.2-1.fc40.x86_64 which-2.21-40.fc39.x86_64 xxhash-libs-0.8.2-1.fc39.x86_64 xz-5.4.5-1.fc40.x86_64 xz-libs-5.4.5-1.fc40.x86_64 zip-3.0-39.fc40.x86_64 zlib-1.2.13-5.fc40.x86_64 zstd-1.5.5-4.fc39.x86_64 Start: buildsrpm Start: rpmbuild -bs Building target platforms: x86_64 Building for target x86_64 setting SOURCE_DATE_EPOCH=1699401600 Wrote: /builddir/build/SRPMS/yosys-0.35-1.20231108git5691cd0.fc40.src.rpm Finish: rpmbuild -bs INFO: chroot_scan: 3 files copied to /var/lib/copr-rpmbuild/results/chroot_scan INFO: /var/lib/mock/fedora-rawhide-x86_64-1700760024.289174/root/var/log/dnf.rpm.log /var/lib/mock/fedora-rawhide-x86_64-1700760024.289174/root/var/log/dnf.librepo.log /var/lib/mock/fedora-rawhide-x86_64-1700760024.289174/root/var/log/dnf.log Finish: buildsrpm INFO: Done(/var/lib/copr-rpmbuild/workspace/workdir-rucecq3w/yosys/yosys.spec) Config(child) 0 minutes 47 seconds INFO: Results and/or logs in: /var/lib/copr-rpmbuild/results INFO: Cleaning up build root ('cleanup_on_success=True') Start: clean chroot INFO: unmounting tmpfs. Finish: clean chroot INFO: Start(/var/lib/copr-rpmbuild/results/yosys-0.35-1.20231108git5691cd0.fc40.src.rpm) Config(fedora-rawhide-x86_64) Start(bootstrap): chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-rawhide-x86_64-bootstrap-1700760024.289174/root. INFO: reusing tmpfs at /var/lib/mock/fedora-rawhide-x86_64-bootstrap-1700760024.289174/root. INFO: calling preinit hooks INFO: enabled root cache INFO: enabled package manager cache Start(bootstrap): cleaning package manager metadata Finish(bootstrap): cleaning package manager metadata Finish(bootstrap): chroot init Start: chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-rawhide-x86_64-1700760024.289174/root. INFO: calling preinit hooks INFO: enabled root cache Start: unpacking root cache Finish: unpacking root cache INFO: enabled package manager cache Start: cleaning package manager metadata Finish: cleaning package manager metadata INFO: enabled HW Info plugin Finish: chroot init INFO: Buildroot is handled by package management downloaded with a bootstrap image: rpm-4.19.0-2.fc40.x86_64 rpm-sequoia-1.5.0-1.fc40.x86_64 python3-dnf-4.18.1-2.fc40.noarch python3-dnf-plugins-core-4.4.3-1.fc40.noarch yum-4.18.1-2.fc40.noarch Start: build phase for yosys-0.35-1.20231108git5691cd0.fc40.src.rpm Start: build setup for yosys-0.35-1.20231108git5691cd0.fc40.src.rpm Building target platforms: x86_64 Building for target x86_64 setting SOURCE_DATE_EPOCH=1699401600 Wrote: /builddir/build/SRPMS/yosys-0.35-1.20231108git5691cd0.fc40.src.rpm No matches found for the following disable plugin patterns: local, spacewalk, versionlock Copr repository 43 kB/s | 1.5 kB 00:00 fedora 675 kB/s | 20 kB 00:00 Package pkgconf-pkg-config-1.9.5-2.fc39.x86_64 is already installed. Dependencies resolved. ==================================================================================================== Package Arch Version Repo Size ==================================================================================================== Installing: bison x86_64 3.8.2-6.fc40 fedora 1.0 M flex x86_64 2.6.4-14.fc40 fedora 313 k gcc-c++ x86_64 13.2.1-5.fc40 fedora 13 M graphviz x86_64 9.0.0-3.fc40 fedora 5.0 M iverilog x86_64 12.0-2.fc39 fedora 2.2 M libffi-devel x86_64 3.4.4-4.fc39 fedora 29 k make x86_64 1:4.4.1-2.fc39 fedora 589 k python3 x86_64 3.12.0-2.fc40 fedora 26 k readline-devel x86_64 8.2-4.fc39 fedora 207 k tcl-devel x86_64 1:8.6.13-1.fc40 fedora 170 k texlive-beamer noarch 11:svn65984-69.fc39 fedora 228 k texlive-collection-bibtexextra noarch 11:svn65257-69.fc39 fedora 15 k texlive-collection-fontsextra noarch 11:svn64952-69.fc39 fedora 18 k texlive-collection-latexextra noarch 11:svn66548-69.fc39 fedora 43 k texlive-collection-mathscience noarch 11:svn65753-69.fc39 fedora 16 k texlive-collection-publishers noarch 11:svn66330-69.fc39 fedora 17 k txt2man noarch 1.7.1-5.fc39 fedora 28 k yosyshq-abc x86_64 0.35-1.20231108git896e5e7.fc40 fedora 18 k Installing dependencies: GraphicsMagick x86_64 1.3.40-3.fc39 fedora 1.6 M GraphicsMagick-c++ x86_64 1.3.40-3.fc39 fedora 129 k ImageMagick x86_64 1:7.1.1.15-1.fc40 fedora 82 k ImageMagick-libs x86_64 1:7.1.1.15-1.fc40 fedora 2.5 M LibRaw x86_64 0.21.1-6.fc40 fedora 414 k R-core x86_64 4.3.2-2.fc40 fedora 63 M R-evaluate noarch 0.23-1.fc40 fedora 108 k R-highr noarch 0.10-5.fc39 fedora 58 k R-knitr noarch 1.45-1.fc40 fedora 1.4 M R-xfun x86_64 0.41-1.fc40 fedora 515 k R-yaml x86_64 2.3.5-4.fc39 fedora 127 k abattis-cantarell-vf-fonts noarch 0.301-10.fc39 fedora 121 k adobe-mappings-cmap noarch 20230622-1.fc39 fedora 2.1 M adobe-mappings-cmap-deprecated noarch 20230622-1.fc39 fedora 113 k adobe-mappings-pdf noarch 20190401-5.fc39 fedora 698 k adwaita-cursor-theme noarch 45.0-1.fc40 fedora 678 k adwaita-icon-theme noarch 45.0-1.fc40 fedora 407 k alsa-lib x86_64 1.2.10-3.fc40 fedora 522 k annobin-docs noarch 12.31-1.fc40 fedora 92 k annobin-plugin-gcc x86_64 12.31-1.fc40 fedora 959 k at-spi2-atk x86_64 2.50.0-1.fc40 copr_base 86 k at-spi2-core x86_64 2.50.0-1.fc40 copr_base 352 k atk x86_64 2.50.0-1.fc40 copr_base 82 k atkmm x86_64 2.28.3-3.fc39 fedora 93 k avahi-libs x86_64 0.8-24.fc39 fedora 67 k biber noarch 2.19-3.fc39 fedora 292 k boost-atomic x86_64 1.81.0-10.fc40 fedora 19 k boost-filesystem x86_64 1.81.0-10.fc40 fedora 67 k boost-system x86_64 1.81.0-10.fc40 fedora 15 k cairo x86_64 1.18.0-1.fc40 fedora 710 k cairo-gobject x86_64 1.18.0-1.fc40 fedora 19 k cairomm x86_64 1.14.5-1.fc40 fedora 61 k cdparanoia-libs x86_64 10.2-42.fc39 fedora 54 k colord-libs x86_64 1.4.6-6.fc40 fedora 233 k cpp x86_64 13.2.1-5.fc40 fedora 11 M crypto-policies-scripts noarch 20231113-1.gitb402e82.fc40 fedora 117 k cups-libs x86_64 1:2.4.7-3.fc40 fedora 267 k dbus x86_64 1:1.14.10-1.fc40 fedora 8.1 k dbus-broker x86_64 33-2.fc39 fedora 173 k dbus-common noarch 1:1.14.10-1.fc40 fedora 15 k dbus-libs x86_64 1:1.14.10-1.fc40 fedora 155 k default-fonts-core-sans noarch 4.0-9.fc40 fedora 32 k desktop-file-utils x86_64 0.26-9.fc39 fedora 72 k double-conversion x86_64 3.1.5-9.fc39 fedora 49 k emacs-filesystem noarch 1:29.1-14.fc40 fedora 7.4 k enchant2 x86_64 2.6.2-1.fc40 fedora 63 k expat x86_64 2.5.0-3.fc39 fedora 110 k fftw-libs-double x86_64 3.3.10-7.fc39 fedora 1.2 M flexiblas x86_64 3.3.1-5.fc39 fedora 33 k flexiblas-netlib x86_64 3.3.1-5.fc39 fedora 3.1 M flexiblas-openblas-openmp x86_64 3.3.1-5.fc39 fedora 17 k fontconfig x86_64 2.14.2-5.fc40 fedora 297 k fontforge x86_64 20230101-8.fc40 copr_base 6.1 M fonts-filesystem noarch 1:2.0.5-12.fc39 fedora 8.2 k freetype x86_64 2.13.1-2.fc39 fedora 414 k fribidi x86_64 1.0.13-2.fc39 fedora 91 k gc x86_64 8.2.2-4.fc39 fedora 110 k gcc x86_64 13.2.1-5.fc40 fedora 34 M gcc-plugin-annobin x86_64 13.2.1-5.fc40 fedora 49 k gd x86_64 2.3.3-12.fc39 fedora 139 k gdk-pixbuf2 x86_64 2.42.10-5.fc39 fedora 484 k gdk-pixbuf2-modules x86_64 2.42.10-5.fc39 fedora 85 k ghostscript x86_64 10.02.1-4.fc40 fedora 37 k ghostscript-tools-fonts x86_64 10.02.1-4.fc40 fedora 12 k ghostscript-tools-printing x86_64 10.02.1-4.fc40 fedora 13 k giflib x86_64 5.2.1-17.fc40 fedora 51 k glib2 x86_64 2.78.1-1.fc40 fedora 2.8 M glibc-devel x86_64 2.38.9000-22.fc40 fedora 97 k glibc-headers-x86 noarch 2.38.9000-22.fc40 fedora 581 k glibmm2.4 x86_64 2.66.6-2.fc39 fedora 685 k gnutls x86_64 3.8.1-3.fc40 fedora 1.1 M gobject-introspection x86_64 1.78.1-1.fc40 fedora 261 k google-droid-sans-fonts noarch 20200215-17.fc40 fedora 2.7 M google-noto-fonts-common noarch 20230801-4.fc40 fedora 17 k google-noto-sans-vf-fonts noarch 20230801-4.fc40 fedora 583 k graphene x86_64 1.10.6-6.fc39 fedora 61 k graphite2 x86_64 1.3.14-13.fc40 copr_base 96 k groff-base x86_64 1.23.0-3.fc40 fedora 1.1 M gsl x86_64 2.7.1-5.fc39 fedora 1.1 M gspell x86_64 1.12.2-1.fc39 fedora 118 k gstreamer1 x86_64 1.22.7-2.fc40 fedora 1.4 M gstreamer1-plugins-base x86_64 1.22.7-1.fc40 fedora 2.2 M gtk-update-icon-cache x86_64 3.24.38-3.fc39 fedora 34 k gtk3 x86_64 3.24.38-3.fc39 fedora 4.9 M gtkmm3.0 x86_64 3.24.8-1.fc40 fedora 1.0 M gts x86_64 0.7.6-46.20121130.fc39 fedora 240 k guile22 x86_64 2.2.7-9.fc39 fedora 6.5 M gumbo-parser x86_64 1:0.10.1-29.fc39 fedora 136 k harfbuzz x86_64 8.3.0-2.fc40 fedora 995 k hicolor-icon-theme noarch 0.17-16.fc39 fedora 66 k highway x86_64 1.0.7-1.fc40 fedora 481 k hunspell x86_64 1.7.2-5.fc39 fedora 472 k hunspell-en-US noarch 0.20201207-7.fc39 fedora 181 k hunspell-filesystem x86_64 1.7.2-5.fc39 fedora 9.2 k hwdata noarch 0.376-1.fc40 fedora 1.6 M imath x86_64 3.1.9-3.fc40 copr_base 97 k inkscape x86_64 1.3-7.fc40 fedora 25 M iso-codes noarch 4.15.0-2.fc39 fedora 3.5 M jasper-libs x86_64 3.0.6-4.fc39 fedora 162 k jbig2dec-libs x86_64 0.20-1.fc40 fedora 73 k jbigkit-libs x86_64 2.1-26.fc39 fedora 53 k json-glib x86_64 1.8.0-1.fc40 fedora 166 k kernel-headers x86_64 6.7.0-0.rc2.git0.1.fc40 fedora 1.6 M lasi x86_64 1.1.3-11.fc39 fedora 54 k latexmk noarch 4.81-1.fc40 fedora 421 k lcms2 x86_64 2.15-2.fc39 fedora 177 k leptonica x86_64 1.83.1-2.fc39 fedora 1.1 M lib2geom x86_64 1.3-2.fc40 fedora 396 k libICE x86_64 1.1.1-1.fc40 fedora 74 k libRmath x86_64 4.3.2-2.fc40 fedora 122 k libSM x86_64 1.2.4-1.fc40 fedora 43 k libX11 x86_64 1.8.7-1.fc40 fedora 647 k libX11-common noarch 1.8.7-1.fc40 fedora 176 k libX11-xcb x86_64 1.8.7-1.fc40 fedora 12 k libXau x86_64 1.0.11-4.fc40 fedora 31 k libXaw x86_64 1.0.15-1.fc40 fedora 203 k libXcomposite x86_64 0.4.6-1.fc40 fedora 24 k libXcursor x86_64 1.2.1-5.fc40 fedora 30 k libXdamage x86_64 1.1.6-1.fc40 fedora 23 k libXext x86_64 1.3.5-4.fc40 fedora 39 k libXfixes x86_64 6.0.1-1.fc40 fedora 19 k libXft x86_64 2.3.8-4.fc40 fedora 72 k libXi x86_64 1.8.1-3.fc40 fedora 40 k libXinerama x86_64 1.1.5-4.fc40 fedora 14 k libXmu x86_64 1.1.4-4.fc40 fedora 76 k libXpm x86_64 3.5.17-1.fc40 fedora 65 k libXrandr x86_64 1.5.4-1.fc40 fedora 27 k libXrender x86_64 0.9.11-4.fc40 fedora 27 k libXt x86_64 1.3.0-1.fc40 fedora 179 k libXtst x86_64 1.2.4-4.fc40 fedora 20 k libXv x86_64 1.0.12-1.fc40 fedora 18 k libXxf86vm x86_64 1.1.5-4.fc40 fedora 18 k libaom x86_64 3.7.0-1.fc40 fedora 1.8 M libavif x86_64 0.11.1-11.fc39 fedora 84 k libb2 x86_64 0.98.1-9.fc39 fedora 25 k libcloudproviders x86_64 0.3.5-1.fc40 fedora 47 k libdatrie x86_64 0.2.13-7.fc39 fedora 32 k libdav1d x86_64 1.2.1-2.fc39 fedora 618 k libdrm x86_64 2.4.117-1.fc40 fedora 156 k libepoxy x86_64 1.5.10-4.fc39 fedora 234 k libfontenc x86_64 1.1.7-1.fc40 fedora 32 k libgee x86_64 0.20.6-3.fc39 fedora 271 k libgfortran x86_64 13.2.1-5.fc40 fedora 906 k libglvnd x86_64 1:1.7.0-1.fc40 fedora 133 k libglvnd-egl x86_64 1:1.7.0-1.fc40 fedora 36 k libglvnd-glx x86_64 1:1.7.0-1.fc40 fedora 142 k libgs x86_64 10.02.1-4.fc40 fedora 3.4 M libgudev x86_64 238-2.fc39 fedora 35 k libgusb x86_64 0.4.7-1.fc40 fedora 65 k libheif x86_64 1.16.2-2.fc40 fedora 298 k libicu x86_64 73.2-2.fc39 fedora 11 M libijs x86_64 0.35-19.fc39 fedora 29 k libimagequant x86_64 4.0.3-1.fc40 fedora 321 k libjpeg-turbo x86_64 2.1.4-3.fc39 fedora 183 k libjxl x86_64 1:0.8.2-3.fc40 fedora 1.2 M liblerc x86_64 4.0.0-4.fc39 fedora 201 k liblqr-1 x86_64 0.4.2-22.fc39 fedora 49 k libmarkdown x86_64 2.2.7-5.fc39 fedora 46 k libmpc x86_64 1.3.1-3.fc39 fedora 70 k libogg x86_64 2:1.3.5-6.fc39 fedora 33 k libpaper x86_64 1:2.1.1-1.fc39 fedora 27 k libpciaccess x86_64 0.16-9.fc39 fedora 26 k libpng x86_64 2:1.6.40-1.fc40 fedora 119 k libquadmath x86_64 13.2.1-5.fc40 fedora 197 k libraqm x86_64 0.8.0-5.fc39 fedora 20 k librevenge x86_64 0.0.5-5.fc39 fedora 238 k librsvg2 x86_64 2.57.0-3.fc40 fedora 1.6 M libsigc++20 x86_64 2.12.1-1.fc40 fedora 39 k libsoup3 x86_64 3.4.4-1.fc40 fedora 389 k libspiro x86_64 20221101-4.fc39 fedora 45 k libstdc++-devel x86_64 13.2.1-5.fc40 fedora 2.6 M libstemmer x86_64 2.2.0-7.fc39 fedora 171 k libthai x86_64 0.1.29-6.fc39 fedora 213 k libtheora x86_64 1:1.1.1-34.fc39 fedora 166 k libtiff x86_64 4.5.0-3.fc40 fedora 305 k libtool-ltdl x86_64 2.4.7-8.fc40 fedora 36 k libtracker-sparql x86_64 3.6.0-1.fc40 fedora 374 k libunwind x86_64 1.7.2-1.fc40 fedora 70 k libusb1 x86_64 1.0.26-4.fc40 fedora 74 k libvisual x86_64 1:0.4.1-2.fc39 fedora 151 k libvmaf x86_64 2.3.0-6.fc39 fedora 180 k libvorbis x86_64 1:1.3.7-8.fc39 fedora 195 k libwayland-client x86_64 1.22.0-2.fc39 fedora 34 k libwayland-cursor x86_64 1.22.0-2.fc39 fedora 19 k libwayland-egl x86_64 1.22.0-2.fc39 fedora 13 k libwayland-server x86_64 1.22.0-2.fc39 fedora 42 k libwebp x86_64 1.3.2-2.fc40 fedora 284 k libwmf-lite x86_64 0.2.13-2.fc39 fedora 73 k libwpd x86_64 0.10.3-17.fc39 fedora 245 k libwpg x86_64 0.3.3-16.fc39 fedora 71 k libxcb x86_64 1.16-2.fc40 fedora 238 k libxcrypt-devel x86_64 4.4.36-2.fc39 fedora 30 k libxkbcommon x86_64 1.6.0-1.fc40 fedora 142 k libxshmfence x86_64 1.3.2-1.fc40 fedora 12 k libxslt x86_64 1.1.39-1.fc40 fedora 187 k libzip x86_64 1.10.1-1.fc40 fedora 67 k m4 x86_64 1.4.19-7.fc40 fedora 303 k mailcap noarch 2.1.54-2.fc39 fedora 34 k mesa-libEGL x86_64 23.3.0~rc2-3.fc40 fedora 133 k mesa-libGL x86_64 23.3.0~rc2-3.fc40 fedora 175 k mesa-libgbm x86_64 23.3.0~rc2-3.fc40 fedora 45 k mesa-libglapi x86_64 23.3.0~rc2-3.fc40 fedora 52 k mkfontscale x86_64 1.2.2-4.fc39 fedora 32 k mpdecimal x86_64 2.5.1-7.fc39 fedora 89 k mupdf x86_64 1.23.5-1.fc40 fedora 11 M ncurses x86_64 6.4-8.20231001.fc40 fedora 418 k ncurses-c++-libs x86_64 6.4-8.20231001.fc40 fedora 37 k ncurses-devel x86_64 6.4-8.20231001.fc40 fedora 555 k netpbm x86_64 11.02.00-2.fc39 fedora 185 k nettle x86_64 3.9.1-2.fc39 fedora 425 k nspr x86_64 4.35.0-15.fc40 fedora 137 k nss x86_64 3.94.0-2.fc40 fedora 695 k nss-softokn x86_64 3.94.0-2.fc40 fedora 413 k nss-softokn-freebl x86_64 3.94.0-2.fc40 fedora 350 k nss-sysinit x86_64 3.94.0-2.fc40 fedora 18 k nss-util x86_64 3.94.0-2.fc40 fedora 87 k oldstandard-sfd-fonts noarch 2.0.2-34.fc39 fedora 1.6 M openblas x86_64 0.3.24-1.fc40 fedora 37 k openblas-openmp x86_64 0.3.24-1.fc40 fedora 5.0 M openexr-libs x86_64 3.1.10-2.fc39 fedora 1.1 M openjpeg2 x86_64 2.5.0-5.fc40 fedora 178 k opus x86_64 1.4-1.fc40 fedora 212 k orc x86_64 0.4.33-3.fc39 fedora 202 k pango x86_64 1.51.0-1.fc40 fedora 343 k pangomm x86_64 2.46.3-3.fc39 fedora 68 k pdfpc x86_64 4.6.0-3.fc40 fedora 214 k perl-Authen-SASL noarch 2.1700-1.fc40 fedora 62 k perl-AutoLoader noarch 5.74-502.fc40 fedora 21 k perl-B x86_64 1.88-502.fc40 fedora 177 k perl-B-Hooks-EndOfScope noarch 0.26-7.fc39 fedora 43 k perl-BibTeX-Parser noarch 1.04-1.fc40 fedora 30 k perl-Bit-Vector x86_64 7.4-31.fc39 fedora 166 k perl-Business-ISBN noarch 3.008-2.fc39 fedora 32 k perl-Business-ISBN-Data noarch 20231118.001-1.fc40 fedora 39 k perl-Business-ISMN noarch 1.203-2.fc39 fedora 25 k perl-Business-ISSN noarch 1.005-8.fc39 fedora 18 k perl-Carp noarch 1.54-500.fc39 fedora 29 k perl-Carp-Clan noarch 6.08-16.fc40 fedora 24 k perl-Class-Accessor noarch 0.51-18.fc39 fedora 28 k perl-Class-Data-Inheritable noarch 0.09-6.fc39 fedora 13 k perl-Class-Inspector noarch 1.36-14.fc39 fedora 30 k perl-Class-Method-Modifiers noarch 2.15-2.fc39 fedora 50 k perl-Class-Singleton noarch 1.6-9.fc39 fedora 25 k perl-Class-Struct noarch 0.68-502.fc40 fedora 22 k perl-Clone x86_64 0.46-4.fc39 fedora 22 k perl-Compress-Raw-Bzip2 x86_64 2.206-1.fc39 fedora 35 k perl-Compress-Raw-Zlib x86_64 2.206-1.fc39 fedora 64 k perl-Convert-ASN1 noarch 0.34-1.fc40 fedora 59 k perl-Crypt-RC4 noarch 2.02-35.fc39 fedora 14 k perl-Data-Compare noarch 1.29-2.fc39 fedora 34 k perl-Data-Dump noarch 1.25-8.fc39 fedora 32 k perl-Data-Dumper x86_64 2.188-501.fc39 fedora 56 k perl-Data-OptList noarch 0.114-2.fc39 fedora 27 k perl-Data-Uniqid noarch 0.12-31.fc39 fedora 12 k perl-Date-Calc noarch 6.4-26.fc40 fedora 203 k perl-Date-ISO8601 noarch 0.005-18.fc39 fedora 19 k perl-Date-Manip noarch 6.92-2.fc39 fedora 1.1 M perl-DateTime x86_64 2:1.65-1.fc40 fedora 134 k perl-DateTime-Calendar-Julian noarch 0.107-5.fc39 fedora 23 k perl-DateTime-Format-Builder noarch 0.8300-11.fc39 fedora 93 k perl-DateTime-Format-Strptime noarch 1:1.79-8.fc39 fedora 41 k perl-DateTime-Locale noarch 1.40-1.fc40 fedora 3.8 M perl-DateTime-TimeZone noarch 2.60-4.fc39 fedora 397 k perl-DateTime-TimeZone-SystemV noarch 0.010-19.fc39 fedora 22 k perl-DateTime-TimeZone-Tzfile noarch 0.011-19.fc39 fedora 19 k perl-Devel-CallChecker x86_64 0.009-3.fc39 fedora 24 k perl-Devel-Caller x86_64 2.07-3.fc39 fedora 19 k perl-Devel-GlobalDestruction noarch 0.14-22.fc39 fedora 17 k perl-Devel-LexAlias x86_64 0.05-34.fc39 fedora 16 k perl-Devel-StackTrace noarch 1:2.04-16.fc39 fedora 30 k perl-Digest noarch 1.20-500.fc39 fedora 25 k perl-Digest-HMAC noarch 1.04-8.fc39 fedora 22 k perl-Digest-MD4 x86_64 1.9-36.fc39 fedora 36 k perl-Digest-MD5 x86_64 2.58-501.fc40 fedora 35 k perl-Digest-Perl-MD5 noarch 1.9-29.fc39 fedora 17 k perl-Digest-SHA x86_64 1:6.04-501.fc39 fedora 61 k perl-Digest-SHA1 x86_64 2.13-41.fc40 fedora 52 k perl-Dist-CheckConflicts noarch 0.11-28.fc39 fedora 23 k perl-DynaLoader x86_64 1.54-502.fc40 fedora 26 k perl-DynaLoader-Functions noarch 0.004-2.fc39 fedora 19 k perl-Email-Date-Format noarch 1.008-2.fc39 fedora 18 k perl-Encode x86_64 4:3.20-501.fc40 fedora 1.7 M perl-Encode-Locale noarch 1.05-27.fc39 fedora 18 k perl-English noarch 1.11-502.fc40 fedora 14 k perl-Errno x86_64 1.37-502.fc40 fedora 15 k perl-Eval-Closure noarch 0.14-21.fc39 fedora 24 k perl-Exception-Class noarch 1.45-8.fc39 fedora 43 k perl-Exporter noarch 5.77-500.fc39 fedora 31 k perl-ExtUtils-MM-Utils noarch 2:7.70-501.fc39 fedora 11 k perl-Fcntl x86_64 1.15-502.fc40 fedora 21 k perl-File-Basename noarch 2.86-502.fc40 fedora 17 k perl-File-Compare noarch 1.100.700-502.fc40 fedora 13 k perl-File-Copy noarch 2.41-502.fc40 fedora 20 k perl-File-Find noarch 1.43-502.fc40 fedora 25 k perl-File-Find-Rule noarch 0.34-26.fc39 fedora 32 k perl-File-Listing noarch 6.16-1.fc39 fedora 25 k perl-File-Path noarch 2.18-501.fc40 fedora 35 k perl-File-ShareDir noarch 1.118-9.fc39 fedora 29 k perl-File-Slurper noarch 0.014-3.fc39 fedora 21 k perl-File-Temp noarch 1:0.231.100-501.fc40 fedora 59 k perl-File-Which noarch 1.27-9.fc39 fedora 21 k perl-File-stat noarch 1.13-502.fc40 fedora 17 k perl-FileHandle noarch 2.05-502.fc40 fedora 16 k perl-Filter x86_64 2:1.64-501.fc39 fedora 87 k perl-GSSAPI x86_64 0.28-43.fc39 fedora 55 k perl-Getopt-Long noarch 1:2.57-1.fc40 fedora 63 k perl-Getopt-Std noarch 1.13-502.fc40 fedora 16 k perl-HTML-Parser x86_64 3.81-3.fc39 fedora 124 k perl-HTML-Tagset noarch 3.20-55.fc40 fedora 18 k perl-HTTP-Cookies noarch 6.10-10.fc39 fedora 37 k perl-HTTP-Date noarch 6.06-2.fc39 fedora 24 k perl-HTTP-Message noarch 6.45-1.fc40 fedora 101 k perl-HTTP-Negotiate noarch 6.01-36.fc39 fedora 19 k perl-HTTP-Tiny noarch 0.088-3.fc39 fedora 56 k perl-Hash-Util-FieldHash x86_64 1.26-502.fc40 fedora 38 k perl-I18N-LangTags noarch 0.45-502.fc40 fedora 53 k perl-I18N-Langinfo x86_64 0.22-502.fc40 fedora 22 k perl-IO x86_64 1.52-502.fc40 fedora 82 k perl-IO-Compress noarch 2.206-1.fc39 fedora 272 k perl-IO-HTML noarch 1.004-11.fc39 fedora 28 k perl-IO-Socket-IP noarch 0.42-1.fc39 fedora 42 k perl-IO-Socket-SSL noarch 2.084-1.fc40 fedora 225 k perl-IO-String noarch 1.08-48.fc39 fedora 17 k perl-IO-stringy noarch 2.113-12.fc39 fedora 66 k perl-IPC-Cmd noarch 2:1.04-502.fc40 fedora 39 k perl-IPC-Open3 noarch 1.22-502.fc40 fedora 22 k perl-IPC-Run3 noarch 0.048-29.fc39 fedora 38 k perl-IPC-SysV x86_64 2.09-502.fc40 fedora 41 k perl-IPC-System-Simple noarch 1.30-11.fc39 fedora 39 k perl-JSON noarch 4.10-3.fc39 fedora 95 k perl-Jcode noarch 2.07-41.fc39 fedora 37 k perl-LDAP noarch 1:0.68-11.fc39 fedora 384 k perl-LWP-MediaTypes noarch 6.04-15.fc39 fedora 33 k perl-LWP-Protocol-https noarch 6.11-1.fc39 fedora 20 k perl-LaTeX-ToUnicode noarch 0.54-1.fc40 fedora 40 k perl-Lingua-Translit noarch 0.29-4.fc39 fedora 33 k perl-List-AllUtils noarch 0.19-10.fc39 fedora 48 k perl-List-SomeUtils noarch 0.59-3.fc39 fedora 45 k perl-List-UtilsBy noarch 0.12-7.fc39 fedora 28 k perl-Locale-Maketext noarch 1.33-501.fc39 fedora 94 k perl-Locale-Maketext-Simple noarch 1:0.21-502.fc40 fedora 18 k perl-Log-Dispatch noarch 2.71-2.fc39 fedora 84 k perl-Log-Dispatch-FileRotate noarch 1.38-7.fc39 fedora 32 k perl-Log-Log4perl noarch 1.57-3.fc39 fedora 360 k perl-MIME-Base64 x86_64 3.16-501.fc40 fedora 29 k perl-MIME-Charset noarch 1.013.1-3.fc39 fedora 48 k perl-MIME-Lite noarch 3.033-8.fc39 fedora 94 k perl-MIME-Types noarch 2.24-3.fc39 fedora 69 k perl-MRO-Compat noarch 0.15-7.fc39 fedora 25 k perl-Mail-Sender noarch 1:0.903-22.fc39 fedora 50 k perl-Mail-Sendmail noarch 0.80-18.fc39 fedora 36 k perl-MailTools noarch 2.21-14.fc39 fedora 108 k perl-Math-BigInt noarch 1:2.0010.01-1.fc40 fedora 227 k perl-Math-Complex noarch 1.62-502.fc40 fedora 46 k perl-Module-CoreList noarch 1:5.20231120-1.fc40 fedora 88 k perl-Module-Implementation noarch 0.09-37.fc39 fedora 19 k perl-Module-Load noarch 1:0.36-501.fc40 fedora 17 k perl-Module-Load-Conditional noarch 0.74-501.fc40 fedora 22 k perl-Module-Metadata noarch 1.000038-3.fc39 fedora 35 k perl-Module-Runtime noarch 0.016-20.fc39 fedora 23 k perl-Mozilla-CA noarch 20230821-1.fc40 fedora 13 k perl-NTLM noarch 1.09-36.fc39 fedora 22 k perl-Net-HTTP noarch 6.23-2.fc39 fedora 39 k perl-Net-SMTP-SSL noarch 1.04-22.fc40 fedora 11 k perl-Net-SSLeay x86_64 1.92-10.fc39 fedora 360 k perl-Number-Compare noarch 0.03-36.fc39 fedora 12 k perl-OLE-Storage_Lite noarch 0.22-2.fc39 fedora 29 k perl-Opcode x86_64 1.64-502.fc40 fedora 36 k perl-POSIX x86_64 2.13-502.fc40 fedora 97 k perl-Package-Generator noarch 1.106-29.fc39 fedora 22 k perl-Package-Stash noarch 0.40-5.fc39 fedora 33 k perl-Package-Stash-XS x86_64 0.30-5.fc39 fedora 35 k perl-PadWalker x86_64 2.5-10.fc39 fedora 27 k perl-Params-Check noarch 1:0.38-500.fc39 fedora 22 k perl-Params-Classify x86_64 0.015-20.fc39 fedora 31 k perl-Params-Util x86_64 1.102-12.fc39 fedora 33 k perl-Params-Validate x86_64 1.31-5.fc39 fedora 69 k perl-Params-ValidationCompiler noarch 0.31-3.fc39 fedora 37 k perl-Parse-RecDescent noarch 1.967015-20.fc39 fedora 208 k perl-PathTools x86_64 3.89-500.fc39 fedora 87 k perl-Pod-Escapes noarch 1:1.07-501.fc40 fedora 19 k perl-Pod-Html noarch 1.34-502.fc40 fedora 29 k perl-Pod-Perldoc noarch 3.28.01-501.fc39 fedora 86 k perl-Pod-Simple noarch 1:3.45-4.fc39 fedora 218 k perl-Pod-Usage noarch 4:2.03-500.fc39 fedora 39 k perl-Ref-Util noarch 0.204-18.fc39 fedora 23 k perl-Ref-Util-XS x86_64 0.117-20.fc39 fedora 23 k perl-Regexp-Common noarch 2017060201-22.fc39 fedora 184 k perl-Role-Tiny noarch 2.002004-9.fc39 fedora 32 k perl-Safe noarch 2.44-502.fc40 fedora 25 k perl-Scalar-List-Utils x86_64 5:1.63-500.fc39 fedora 72 k perl-SelectSaver noarch 1.02-502.fc40 fedora 12 k perl-Socket x86_64 4:2.037-3.fc39 fedora 55 k perl-Sort-Key x86_64 1.33-28.fc39 fedora 45 k perl-Specio noarch 0.48-4.fc39 fedora 157 k perl-Spreadsheet-ParseExcel x86_64 0.6500-35.fc39 fedora 158 k perl-Spreadsheet-WriteExcel noarch 2.40-28.fc39 fedora 532 k perl-Storable x86_64 1:3.32-500.fc39 fedora 99 k perl-Sub-Exporter noarch 0.990-1.fc39 fedora 78 k perl-Sub-Exporter-Progressive noarch 0.001013-22.fc39 fedora 21 k perl-Sub-Identify x86_64 0.14-24.fc39 fedora 27 k perl-Sub-Install noarch 0.929-3.fc39 fedora 23 k perl-Symbol noarch 1.09-502.fc40 fedora 14 k perl-Sys-Hostname x86_64 1.25-502.fc40 fedora 17 k perl-Sys-Syslog x86_64 0.36-501.fc39 fedora 47 k perl-Term-ANSIColor noarch 5.01-501.fc39 fedora 47 k perl-Term-Cap noarch 1.18-500.fc39 fedora 22 k perl-Text-Balanced noarch 2.06-500.fc39 fedora 48 k perl-Text-BibTeX x86_64 0.89-3.fc39 fedora 251 k perl-Text-CSV noarch 2.03-1.fc40 fedora 116 k perl-Text-CSV_XS x86_64 1.52-1.fc40 fedora 141 k perl-Text-Glob noarch 0.11-21.fc39 fedora 13 k perl-Text-ParseWords noarch 3.31-500.fc39 fedora 16 k perl-Text-Roman noarch 3.5-25.fc39 fedora 22 k perl-Text-Soundex x86_64 3.05-28.fc39 fedora 29 k perl-Text-Tabs+Wrap noarch 2023.0511-3.fc39 fedora 22 k perl-Text-Unidecode noarch 1.30-22.fc39 fedora 182 k perl-Tie noarch 4.6-502.fc40 fedora 28 k perl-Tie-Cycle noarch 1.227-9.fc39 fedora 19 k perl-Tie-RefHash noarch 1.40-500.fc39 fedora 22 k perl-Time-HiRes x86_64 4:1.9775-500.fc39 fedora 57 k perl-Time-Local noarch 2:1.350-3.fc39 fedora 34 k perl-TimeDate noarch 1:2.33-11.fc39 fedora 57 k perl-Try-Tiny noarch 0.31-6.fc39 fedora 41 k perl-UNIVERSAL-isa noarch 1.20171012-17.fc39 fedora 21 k perl-URI noarch 5.21-1.fc40 fedora 125 k perl-Unicode-Collate x86_64 1.31-500.fc39 fedora 749 k perl-Unicode-LineBreak x86_64 2019.001-18.fc39 fedora 120 k perl-Unicode-Map x86_64 0.112-61.fc39 fedora 242 k perl-Unicode-Normalize x86_64 1.32-500.fc39 fedora 91 k perl-Unicode-UCD noarch 0.78-502.fc40 fedora 78 k perl-Variable-Magic x86_64 0.63-4.fc39 fedora 54 k perl-WWW-RobotRules noarch 6.02-37.fc39 fedora 19 k perl-XML-LibXML x86_64 1:2.0209-2.fc39 fedora 353 k perl-XML-LibXML-Simple noarch 1.01-12.fc39 fedora 32 k perl-XML-LibXSLT x86_64 2.002.001-3.fc39 fedora 68 k perl-XML-NamespaceSupport noarch 1.12-21.fc40 fedora 25 k perl-XML-Parser x86_64 2.46-16.fc40 fedora 234 k perl-XML-SAX noarch 1.02-13.fc39 fedora 63 k perl-XML-SAX-Base noarch 1.09-21.fc40 fedora 32 k perl-XML-Writer noarch 0.900-12.fc39 fedora 33 k perl-XML-XPath noarch 1.48-4.fc39 fedora 87 k perl-XString x86_64 0.005-10.fc39 fedora 23 k perl-autodie noarch 2.36-501.fc39 fedora 97 k perl-autouse noarch 1.11-502.fc40 fedora 14 k perl-autovivification x86_64 0.18-20.fc39 fedora 33 k perl-base noarch 2.27-502.fc40 fedora 16 k perl-constant noarch 1.33-501.fc39 fedora 22 k perl-deprecate noarch 0.04-502.fc40 fedora 15 k perl-encoding x86_64 4:3.00-501.fc40 fedora 63 k perl-if noarch 0.61.000-502.fc40 fedora 14 k perl-interpreter x86_64 4:5.38.0-502.fc40 fedora 72 k perl-libnet noarch 3.15-501.fc39 fedora 129 k perl-libs x86_64 4:5.38.0-502.fc40 fedora 2.3 M perl-libwww-perl noarch 6.72-1.fc39 fedora 209 k perl-locale noarch 1.10-502.fc40 fedora 14 k perl-meta-notation noarch 5.38.0-502.fc40 fedora 11 k perl-mro x86_64 1.28-502.fc40 fedora 29 k perl-namespace-autoclean noarch 0.29-16.fc39 fedora 25 k perl-namespace-clean noarch 0.27-24.fc39 fedora 35 k perl-open noarch 1.13-502.fc40 fedora 17 k perl-overload noarch 1.37-502.fc40 fedora 46 k perl-overloading noarch 0.02-502.fc40 fedora 13 k perl-parent noarch 1:0.241-500.fc39 fedora 14 k perl-podlators noarch 1:5.01-500.fc39 fedora 125 k perl-sigtrap noarch 1.10-502.fc40 fedora 16 k perl-subs noarch 1.04-502.fc40 fedora 12 k perl-vars noarch 1.05-502.fc40 fedora 13 k perl-version x86_64 8:0.99.30-1.fc40 fedora 62 k perltidy noarch 20230912-1.fc40 fedora 709 k pixman x86_64 0.42.2-2.fc39 fedora 288 k poppler x86_64 23.08.0-1.fc39 fedora 1.2 M poppler-data noarch 0.4.11-5.fc39 fedora 2.0 M poppler-glib x86_64 23.08.0-1.fc39 fedora 185 k potrace x86_64 1.16-10.fc39 fedora 122 k python-pip-wheel noarch 23.2.1-1.fc40 copr_base 1.5 M python-unversioned-command noarch 3.12.0-2.fc40 fedora 9.5 k python3-appdirs noarch 1.4.4-11.fc39 fedora 23 k python3-charset-normalizer noarch 3.3.2-1.fc40 fedora 107 k python3-cssselect noarch 1.1.0-6.fc39 fedora 47 k python3-gobject-base x86_64 3.46.0-1.fc40 fedora 199 k python3-gobject-base-noarch noarch 3.46.0-1.fc40 fedora 191 k python3-idna noarch 3.4-5.fc40 fedora 109 k python3-inkex noarch 1.3.0-1.fc40 fedora 410 k python3-libs x86_64 3.12.0-2.fc40 fedora 9.2 M python3-lxml x86_64 4.9.3-3.fc40 fedora 1.4 M python3-numpy x86_64 1:1.26.0-2.fc40 fedora 7.5 M python3-olefile noarch 0.46-21.fc40 copr_base 66 k python3-packaging noarch 23.2-2.fc40 copr_base 116 k python3-pillow x86_64 10.1.0-1.fc40 fedora 927 k python3-pygments noarch 2.16.1-1.fc40 copr_base 2.3 M python3-pyparsing noarch 3.0.9-11.fc40 copr_base 248 k python3-pyserial noarch 3.5-6.fc39 fedora 227 k python3-requests noarch 2.31.0-1.fc40 copr_base 142 k python3-scour noarch 0.38.2-6.fc40 fedora 129 k python3-six noarch 1.16.0-12.fc39 fedora 41 k python3-urllib3 noarch 1.26.18-1.fc40 fedora 274 k rav1e-libs x86_64 0.6.6-3.fc40 fedora 1.0 M rsvg-pixbuf-loader x86_64 2.57.0-3.fc40 fedora 16 k ruby x86_64 3.2.2-183.fc40 fedora 41 k ruby-libs x86_64 3.2.2-183.fc40 fedora 4.0 M rubypick noarch 1.1.1-19.fc39 fedora 9.9 k shared-mime-info x86_64 2.3-1.fc40 fedora 391 k sombok x86_64 2.4.0-20.fc39 fedora 48 k svt-av1-libs x86_64 1.4.1-3.fc39 fedora 2.0 M tcl x86_64 1:8.6.13-1.fc40 fedora 1.1 M teckit x86_64 2.5.12-1.fc40 fedora 451 k tesseract x86_64 5.3.3-1.fc40 fedora 1.3 M tesseract-langpack-eng noarch 4.1.0-6.fc39 fedora 1.7 M tesseract-tessdata-doc noarch 4.1.0-6.fc39 fedora 13 k tex-preview noarch 13.2-2.fc39 fedora 353 k texlive-12many noarch 11:svn15878.0.3-69.fc39 fedora 16 k texlive-2up noarch 11:svn55076-69.fc39 fedora 15 k texlive-a0poster noarch 11:svn54071-69.fc39 fedora 133 k texlive-a4wide noarch 11:svn20943.0-69.fc39 fedora 15 k texlive-a5comb noarch 11:svn17020.4-69.fc39 fedora 12 k texlive-aaai-named noarch 11:svn52470-69.fc39 fedora 16 k texlive-aastex noarch 11:svn58057-69.fc39 fedora 64 k texlive-abnt noarch 11:svn55471-69.fc39 fedora 136 k texlive-abntex2 noarch 11:svn49248-69.fc39 fedora 47 k texlive-abntexto noarch 11:svn65705-69.fc39 fedora 113 k texlive-aboensis noarch 11:svn62977-69.fc39 fedora 34 M texlive-abraces noarch 11:svn64967-69.fc39 fedora 18 k texlive-abspos noarch 11:svn64465-69.fc39 fedora 443 k texlive-abstract noarch 11:svn15878.1.2a-69.fc39 fedora 16 k texlive-academicons noarch 11:svn62622-69.fc39 fedora 55 k texlive-accanthis noarch 11:svn64844-69.fc39 fedora 427 k texlive-accents noarch 11:svn51497-69.fc39 fedora 244 k texlive-accessibility noarch 11:svn55777-69.fc39 fedora 532 k texlive-accsupp noarch 11:svn53052-69.fc39 fedora 337 k texlive-achemso noarch 11:svn65103-69.fc39 fedora 61 k texlive-acmart noarch 11:svn64967-69.fc39 fedora 61 k texlive-acmconf noarch 11:svn15878.1.3-69.fc39 fedora 18 k texlive-acro noarch 11:svn62925-69.fc39 fedora 61 k texlive-acronym noarch 11:svn54758-69.fc39 fedora 22 k texlive-acroterm noarch 11:svn61719-69.fc39 fedora 18 k texlive-active-conf noarch 11:svn15878.0.3a-69.fc39 fedora 19 k texlive-actuarialangle noarch 11:svn51376-69.fc39 fedora 13 k texlive-actuarialsymbol noarch 11:svn54080-69.fc39 fedora 294 k texlive-addfont noarch 11:svn58559-69.fc39 fedora 63 k texlive-addlines noarch 11:svn49326-69.fc39 fedora 16 k texlive-adfathesis noarch 11:svn26048.2.42-69.fc39 fedora 21 k texlive-adforn noarch 11:svn54512-69.fc39 fedora 52 k texlive-adfsymbols noarch 11:svn54512-69.fc39 fedora 43 k texlive-adigraph noarch 11:svn49862-69.fc39 fedora 488 k texlive-adjmulticol noarch 11:svn63320-69.fc39 fedora 20 k texlive-adjustbox noarch 11:svn64967-69.fc39 fedora 31 k texlive-adobemapping noarch 11:svn66552-69.fc39 fedora 2.9 M texlive-adrconv noarch 11:svn46817-69.fc39 fedora 18 k texlive-advdate noarch 11:svn20538.0-69.fc39 fedora 19 k texlive-ae noarch 11:svn15878.1.4-69.fc39 fedora 116 k texlive-aeguill noarch 11:svn15878.0-69.fc39 fedora 16 k texlive-aesupp noarch 11:svn58253-69.fc39 fedora 3.0 M texlive-afparticle noarch 11:svn35900.1.3-69.fc39 fedora 21 k texlive-afthesis noarch 11:svn15878.2.7-69.fc39 fedora 25 k texlive-aguplus noarch 11:svn17156.1.6b-69.fc39 fedora 43 k texlive-aiaa noarch 11:svn15878.3.6-69.fc39 fedora 23 k texlive-aichej noarch 11:svn15878.0-69.fc39 fedora 20 k texlive-ajl noarch 11:svn34016.0-69.fc39 fedora 20 k texlive-akktex noarch 11:svn26055.0.3.2-69.fc39 fedora 38 k texlive-akletter noarch 11:svn15878.1.5i-69.fc39 fedora 24 k texlive-alegreya noarch 11:svn64384-69.fc39 fedora 24 M texlive-alertmessage noarch 11:svn38055.1.1-69.fc39 fedora 24 k texlive-alfaslabone noarch 11:svn57452-69.fc39 fedora 231 k texlive-alg noarch 11:svn15878.0-69.fc39 fedora 17 k texlive-algobox noarch 11:svn52204-69.fc39 fedora 137 k texlive-algolrevived noarch 11:svn56864-69.fc39 fedora 1.0 M texlive-algorithm2e noarch 11:svn44846-69.fc39 fedora 47 k texlive-algorithmicx noarch 11:svn15878.0-69.fc39 fedora 22 k texlive-algorithms noarch 11:svn42428-69.fc39 fedora 23 k texlive-algpseudocodex noarch 11:svn65860-69.fc39 fedora 368 k texlive-algxpar noarch 11:svn56006-69.fc39 fedora 189 k texlive-aligned-overset noarch 11:svn47290-69.fc39 fedora 386 k texlive-allrunes noarch 11:svn42221-69.fc39 fedora 7.7 M texlive-almendra noarch 11:svn64539-69.fc39 fedora 386 k texlive-almfixed noarch 11:svn35065.0.92-69.fc39 fedora 286 k texlive-alnumsec noarch 11:svn15878.v0.03-69.fc39 fedora 17 k texlive-alphalph noarch 11:svn53087-69.fc39 fedora 361 k texlive-alterqcm noarch 11:svn59265-69.fc39 fedora 1.1 M texlive-altfont noarch 11:svn15878.1.1-69.fc39 fedora 19 k texlive-altsubsup noarch 11:svn62738-69.fc39 fedora 353 k texlive-amsaddr noarch 11:svn64357-69.fc39 fedora 16 k texlive-amscdx noarch 11:svn51532-69.fc39 fedora 225 k texlive-amscls noarch 11:svn55378-69.fc39 fedora 1.1 M texlive-amsfonts noarch 11:svn61937-69.fc39 fedora 3.7 M texlive-amsmath noarch 11:svn63514-69.fc39 fedora 46 k texlive-amsrefs noarch 11:svn61937-69.fc39 fedora 46 k texlive-amstex noarch 11:svn66186-77.fc39 fedora 426 k texlive-andika noarch 11:svn64540-69.fc39 fedora 3.3 M texlive-animate noarch 11:svn64401-69.fc39 fedora 38 k texlive-annotate noarch 11:svn52824-69.fc39 fedora 15 k texlive-annotate-equations noarch 11:svn65851-69.fc39 fedora 216 k texlive-anonchap noarch 11:svn17049.1.1a-69.fc39 fedora 15 k texlive-anonymous-acm noarch 11:svn55121-69.fc39 fedora 389 k texlive-anonymouspro noarch 11:svn51631-69.fc39 fedora 462 k texlive-answers noarch 11:svn35032.2.16-69.fc39 fedora 16 k texlive-antiqua noarch 11:svn24266.001.003-69.fc39 fedora 91 k texlive-antt noarch 11:svn18651.2.08-69.fc39 fedora 4.8 M texlive-anufinalexam-doc noarch 11:svn26053.0-69.fc39 fedora 18 k texlive-anyfontsize noarch 11:svn17050.0-69.fc39 fedora 16 k texlive-anysize noarch 11:svn15878.0-69.fc39 fedora 12 k texlive-aobs-tikz noarch 11:svn32662.1.0-69.fc39 fedora 19 k texlive-aomart noarch 11:svn63442-69.fc39 fedora 31 k texlive-apa noarch 11:svn54080-69.fc39 fedora 27 k texlive-apa6 noarch 11:svn53406-69.fc39 fedora 32 k texlive-apa6e noarch 11:svn23350.0.3-69.fc39 fedora 14 k texlive-apa7 noarch 11:svn63974-69.fc39 fedora 762 k texlive-apacite noarch 11:svn54080-69.fc39 fedora 58 k texlive-apalike-ejor noarch 11:svn59667-69.fc39 fedora 152 k texlive-apalike2 noarch 11:svn54080-69.fc39 fedora 17 k texlive-appendix noarch 11:svn53718-69.fc39 fedora 17 k texlive-appendixnumberbeamer noarch 11:svn46317-69.fc39 fedora 23 k texlive-apptools noarch 11:svn28400.1.0-69.fc39 fedora 18 k texlive-apxproof noarch 11:svn64715-69.fc39 fedora 585 k texlive-arabicfront noarch 11:svn51474-69.fc39 fedora 13 k texlive-archaeologie noarch 11:svn57090-69.fc39 fedora 94 k texlive-archaic noarch 11:svn38005.0-69.fc39 fedora 416 k texlive-arcs noarch 11:svn15878.1-69.fc39 fedora 15 k texlive-arev noarch 11:svn15878.0-69.fc39 fedora 983 k texlive-arimo noarch 11:svn64548-69.fc39 fedora 2.9 M texlive-arphic noarch 11:svn15878.0-69.fc39 fedora 27 M texlive-arraycols noarch 11:svn61719-69.fc39 fedora 463 k texlive-arrayjobx noarch 11:svn18125.1.04-69.fc39 fedora 17 k texlive-arraysort noarch 11:svn31576.1.0-69.fc39 fedora 17 k texlive-arsclassica noarch 11:svn45656-69.fc39 fedora 16 k texlive-articleingud noarch 11:svn38741-69.fc39 fedora 20 k texlive-arvo noarch 11:svn57213-69.fc39 fedora 203 k texlive-arydshln noarch 11:svn50084-69.fc39 fedora 23 k texlive-asaetr noarch 11:svn15878.1.0a-69.fc39 fedora 25 k texlive-asana-math noarch 11:svn59629-69.fc39 fedora 721 k texlive-asapsym noarch 11:svn40201-69.fc39 fedora 68 k texlive-ascelike noarch 11:svn29129.2.3-69.fc39 fedora 27 k texlive-ascii-font noarch 11:svn29989.2.0-69.fc39 fedora 63 k texlive-asciilist noarch 11:svn49060-69.fc39 fedora 18 k texlive-ascmac noarch 11:svn53411-69.fc39 fedora 186 k texlive-askinclude noarch 11:svn54725-69.fc39 fedora 594 k texlive-askmaps noarch 11:svn56730-69.fc39 fedora 17 k texlive-asmeconf noarch 11:svn65413-69.fc39 fedora 2.7 M texlive-asmejour noarch 11:svn65405-69.fc39 fedora 1.8 M texlive-aspectratio noarch 11:svn25243.2.0-69.fc39 fedora 98 k texlive-assignment noarch 11:svn20431.0-69.fc39 fedora 16 k texlive-assoccnt noarch 11:svn38497-69.fc39 fedora 21 k texlive-association-matrix noarch 11:svn64845-69.fc39 fedora 276 k texlive-astro noarch 11:svn15878.2.20-69.fc39 fedora 28 k texlive-asyfig noarch 11:svn17512.0.1c-69.fc39 fedora 18 k texlive-asypictureb noarch 11:svn33490.0.3-69.fc39 fedora 20 k texlive-atbegshi noarch 11:svn53051-69.fc39 fedora 409 k texlive-atenddvi noarch 11:svn56922-69.fc39 fedora 290 k texlive-atendofenv noarch 11:svn62164-69.fc39 fedora 101 k texlive-atkinson noarch 11:svn64385-69.fc39 fedora 1.0 M texlive-attachfile noarch 11:svn42099-69.fc39 fedora 22 k texlive-attachfile2 noarch 11:svn57959-77.fc39 fedora 447 k texlive-atveryend noarch 11:svn53108-69.fc39 fedora 385 k texlive-aucklandthesis noarch 11:svn51323-69.fc39 fedora 23 k texlive-augie noarch 11:svn61719-69.fc39 fedora 78 k texlive-auncial-new noarch 11:svn62977-69.fc39 fedora 213 k texlive-aurical noarch 11:svn15878.1.5-69.fc39 fedora 2.4 M texlive-aurl noarch 11:svn41853-69.fc39 fedora 26 k texlive-authoraftertitle noarch 11:svn55889-69.fc39 fedora 11 k texlive-authorarchive noarch 11:svn65777-69.fc39 fedora 796 k texlive-authordate noarch 11:svn52564-69.fc39 fedora 23 k texlive-authorindex noarch 11:svn51757-77.fc39 fedora 86 k texlive-auto-pst-pdf noarch 11:svn56596-69.fc39 fedora 17 k texlive-autoarea noarch 11:svn59552-69.fc39 fedora 18 k texlive-autobreak noarch 11:svn43337-69.fc39 fedora 549 k texlive-autofancyhdr noarch 11:svn54049-69.fc39 fedora 18 k texlive-autonum noarch 11:svn36084.0.3.11-69.fc39 fedora 20 k texlive-autopdf noarch 11:svn32377.1.1-69.fc39 fedora 20 k texlive-autopuncitems noarch 11:svn63045-69.fc39 fedora 51 k texlive-auxhook noarch 11:svn53173-69.fc39 fedora 295 k texlive-avantgar noarch 11:svn61983-69.fc39 fedora 322 k texlive-avremu noarch 11:svn35373.0.1-69.fc39 fedora 32 k texlive-axessibility noarch 11:svn57105-69.fc39 fedora 238 k texlive-axodraw2 x86_64 11:svn58155-77.fc39 fedora 532 k texlive-b1encoding noarch 11:svn21271.1.0-69.fc39 fedora 22 k texlive-babel noarch 11:svn65823-69.fc39 fedora 480 k texlive-babel-dutch noarch 11:svn60362-69.fc39 fedora 20 k texlive-babel-english noarch 11:svn44495-69.fc39 fedora 21 k texlive-babelbib noarch 11:svn57349-69.fc39 fedora 54 k texlive-background noarch 11:svn42428-69.fc39 fedora 16 k texlive-backnaur noarch 11:svn54080-69.fc39 fedora 18 k texlive-bangorcsthesis noarch 11:svn61770-69.fc39 fedora 74 k texlive-bangorexam noarch 11:svn65140-69.fc39 fedora 358 k texlive-bankstatement noarch 11:svn38857-69.fc39 fedora 19 k texlive-barcodes noarch 11:svn15878.0-69.fc39 fedora 23 k texlive-bardiag noarch 11:svn22013.0.4a-69.fc39 fedora 27 k texlive-base x86_64 11:20230311-77.fc39 fedora 2.6 M texlive-bashful noarch 11:svn25597.0.93-69.fc39 fedora 22 k texlive-basicarith noarch 11:svn35460.1.1-69.fc39 fedora 19 k texlive-baskervald noarch 11:svn19490.1.016-69.fc39 fedora 471 k texlive-baskervaldx noarch 11:svn57080-69.fc39 fedora 913 k texlive-baskervillef noarch 11:svn55475-69.fc39 fedora 1.5 M texlive-bath-bst noarch 11:svn63398-69.fc39 fedora 929 k texlive-bbding noarch 11:svn17186.1.01-69.fc39 fedora 27 k texlive-bbm noarch 11:svn15878.0-69.fc39 fedora 72 k texlive-bbm-macros noarch 11:svn17224.0-69.fc39 fedora 16 k texlive-bbold noarch 11:svn17187.1.01-69.fc39 fedora 37 k texlive-bbold-type1 noarch 11:svn33143.0-69.fc39 fedora 80 k texlive-bboldx noarch 11:svn65424-69.fc39 fedora 258 k texlive-bchart noarch 11:svn43928-69.fc39 fedora 12 k texlive-beamer-fuberlin noarch 11:svn63161-69.fc39 fedora 18 k texlive-beamer-rl noarch 11:svn65725-69.fc39 fedora 253 k texlive-beamer-verona noarch 11:svn39180-69.fc39 fedora 21 k texlive-beamer2thesis noarch 11:svn27539.2.2-69.fc39 fedora 302 k texlive-beamerappendixnote noarch 11:svn55732-69.fc39 fedora 88 k texlive-beameraudience noarch 11:svn23427.0.1-69.fc39 fedora 15 k texlive-beamerauxtheme noarch 11:svn56087-69.fc39 fedora 198 k texlive-beamercolorthemeowl noarch 11:svn40105-69.fc39 fedora 19 k texlive-beamerdarkthemes noarch 11:svn55117-69.fc39 fedora 19 k texlive-beamerposter noarch 11:svn54512-69.fc39 fedora 23 k texlive-beamersubframe noarch 11:svn23510.0.2-69.fc39 fedora 18 k texlive-beamerswitch noarch 11:svn64182-69.fc39 fedora 279 k texlive-beamertheme-arguelles noarch 11:svn66358-69.fc39 fedora 655 k texlive-beamertheme-cuerna noarch 11:svn42161-69.fc39 fedora 344 k texlive-beamertheme-detlevcm noarch 11:svn39048-69.fc39 fedora 19 k texlive-beamertheme-epyt noarch 11:svn41404-69.fc39 fedora 21 k texlive-beamertheme-focus noarch 11:svn62551-69.fc39 fedora 264 k texlive-beamertheme-light noarch 11:svn49867-69.fc39 fedora 120 k texlive-beamertheme-metropolis noarch 11:svn43031-69.fc39 fedora 19 k texlive-beamertheme-npbt noarch 11:svn54512-69.fc39 fedora 1.8 M texlive-beamertheme-phnompenh noarch 11:svn39100-69.fc39 fedora 11 k texlive-beamertheme-pure-minimalistic noarch 11:svn56934-69.fc39 fedora 376 k texlive-beamertheme-saintpetersburg noarch 11:svn45877-69.fc39 fedora 265 k texlive-beamertheme-simpledarkblue noarch 11:svn60061-69.fc39 fedora 52 k texlive-beamertheme-simpleplus noarch 11:svn64770-69.fc39 fedora 49 k texlive-beamertheme-tcolorbox noarch 11:svn64387-69.fc39 fedora 184 k texlive-beamertheme-trigon noarch 11:svn65985-69.fc39 fedora 589 k texlive-beamertheme-upenn-bc noarch 11:svn29937.1.0-69.fc39 fedora 16 k texlive-beamerthemeamurmaple noarch 11:svn65698-69.fc39 fedora 749 k texlive-beamerthemejltree noarch 11:svn21977.1.1-69.fc39 fedora 17 k texlive-beamerthemelalic noarch 11:svn58777-69.fc39 fedora 232 k texlive-beamerthemenirma noarch 11:svn20765.0.1-69.fc39 fedora 17 k texlive-beamerthemenord noarch 11:svn56180-69.fc39 fedora 2.1 M texlive-bearwear noarch 11:svn54826-69.fc39 fedora 788 k texlive-beaulivre noarch 11:svn65475-69.fc39 fedora 23 k texlive-beebe noarch 11:svn66286-69.fc39 fedora 911 k texlive-begriff noarch 11:svn15878.1.6-69.fc39 fedora 18 k texlive-beilstein noarch 11:svn56193-69.fc39 fedora 679 k texlive-belleek noarch 11:svn66115-69.fc39 fedora 96 k texlive-bera noarch 11:svn20031.0-69.fc39 fedora 356 k texlive-berenisadf noarch 11:svn32215.1.004-69.fc39 fedora 1.5 M texlive-besjournals noarch 11:svn45662-69.fc39 fedora 19 k texlive-bestpapers noarch 11:svn38708-69.fc39 fedora 19 k texlive-beton noarch 11:svn15878.0-69.fc39 fedora 16 k texlive-beuron noarch 11:svn46374-69.fc39 fedora 290 k texlive-bewerbung noarch 11:svn61632-69.fc39 fedora 28 k texlive-bez123 noarch 11:svn15878.1.1b-69.fc39 fedora 20 k texlive-bfh-ci noarch 11:svn66461-69.fc39 fedora 287 k texlive-bgteubner noarch 11:svn54080-69.fc39 fedora 46 k texlive-bguq noarch 11:svn27401.0.4-69.fc39 fedora 44 k texlive-bhcexam noarch 11:svn64093-69.fc39 fedora 18 k texlive-bib2gls noarch 11:svn65104-77.fc39 fedora 7.0 M texlive-bibarts noarch 11:svn64579-69.fc39 fedora 45 k texlive-bibcop noarch 11:svn65816-77.fc39 fedora 354 k texlive-bibexport noarch 11:svn50677-77.fc39 fedora 268 k texlive-bibhtml noarch 11:svn31607.2.0.2-69.fc39 fedora 28 k texlive-biblatex noarch 11:svn66403-69.fc39 fedora 305 k texlive-biblatex-abnt noarch 11:svn49179-69.fc39 fedora 36 k texlive-biblatex-ajc2020unofficial noarch 11:svn54401-69.fc39 fedora 20 k texlive-biblatex-anonymous noarch 11:svn48548-69.fc39 fedora 18 k texlive-biblatex-apa noarch 11:svn66605-69.fc39 fedora 59 k texlive-biblatex-apa6 noarch 11:svn56209-69.fc39 fedora 268 k texlive-biblatex-archaeology noarch 11:svn53281-69.fc39 fedora 4.8 M texlive-biblatex-arthistory-bonn noarch 11:svn46637-69.fc39 fedora 204 k texlive-biblatex-bath noarch 11:svn63401-69.fc39 fedora 468 k texlive-biblatex-bookinarticle noarch 11:svn40323-69.fc39 fedora 19 k texlive-biblatex-bookinother noarch 11:svn54015-69.fc39 fedora 20 k texlive-biblatex-bwl noarch 11:svn26556.0.02-69.fc39 fedora 18 k texlive-biblatex-caspervector noarch 11:svn64866-69.fc39 fedora 22 k texlive-biblatex-chem noarch 11:svn57904-69.fc39 fedora 23 k texlive-biblatex-chicago noarch 11:svn65037-69.fc39 fedora 134 k texlive-biblatex-claves noarch 11:svn43723-69.fc39 fedora 19 k texlive-biblatex-cv noarch 11:svn59433-69.fc39 fedora 189 k texlive-biblatex-dw noarch 11:svn66579-69.fc39 fedora 32 k texlive-biblatex-enc noarch 11:svn44627-69.fc39 fedora 27 k texlive-biblatex-ext noarch 11:svn63638-69.fc39 fedora 924 k texlive-biblatex-fiwi noarch 11:svn45876-69.fc39 fedora 34 k texlive-biblatex-gb7714-2015 noarch 11:svn64967-69.fc39 fedora 1.4 M texlive-biblatex-german-legal noarch 11:svn66461-69.fc39 fedora 192 k texlive-biblatex-gost noarch 11:svn59135-69.fc39 fedora 53 k texlive-biblatex-historian noarch 11:svn19787.0.4-69.fc39 fedora 38 k texlive-biblatex-ieee noarch 11:svn61243-69.fc39 fedora 23 k texlive-biblatex-ijsra noarch 11:svn41634-69.fc39 fedora 18 k texlive-biblatex-iso690 noarch 11:svn62866-69.fc39 fedora 43 k texlive-biblatex-jura2 noarch 11:svn64762-69.fc39 fedora 391 k texlive-biblatex-juradiss noarch 11:svn56502-69.fc39 fedora 21 k texlive-biblatex-license noarch 11:svn58437-69.fc39 fedora 243 k texlive-biblatex-lncs noarch 11:svn65280-69.fc39 fedora 23 k texlive-biblatex-lni noarch 11:svn61719-69.fc39 fedora 20 k texlive-biblatex-luh-ipw noarch 11:svn32180.0.3-69.fc39 fedora 24 k texlive-biblatex-manuscripts-philology noarch 11:svn53344-69.fc39 fedora 23 k texlive-biblatex-mla noarch 11:svn62138-69.fc39 fedora 41 k texlive-biblatex-morenames noarch 11:svn43049-69.fc39 fedora 19 k texlive-biblatex-ms noarch 11:svn66480-69.fc39 fedora 7.4 M texlive-biblatex-multiple-dm noarch 11:svn37081.1.0.1-69.fc39 fedora 18 k texlive-biblatex-musuos noarch 11:svn24097.1.0-69.fc39 fedora 18 k texlive-biblatex-nature noarch 11:svn57262-69.fc39 fedora 17 k texlive-biblatex-nejm noarch 11:svn49839-69.fc39 fedora 19 k texlive-biblatex-nottsclassic noarch 11:svn41596-69.fc39 fedora 20 k texlive-biblatex-opcit-booktitle noarch 11:svn48983-69.fc39 fedora 20 k texlive-biblatex-oxref noarch 11:svn65707-69.fc39 fedora 2.0 M texlive-biblatex-philosophy noarch 11:svn64414-69.fc39 fedora 31 k texlive-biblatex-phys noarch 11:svn55643-69.fc39 fedora 21 k texlive-biblatex-publist noarch 11:svn66613-69.fc39 fedora 29 k texlive-biblatex-readbbl noarch 11:svn61549-69.fc39 fedora 45 k texlive-biblatex-realauthor noarch 11:svn45865-69.fc39 fedora 19 k texlive-biblatex-sbl noarch 11:svn63639-69.fc39 fedora 688 k texlive-biblatex-science noarch 11:svn48945-69.fc39 fedora 17 k texlive-biblatex-shortfields noarch 11:svn45858-69.fc39 fedora 100 k texlive-biblatex-socialscienceshuberlin noarch 11:svn47839-69.fc39 fedora 129 k texlive-biblatex-software noarch 11:svn64030-69.fc39 fedora 330 k texlive-biblatex-source-division noarch 11:svn45379-69.fc39 fedora 19 k texlive-biblatex-spbasic noarch 11:svn61439-69.fc39 fedora 98 k texlive-biblatex-subseries noarch 11:svn43330-69.fc39 fedora 18 k texlive-biblatex-swiss-legal noarch 11:svn64491-69.fc39 fedora 37 k texlive-biblatex-trad noarch 11:svn58169-69.fc39 fedora 19 k texlive-biblatex-true-citepages-omit noarch 11:svn44653-69.fc39 fedora 18 k texlive-biblatex-unified noarch 11:svn64975-69.fc39 fedora 629 k texlive-biblatex-vancouver noarch 11:svn55339-69.fc39 fedora 25 k texlive-biblatex2bibitem noarch 11:svn61648-69.fc39 fedora 233 k texlive-bibletext noarch 11:svn45196-69.fc39 fedora 12 k texlive-biblist noarch 11:svn17116.0-69.fc39 fedora 23 k texlive-bibtex x86_64 11:svn66186-77.fc39 fedora 451 k texlive-bibtexperllibs noarch 11:svn57137-69.fc39 fedora 11 k texlive-bibtopic noarch 11:svn15878.1.1a-69.fc39 fedora 24 k texlive-bibtopicprefix noarch 11:svn15878.1.10-69.fc39 fedora 16 k texlive-bibunits noarch 11:svn15878.2.2-69.fc39 fedora 17 k texlive-bidi noarch 11:svn65572-69.fc39 fedora 203 k texlive-bigfoot noarch 11:svn38248.2.1-69.fc39 fedora 29 k texlive-bigintcalc noarch 11:svn53172-69.fc39 fedora 466 k texlive-bigints noarch 11:svn29803.0-69.fc39 fedora 15 k texlive-bilingualpages noarch 11:svn59643-69.fc39 fedora 18 k texlive-binarytree noarch 11:svn41777-69.fc39 fedora 457 k texlive-binomexp noarch 11:svn15878.1.0-69.fc39 fedora 16 k texlive-biochemistry-colors noarch 11:svn54512-69.fc39 fedora 93 k texlive-biocon noarch 11:svn15878.0-69.fc39 fedora 19 k texlive-biolett-bst noarch 11:svn66115-69.fc39 fedora 20 k texlive-bithesis noarch 11:svn66622-69.fc39 fedora 1.1 M texlive-bitpattern noarch 11:svn39073-69.fc39 fedora 19 k texlive-bitset noarch 11:svn53837-69.fc39 fedora 620 k texlive-bitter noarch 11:svn64541-69.fc39 fedora 272 k texlive-bizcard noarch 11:svn15878.1.1-69.fc39 fedora 17 k texlive-bjfuthesis noarch 11:svn59809-69.fc39 fedora 9.5 M texlive-blacklettert1 noarch 11:svn15878.0-69.fc39 fedora 26 k texlive-blindtext noarch 11:svn25039.2.0-69.fc39 fedora 25 k texlive-blkarray noarch 11:svn36406.0.07-69.fc39 fedora 33 k texlive-blochsphere noarch 11:svn38388-69.fc39 fedora 21 k texlive-block noarch 11:svn17209.0-69.fc39 fedora 12 k texlive-bloques noarch 11:svn22490.1.0-69.fc39 fedora 18 k texlive-blowup noarch 11:svn64466-69.fc39 fedora 306 k texlive-blox noarch 11:svn57949-69.fc39 fedora 16 k texlive-bmstu noarch 11:svn65897-69.fc39 fedora 1.3 M texlive-bmstu-iu8 noarch 11:svn61937-69.fc39 fedora 203 k texlive-bnumexpr noarch 11:svn59244-69.fc39 fedora 21 k texlive-bodegraph noarch 11:svn61719-69.fc39 fedora 32 k texlive-bodeplot noarch 11:svn65074-69.fc39 fedora 740 k texlive-bohr noarch 11:svn62977-69.fc39 fedora 20 k texlive-boisik noarch 11:svn15878.0.5-69.fc39 fedora 273 k texlive-boites noarch 11:svn32235.1.1-69.fc39 fedora 20 k texlive-bold-extra noarch 11:svn17076.0.1-69.fc39 fedora 15 k texlive-boldtensors noarch 11:svn15878.0-69.fc39 fedora 18 k texlive-bondgraph noarch 11:svn21670.1.0-69.fc39 fedora 18 k texlive-bondgraphs noarch 11:svn36605.1.0.1-69.fc39 fedora 19 k texlive-book-of-common-prayer noarch 11:svn62240-69.fc39 fedora 145 k texlive-bookcover noarch 11:svn65394-69.fc39 fedora 21 k texlive-bookdb noarch 11:svn37536.0.2-69.fc39 fedora 24 k texlive-bookest noarch 11:svn15878.1.1-69.fc39 fedora 18 k texlive-bookhands noarch 11:svn46480-69.fc39 fedora 234 k texlive-booklet noarch 11:svn15878.0.7b-69.fc39 fedora 20 k texlive-bookman noarch 11:svn61719-69.fc39 fedora 368 k texlive-bookmark noarch 11:svn56885-69.fc39 fedora 480 k texlive-bookshelf noarch 11:svn55475-69.fc39 fedora 986 k texlive-booktabs noarch 11:svn53402-69.fc39 fedora 18 k texlive-boolexpr noarch 11:svn17830.3.14-69.fc39 fedora 17 k texlive-boondox noarch 11:svn54512-69.fc39 fedora 232 k texlive-bophook noarch 11:svn17062.0.02-69.fc39 fedora 16 k texlive-bosisio noarch 11:svn16989.0-69.fc39 fedora 22 k texlive-boxedminipage noarch 11:svn54827-69.fc39 fedora 345 k texlive-boxhandler noarch 11:svn28031.1.30-69.fc39 fedora 20 k texlive-bpchem noarch 11:svn45120-69.fc39 fedora 17 k texlive-br-lex noarch 11:svn44939-69.fc39 fedora 19 k texlive-bracketkey noarch 11:svn17129.1.0-69.fc39 fedora 18 k texlive-braids noarch 11:svn64817-69.fc39 fedora 25 k texlive-braille noarch 11:svn20655.0-69.fc39 fedora 17 k texlive-braket noarch 11:svn17127.0-69.fc39 fedora 13 k texlive-brandeis-dissertation noarch 11:svn61215-69.fc39 fedora 17 k texlive-brandeis-problemset noarch 11:svn50991-69.fc39 fedora 163 k texlive-brandeis-thesis noarch 11:svn59832-69.fc39 fedora 164 k texlive-breakcites noarch 11:svn21014-69.fc39 fedora 12 k texlive-breakurl noarch 11:svn29901.1.40-69.fc39 fedora 17 k texlive-breqn noarch 11:svn60881-69.fc39 fedora 43 k texlive-bropd noarch 11:svn35383.1.2-69.fc39 fedora 18 k texlive-brushscr noarch 11:svn28363.0-69.fc39 fedora 62 k texlive-bubblesort noarch 11:svn56070-69.fc39 fedora 234 k texlive-buctthesis noarch 11:svn64004-69.fc39 fedora 474 k texlive-bullcntr noarch 11:svn15878.0.04-69.fc39 fedora 19 k texlive-bussproofs noarch 11:svn54080-69.fc39 fedora 25 k texlive-bussproofs-extra noarch 11:svn51299-69.fc39 fedora 238 k texlive-bxcalc noarch 11:svn56431-69.fc39 fedora 299 k texlive-bxdpx-beamer noarch 11:svn41813-69.fc39 fedora 13 k texlive-bxdvidriver noarch 11:svn43219-69.fc39 fedora 14 k texlive-bxeepic noarch 11:svn30559.0.2-69.fc39 fedora 18 k texlive-bxenclose noarch 11:svn40213-69.fc39 fedora 13 k texlive-bxnewfont noarch 11:svn44173-69.fc39 fedora 16 k texlive-bxpapersize noarch 11:svn63174-69.fc39 fedora 16 k texlive-bxpdfver noarch 11:svn63185-69.fc39 fedora 19 k texlive-bxtexlogo noarch 11:svn63231-69.fc39 fedora 531 k texlive-byo-twemojis noarch 11:svn58917-69.fc39 fedora 393 k texlive-byrne noarch 11:svn61943-69.fc39 fedora 206 k texlive-bytefield noarch 11:svn60265-69.fc39 fedora 19 k texlive-cabin noarch 11:svn65358-69.fc39 fedora 3.0 M texlive-cachepic noarch 11:svn26313-77.fc39 fedora 173 k texlive-caladea noarch 11:svn64549-69.fc39 fedora 385 k texlive-calcage noarch 11:svn27725.0.90-69.fc39 fedora 18 k texlive-calctab noarch 11:svn15878.v0.6.1-69.fc39 fedora 19 k texlive-calculation noarch 11:svn35973.1.0-69.fc39 fedora 20 k texlive-calculator noarch 11:svn64424-69.fc39 fedora 26 k texlive-calligra noarch 11:svn15878.0-69.fc39 fedora 57 k texlive-calligra-type1 noarch 11:svn24302.001.000-69.fc39 fedora 69 k texlive-callouts noarch 11:svn44899-69.fc39 fedora 213 k texlive-calrsfs noarch 11:svn17125.0-69.fc39 fedora 12 k texlive-cals noarch 11:svn43003-69.fc39 fedora 22 k texlive-calxxxx-yyyy noarch 11:svn65426-69.fc39 fedora 23 k texlive-cancel noarch 11:svn32508.2.2-69.fc39 fedora 14 k texlive-canoniclayout noarch 11:svn64889-69.fc39 fedora 19 k texlive-cantarell noarch 11:svn54512-69.fc39 fedora 1.4 M texlive-capt-of noarch 11:svn29803.0-69.fc39 fedora 15 k texlive-captcont noarch 11:svn15878.2.0-69.fc39 fedora 16 k texlive-captdef noarch 11:svn17353.0-69.fc39 fedora 15 k texlive-caption noarch 11:svn66580-69.fc39 fedora 87 k texlive-carbohydrates noarch 11:svn39000-69.fc39 fedora 22 k texlive-carlisle noarch 11:svn59577-69.fc39 fedora 23 k texlive-carlito noarch 11:svn64624-69.fc39 fedora 2.6 M texlive-carolmin-ps noarch 11:svn15878.0-69.fc39 fedora 119 k texlive-cartonaugh noarch 11:svn59938-69.fc39 fedora 187 k texlive-cascade noarch 11:svn65757-69.fc39 fedora 175 k texlive-cascadia-code noarch 11:svn57478-69.fc39 fedora 1.9 M texlive-cascadilla noarch 11:svn25144.1.8.2-69.fc39 fedora 24 k texlive-cases noarch 11:svn54682-69.fc39 fedora 15 k texlive-casyl noarch 11:svn15878.2.0-69.fc39 fedora 18 k texlive-catchfile noarch 11:svn53084-69.fc39 fedora 307 k texlive-catchfilebetweentags noarch 11:svn21476.1.1-69.fc39 fedora 19 k texlive-catcodes noarch 11:svn38859-69.fc39 fedora 23 k texlive-catechis noarch 11:svn59998-69.fc39 fedora 16 k texlive-catoptions noarch 11:svn35069.0.2.7h-69.fc39 fedora 55 k texlive-causets noarch 11:svn66359-69.fc39 fedora 625 k texlive-cbcoptic noarch 11:svn16666.0.2-69.fc39 fedora 118 k texlive-cbfonts noarch 11:svn54080-69.fc39 fedora 63 M texlive-cbfonts-fd noarch 11:svn54080-69.fc39 fedora 21 k texlive-ccaption noarch 11:svn23443.3.2c-69.fc39 fedora 22 k texlive-ccfonts noarch 11:svn61431-69.fc39 fedora 16 k texlive-ccicons noarch 11:svn54512-69.fc39 fedora 35 k texlive-cclicenses noarch 11:svn15878.0-69.fc39 fedora 16 k texlive-ccool noarch 11:svn60600-69.fc39 fedora 798 k texlive-cd noarch 11:svn34452.1.4-69.fc39 fedora 20 k texlive-cd-cover noarch 11:svn17121.1.0-69.fc39 fedora 19 k texlive-cdcmd noarch 11:svn60742-69.fc39 fedora 610 k texlive-cdpbundl noarch 11:svn61719-69.fc39 fedora 34 k texlive-cell noarch 11:svn42428-69.fc39 fedora 23 k texlive-cellprops noarch 11:svn57599-69.fc39 fedora 571 k texlive-cellspace noarch 11:svn61501-69.fc39 fedora 17 k texlive-celtic noarch 11:svn39797-69.fc39 fedora 20 k texlive-censor noarch 11:svn63126-69.fc39 fedora 20 k texlive-centeredline noarch 11:svn64672-69.fc39 fedora 19 k texlive-centerlastline noarch 11:svn56644-69.fc39 fedora 237 k texlive-cesenaexam noarch 11:svn44960-69.fc39 fedora 519 k texlive-cfr-initials noarch 11:svn61719-69.fc39 fedora 28 k texlive-cfr-lm noarch 11:svn36195.1.5-69.fc39 fedora 452 k texlive-changebar noarch 11:svn63259-69.fc39 fedora 21 k texlive-changelayout noarch 11:svn16094.1.0-69.fc39 fedora 18 k texlive-changelog noarch 11:svn65861-69.fc39 fedora 195 k texlive-changepage noarch 11:svn15878.1.0c-69.fc39 fedora 20 k texlive-changes noarch 11:svn59950-69.fc39 fedora 30 k texlive-chappg noarch 11:svn15878.2.1b-69.fc39 fedora 16 k texlive-chapterfolder noarch 11:svn15878.2.0.1-69.fc39 fedora 16 k texlive-charissil noarch 11:svn64998-69.fc39 fedora 3.6 M texlive-charter noarch 11:svn15878.0-69.fc39 fedora 206 k texlive-chbibref noarch 11:svn17120.1.0-69.fc39 fedora 15 k texlive-cheatsheet noarch 11:svn45069-69.fc39 fedora 407 k texlive-checkend noarch 11:svn51475-69.fc39 fedora 13 k texlive-chem-journal noarch 11:svn15878.0-69.fc39 fedora 21 k texlive-chemarrow noarch 11:svn17146.0.9-69.fc39 fedora 18 k texlive-chembst noarch 11:svn15878.0.2.5-69.fc39 fedora 21 k texlive-chemcompounds noarch 11:svn15878.0-69.fc39 fedora 16 k texlive-chemcono noarch 11:svn17119.1.3-69.fc39 fedora 22 k texlive-chemexec noarch 11:svn21632.1.0-69.fc39 fedora 24 k texlive-chemfig noarch 11:svn65912-69.fc39 fedora 44 k texlive-chemformula noarch 11:svn61719-69.fc39 fedora 32 k texlive-chemgreek noarch 11:svn53437-69.fc39 fedora 24 k texlive-chemmacros noarch 11:svn62655-69.fc39 fedora 60 k texlive-chemnum noarch 11:svn57490-69.fc39 fedora 28 k texlive-chemobabel noarch 11:svn64778-69.fc39 fedora 917 k texlive-chemplants noarch 11:svn60606-69.fc39 fedora 967 k texlive-chemschemex noarch 11:svn46723-69.fc39 fedora 22 k texlive-chemsec noarch 11:svn46972-69.fc39 fedora 265 k texlive-chemstyle noarch 11:svn31096.2.0m-69.fc39 fedora 25 k texlive-cherokee noarch 11:svn21046.0-69.fc39 fedora 16 k texlive-chessfss noarch 11:svn19440.1.2a-69.fc39 fedora 36 k texlive-chet noarch 11:svn45081-69.fc39 fedora 22 k texlive-chextras noarch 11:svn27118.1.01-69.fc39 fedora 23 k texlive-chicago noarch 11:svn15878.0-69.fc39 fedora 21 k texlive-chicago-annote noarch 11:svn15878.0-69.fc39 fedora 23 k texlive-chicagoa noarch 11:svn52567-69.fc39 fedora 19 k texlive-chifoot noarch 11:svn57312-69.fc39 fedora 18 k texlive-childdoc noarch 11:svn49543-69.fc39 fedora 272 k texlive-chivo noarch 11:svn65029-69.fc39 fedora 2.8 M texlive-chkfloat noarch 11:svn27473.0.1-69.fc39 fedora 18 k texlive-chletter noarch 11:svn20060.2.0-69.fc39 fedora 18 k texlive-chngcntr noarch 11:svn47577-69.fc39 fedora 16 k texlive-chronology noarch 11:svn37934.1.1.1-69.fc39 fedora 19 k texlive-chs-physics-report noarch 11:svn54512-69.fc39 fedora 201 k texlive-chscite noarch 11:svn28552.2.9999-69.fc39 fedora 22 k texlive-cinzel noarch 11:svn64550-69.fc39 fedora 585 k texlive-circ noarch 11:svn62977-69.fc39 fedora 46 k texlive-circledsteps noarch 11:svn63255-69.fc39 fedora 429 k texlive-circledtext noarch 11:svn63166-69.fc39 fedora 232 k texlive-circuit-macros noarch 11:svn66549-69.fc39 fedora 3.3 M texlive-circuitikz noarch 11:svn65785-69.fc39 fedora 197 k texlive-citation-style-language noarch 11:svn65878-77.fc39 fedora 408 k texlive-cite noarch 11:svn36428.5.5-69.fc39 fedora 31 k texlive-citeall noarch 11:svn45975-69.fc39 fedora 19 k texlive-citeref noarch 11:svn47407-69.fc39 fedora 78 k texlive-cje noarch 11:svn46721-69.fc39 fedora 659 k texlive-cjk noarch 11:svn60865-69.fc39 fedora 142 k texlive-cjkpunct noarch 11:svn41119-69.fc39 fedora 18 k texlive-clara noarch 11:svn54512-69.fc39 fedora 1.2 M texlive-classics noarch 11:svn53671-69.fc39 fedora 19 k texlive-classicthesis noarch 11:svn48041-69.fc39 fedora 26 k texlive-classpack noarch 11:svn55218-69.fc39 fedora 19 k texlive-cleanthesis noarch 11:svn51472-69.fc39 fedora 24 k texlive-clearsans noarch 11:svn64400-69.fc39 fedora 1.4 M texlive-clefval noarch 11:svn55985-69.fc39 fedora 16 k texlive-cleveref noarch 11:svn61719-69.fc39 fedora 43 k texlive-clicks noarch 11:svn64602-69.fc39 fedora 249 k texlive-clipboard noarch 11:svn47747-69.fc39 fedora 18 k texlive-clistmap noarch 11:svn61811-69.fc39 fedora 670 k texlive-clock noarch 11:svn15878.0-69.fc39 fedora 14 k texlive-clrdblpg noarch 11:svn47511-69.fc39 fedora 293 k texlive-clrscode noarch 11:svn51136-69.fc39 fedora 18 k texlive-clrscode3e noarch 11:svn51137-69.fc39 fedora 19 k texlive-clrstrip noarch 11:svn60363-69.fc39 fedora 208 k texlive-cm noarch 11:svn57963-69.fc39 fedora 322 k texlive-cm-lgc noarch 11:svn28250.0.5-69.fc39 fedora 4.9 M texlive-cm-mf-extra-bold noarch 11:svn54512-69.fc39 fedora 23 k texlive-cm-super noarch 11:svn15878.0-69.fc39 fedora 62 M texlive-cm-unicode noarch 11:svn58661-69.fc39 fedora 14 M texlive-cmap noarch 11:svn57640-69.fc39 fedora 21 k texlive-cmathbb noarch 11:svn56414-69.fc39 fedora 183 k texlive-cmbright noarch 11:svn21107.8.1-69.fc39 fedora 182 k texlive-cmdstring noarch 11:svn15878.1.1-69.fc39 fedora 15 k texlive-cmdtrack noarch 11:svn28910-69.fc39 fedora 17 k texlive-cmexb noarch 11:svn54074-69.fc39 fedora 43 k texlive-cmextra noarch 11:svn57866-69.fc39 fedora 49 k texlive-cmll noarch 11:svn17964.0-69.fc39 fedora 369 k texlive-cmpica noarch 11:svn15878.0-69.fc39 fedora 19 k texlive-cmpj noarch 11:svn58506-69.fc39 fedora 67 k texlive-cmsd noarch 11:svn18787.0-69.fc39 fedora 16 k texlive-cmsrb noarch 11:svn54706-69.fc39 fedora 3.0 M texlive-cmtiup noarch 11:svn39728-69.fc39 fedora 92 k texlive-cmupint noarch 11:svn54735-69.fc39 fedora 364 k texlive-cnltx noarch 11:svn55265-69.fc39 fedora 55 k texlive-cns noarch 11:svn45677-69.fc39 fedora 3.4 M texlive-cntformats noarch 11:svn34668.0.7-69.fc39 fedora 19 k texlive-cntperchap noarch 11:svn37572.0.3-69.fc39 fedora 21 k texlive-cochineal noarch 11:svn62063-69.fc39 fedora 3.2 M texlive-codeanatomy noarch 11:svn65648-69.fc39 fedora 306 k texlive-codebox noarch 11:svn61771-69.fc39 fedora 395 k texlive-codedoc noarch 11:svn17630.0.3-69.fc39 fedora 24 k texlive-codehigh noarch 11:svn65787-69.fc39 fedora 119 k texlive-codepage noarch 11:svn51502-69.fc39 fedora 23 k texlive-codesection noarch 11:svn34481.0.1-69.fc39 fedora 19 k texlive-coelacanth noarch 11:svn64558-69.fc39 fedora 7.0 M texlive-coffeestains noarch 11:svn59703-69.fc39 fedora 462 k texlive-collcell noarch 11:svn64967-69.fc39 fedora 19 k texlive-collectbox noarch 11:svn64967-69.fc39 fedora 20 k texlive-collection-basic noarch 11:svn59159-69.fc39 fedora 11 k texlive-collection-fontsrecommended noarch 11:svn54074-69.fc39 fedora 11 k texlive-collection-latex noarch 11:svn63515-69.fc39 fedora 12 k texlive-collection-latexrecommended noarch 11:svn65512-69.fc39 fedora 12 k texlive-collection-pictures noarch 11:svn66636-69.fc39 fedora 16 k texlive-collref noarch 11:svn46358-69.fc39 fedora 18 k texlive-colophon noarch 11:svn47913-69.fc39 fedora 379 k texlive-color-edits noarch 11:svn56707-69.fc39 fedora 243 k texlive-colordoc noarch 11:svn18270.0-69.fc39 fedora 16 k texlive-colorframed noarch 11:svn64551-69.fc39 fedora 49 k texlive-colorinfo noarch 11:svn15878.0.3c-69.fc39 fedora 16 k texlive-coloring noarch 11:svn41042-69.fc39 fedora 18 k texlive-colorist noarch 11:svn66434-69.fc39 fedora 30 k texlive-colorprofiles noarch 11:svn49086-69.fc39 fedora 146 k texlive-colorspace noarch 11:svn50585-69.fc39 fedora 23 k texlive-colortab noarch 11:svn22155.1.0-69.fc39 fedora 22 k texlive-colortbl noarch 11:svn64015-69.fc39 fedora 18 k texlive-colorwav noarch 11:svn15878.1.0-69.fc39 fedora 22 k texlive-colorweb noarch 11:svn31490.1.3-69.fc39 fedora 20 k texlive-colourchange noarch 11:svn21741.1.22-69.fc39 fedora 24 k texlive-combelow noarch 11:svn18462.0.99f-69.fc39 fedora 18 k texlive-combine noarch 11:svn19361.0.7a-69.fc39 fedora 28 k texlive-combinedgraphics noarch 11:svn27198.0.2.2-69.fc39 fedora 19 k texlive-comfortaa noarch 11:svn54512-69.fc39 fedora 637 k texlive-comicneue noarch 11:svn54891-69.fc39 fedora 958 k texlive-comma noarch 11:svn18259.1.2-69.fc39 fedora 16 k texlive-commado noarch 11:svn38875-69.fc39 fedora 20 k texlive-commath noarch 11:svn15878.0.3-69.fc39 fedora 16 k texlive-commedit noarch 11:svn50116-69.fc39 fedora 602 k texlive-comment noarch 11:svn41927-69.fc39 fedora 20 k texlive-commonunicode noarch 11:svn62901-69.fc39 fedora 336 k texlive-commutative-diagrams noarch 11:svn55526-69.fc39 fedora 567 k texlive-compactbib noarch 11:svn15878.0-69.fc39 fedora 16 k texlive-competences noarch 11:svn47573-69.fc39 fedora 20 k texlive-complexity noarch 11:svn45322-69.fc39 fedora 20 k texlive-computational-complexity noarch 11:svn44847-69.fc39 fedora 55 k texlive-concepts noarch 11:svn29020.0.0.5_r1-69.fc39 fedora 21 k texlive-concmath noarch 11:svn17219.0-69.fc39 fedora 17 k texlive-concmath-fonts noarch 11:svn17218.0-69.fc39 fedora 49 k texlive-concmath-otf noarch 11:svn65683-69.fc39 fedora 1.9 M texlive-concprog noarch 11:svn18791.0-69.fc39 fedora 20 k texlive-concrete noarch 11:svn57963-69.fc39 fedora 31 k texlive-conditext noarch 11:svn55387-69.fc39 fedora 538 k texlive-confproc noarch 11:svn29349.0.8-69.fc39 fedora 32 k texlive-constants noarch 11:svn15878.1.0-69.fc39 fedora 16 k texlive-conteq noarch 11:svn37868.0.1.1-69.fc39 fedora 19 k texlive-continue noarch 11:svn49449-69.fc39 fedora 20 k texlive-contour noarch 11:svn18950.2.14-69.fc39 fedora 17 k texlive-contracard noarch 11:svn50217-69.fc39 fedora 22 k texlive-conv-xkv noarch 11:svn43558-69.fc39 fedora 95 k texlive-convbkmk noarch 11:svn49252-77.fc39 fedora 18 k texlive-cooking noarch 11:svn15878.0.9b-69.fc39 fedora 17 k texlive-cooking-units noarch 11:svn65241-69.fc39 fedora 741 k texlive-cookingsymbols noarch 11:svn35929.1.1-69.fc39 fedora 18 k texlive-cool noarch 11:svn15878.1.35-69.fc39 fedora 30 k texlive-coolfn noarch 11:svn66221-69.fc39 fedora 70 k texlive-coollist noarch 11:svn63523-69.fc39 fedora 22 k texlive-coolstr noarch 11:svn15878.2.2-69.fc39 fedora 22 k texlive-coolthms noarch 11:svn29062.1.2-69.fc39 fedora 17 k texlive-cooltooltips noarch 11:svn60201-69.fc39 fedora 17 k texlive-coop-writing noarch 11:svn61607-69.fc39 fedora 305 k texlive-cooperhewitt noarch 11:svn64967-69.fc39 fedora 1.1 M texlive-coordsys noarch 11:svn15878.1.4-69.fc39 fedora 19 k texlive-copyedit noarch 11:svn37928.1.6-69.fc39 fedora 20 k texlive-copyrightbox noarch 11:svn24829.0.1-69.fc39 fedora 16 k texlive-cormorantgaramond noarch 11:svn64411-69.fc39 fedora 6.0 M texlive-correctmathalign noarch 11:svn44131-69.fc39 fedora 546 k texlive-coseoul noarch 11:svn23862.1.1-69.fc39 fedora 18 k texlive-countriesofeurope noarch 11:svn54512-69.fc39 fedora 236 k texlive-counttexruns noarch 11:svn27576.1.00a-69.fc39 fedora 18 k texlive-courier noarch 11:svn61719-69.fc39 fedora 525 k texlive-courier-scaled noarch 11:svn24940.0-69.fc39 fedora 19 k texlive-courierten noarch 11:svn55436-69.fc39 fedora 279 k texlive-courseoutline noarch 11:svn15878.1.0-69.fc39 fedora 14 k texlive-coursepaper noarch 11:svn15878.2.0-69.fc39 fedora 15 k texlive-coverpage noarch 11:svn63509-69.fc39 fedora 19 k texlive-cprotect noarch 11:svn21209.1.0e-69.fc39 fedora 20 k texlive-cprotectinside noarch 11:svn63833-69.fc39 fedora 308 k texlive-cquthesis noarch 11:svn55643-69.fc39 fedora 34 k texlive-crbox noarch 11:svn29803.0.1-69.fc39 fedora 15 k texlive-create-theorem noarch 11:svn64104-69.fc39 fedora 115 k texlive-crefthe noarch 11:svn64498-69.fc39 fedora 75 k texlive-crimson noarch 11:svn64559-69.fc39 fedora 1.4 M texlive-crimsonpro noarch 11:svn64565-69.fc39 fedora 3.0 M texlive-crop noarch 11:svn55424-69.fc39 fedora 19 k texlive-crossreference noarch 11:svn15878.0-69.fc39 fedora 16 k texlive-crossreftools noarch 11:svn55879-69.fc39 fedora 357 k texlive-crossrefware noarch 11:svn64754-77.fc39 fedora 286 k texlive-crumbs noarch 11:svn64602-69.fc39 fedora 262 k texlive-cryptocode noarch 11:svn60249-69.fc39 fedora 39 k texlive-cryst noarch 11:svn15878.0-69.fc39 fedora 50 k texlive-csassignments noarch 11:svn63992-69.fc39 fedora 120 k texlive-csquotes noarch 11:svn64389-69.fc39 fedora 36 k texlive-css-colors noarch 11:svn54512-69.fc39 fedora 2.0 M texlive-csvmerge noarch 11:svn51857-69.fc39 fedora 231 k texlive-csvsimple noarch 11:svn64450-69.fc39 fedora 28 k texlive-ctable noarch 11:svn38672-69.fc39 fedora 18 k texlive-ctablestack noarch 11:svn38514-69.fc39 fedora 18 k texlive-ctex noarch 11:svn66115-69.fc39 fedora 204 k texlive-ctib noarch 11:svn15878.0-69.fc39 fedora 146 k texlive-cuisine noarch 11:svn34453.0.7-69.fc39 fedora 17 k texlive-cuprum noarch 11:svn49909-69.fc39 fedora 150 k texlive-currency noarch 11:svn48990-69.fc39 fedora 707 k texlive-currfile noarch 11:svn64673-69.fc39 fedora 21 k texlive-currvita noarch 11:svn15878.0-69.fc39 fedora 18 k texlive-curve noarch 11:svn20745.1.16-69.fc39 fedora 21 k texlive-curve2e noarch 11:svn65469-69.fc39 fedora 27 k texlive-curves noarch 11:svn45255-69.fc39 fedora 19 k texlive-custom-bib noarch 11:svn24729.4.33-69.fc39 fedora 105 k texlive-cutwin noarch 11:svn60901-69.fc39 fedora 19 k texlive-cv noarch 11:svn15878.0-69.fc39 fedora 16 k texlive-cv4tw noarch 11:svn34577.0.2-69.fc39 fedora 18 k texlive-cvss noarch 11:svn65169-69.fc39 fedora 572 k texlive-cweb-latex noarch 11:svn28878.0-69.fc39 fedora 52 k texlive-cyber noarch 11:svn46776-69.fc39 fedora 15 k texlive-cybercic noarch 11:svn37659.2.1-69.fc39 fedora 14 k texlive-cyklop noarch 11:svn18651.0.915-69.fc39 fedora 359 k texlive-dancers noarch 11:svn13293.0-69.fc39 fedora 15 k texlive-dantelogo noarch 11:svn38599-69.fc39 fedora 43 k texlive-darkmode noarch 11:svn64271-69.fc39 fedora 749 k texlive-dashbox noarch 11:svn23425.1.14-69.fc39 fedora 16 k texlive-dashrule noarch 11:svn29579.1.3-69.fc39 fedora 16 k texlive-dashundergaps noarch 11:svn58150-69.fc39 fedora 17 k texlive-dataref noarch 11:svn62942-69.fc39 fedora 24 k texlive-datatool noarch 11:svn52663-69.fc39 fedora 74 k texlive-datax noarch 11:svn61772-69.fc39 fedora 210 k texlive-dateiliste noarch 11:svn27974.0.6-69.fc39 fedora 18 k texlive-datenumber noarch 11:svn61761-69.fc39 fedora 18 k texlive-datestamp noarch 11:svn61719-69.fc39 fedora 141 k texlive-datetime noarch 11:svn36650.2.60-69.fc39 fedora 47 k texlive-datetime2 noarch 11:svn63102-69.fc39 fedora 26 k texlive-datetime2-bahasai noarch 11:svn46287-69.fc39 fedora 19 k texlive-datetime2-basque noarch 11:svn47064-69.fc39 fedora 19 k texlive-datetime2-breton noarch 11:svn52647-69.fc39 fedora 20 k texlive-datetime2-bulgarian noarch 11:svn47031-69.fc39 fedora 20 k texlive-datetime2-catalan noarch 11:svn47032-69.fc39 fedora 20 k texlive-datetime2-croatian noarch 11:svn36682.1.0-69.fc39 fedora 20 k texlive-datetime2-czech noarch 11:svn47033-69.fc39 fedora 20 k texlive-datetime2-danish noarch 11:svn47034-69.fc39 fedora 20 k texlive-datetime2-dutch noarch 11:svn47355-69.fc39 fedora 19 k texlive-datetime2-en-fulltext noarch 11:svn36705.1.0-69.fc39 fedora 20 k texlive-datetime2-english noarch 11:svn52479-69.fc39 fedora 25 k texlive-datetime2-esperanto noarch 11:svn47356-69.fc39 fedora 20 k texlive-datetime2-estonian noarch 11:svn47565-69.fc39 fedora 20 k texlive-datetime2-finnish noarch 11:svn47047-69.fc39 fedora 20 k texlive-datetime2-french noarch 11:svn56393-69.fc39 fedora 20 k texlive-datetime2-galician noarch 11:svn47631-69.fc39 fedora 20 k texlive-datetime2-german noarch 11:svn53125-69.fc39 fedora 21 k texlive-datetime2-greek noarch 11:svn47533-69.fc39 fedora 20 k texlive-datetime2-hebrew noarch 11:svn47534-69.fc39 fedora 19 k texlive-datetime2-icelandic noarch 11:svn65213-69.fc39 fedora 20 k texlive-datetime2-irish noarch 11:svn47632-69.fc39 fedora 20 k texlive-datetime2-it-fulltext noarch 11:svn54779-69.fc39 fedora 21 k texlive-datetime2-italian noarch 11:svn37146.1.3-69.fc39 fedora 20 k texlive-datetime2-latin noarch 11:svn47748-69.fc39 fedora 19 k texlive-datetime2-lsorbian noarch 11:svn47749-69.fc39 fedora 20 k texlive-datetime2-magyar noarch 11:svn48266-69.fc39 fedora 20 k texlive-datetime2-norsk noarch 11:svn48267-69.fc39 fedora 20 k texlive-datetime2-polish noarch 11:svn48456-69.fc39 fedora 20 k texlive-datetime2-portuges noarch 11:svn48457-69.fc39 fedora 20 k texlive-datetime2-romanian noarch 11:svn56394-69.fc39 fedora 20 k texlive-datetime2-russian noarch 11:svn49345-69.fc39 fedora 20 k texlive-datetime2-samin noarch 11:svn49346-69.fc39 fedora 20 k texlive-datetime2-scottish noarch 11:svn52101-69.fc39 fedora 20 k texlive-datetime2-serbian noarch 11:svn52893-69.fc39 fedora 25 k texlive-datetime2-slovak noarch 11:svn52281-69.fc39 fedora 20 k texlive-datetime2-slovene noarch 11:svn52282-69.fc39 fedora 20 k texlive-datetime2-spanish noarch 11:svn45785-69.fc39 fedora 20 k texlive-datetime2-swedish noarch 11:svn36700.1.0-69.fc39 fedora 20 k texlive-datetime2-turkish noarch 11:svn52331-69.fc39 fedora 20 k texlive-datetime2-ukrainian noarch 11:svn47552-69.fc39 fedora 21 k texlive-datetime2-usorbian noarch 11:svn52375-69.fc39 fedora 20 k texlive-datetime2-welsh noarch 11:svn52553-69.fc39 fedora 20 k texlive-dblfloatfix noarch 11:svn28983.1.0a-69.fc39 fedora 19 k texlive-dbshow noarch 11:svn61634-69.fc39 fedora 596 k texlive-dccpaper noarch 11:svn61763-69.fc39 fedora 43 k texlive-dcpic noarch 11:svn30206.5.0.0-69.fc39 fedora 31 k texlive-debate noarch 11:svn64846-69.fc39 fedora 291 k texlive-decimal noarch 11:svn23374.0-69.fc39 fedora 15 k texlive-decision-table noarch 11:svn60673-69.fc39 fedora 123 k texlive-decorule noarch 11:svn55230-69.fc39 fedora 18 k texlive-dehyph noarch 11:svn48599-69.fc39 fedora 66 k texlive-dejavu noarch 11:svn31771.2.34-69.fc39 fedora 11 M texlive-dejavu-otf noarch 11:svn45991-69.fc39 fedora 343 k texlive-delim noarch 11:svn23974.1.0-69.fc39 fedora 16 k texlive-delimseasy noarch 11:svn39589-69.fc39 fedora 22 k texlive-delimset noarch 11:svn49544-69.fc39 fedora 328 k texlive-delimtxt noarch 11:svn16549.0-69.fc39 fedora 16 k texlive-democodetools noarch 11:svn64314-69.fc39 fedora 368 k texlive-denisbdoc noarch 11:svn66137-69.fc39 fedora 33 k texlive-derivative noarch 11:svn63850-69.fc39 fedora 218 k texlive-dhua noarch 11:svn24035.0.11-69.fc39 fedora 21 k texlive-diabetes-logbook noarch 11:svn54810-69.fc39 fedora 295 k texlive-diagbox noarch 11:svn54080-69.fc39 fedora 20 k texlive-diagmac2 noarch 11:svn15878.2.1-69.fc39 fedora 25 k texlive-diagnose noarch 11:svn19387.0.2-69.fc39 fedora 16 k texlive-dialogl noarch 11:svn28946.0-69.fc39 fedora 36 k texlive-dice noarch 11:svn28501.0-69.fc39 fedora 17 k texlive-dichokey noarch 11:svn17192.0-69.fc39 fedora 13 k texlive-dictsym noarch 11:svn20031.0-69.fc39 fedora 47 k texlive-diffcoeff noarch 11:svn65676-69.fc39 fedora 1.3 M texlive-digiconfigs noarch 11:svn15878.0.5-69.fc39 fedora 16 k texlive-dijkstra noarch 11:svn64580-69.fc39 fedora 177 k texlive-dimnum noarch 11:svn58774-69.fc39 fedora 221 k texlive-din1505 noarch 11:svn19441.0-69.fc39 fedora 27 k texlive-dinbrief noarch 11:svn15878.0-69.fc39 fedora 27 k texlive-dingbat noarch 11:svn27918.1.0-69.fc39 fedora 25 k texlive-directory noarch 11:svn15878.1.20-69.fc39 fedora 27 k texlive-dirtree noarch 11:svn42428-69.fc39 fedora 17 k texlive-dirtytalk noarch 11:svn20520.1.0-69.fc39 fedora 12 k texlive-ditaa noarch 11:svn48932-69.fc39 fedora 121 k texlive-dithesis noarch 11:svn34295.0.2-69.fc39 fedora 20 k texlive-dk-bib noarch 11:svn15878.0.6-69.fc39 fedora 27 k texlive-dlfltxb noarch 11:svn17337.0-69.fc39 fedora 37 k texlive-dnaseq noarch 11:svn17194.0.01-69.fc39 fedora 16 k texlive-doc-pictex-doc noarch 11:svn24927.0-69.fc39 fedora 12 k texlive-doclicense noarch 11:svn63340-69.fc39 fedora 298 k texlive-docmfp noarch 11:svn15878.1.2d-69.fc39 fedora 16 k texlive-docmute noarch 11:svn25741.1.4-69.fc39 fedora 18 k texlive-docshots noarch 11:svn65141-69.fc39 fedora 341 k texlive-doctools noarch 11:svn34474.0.1-69.fc39 fedora 23 k texlive-documentation noarch 11:svn34521.0.1-69.fc39 fedora 17 k texlive-docutils noarch 11:svn56594-69.fc39 fedora 21 k texlive-doi noarch 11:svn48634-69.fc39 fedora 16 k texlive-doipubmed noarch 11:svn15878.1.01-69.fc39 fedora 16 k texlive-domitian noarch 11:svn55286-69.fc39 fedora 1.6 M texlive-dot2texi noarch 11:svn26237.3.0-69.fc39 fedora 19 k texlive-dotarrow noarch 11:svn15878.0.01a-69.fc39 fedora 16 k texlive-dotlessi noarch 11:svn51476-69.fc39 fedora 13 k texlive-dotseqn noarch 11:svn17195.1.1-69.fc39 fedora 12 k texlive-dottex noarch 11:svn15878.0.6-69.fc39 fedora 18 k texlive-doublestroke noarch 11:svn15878.1.111-69.fc39 fedora 86 k texlive-doulossil noarch 11:svn63255-69.fc39 fedora 517 k texlive-dowith noarch 11:svn38860-69.fc39 fedora 23 k texlive-download noarch 11:svn52257-69.fc39 fedora 19 k texlive-dox noarch 11:svn46011-69.fc39 fedora 17 k texlive-dozenal noarch 11:svn47680-69.fc39 fedora 153 k texlive-dpcircling noarch 11:svn54994-69.fc39 fedora 43 k texlive-dpfloat noarch 11:svn17196.0-69.fc39 fedora 16 k texlive-dprogress noarch 11:svn15878.0.1-69.fc39 fedora 16 k texlive-drac noarch 11:svn15878.1-69.fc39 fedora 15 k texlive-draftcopy noarch 11:svn15878.2.16-69.fc39 fedora 18 k texlive-draftfigure noarch 11:svn44854-69.fc39 fedora 177 k texlive-draftwatermark noarch 11:svn57099-69.fc39 fedora 20 k texlive-dratex noarch 11:svn15878.0-69.fc39 fedora 59 k texlive-drawmatrix noarch 11:svn44471-69.fc39 fedora 14 k texlive-drawstack noarch 11:svn28582.0-69.fc39 fedora 19 k texlive-drm noarch 11:svn38157.4.4-69.fc39 fedora 13 M texlive-droid noarch 11:svn54512-69.fc39 fedora 3.2 M texlive-drs noarch 11:svn19232.1.1b-69.fc39 fedora 21 k texlive-dsserif noarch 11:svn60898-69.fc39 fedora 388 k texlive-dtk noarch 11:svn65315-69.fc39 fedora 34 k texlive-dtxdescribe noarch 11:svn65445-69.fc39 fedora 471 k texlive-dtxgallery-doc noarch 11:svn49504-69.fc39 fedora 357 k texlive-ducksay noarch 11:svn64655-69.fc39 fedora 551 k texlive-duckuments noarch 11:svn52271-69.fc39 fedora 972 k texlive-duerer noarch 11:svn20741.0-69.fc39 fedora 28 k texlive-duerer-latex noarch 11:svn15878.1.1-69.fc39 fedora 17 k texlive-duotenzor noarch 11:svn18728.1.00-69.fc39 fedora 22 k texlive-dutchcal noarch 11:svn54080-69.fc39 fedora 52 k texlive-dvdcoll noarch 11:svn15878.v1.1a-69.fc39 fedora 40 k texlive-dvipdfmx x86_64 11:svn66203-77.fc39 fedora 3.0 M texlive-dvips x86_64 11:svn66203-77.fc39 fedora 738 k texlive-dvisvgm x86_64 11:svn66532-77.fc39 fedora 1.4 M texlive-dynamicnumber noarch 11:svn38726-69.fc39 fedora 13 k texlive-dynblocks noarch 11:svn35193.0.2b-69.fc39 fedora 19 k texlive-dynkin-diagrams noarch 11:svn58758-69.fc39 fedora 863 k texlive-dyntree noarch 11:svn15878.1.0-69.fc39 fedora 23 k texlive-ean noarch 11:svn20851.0-69.fc39 fedora 19 k texlive-ean13isbn noarch 11:svn57514-69.fc39 fedora 16 k texlive-easing noarch 11:svn59975-69.fc39 fedora 322 k texlive-easy noarch 11:svn19440.0.99-69.fc39 fedora 27 k texlive-easy-todo noarch 11:svn32677.0-69.fc39 fedora 16 k texlive-easybook noarch 11:svn64976-69.fc39 fedora 623 k texlive-easyfig noarch 11:svn64967-69.fc39 fedora 19 k texlive-easyfloats noarch 11:svn57204-69.fc39 fedora 604 k texlive-easyformat noarch 11:svn44543-69.fc39 fedora 73 k texlive-easylist noarch 11:svn32661.1.3-69.fc39 fedora 20 k texlive-easyreview noarch 11:svn38352.1.0-69.fc39 fedora 15 k texlive-ebezier noarch 11:svn15878.4-69.fc39 fedora 18 k texlive-ebgaramond noarch 11:svn66604-69.fc39 fedora 8.7 M texlive-ebgaramond-maths noarch 11:svn52168-69.fc39 fedora 22 k texlive-ebook noarch 11:svn29466.0-69.fc39 fedora 13 k texlive-ebproof noarch 11:svn57544-69.fc39 fedora 21 k texlive-ebsthesis noarch 11:svn15878.1.0-69.fc39 fedora 21 k texlive-ec noarch 11:svn25033.1.0-69.fc39 fedora 682 k texlive-ecc noarch 11:svn15878.0-69.fc39 fedora 53 k texlive-ecclesiastic noarch 11:svn38172.0.3-69.fc39 fedora 19 k texlive-ecgdraw noarch 11:svn41617-69.fc39 fedora 723 k texlive-eco noarch 11:svn29349.1.3-69.fc39 fedora 352 k texlive-ecobiblatex noarch 11:svn39233-69.fc39 fedora 19 k texlive-econ-bst noarch 11:svn61499-69.fc39 fedora 1.3 M texlive-econlipsum noarch 11:svn58390-69.fc39 fedora 647 k texlive-econometrics noarch 11:svn39396-69.fc39 fedora 20 k texlive-economic noarch 11:svn32639.0-69.fc39 fedora 55 k texlive-ecothesis noarch 11:svn48007-69.fc39 fedora 356 k texlive-ecv noarch 11:svn24928.0.3-69.fc39 fedora 15 k texlive-eczar noarch 11:svn57716-69.fc39 fedora 505 k texlive-ed noarch 11:svn25231.1.8-69.fc39 fedora 17 k texlive-edichokey noarch 11:svn56223-69.fc39 fedora 153 k texlive-edmargin noarch 11:svn27599.1.2-69.fc39 fedora 19 k texlive-eemeir noarch 11:svn15878.1.1b-69.fc39 fedora 16 k texlive-eepic noarch 11:svn15878.1.1e-69.fc39 fedora 23 k texlive-efbox noarch 11:svn33236.1.0-69.fc39 fedora 19 k texlive-egpeirce noarch 11:svn66636-69.fc39 fedora 4.8 M texlive-egplot noarch 11:svn20617.1.02a-69.fc39 fedora 19 k texlive-ehhline noarch 11:svn54676-69.fc39 fedora 42 k texlive-eiad noarch 11:svn15878.0-69.fc39 fedora 60 k texlive-eiad-ltx noarch 11:svn15878.1.0-69.fc39 fedora 18 k texlive-einfart noarch 11:svn65475-69.fc39 fedora 23 k texlive-ejpecp noarch 11:svn60950-69.fc39 fedora 21 k texlive-ekaia noarch 11:svn49594-69.fc39 fedora 18 k texlive-ektype-tanka noarch 11:svn63255-69.fc39 fedora 2.1 M texlive-elbioimp noarch 11:svn21758.1.2-69.fc39 fedora 16 k texlive-electrum noarch 11:svn19705.1.005_b-69.fc39 fedora 829 k texlive-elements noarch 11:svn61792-69.fc39 fedora 27 k texlive-ellipse noarch 11:svn39025-69.fc39 fedora 20 k texlive-ellipsis noarch 11:svn55418-69.fc39 fedora 16 k texlive-elmath noarch 11:svn15878.v1.2-69.fc39 fedora 16 k texlive-elocalloc noarch 11:svn42712-69.fc39 fedora 15 k texlive-elpres noarch 11:svn60209-69.fc39 fedora 93 k texlive-els-cas-templates noarch 11:svn62931-69.fc39 fedora 405 k texlive-elsarticle noarch 11:svn56999-69.fc39 fedora 35 k texlive-elteikthesis noarch 11:svn63186-69.fc39 fedora 21 k texlive-eltex noarch 11:svn15878.2.0-69.fc39 fedora 28 k texlive-elvish noarch 11:svn15878.0-69.fc39 fedora 22 k texlive-elzcards noarch 11:svn51894-69.fc39 fedora 22 k texlive-emarks noarch 11:svn24504.1.0-69.fc39 fedora 19 k texlive-embedall noarch 11:svn51177-69.fc39 fedora 17 k texlive-embedfile noarch 11:svn65528-69.fc39 fedora 417 k texlive-embrac noarch 11:svn57814-69.fc39 fedora 21 k texlive-emf noarch 11:svn42023-69.fc39 fedora 328 k texlive-emisa noarch 11:svn60068-69.fc39 fedora 32 k texlive-emptypage noarch 11:svn18064.1.2-69.fc39 fedora 16 k texlive-emulateapj noarch 11:svn28469.0-69.fc39 fedora 32 k texlive-enctex noarch 11:svn34957.0-69.fc39 fedora 54 k texlive-endfloat noarch 11:svn57090-69.fc39 fedora 21 k texlive-endheads noarch 11:svn43750-69.fc39 fedora 17 k texlive-endiagram noarch 11:svn34486.0.1d-69.fc39 fedora 25 k texlive-endnotes noarch 11:svn53319-69.fc39 fedora 20 k texlive-endnotes-hy noarch 11:svn54758-69.fc39 fedora 313 k texlive-endofproofwd noarch 11:svn55643-69.fc39 fedora 20 k texlive-engpron noarch 11:svn16558.2-69.fc39 fedora 18 k texlive-engrec noarch 11:svn15878.1.1-69.fc39 fedora 16 k texlive-engtlc noarch 11:svn28571.3.2-69.fc39 fedora 21 k texlive-enotez noarch 11:svn61490-69.fc39 fedora 24 k texlive-enumitem noarch 11:svn51423-69.fc39 fedora 28 k texlive-enumitem-zref noarch 11:svn21472.1.8-69.fc39 fedora 24 k texlive-envbig noarch 11:svn15878.0-69.fc39 fedora 15 k texlive-environ noarch 11:svn56615-69.fc39 fedora 16 k texlive-envlab noarch 11:svn61937-69.fc39 fedora 20 k texlive-eolang noarch 11:svn66274-69.fc39 fedora 1.5 M texlive-epigrafica noarch 11:svn17210.1.01-69.fc39 fedora 373 k texlive-epigraph noarch 11:svn54857-69.fc39 fedora 19 k texlive-epigraph-keys noarch 11:svn61719-69.fc39 fedora 321 k texlive-epiolmec noarch 11:svn15878.0-69.fc39 fedora 121 k texlive-epsdice noarch 11:svn15878.2.1-69.fc39 fedora 20 k texlive-epsf noarch 11:svn21461.2.7.4-69.fc39 fedora 19 k texlive-epspdf noarch 11:svn66115-77.fc39 fedora 285 k texlive-epspdfconversion noarch 11:svn18703.0.61-69.fc39 fedora 18 k texlive-epstopdf noarch 11:svn66461-77.fc39 fedora 30 k texlive-epstopdf-pkg noarch 11:svn53546-69.fc39 fedora 364 k texlive-eq-pin2corr noarch 11:svn59477-69.fc39 fedora 565 k texlive-eqell noarch 11:svn22931.0-69.fc39 fedora 16 k texlive-eqexpl noarch 11:svn63629-69.fc39 fedora 98 k texlive-eqlist noarch 11:svn32257.2.1-69.fc39 fedora 15 k texlive-eqnalign noarch 11:svn43278-69.fc39 fedora 298 k texlive-eqname noarch 11:svn20678.0-69.fc39 fedora 11 k texlive-eqnarray noarch 11:svn20641.1.3-69.fc39 fedora 24 k texlive-eqnnumwarn noarch 11:svn45511-69.fc39 fedora 110 k texlive-eqparbox noarch 11:svn45215-69.fc39 fedora 20 k texlive-erdc noarch 11:svn15878.1.1-69.fc39 fedora 20 k texlive-erewhon noarch 11:svn63312-69.fc39 fedora 3.0 M texlive-erewhon-math noarch 11:svn65684-69.fc39 fedora 2.2 M texlive-errata noarch 11:svn42428-69.fc39 fedora 16 k texlive-erw-l3 noarch 11:svn61799-69.fc39 fedora 490 k texlive-esami noarch 11:svn61596-69.fc39 fedora 36 k texlive-esdiff noarch 11:svn21385.1.2-69.fc39 fedora 16 k texlive-esieecv noarch 11:svn59638-69.fc39 fedora 16 k texlive-esindex noarch 11:svn52342-69.fc39 fedora 81 k texlive-esint noarch 11:svn52240-69.fc39 fedora 18 k texlive-esint-type1 noarch 11:svn15878.0-69.fc39 fedora 43 k texlive-esk noarch 11:svn18115.1.0-69.fc39 fedora 19 k texlive-eso-pic noarch 11:svn56658-69.fc39 fedora 19 k texlive-esrelation noarch 11:svn37236.0-69.fc39 fedora 51 k texlive-esstix noarch 11:svn22426.1.0-69.fc39 fedora 232 k texlive-estcpmm noarch 11:svn17335.0.4-69.fc39 fedora 17 k texlive-esvect noarch 11:svn32098.1.3-69.fc39 fedora 90 k texlive-etaremune noarch 11:svn15878.v1.2-69.fc39 fedora 16 k texlive-etbb noarch 11:svn61872-69.fc39 fedora 1.7 M texlive-etex noarch 11:svn66203-69.fc39 fedora 28 k texlive-etex-pkg noarch 11:svn41784-69.fc39 fedora 17 k texlive-etexcmds noarch 11:svn53171-69.fc39 fedora 310 k texlive-etextools noarch 11:svn20694.3.1415926-69.fc39 fedora 26 k texlive-etl noarch 11:svn60998-69.fc39 fedora 368 k texlive-etoc noarch 11:svn66299-69.fc39 fedora 29 k texlive-etoolbox noarch 11:svn56554-69.fc39 fedora 26 k texlive-etsvthor noarch 11:svn48186-69.fc39 fedora 19 k texlive-euclideangeometry noarch 11:svn60697-69.fc39 fedora 1.1 M texlive-euenc noarch 11:svn19795.0.1h-69.fc39 fedora 22 k texlive-euflag noarch 11:svn55265-69.fc39 fedora 121 k texlive-eukdate noarch 11:svn15878.1.04-69.fc39 fedora 15 k texlive-euler noarch 11:svn42428-69.fc39 fedora 17 k texlive-euler-math noarch 11:svn65685-69.fc39 fedora 1.8 M texlive-eulerpx noarch 11:svn63967-69.fc39 fedora 348 k texlive-eulervm noarch 11:svn15878.4.0-69.fc39 fedora 40 k texlive-euro noarch 11:svn22191.1.1-69.fc39 fedora 17 k texlive-euro-ce noarch 11:svn25714-69.fc39 fedora 32 k texlive-europasscv noarch 11:svn56829-69.fc39 fedora 59 k texlive-europecv noarch 11:svn64037-69.fc39 fedora 86 k texlive-eurosym noarch 11:svn17265.1.4_subrfix-69.fc39 fedora 157 k texlive-euxm noarch 11:svn54074-69.fc39 fedora 38 k texlive-everyhook noarch 11:svn35675.1.2-69.fc39 fedora 19 k texlive-everypage noarch 11:svn56694-69.fc39 fedora 16 k texlive-everysel noarch 11:svn57489-69.fc39 fedora 448 k texlive-everyshi noarch 11:svn57001-69.fc39 fedora 147 k texlive-exam noarch 11:svn64134-69.fc39 fedora 66 k texlive-exam-lite noarch 11:svn65754-69.fc39 fedora 160 k texlive-exam-n noarch 11:svn64674-69.fc39 fedora 28 k texlive-exam-randomizechoices noarch 11:svn61719-69.fc39 fedora 326 k texlive-examdesign noarch 11:svn15878.1.02-69.fc39 fedora 26 k texlive-example noarch 11:svn33398.0-69.fc39 fedora 21 k texlive-examplep noarch 11:svn55265-69.fc39 fedora 50 k texlive-exceltex noarch 11:svn26313-77.fc39 fedora 23 k texlive-excludeonly noarch 11:svn17262.1.0-69.fc39 fedora 13 k texlive-exercise noarch 11:svn35417.1.6-69.fc39 fedora 24 k texlive-exercisebank noarch 11:svn50448-69.fc39 fedora 328 k texlive-exercisepoints noarch 11:svn49590-69.fc39 fedora 241 k texlive-exercises noarch 11:svn55188-69.fc39 fedora 17 k texlive-exesheet noarch 11:svn65577-69.fc39 fedora 234 k texlive-exframe noarch 11:svn53911-69.fc39 fedora 417 k texlive-exp-testopt noarch 11:svn15878.0.3-69.fc39 fedora 16 k texlive-expdlist noarch 11:svn15878.2.4-69.fc39 fedora 16 k texlive-expkv-bundle noarch 11:svn65623-69.fc39 fedora 777 k texlive-export noarch 11:svn27206.1.8-69.fc39 fedora 20 k texlive-exsheets noarch 11:svn52227-69.fc39 fedora 42 k texlive-exsol noarch 11:svn48977-69.fc39 fedora 20 k texlive-extarrows noarch 11:svn54400-69.fc39 fedora 21 k texlive-extpfeil noarch 11:svn16243.0.4-69.fc39 fedora 18 k texlive-extract noarch 11:svn52117-69.fc39 fedora 19 k texlive-extsizes noarch 11:svn17263.1.4a-69.fc39 fedora 27 k texlive-facsimile noarch 11:svn21328.1.0-69.fc39 fedora 19 k texlive-factura noarch 11:svn61697-69.fc39 fedora 45 k texlive-facture-belge-simple-sans-tva noarch 11:svn49004-69.fc39 fedora 287 k texlive-faktor noarch 11:svn15878.0.1b-69.fc39 fedora 15 k texlive-familytree noarch 11:svn63739-69.fc39 fedora 949 k texlive-fancybox noarch 11:svn18304.1.4-69.fc39 fedora 23 k texlive-fancyhandout noarch 11:svn46411-69.fc39 fedora 89 k texlive-fancyhdr noarch 11:svn64977-69.fc39 fedora 20 k texlive-fancylabel noarch 11:svn46736-69.fc39 fedora 20 k texlive-fancynum noarch 11:svn15878.0.92-69.fc39 fedora 12 k texlive-fancypar noarch 11:svn58895-69.fc39 fedora 20 k texlive-fancyqr noarch 11:svn64182-69.fc39 fedora 269 k texlive-fancyref noarch 11:svn15878.0.9c-69.fc39 fedora 19 k texlive-fancyslides noarch 11:svn36263.1.0-69.fc39 fedora 19 k texlive-fancytabs noarch 11:svn41549-69.fc39 fedora 18 k texlive-fancytooltips noarch 11:svn56291-69.fc39 fedora 24 k texlive-fancyvrb noarch 11:svn65585-69.fc39 fedora 26 k texlive-fandol noarch 11:svn37889.0.3-69.fc39 fedora 22 M texlive-fascicules noarch 11:svn54080-69.fc39 fedora 2.0 M texlive-fast-diagram noarch 11:svn29264.1.1-69.fc39 fedora 21 k texlive-fbb noarch 11:svn55728-69.fc39 fedora 1.4 M texlive-fbithesis noarch 11:svn21340.1.2m-69.fc39 fedora 17 k texlive-fbox noarch 11:svn62126-69.fc39 fedora 65 k texlive-fbs noarch 11:svn15878.0-69.fc39 fedora 19 k texlive-fcavtex noarch 11:svn38074.1.1-69.fc39 fedora 33 k texlive-fcltxdoc noarch 11:svn24500.1.0-69.fc39 fedora 28 k texlive-fcolumn noarch 11:svn61855-69.fc39 fedora 19 k texlive-fdsymbol noarch 11:svn61719-69.fc39 fedora 963 k texlive-fei noarch 11:svn65352-69.fc39 fedora 25 k texlive-fenetrecas noarch 11:svn66611-69.fc39 fedora 609 k texlive-fetamont noarch 11:svn43812-69.fc39 fedora 3.7 M texlive-fetchcls noarch 11:svn45245-69.fc39 fedora 139 k texlive-fewerfloatpages noarch 11:svn58058-69.fc39 fedora 887 k texlive-feyn noarch 11:svn63945-69.fc39 fedora 40 k texlive-feynmf noarch 11:svn17259.1.08-69.fc39 fedora 35 k texlive-ffcode noarch 11:svn65170-69.fc39 fedora 543 k texlive-ffslides noarch 11:svn38895-69.fc39 fedora 22 k texlive-fge noarch 11:svn37628.1.25-69.fc39 fedora 54 k texlive-fgruler noarch 11:svn63721-69.fc39 fedora 482 k texlive-fifo-stack noarch 11:svn33288.1.0-69.fc39 fedora 16 k texlive-fig4latex noarch 11:svn26313-77.fc39 fedora 75 k texlive-figbib noarch 11:svn19388.0-69.fc39 fedora 18 k texlive-figchild noarch 11:svn62945-69.fc39 fedora 1.3 M texlive-figput noarch 11:svn63957-69.fc39 fedora 882 k texlive-figsize noarch 11:svn18784.0.1-69.fc39 fedora 16 k texlive-filecontents noarch 11:svn52142-69.fc39 fedora 16 k texlive-filecontentsdef noarch 11:svn52208-69.fc39 fedora 146 k texlive-filedate noarch 11:svn29529.0-69.fc39 fedora 21 k texlive-filehook noarch 11:svn64822-69.fc39 fedora 23 k texlive-fileinfo noarch 11:svn28421.0.81a-69.fc39 fedora 24 k texlive-filemod noarch 11:svn64967-69.fc39 fedora 21 k texlive-fink noarch 11:svn24329.2.2.1-69.fc39 fedora 16 k texlive-finstrut noarch 11:svn21719.0.5-69.fc39 fedora 20 k texlive-fira noarch 11:svn64422-69.fc39 fedora 16 M texlive-firamath noarch 11:svn56672-69.fc39 fedora 655 k texlive-firamath-otf noarch 11:svn65561-69.fc39 fedora 132 k texlive-firstaid noarch 11:svn64892-69.fc39 fedora 275 k texlive-fistrum noarch 11:svn66461-69.fc39 fedora 659 k texlive-fitbox noarch 11:svn50088-69.fc39 fedora 19 k texlive-fithesis noarch 11:svn64135-69.fc39 fedora 897 k texlive-fix2col noarch 11:svn38770-69.fc39 fedora 16 k texlive-fixcmex noarch 11:svn51825-69.fc39 fedora 18 k texlive-fixdif noarch 11:svn66606-69.fc39 fedora 261 k texlive-fixfoot noarch 11:svn17131.0.3a-69.fc39 fedora 17 k texlive-fixltxhyph noarch 11:svn25832.0.4-69.fc39 fedora 18 k texlive-fixmath noarch 11:svn64648-69.fc39 fedora 196 k texlive-fixme noarch 11:svn63708-69.fc39 fedora 30 k texlive-fixmetodonotes noarch 11:svn30168.0.2.2-69.fc39 fedora 13 k texlive-fjodor noarch 11:svn53207-69.fc39 fedora 17 k texlive-flabels noarch 11:svn17272.1.0-69.fc39 fedora 16 k texlive-flacards noarch 11:svn19440.0.1.1b-69.fc39 fedora 17 k texlive-flagderiv noarch 11:svn15878.0.10-69.fc39 fedora 17 k texlive-flashcards noarch 11:svn62104-69.fc39 fedora 19 k texlive-flashmovie noarch 11:svn25768.0.4-69.fc39 fedora 36 k texlive-flexipage noarch 11:svn64572-69.fc39 fedora 305 k texlive-flipbook noarch 11:svn25584.0.2-69.fc39 fedora 16 k texlive-flippdf noarch 11:svn56782-69.fc39 fedora 16 k texlive-float noarch 11:svn15878.1.3d-69.fc39 fedora 17 k texlive-floatflt noarch 11:svn25540.1.31-69.fc39 fedora 13 k texlive-floatrow noarch 11:svn15878.0.3b-69.fc39 fedora 31 k texlive-flowchart noarch 11:svn36572.3.3-69.fc39 fedora 19 k texlive-flowfram noarch 11:svn35291.1.17-69.fc39 fedora 41 k texlive-fltpoint noarch 11:svn56594-69.fc39 fedora 15 k texlive-fmp noarch 11:svn15878.0-69.fc39 fedora 16 k texlive-fmtcount noarch 11:svn53912-69.fc39 fedora 52 k texlive-fn2end noarch 11:svn15878.1.1-69.fc39 fedora 13 k texlive-fnbreak noarch 11:svn25003.1.30-69.fc39 fedora 16 k texlive-fncychap noarch 11:svn20710.v1.34-69.fc39 fedora 22 k texlive-fncylab noarch 11:svn52090-69.fc39 fedora 16 k texlive-fnpara noarch 11:svn25607.0-69.fc39 fedora 20 k texlive-fnpct noarch 11:svn62248-69.fc39 fedora 31 k texlive-fnspe noarch 11:svn45360-69.fc39 fedora 185 k texlive-fnumprint noarch 11:svn29173.1.1a-69.fc39 fedora 18 k texlive-foekfont noarch 11:svn15878.0-69.fc39 fedora 26 k texlive-foilhtml noarch 11:svn61937-69.fc39 fedora 18 k texlive-foliono noarch 11:svn58877-69.fc39 fedora 243 k texlive-fonetika noarch 11:svn21326.0-69.fc39 fedora 83 k texlive-fontawesome noarch 11:svn48145-69.fc39 fedora 298 k texlive-fontawesome5 noarch 11:svn63207-69.fc39 fedora 1.6 M texlive-fontaxes noarch 11:svn55920-69.fc39 fedora 20 k texlive-fontmfizz noarch 11:svn43546-69.fc39 fedora 53 k texlive-fonts-churchslavonic noarch 11:svn56350-69.fc39 fedora 1.7 M texlive-fonts-tlwg noarch 11:svn60817-69.fc39 fedora 5.0 M texlive-fontsetup noarch 11:svn65439-69.fc39 fedora 604 k texlive-fontsize noarch 11:svn60161-69.fc39 fedora 389 k texlive-fontspec noarch 11:svn63386-69.fc39 fedora 45 k texlive-fonttable noarch 11:svn44799-69.fc39 fedora 22 k texlive-footbib noarch 11:svn17115.2.0.7-69.fc39 fedora 21 k texlive-footmisc noarch 11:svn62524-69.fc39 fedora 25 k texlive-footmisx noarch 11:svn42621-69.fc39 fedora 23 k texlive-footnotebackref noarch 11:svn27034.1.0-69.fc39 fedora 18 k texlive-footnotehyper noarch 11:svn60374-69.fc39 fedora 20 k texlive-footnoterange noarch 11:svn66149-69.fc39 fedora 19 k texlive-footnpag noarch 11:svn15878.0-69.fc39 fedora 23 k texlive-forarray noarch 11:svn15878.1.01-69.fc39 fedora 19 k texlive-foreign noarch 11:svn27819.2.7-69.fc39 fedora 18 k texlive-forest noarch 11:svn57398-69.fc39 fedora 74 k texlive-forloop noarch 11:svn15878.3.0-69.fc39 fedora 21 k texlive-formal-grammar noarch 11:svn61955-69.fc39 fedora 247 k texlive-formlett noarch 11:svn21480.2.3-69.fc39 fedora 26 k texlive-forms16be noarch 11:svn51305-69.fc39 fedora 1.4 M texlive-formular noarch 11:svn15878.1.0a-69.fc39 fedora 17 k texlive-forum noarch 11:svn64566-69.fc39 fedora 746 k texlive-fouridx noarch 11:svn32214.2.00-69.fc39 fedora 15 k texlive-fourier noarch 11:svn61937-69.fc39 fedora 370 k texlive-fouriernc noarch 11:svn29646.0-69.fc39 fedora 36 k texlive-fp noarch 11:svn49719-69.fc39 fedora 36 k texlive-fpl noarch 11:svn54512-69.fc39 fedora 302 k texlive-fragments noarch 11:svn15878.0-69.fc39 fedora 14 k texlive-frame noarch 11:svn18312.1.0-69.fc39 fedora 15 k texlive-framed noarch 11:svn26789.0.96-69.fc39 fedora 19 k texlive-francais-bst noarch 11:svn38922-69.fc39 fedora 25 k texlive-frankenstein noarch 11:svn15878.0-69.fc39 fedora 158 k texlive-frcursive noarch 11:svn24559.0-69.fc39 fedora 1.7 M texlive-frederika2016 noarch 11:svn42157-69.fc39 fedora 381 k texlive-frege noarch 11:svn27417.1.3-69.fc39 fedora 20 k texlive-frimurer noarch 11:svn56704-69.fc39 fedora 214 k texlive-froufrou noarch 11:svn59103-69.fc39 fedora 85 k texlive-ftc-notebook noarch 11:svn50043-69.fc39 fedora 3.5 M texlive-ftcap noarch 11:svn17275.1.4-69.fc39 fedora 17 k texlive-ftnxtra noarch 11:svn29652.0.1-69.fc39 fedora 16 k texlive-fullblck noarch 11:svn25434.1.03-69.fc39 fedora 15 k texlive-fullminipage noarch 11:svn34545.0.1.1-69.fc39 fedora 24 k texlive-fullwidth noarch 11:svn24684.0.1-69.fc39 fedora 18 k texlive-functan noarch 11:svn15878.0-69.fc39 fedora 17 k texlive-functional noarch 11:svn65485-69.fc39 fedora 732 k texlive-fundus-calligra noarch 11:svn26018.1.2-69.fc39 fedora 13 k texlive-fundus-cyr noarch 11:svn26019.0-69.fc39 fedora 18 k texlive-fundus-sueterlin noarch 11:svn26030.1.2-69.fc39 fedora 18 k texlive-fvextra noarch 11:svn65158-69.fc39 fedora 849 k texlive-fwlw noarch 11:svn29803.0-69.fc39 fedora 14 k texlive-g-brief noarch 11:svn50415-69.fc39 fedora 20 k texlive-gaceta noarch 11:svn15878.1.06-69.fc39 fedora 32 k texlive-galois noarch 11:svn15878.1.5-69.fc39 fedora 16 k texlive-gammas noarch 11:svn56403-69.fc39 fedora 30 k texlive-garamond-libre noarch 11:svn64412-69.fc39 fedora 7.3 M texlive-garamond-math noarch 11:svn61481-69.fc39 fedora 763 k texlive-garuda-c90 noarch 11:svn60832-69.fc39 fedora 15 k texlive-gastex noarch 11:svn58505-69.fc39 fedora 33 k texlive-gatherenum noarch 11:svn52209-69.fc39 fedora 407 k texlive-gauss noarch 11:svn32934.0-69.fc39 fedora 30 k texlive-gb4e noarch 11:svn19216.0-69.fc39 fedora 22 k texlive-gbt7714 noarch 11:svn64633-69.fc39 fedora 492 k texlive-gcard noarch 11:svn15878.0-69.fc39 fedora 16 k texlive-gcite noarch 11:svn15878.1.0.1-69.fc39 fedora 19 k texlive-gender noarch 11:svn36464.1.0-69.fc39 fedora 19 k texlive-gene-logic noarch 11:svn15878.1.4-69.fc39 fedora 13 k texlive-genealogy noarch 11:svn25112.0-69.fc39 fedora 17 k texlive-genealogytree noarch 11:svn66513-69.fc39 fedora 61 k texlive-genmpage noarch 11:svn15878.0.3.1-69.fc39 fedora 16 k texlive-gensymb noarch 11:svn64740-69.fc39 fedora 228 k texlive-gentium-tug noarch 11:svn63470-69.fc39 fedora 8.6 M texlive-geometry noarch 11:svn61719-69.fc39 fedora 23 k texlive-geradwp noarch 11:svn63134-69.fc39 fedora 839 k texlive-geschichtsfrkl noarch 11:svn42121-69.fc39 fedora 19 k texlive-getfiledate noarch 11:svn16189.1.2-69.fc39 fedora 16 k texlive-getitems noarch 11:svn39365-69.fc39 fedora 18 k texlive-getmap noarch 11:svn50589-77.fc39 fedora 1.9 M texlive-gettitlestring noarch 11:svn53170-69.fc39 fedora 327 k texlive-gfdl noarch 11:svn65415-69.fc39 fedora 189 k texlive-gfsartemisia noarch 11:svn19469.1.0-69.fc39 fedora 1.0 M texlive-gfsbodoni noarch 11:svn28484.1.01-69.fc39 fedora 960 k texlive-gfscomplutum noarch 11:svn19469.1.0-69.fc39 fedora 137 k texlive-gfsdidot noarch 11:svn54080-69.fc39 fedora 1.4 M texlive-gfsdidotclassic noarch 11:svn52778-69.fc39 fedora 44 k texlive-gfsneohellenic noarch 11:svn63944-69.fc39 fedora 1.0 M texlive-gfsneohellenicmath noarch 11:svn63928-69.fc39 fedora 332 k texlive-gfssolomos noarch 11:svn18651.1.0-69.fc39 fedora 116 k texlive-ghsystem noarch 11:svn53822-69.fc39 fedora 1.9 M texlive-gillcm noarch 11:svn19878.1.1-69.fc39 fedora 38 k texlive-gillius noarch 11:svn64865-69.fc39 fedora 1.1 M texlive-gincltex noarch 11:svn64967-69.fc39 fedora 19 k texlive-gindex noarch 11:svn52311-69.fc39 fedora 45 k texlive-ginpenc noarch 11:svn24980.1.0-69.fc39 fedora 22 k texlive-gitfile-info noarch 11:svn51928-69.fc39 fedora 573 k texlive-gitinfo noarch 11:svn34049.1.0-69.fc39 fedora 19 k texlive-gitinfo2 noarch 11:svn38913-69.fc39 fedora 20 k texlive-gitlog noarch 11:svn38932-69.fc39 fedora 19 k texlive-gitstatus noarch 11:svn64662-69.fc39 fedora 294 k texlive-gitver noarch 11:svn63920-69.fc39 fedora 151 k texlive-globalvals noarch 11:svn49962-69.fc39 fedora 165 k texlive-glosmathtools noarch 11:svn55920-69.fc39 fedora 537 k texlive-gloss noarch 11:svn15878.1.5.2-69.fc39 fedora 20 k texlive-glossaries noarch 11:svn64919-77.fc39 fedora 10 M texlive-glossaries-danish noarch 11:svn35665.1.0-69.fc39 fedora 18 k texlive-glossaries-dutch noarch 11:svn35685.1.1-69.fc39 fedora 18 k texlive-glossaries-english noarch 11:svn35665.1.0-69.fc39 fedora 18 k texlive-glossaries-estonian noarch 11:svn49928-69.fc39 fedora 40 k texlive-glossaries-extra noarch 11:svn64973-69.fc39 fedora 129 k texlive-glossaries-finnish noarch 11:svn54080-69.fc39 fedora 154 k texlive-glossaries-french noarch 11:svn42873-69.fc39 fedora 18 k texlive-glossaries-german noarch 11:svn35665.1.0-69.fc39 fedora 18 k texlive-glossaries-irish noarch 11:svn35665.1.0-69.fc39 fedora 19 k texlive-glossaries-italian noarch 11:svn35665.1.0-69.fc39 fedora 18 k texlive-glossaries-magyar noarch 11:svn35665.1.0-69.fc39 fedora 19 k texlive-glossaries-nynorsk noarch 11:svn55189-69.fc39 fedora 139 k texlive-glossaries-polish noarch 11:svn35665.1.0-69.fc39 fedora 19 k texlive-glossaries-portuges noarch 11:svn36064.1.1-69.fc39 fedora 19 k texlive-glossaries-serbian noarch 11:svn35665.1.0-69.fc39 fedora 19 k texlive-glossaries-slovene noarch 11:svn51211-69.fc39 fedora 138 k texlive-glossaries-spanish noarch 11:svn35665.1.0-69.fc39 fedora 19 k texlive-glyphlist noarch 11:svn54074-77.fc39 fedora 38 k texlive-gmdoc noarch 11:svn21292.0.993-69.fc39 fedora 61 k texlive-gmdoc-enhance noarch 11:svn15878.v0.2-69.fc39 fedora 18 k texlive-gmiflink noarch 11:svn15878.v0.97-69.fc39 fedora 17 k texlive-gmp noarch 11:svn21691.1.0-69.fc39 fedora 21 k texlive-gmutils noarch 11:svn24287.v0.996-69.fc39 fedora 81 k texlive-gmverb noarch 11:svn24288.v0.98-69.fc39 fedora 30 k texlive-gnu-freefont noarch 11:svn29349.0-69.fc39 fedora 7.4 M texlive-gnuplottex noarch 11:svn54758-69.fc39 fedora 20 k texlive-gofonts noarch 11:svn64358-69.fc39 fedora 1.7 M texlive-gothic noarch 11:svn49869-69.fc39 fedora 166 k texlive-gotoh noarch 11:svn44764-69.fc39 fedora 296 k texlive-grabbox noarch 11:svn65223-69.fc39 fedora 361 k texlive-gradient-text noarch 11:svn65567-69.fc39 fedora 146 k texlive-gradientframe noarch 11:svn21387.0.2-69.fc39 fedora 18 k texlive-grading-scheme noarch 11:svn62505-69.fc39 fedora 768 k texlive-gradstudentresume noarch 11:svn38832-69.fc39 fedora 19 k texlive-grafcet noarch 11:svn22509.1.3.5-69.fc39 fedora 18 k texlive-grant noarch 11:svn56852-69.fc39 fedora 125 k texlive-graph35 noarch 11:svn65138-69.fc39 fedora 2.2 M texlive-graphbox noarch 11:svn46360-69.fc39 fedora 19 k texlive-graphics noarch 11:svn66204-69.fc39 fedora 40 k texlive-graphics-cfg noarch 11:svn41448-69.fc39 fedora 13 k texlive-graphics-def noarch 11:svn64487-69.fc39 fedora 28 k texlive-graphicscache noarch 11:svn65318-69.fc39 fedora 186 k texlive-graphicx-psmin noarch 11:svn56931-69.fc39 fedora 17 k texlive-graphicxbox noarch 11:svn32630.1.0-69.fc39 fedora 15 k texlive-graphicxpsd noarch 11:svn57341-69.fc39 fedora 431 k texlive-graphpaper noarch 11:svn63116-69.fc39 fedora 436 k texlive-graphviz noarch 11:svn31517.0.94-69.fc39 fedora 19 k texlive-grayhints noarch 11:svn49052-69.fc39 fedora 503 k texlive-greek-fontenc noarch 11:svn66612-69.fc39 fedora 53 k texlive-greek-inputenc noarch 11:svn66634-69.fc39 fedora 24 k texlive-greenpoint noarch 11:svn15878.0-69.fc39 fedora 17 k texlive-grfext noarch 11:svn53024-69.fc39 fedora 317 k texlive-grffile noarch 11:svn52756-69.fc39 fedora 360 k texlive-grfpaste noarch 11:svn17354.0.2-69.fc39 fedora 17 k texlive-grid noarch 11:svn61719-69.fc39 fedora 17 k texlive-grid-system noarch 11:svn32981.0.3.0-69.fc39 fedora 16 k texlive-gridpapers noarch 11:svn58723-69.fc39 fedora 247 k texlive-gridset noarch 11:svn53762-69.fc39 fedora 17 k texlive-gridslides noarch 11:svn54512-69.fc39 fedora 581 k texlive-grotesq noarch 11:svn35859.0-69.fc39 fedora 74 k texlive-grundgesetze noarch 11:svn58997-69.fc39 fedora 20 k texlive-gs1 noarch 11:svn59620-69.fc39 fedora 20 k texlive-gsemthesis noarch 11:svn56291-69.fc39 fedora 20 k texlive-gsftopk x86_64 11:svn52851-77.fc39 fedora 35 k texlive-gtl noarch 11:svn49527-69.fc39 fedora 18 k texlive-gtrlib-largetrees noarch 11:svn49062-69.fc39 fedora 321 k texlive-gu noarch 11:svn15878.0-69.fc39 fedora 20 k texlive-gudea noarch 11:svn57359-69.fc39 fedora 265 k texlive-guitlogo noarch 11:svn55741-69.fc39 fedora 18 k texlive-gzt noarch 11:svn63591-69.fc39 fedora 53 k texlive-h2020proposal noarch 11:svn38428-69.fc39 fedora 30 k texlive-ha-prosper noarch 11:svn59651-69.fc39 fedora 51 k texlive-hackthefootline noarch 11:svn46494-69.fc39 fedora 199 k texlive-hacm noarch 11:svn27671.0.1-69.fc39 fedora 253 k texlive-hagenberg-thesis noarch 11:svn65819-69.fc39 fedora 2.5 M texlive-halloweenmath noarch 11:svn52602-69.fc39 fedora 886 k texlive-hamnosys noarch 11:svn61941-69.fc39 fedora 215 k texlive-handin noarch 11:svn48255-69.fc39 fedora 447 k texlive-handout noarch 11:svn43962-69.fc39 fedora 19 k texlive-handoutwithnotes noarch 11:svn62140-69.fc39 fedora 328 k texlive-hands noarch 11:svn13293.0-69.fc39 fedora 14 k texlive-hang noarch 11:svn43280-69.fc39 fedora 18 k texlive-hanging noarch 11:svn15878.1.2b-69.fc39 fedora 19 k texlive-har2nat noarch 11:svn54080-69.fc39 fedora 15 k texlive-hardwrap noarch 11:svn21396.0.2-69.fc39 fedora 20 k texlive-harnon-cv noarch 11:svn26543.1.0-69.fc39 fedora 13 k texlive-harpoon noarch 11:svn21327.1.0-69.fc39 fedora 12 k texlive-harvard noarch 11:svn15878.2.0.5-69.fc39 fedora 32 k texlive-harveyballs noarch 11:svn32003.1.1-69.fc39 fedora 23 k texlive-harvmac noarch 11:svn15878.0-69.fc39 fedora 16 k texlive-hc noarch 11:svn15878.0-69.fc39 fedora 24 k texlive-he-she noarch 11:svn41359-69.fc39 fedora 20 k texlive-hecthese noarch 11:svn60455-69.fc39 fedora 898 k texlive-helmholtz-ellis-ji-notation noarch 11:svn55213-69.fc39 fedora 105 k texlive-helvetic noarch 11:svn61719-69.fc39 fedora 655 k texlive-hep noarch 11:svn15878.1.0-69.fc39 fedora 16 k texlive-hep-acronym noarch 11:svn64890-69.fc39 fedora 480 k texlive-hep-bibliography noarch 11:svn64888-69.fc39 fedora 493 k texlive-hep-float noarch 11:svn64904-69.fc39 fedora 465 k texlive-hep-math noarch 11:svn64905-69.fc39 fedora 612 k texlive-hep-paper noarch 11:svn64917-69.fc39 fedora 694 k texlive-hep-reference noarch 11:svn64853-69.fc39 fedora 461 k texlive-hep-text noarch 11:svn64906-69.fc39 fedora 507 k texlive-hep-title noarch 11:svn64907-69.fc39 fedora 514 k texlive-hepnames noarch 11:svn35722.2.0-69.fc39 fedora 21 k texlive-hepparticles noarch 11:svn35723.2.0-69.fc39 fedora 19 k texlive-hepthesis noarch 11:svn46054-69.fc39 fedora 22 k texlive-hepunits noarch 11:svn54758-69.fc39 fedora 16 k texlive-here noarch 11:svn16135.0-69.fc39 fedora 12 k texlive-hereapplies noarch 11:svn65251-69.fc39 fedora 427 k texlive-heuristica noarch 11:svn51362-69.fc39 fedora 1.2 M texlive-hf-tikz noarch 11:svn34733.0.3a-69.fc39 fedora 20 k texlive-hfbright noarch 11:svn29349.0-69.fc39 fedora 841 k texlive-hfoldsty noarch 11:svn29349.1.15-69.fc39 fedora 432 k texlive-hfutexam noarch 11:svn66550-69.fc39 fedora 522 k texlive-hfutthesis noarch 11:svn64025-69.fc39 fedora 825 k texlive-hhtensor noarch 11:svn54080-69.fc39 fedora 15 k texlive-hideanswer noarch 11:svn63852-69.fc39 fedora 129 k texlive-highlightlatex noarch 11:svn58392-69.fc39 fedora 231 k texlive-hindmadurai noarch 11:svn57360-69.fc39 fedora 1.3 M texlive-histogr noarch 11:svn15878.1.01-69.fc39 fedora 18 k texlive-historische-zeitschrift noarch 11:svn42635-69.fc39 fedora 20 k texlive-hitec noarch 11:svn15878.0.0_beta_-69.fc39 fedora 20 k texlive-hithesis noarch 11:svn64005-69.fc39 fedora 1.0 M texlive-hitreport noarch 11:svn58357-69.fc39 fedora 1.3 M texlive-hitszbeamer noarch 11:svn54381-69.fc39 fedora 1.1 M texlive-hitszthesis noarch 11:svn61073-69.fc39 fedora 1.6 M texlive-hletter noarch 11:svn30002.4.2-69.fc39 fedora 25 k texlive-hobby noarch 11:svn44474-69.fc39 fedora 27 k texlive-hobete noarch 11:svn27036.0-69.fc39 fedora 21 k texlive-hobsub noarch 11:svn52810-69.fc39 fedora 77 k texlive-hologo noarch 11:svn61719-69.fc39 fedora 586 k texlive-hopatch noarch 11:svn65491-69.fc39 fedora 328 k texlive-hpsdiss noarch 11:svn15878.1.0-69.fc39 fedora 21 k texlive-href-ul noarch 11:svn64880-69.fc39 fedora 275 k texlive-hrefhide noarch 11:svn66189-69.fc39 fedora 20 k texlive-hu-berlin-bundle noarch 11:svn57580-69.fc39 fedora 239 k texlive-huawei noarch 11:svn65264-69.fc39 fedora 776 k texlive-hustthesis noarch 11:svn42547-69.fc39 fedora 789 k texlive-hvextern noarch 11:svn65670-69.fc39 fedora 1.1 M texlive-hvfloat noarch 11:svn65671-69.fc39 fedora 27 k texlive-hvindex noarch 11:svn46051-69.fc39 fedora 16 k texlive-hvlogos noarch 11:svn63261-69.fc39 fedora 104 k texlive-hvpygmentex noarch 11:svn62405-69.fc39 fedora 42 k texlive-hvqrurl noarch 11:svn59256-69.fc39 fedora 121 k texlive-hwemoji noarch 11:svn65001-69.fc39 fedora 4.3 M texlive-hycolor noarch 11:svn53584-69.fc39 fedora 384 k texlive-hypdestopt noarch 11:svn56253-69.fc39 fedora 336 k texlive-hypdoc noarch 11:svn65678-69.fc39 fedora 335 k texlive-hypdvips noarch 11:svn53197-69.fc39 fedora 41 k texlive-hyper noarch 11:svn17357.4.2d-69.fc39 fedora 39 k texlive-hyperbar noarch 11:svn48147-69.fc39 fedora 449 k texlive-hypernat noarch 11:svn17358.1.0b-69.fc39 fedora 18 k texlive-hyperref noarch 11:svn65758-69.fc39 fedora 119 k texlive-hyperxmp x86_64 11:svn65980-77.fc39 fedora 1.1 M texlive-hyph-utf8 noarch 11:svn61719-69.fc39 fedora 29 k texlive-hyphen-base noarch 11:svn66413-69.fc39 fedora 34 k texlive-hyphen-polish noarch 11:svn58609-69.fc39 fedora 27 k texlive-hyphenat noarch 11:svn15878.2.3c-69.fc39 fedora 18 k texlive-hyphenex noarch 11:svn57387-69.fc39 fedora 19 k texlive-ibarra noarch 11:svn64567-69.fc39 fedora 1.4 M texlive-ibrackets noarch 11:svn65383-69.fc39 fedora 96 k texlive-icite noarch 11:svn54512-69.fc39 fedora 290 k texlive-icsv noarch 11:svn15878.0.2-69.fc39 fedora 18 k texlive-identkey noarch 11:svn61719-69.fc39 fedora 23 k texlive-idxcmds noarch 11:svn54554-69.fc39 fedora 19 k texlive-idxlayout noarch 11:svn25821.0.4d-69.fc39 fedora 17 k texlive-ieeeconf noarch 11:svn59665-69.fc39 fedora 192 k texlive-ieeepes noarch 11:svn17359.4.0-69.fc39 fedora 24 k texlive-ieeetran noarch 11:svn59672-69.fc39 fedora 590 k texlive-ietfbibs-doc noarch 11:svn41332-69.fc39 fedora 16 k texlive-iexec noarch 11:svn64908-69.fc39 fedora 336 k texlive-ifallfalse noarch 11:svn60027-69.fc39 fedora 187 k texlive-iffont noarch 11:svn38823-69.fc39 fedora 18 k texlive-ifmslide noarch 11:svn20727.0.47-69.fc39 fedora 288 k texlive-ifmtarg noarch 11:svn47544-69.fc39 fedora 15 k texlive-ifnextok noarch 11:svn23379.0.3-69.fc39 fedora 27 k texlive-ifoddpage noarch 11:svn64967-69.fc39 fedora 18 k texlive-ifplatform noarch 11:svn45533-69.fc39 fedora 16 k texlive-ifsym noarch 11:svn24868.0-69.fc39 fedora 33 k texlive-iftex noarch 11:svn61910-69.fc39 fedora 21 k texlive-ifthenx noarch 11:svn25819.0.1a-69.fc39 fedora 18 k texlive-iitem noarch 11:svn29613.1.0-69.fc39 fedora 16 k texlive-ijmart noarch 11:svn30958.1.7-69.fc39 fedora 25 k texlive-ijqc noarch 11:svn15878.1.2-69.fc39 fedora 20 k texlive-ijsra noarch 11:svn44886-69.fc39 fedora 705 k texlive-imac noarch 11:svn17347.0-69.fc39 fedora 22 k texlive-image-gallery noarch 11:svn15878.v1.0j-69.fc39 fedora 16 k texlive-imakeidx noarch 11:svn42287-69.fc39 fedora 21 k texlive-imfellenglish noarch 11:svn64568-69.fc39 fedora 3.1 M texlive-import noarch 11:svn54683-69.fc39 fedora 14 k texlive-imtekda noarch 11:svn17667.1.7-69.fc39 fedora 18 k texlive-incgraph noarch 11:svn60810-69.fc39 fedora 22 k texlive-includernw noarch 11:svn47557-69.fc39 fedora 172 k texlive-inconsolata noarch 11:svn54512-69.fc39 fedora 365 k texlive-index noarch 11:svn24099.4.1beta-69.fc39 fedora 23 k texlive-indextools noarch 11:svn38931-69.fc39 fedora 21 k texlive-infwarerr noarch 11:svn53023-69.fc39 fedora 295 k texlive-initials noarch 11:svn54080-69.fc39 fedora 3.0 M texlive-inkpaper noarch 11:svn54080-69.fc39 fedora 297 k texlive-inline-images noarch 11:svn61719-69.fc39 fedora 136 k texlive-inlinebib noarch 11:svn22018.0-69.fc39 fedora 21 k texlive-inlinedef noarch 11:svn15878.1.0-69.fc39 fedora 18 k texlive-inlinelabel noarch 11:svn63853-69.fc39 fedora 167 k texlive-inputenx noarch 11:svn52986-69.fc39 fedora 827 k texlive-inputtrc noarch 11:svn28019.0.3-69.fc39 fedora 20 k texlive-inriafonts noarch 11:svn54512-69.fc39 fedora 2.6 M texlive-intcalc noarch 11:svn53168-69.fc39 fedora 432 k texlive-inter noarch 11:svn58892-69.fc39 fedora 6.8 M texlive-interactiveworkbook noarch 11:svn15878.0-69.fc39 fedora 20 k texlive-interfaces noarch 11:svn21474.3.1-69.fc39 fedora 53 k texlive-interval noarch 11:svn50265-69.fc39 fedora 18 k texlive-intopdf noarch 11:svn63987-69.fc39 fedora 387 k texlive-inversepath noarch 11:svn15878.0.2-69.fc39 fedora 18 k texlive-invoice noarch 11:svn48359-69.fc39 fedora 24 k texlive-invoice-class noarch 11:svn49749-69.fc39 fedora 120 k texlive-invoice2 noarch 11:svn46364-69.fc39 fedora 624 k texlive-iodhbwm noarch 11:svn57773-69.fc39 fedora 3.0 M texlive-ionumbers noarch 11:svn33457.0.3.3-69.fc39 fedora 21 k texlive-iopart-num noarch 11:svn15878.2.1-69.fc39 fedora 22 k texlive-ipaex noarch 11:svn61719-69.fc39 fedora 16 M texlive-ipaex-type1 noarch 11:svn47700-69.fc39 fedora 13 M texlive-is-bst noarch 11:svn52623-69.fc39 fedora 35 k texlive-iscram noarch 11:svn45801-69.fc39 fedora 283 k texlive-iso noarch 11:svn15878.2.4-69.fc39 fedora 30 k texlive-iso10303 noarch 11:svn15878.1.5-69.fc39 fedora 73 k texlive-isodate noarch 11:svn16613.2.28-69.fc39 fedora 22 k texlive-isodoc noarch 11:svn59709-69.fc39 fedora 26 k texlive-isomath noarch 11:svn27654.0.6.1-69.fc39 fedora 19 k texlive-isonums noarch 11:svn17362.1.0-69.fc39 fedora 16 k texlive-isopt noarch 11:svn45509-69.fc39 fedora 78 k texlive-isorot noarch 11:svn15878.0-69.fc39 fedora 16 k texlive-isotope noarch 11:svn23711.v0.3-69.fc39 fedora 15 k texlive-issuulinks noarch 11:svn25742.1.1-69.fc39 fedora 19 k texlive-istgame noarch 11:svn62946-69.fc39 fedora 865 k texlive-itnumpar noarch 11:svn15878.1.0-69.fc39 fedora 16 k texlive-iwhdp noarch 11:svn37552.0.50-69.fc39 fedora 27 k texlive-iwona noarch 11:svn19611.0.995b-69.fc39 fedora 4.1 M texlive-jablantile noarch 11:svn16364.0-69.fc39 fedora 15 k texlive-jacow noarch 11:svn63060-69.fc39 fedora 24 k texlive-jamtimes noarch 11:svn20408.1.12-69.fc39 fedora 97 k texlive-japanese-otf noarch 11:svn66091-69.fc39 fedora 1.5 M texlive-jbact noarch 11:svn52717-69.fc39 fedora 20 k texlive-jkmath noarch 11:svn47109-69.fc39 fedora 142 k texlive-jknapltx noarch 11:svn19440.0-69.fc39 fedora 27 k texlive-jlabels noarch 11:svn24858.0-69.fc39 fedora 12 k texlive-jmb noarch 11:svn52718-69.fc39 fedora 21 k texlive-jmlr noarch 11:svn61957-69.fc39 fedora 35 k texlive-jmsdelim noarch 11:svn62630-69.fc39 fedora 410 k texlive-jneurosci noarch 11:svn17346.1.00-69.fc39 fedora 21 k texlive-jnuexam noarch 11:svn56867-69.fc39 fedora 609 k texlive-jobname-suffix noarch 11:svn64797-69.fc39 fedora 446 k texlive-josefin noarch 11:svn64569-69.fc39 fedora 1.3 M texlive-jourcl noarch 11:svn65290-69.fc39 fedora 130 k texlive-jpsj noarch 11:svn66115-69.fc39 fedora 21 k texlive-jslectureplanner noarch 11:svn57095-69.fc39 fedora 31 k texlive-jumplines noarch 11:svn37553.0.2-69.fc39 fedora 21 k texlive-junicode noarch 11:svn61719-69.fc39 fedora 1.1 M texlive-jupynotex noarch 11:svn56715-69.fc39 fedora 43 k texlive-jurabib noarch 11:svn15878.0.6-69.fc39 fedora 75 k texlive-jvlisting noarch 11:svn24638.0.7-69.fc39 fedora 17 k texlive-jwjournal noarch 11:svn65480-69.fc39 fedora 103 k texlive-kalendarium noarch 11:svn48744-69.fc39 fedora 375 k texlive-kantlipsum noarch 11:svn51727-69.fc39 fedora 41 k texlive-karnaugh noarch 11:svn21338.0-69.fc39 fedora 18 k texlive-karnaugh-map noarch 11:svn61614-69.fc39 fedora 296 k texlive-karnaughmap noarch 11:svn36989.2.0-69.fc39 fedora 19 k texlive-kastrup noarch 11:svn15878.0-69.fc39 fedora 13 k texlive-kblocks noarch 11:svn57617-69.fc39 fedora 349 k texlive-kdgdocs noarch 11:svn24498.1.0-69.fc39 fedora 21 k texlive-kdpcover noarch 11:svn65150-69.fc39 fedora 372 k texlive-kerntest noarch 11:svn15878.1.32-69.fc39 fedora 27 k texlive-keycommand noarch 11:svn18042.3.1415-69.fc39 fedora 19 k texlive-keyfloat noarch 11:svn65446-69.fc39 fedora 1.0 M texlive-keyindex noarch 11:svn50828-69.fc39 fedora 160 k texlive-keyparse noarch 11:svn60277-69.fc39 fedora 562 k texlive-keyreader noarch 11:svn28195.0.5b-69.fc39 fedora 26 k texlive-keystroke noarch 11:svn17992.v1.6-69.fc39 fedora 23 k texlive-keyval2e noarch 11:svn23698.0.0.2-69.fc39 fedora 20 k texlive-keyvaltable noarch 11:svn65416-69.fc39 fedora 25 k texlive-kfupm-math-exam noarch 11:svn63977-69.fc39 fedora 77 k texlive-kinematikz noarch 11:svn61392-69.fc39 fedora 45 k texlive-kix noarch 11:svn21606.0-69.fc39 fedora 15 k texlive-kixfont noarch 11:svn18488.0-69.fc39 fedora 13 k texlive-kluwer noarch 11:svn54074-69.fc39 fedora 72 k texlive-knitting noarch 11:svn50782-69.fc39 fedora 605 k texlive-knittingpattern noarch 11:svn17205.0-69.fc39 fedora 18 k texlive-knowledge noarch 11:svn61991-69.fc39 fedora 826 k texlive-knuth-lib noarch 11:svn57963-69.fc39 fedora 52 k texlive-knuth-local noarch 11:svn57963-69.fc39 fedora 45 k texlive-koma-moderncvclassic noarch 11:svn25025.v0.5-69.fc39 fedora 21 k texlive-koma-script noarch 11:svn64685-69.fc39 fedora 6.4 M texlive-koma-script-sfs noarch 11:svn26137.1.0-69.fc39 fedora 18 k texlive-komacv noarch 11:svn57721-69.fc39 fedora 25 k texlive-komacv-rg noarch 11:svn49064-69.fc39 fedora 849 k texlive-kpathsea x86_64 11:svn66209-77.fc39 fedora 1.1 M texlive-kpfonts noarch 11:svn65583-69.fc39 fedora 2.7 M texlive-kpfonts-otf noarch 11:svn65560-69.fc39 fedora 3.2 M texlive-ksfh_nat noarch 11:svn24825.1.1-69.fc39 fedora 20 k texlive-ksp-thesis noarch 11:svn39080-69.fc39 fedora 21 k texlive-ktv-texdata noarch 11:svn27369.05.34-69.fc39 fedora 18 k texlive-ku-template noarch 11:svn45935-69.fc39 fedora 234 k texlive-kurier noarch 11:svn19612.0.995b-69.fc39 fedora 4.0 M texlive-kvdefinekeys noarch 11:svn53193-69.fc39 fedora 306 k texlive-kvmap noarch 11:svn56361-69.fc39 fedora 110 k texlive-kvoptions noarch 11:svn63622-69.fc39 fedora 494 k texlive-kvsetkeys noarch 11:svn64632-69.fc39 fedora 398 k texlive-l3backend noarch 11:svn65573-69.fc39 fedora 897 k texlive-l3build noarch 11:svn66471-77.fc39 fedora 766 k texlive-l3experimental noarch 11:svn65621-69.fc39 fedora 43 k texlive-l3kernel noarch 11:svn66094-69.fc39 fedora 202 k texlive-l3packages noarch 11:svn65722-69.fc39 fedora 41 k texlive-labbook noarch 11:svn15878.0-69.fc39 fedora 18 k texlive-labels noarch 11:svn15878.13-69.fc39 fedora 19 k texlive-labels4easylist noarch 11:svn51124-69.fc39 fedora 36 k texlive-labelschanged noarch 11:svn46040-69.fc39 fedora 201 k texlive-ladder noarch 11:svn44394-69.fc39 fedora 220 k texlive-lambda-lists noarch 11:svn31402.0-69.fc39 fedora 15 k texlive-lambdax noarch 11:svn60278-69.fc39 fedora 543 k texlive-langcode noarch 11:svn27764.0.2-69.fc39 fedora 20 k texlive-langsci noarch 11:svn65793-69.fc39 fedora 93 k texlive-langsci-avm noarch 11:svn66016-69.fc39 fedora 173 k texlive-lapdf noarch 11:svn23806.1.1-69.fc39 fedora 28 k texlive-lastpackage noarch 11:svn34481.0.1-69.fc39 fedora 18 k texlive-lastpage noarch 11:svn66461-69.fc39 fedora 21 k texlive-latex noarch 11:svn65161-77.fc39 fedora 30 M texlive-latex-base-dev noarch 11:svn66513-69.fc39 fedora 30 M texlive-latex-firstaid-dev noarch 11:svn65181-69.fc39 fedora 278 k texlive-latex-fonts noarch 11:svn28888.0-69.fc39 fedora 50 k texlive-latex-lab noarch 11:svn64892-69.fc39 fedora 1.8 M texlive-latex-make noarch 11:svn60874-69.fc39 fedora 39 k texlive-latex-uni8 noarch 11:svn49729-69.fc39 fedora 25 k texlive-latexbug noarch 11:svn63596-69.fc39 fedora 238 k texlive-latexcolors noarch 11:svn49888-69.fc39 fedora 389 k texlive-latexconfig noarch 11:svn53525-69.fc39 fedora 15 k texlive-latexdemo noarch 11:svn55265-69.fc39 fedora 19 k texlive-latexgit noarch 11:svn54811-69.fc39 fedora 447 k texlive-lato noarch 11:svn54512-69.fc39 fedora 13 M texlive-layouts noarch 11:svn42428-69.fc39 fedora 30 k texlive-lazylist noarch 11:svn17691.1.0a-69.fc39 fedora 17 k texlive-lccaps noarch 11:svn46432-69.fc39 fedora 164 k texlive-lcd noarch 11:svn16549.0.3-69.fc39 fedora 17 k texlive-lcg noarch 11:svn31474.1.3-69.fc39 fedora 17 k texlive-leading noarch 11:svn15878.0.3-69.fc39 fedora 15 k texlive-leaflet noarch 11:svn56878-69.fc39 fedora 20 k texlive-lebhart noarch 11:svn65475-69.fc39 fedora 23 k texlive-lectures noarch 11:svn53642-69.fc39 fedora 19 k texlive-lectureslides noarch 11:svn62292-69.fc39 fedora 59 k texlive-leftidx noarch 11:svn15878.0-69.fc39 fedora 15 k texlive-leftindex noarch 11:svn56182-69.fc39 fedora 91 k texlive-leipzig noarch 11:svn52450-69.fc39 fedora 23 k texlive-lengthconvert noarch 11:svn55064-69.fc39 fedora 19 k texlive-letltxmacro noarch 11:svn53022-69.fc39 fedora 300 k texlive-letterswitharrows noarch 11:svn59993-69.fc39 fedora 486 k texlive-lettre noarch 11:svn54722-69.fc39 fedora 43 k texlive-lettrine noarch 11:svn64511-69.fc39 fedora 25 k texlive-lewis noarch 11:svn15878.0.1-69.fc39 fedora 12 k texlive-lexend noarch 11:svn57564-69.fc39 fedora 373 k texlive-lfb noarch 11:svn15878.1.0-69.fc39 fedora 52 k texlive-lhelp noarch 11:svn23638.2.0-69.fc39 fedora 22 k texlive-lib x86_64 11:20230311-77.fc39 fedora 492 k texlive-libertine noarch 11:svn64359-69.fc39 fedora 14 M texlive-libertinegc noarch 11:svn44616-69.fc39 fedora 95 k texlive-libertinus noarch 11:svn61719-69.fc39 fedora 14 k texlive-libertinus-fonts noarch 11:svn57948-69.fc39 fedora 2.3 M texlive-libertinus-otf noarch 11:svn60023-69.fc39 fedora 600 k texlive-libertinus-type1 noarch 11:svn64958-69.fc39 fedora 10 M texlive-libertinust1math noarch 11:svn61751-69.fc39 fedora 1.2 M texlive-libgreek noarch 11:svn65004-69.fc39 fedora 22 k texlive-librebaskerville noarch 11:svn64421-69.fc39 fedora 642 k texlive-librebodoni noarch 11:svn64431-69.fc39 fedora 610 k texlive-librecaslon noarch 11:svn64432-69.fc39 fedora 832 k texlive-librefranklin noarch 11:svn64441-69.fc39 fedora 3.1 M texlive-libris noarch 11:svn19409.1.007-69.fc39 fedora 278 k texlive-lie-hasse noarch 11:svn61719-69.fc39 fedora 587 k texlive-liftarm noarch 11:svn62981-69.fc39 fedora 1.8 M texlive-limap noarch 11:svn44863-69.fc39 fedora 19 k texlive-limecv noarch 11:svn61199-69.fc39 fedora 391 k texlive-lineara noarch 11:svn63169-69.fc39 fedora 164 k texlive-linegoal noarch 11:svn21523.2.9-69.fc39 fedora 19 k texlive-lineno noarch 11:svn65586-69.fc39 fedora 76 k texlive-linguex noarch 11:svn30815.4.3-69.fc39 fedora 21 k texlive-linguisticspro noarch 11:svn64858-69.fc39 fedora 1.5 M texlive-linop noarch 11:svn41304-69.fc39 fedora 18 k texlive-lion-msc noarch 11:svn55415-69.fc39 fedora 1.4 M texlive-lipsum noarch 11:svn60561-69.fc39 fedora 139 k texlive-lisp-on-tex noarch 11:svn38722-69.fc39 fedora 26 k texlive-listbib noarch 11:svn29349-77.fc39 fedora 357 k texlive-listing noarch 11:svn17373.1.2-69.fc39 fedora 16 k texlive-listings noarch 11:svn66222-69.fc39 fedora 167 k texlive-listingsutf8 noarch 11:svn53097-69.fc39 fedora 308 k texlive-listlbls noarch 11:svn34893.1.03-69.fc39 fedora 19 k texlive-listliketab noarch 11:svn15878.0-69.fc39 fedora 15 k texlive-listofitems noarch 11:svn51923-69.fc39 fedora 807 k texlive-listofsymbols noarch 11:svn16134.0.2-69.fc39 fedora 17 k texlive-lkproof noarch 11:svn20021.3.1-69.fc39 fedora 18 k texlive-llncs noarch 11:svn64299-69.fc39 fedora 236 k texlive-llncsconf noarch 11:svn63136-69.fc39 fedora 282 k texlive-lm noarch 11:svn65956-69.fc39 fedora 12 M texlive-lm-math noarch 11:svn36915.1.959-69.fc39 fedora 447 k texlive-lmake noarch 11:svn25552.1.0-69.fc39 fedora 17 k texlive-lni noarch 11:svn58061-69.fc39 fedora 387 k texlive-lobster2 noarch 11:svn64442-69.fc39 fedora 572 k texlive-locality noarch 11:svn20422.0.2-69.fc39 fedora 19 k texlive-logbox noarch 11:svn24499.1.0-69.fc39 fedora 18 k texlive-logical-markup-utils noarch 11:svn15878.0-69.fc39 fedora 24 k texlive-logicproof noarch 11:svn33254.0-69.fc39 fedora 19 k texlive-logix noarch 11:svn63688-69.fc39 fedora 3.3 M texlive-logpap noarch 11:svn15878.0.6-69.fc39 fedora 20 k texlive-logreq noarch 11:svn53003-69.fc39 fedora 20 k texlive-longdivision noarch 11:svn59979-69.fc39 fedora 166 k texlive-longfbox noarch 11:svn39028-69.fc39 fedora 34 k texlive-longfigure noarch 11:svn34302.1.0-69.fc39 fedora 21 k texlive-longnamefilelist noarch 11:svn27889.0.2-69.fc39 fedora 20 k texlive-loops noarch 11:svn30704.1.3-69.fc39 fedora 28 k texlive-lpform noarch 11:svn36918.0-69.fc39 fedora 16 k texlive-lpic noarch 11:svn20843.0.8-69.fc39 fedora 22 k texlive-lplfitch noarch 11:svn31077.0.9-69.fc39 fedora 19 k texlive-lps noarch 11:svn21322.0.7-69.fc39 fedora 16 k texlive-lroundrect noarch 11:svn39804-69.fc39 fedora 19 k texlive-lsc noarch 11:svn15878.0-69.fc39 fedora 28 k texlive-lstaddons noarch 11:svn64967-69.fc39 fedora 19 k texlive-lstbayes noarch 11:svn48160-69.fc39 fedora 20 k texlive-lstfiracode noarch 11:svn49503-69.fc39 fedora 74 k texlive-lt3graph noarch 11:svn45913-69.fc39 fedora 28 k texlive-lt3rawobjects noarch 11:svn65230-69.fc39 fedora 550 k texlive-ltablex noarch 11:svn34923.1.1-69.fc39 fedora 16 k texlive-ltabptch noarch 11:svn17533.1.74d-69.fc39 fedora 18 k texlive-ltb2bib noarch 11:svn43746-69.fc39 fedora 61 k texlive-ltxcmds noarch 11:svn56421-69.fc39 fedora 400 k texlive-ltxdockit noarch 11:svn21869.1.2d-69.fc39 fedora 21 k texlive-ltxguidex noarch 11:svn50992-69.fc39 fedora 87 k texlive-ltxkeys noarch 11:svn28332.0.0.3c-69.fc39 fedora 39 k texlive-ltxmisc noarch 11:svn21927.0-69.fc39 fedora 28 k texlive-ltxnew noarch 11:svn21586.1.3-69.fc39 fedora 20 k texlive-ltxtools noarch 11:svn24897.0.0.1a-69.fc39 fedora 69 k texlive-lua-alt-getopt noarch 11:svn56414-69.fc39 fedora 13 k texlive-lua-check-hyphen noarch 11:svn47527-69.fc39 fedora 15 k texlive-lua-physical noarch 11:svn59138-69.fc39 fedora 786 k texlive-lua-uca noarch 11:svn61023-69.fc39 fedora 192 k texlive-lua-uni-algos noarch 11:svn62204-69.fc39 fedora 97 k texlive-lua-widow-control noarch 11:svn65084-69.fc39 fedora 1.1 M texlive-luabibentry noarch 11:svn55777-69.fc39 fedora 19 k texlive-luabidi noarch 11:svn54512-69.fc39 fedora 20 k texlive-luacode noarch 11:svn25193.1.2a-69.fc39 fedora 19 k texlive-luacolor noarch 11:svn57829-69.fc39 fedora 339 k texlive-luahbtex x86_64 11:svn66186-77.fc39 fedora 2.0 M texlive-luainputenc noarch 11:svn20491.0.973-69.fc39 fedora 17 k texlive-lualatex-math noarch 11:svn61464-69.fc39 fedora 20 k texlive-lualibs noarch 11:svn64615-69.fc39 fedora 160 k texlive-luamesh noarch 11:svn63875-69.fc39 fedora 281 k texlive-luamplib noarch 11:svn61587-69.fc39 fedora 29 k texlive-luaotfload noarch 11:svn64616-77.fc39 fedora 1.2 M texlive-luasseq noarch 11:svn65511-69.fc39 fedora 24 k texlive-luatex x86_64 11:svn66967-77.fc39 fedora 3.9 M texlive-luatexbase noarch 11:svn52663-69.fc39 fedora 16 k texlive-luatexja noarch 11:svn65790-69.fc39 fedora 242 k texlive-luatextra noarch 11:svn20747.1.0.1-69.fc39 fedora 12 k texlive-luatodonotes noarch 11:svn53825-69.fc39 fedora 42 k texlive-luaxml noarch 11:svn60709-69.fc39 fedora 46 k texlive-lwarp noarch 11:svn66259-77.fc39 fedora 3.5 M texlive-lxfonts noarch 11:svn32354.2.0b-69.fc39 fedora 950 k texlive-ly1 noarch 11:svn63565-69.fc39 fedora 100 k texlive-macrolist noarch 11:svn60139-69.fc39 fedora 204 k texlive-macroswap noarch 11:svn31498.1.1-69.fc39 fedora 16 k texlive-magaz noarch 11:svn24694.0.4-69.fc39 fedora 15 k texlive-magicnum noarch 11:svn52983-69.fc39 fedora 333 k texlive-magicwatermark noarch 11:svn63656-69.fc39 fedora 231 k texlive-magra noarch 11:svn57373-69.fc39 fedora 242 k texlive-mailing noarch 11:svn15878.0-69.fc39 fedora 16 k texlive-mailmerge noarch 11:svn15878.1.0-69.fc39 fedora 17 k texlive-make4ht noarch 11:svn66130-77.fc39 fedora 261 k texlive-makebarcode noarch 11:svn15878.1.0-69.fc39 fedora 19 k texlive-makebase noarch 11:svn41012-69.fc39 fedora 18 k texlive-makebox noarch 11:svn15878.0.1-69.fc39 fedora 15 k texlive-makecell noarch 11:svn15878.0.1e-69.fc39 fedora 19 k texlive-makecirc noarch 11:svn15878.0-69.fc39 fedora 26 k texlive-makecmds noarch 11:svn15878.0-69.fc39 fedora 15 k texlive-makecookbook noarch 11:svn49311-69.fc39 fedora 475 k texlive-makedtx noarch 11:svn46702-77.fc39 fedora 282 k texlive-makeglos noarch 11:svn15878.0-69.fc39 fedora 16 k texlive-makeindex x86_64 11:svn62517-77.fc39 fedora 436 k texlive-makelabels noarch 11:svn60255-69.fc39 fedora 712 k texlive-maker noarch 11:svn44823-69.fc39 fedora 22 k texlive-makerobust noarch 11:svn52811-69.fc39 fedora 82 k texlive-makeshape noarch 11:svn28973.2.1-69.fc39 fedora 19 k texlive-mandi noarch 11:svn61764-69.fc39 fedora 30 k texlive-manfnt noarch 11:svn54684-69.fc39 fedora 15 k texlive-manfnt-font noarch 11:svn45777-69.fc39 fedora 37 k texlive-manuscript noarch 11:svn36110.1.7-69.fc39 fedora 16 k texlive-manyind noarch 11:svn49874-69.fc39 fedora 172 k texlive-marcellus noarch 11:svn64451-69.fc39 fedora 234 k texlive-margbib noarch 11:svn15878.1.0c-69.fc39 fedora 17 k texlive-marginfit noarch 11:svn48281-69.fc39 fedora 227 k texlive-marginfix noarch 11:svn55064-69.fc39 fedora 18 k texlive-marginnote noarch 11:svn48383-69.fc39 fedora 17 k texlive-markdown noarch 11:svn66257-69.fc39 fedora 71 k texlive-marvosym noarch 11:svn29349.2.2a-69.fc39 fedora 146 k texlive-matc3 noarch 11:svn29845.1.0.1-69.fc39 fedora 18 k texlive-matc3mem noarch 11:svn35773.1.1-69.fc39 fedora 19 k texlive-mathabx noarch 11:svn15878.0-69.fc39 fedora 147 k texlive-mathabx-type1 noarch 11:svn21129.0-69.fc39 fedora 1.8 M texlive-mathalpha noarch 11:svn61089-69.fc39 fedora 24 k texlive-mathastext noarch 11:svn64930-69.fc39 fedora 34 k texlive-mathcommand noarch 11:svn59512-69.fc39 fedora 460 k texlive-mathcomp noarch 11:svn15878.0.1f-69.fc39 fedora 15 k texlive-mathdesign noarch 11:svn31639.2.31-69.fc39 fedora 2.6 M texlive-mathexam noarch 11:svn15878.1.00-69.fc39 fedora 16 k texlive-mathfam256 noarch 11:svn53519-69.fc39 fedora 101 k texlive-mathfixs noarch 11:svn49547-69.fc39 fedora 304 k texlive-mathfont noarch 11:svn65205-69.fc39 fedora 890 k texlive-mathlig noarch 11:svn54244-69.fc39 fedora 13 k texlive-mathpartir noarch 11:svn39864-69.fc39 fedora 22 k texlive-mathpazo noarch 11:svn52663-69.fc39 fedora 90 k texlive-mathpunctspace noarch 11:svn46754-69.fc39 fedora 198 k texlive-mathsemantics noarch 11:svn63241-69.fc39 fedora 646 k texlive-mathspec noarch 11:svn42773-69.fc39 fedora 24 k texlive-mathspic noarch 11:svn31957-77.fc39 fedora 2.4 M texlive-mathtools noarch 11:svn63767-69.fc39 fedora 38 k texlive-matlab-prettifier noarch 11:svn34323.0.3-69.fc39 fedora 21 k texlive-matrix-skeleton noarch 11:svn65013-69.fc39 fedora 163 k texlive-mattens noarch 11:svn62326-69.fc39 fedora 17 k texlive-maybemath noarch 11:svn15878.0-69.fc39 fedora 16 k texlive-mcaption noarch 11:svn15878.3.0-69.fc39 fedora 16 k texlive-mceinleger noarch 11:svn15878.0-69.fc39 fedora 17 k texlive-mcexam noarch 11:svn60481-69.fc39 fedora 254 k texlive-mcite noarch 11:svn18173.1.6-69.fc39 fedora 18 k texlive-mciteplus noarch 11:svn31648.1.2-69.fc39 fedora 49 k texlive-mcmthesis noarch 11:svn57333-69.fc39 fedora 21 k texlive-mdframed noarch 11:svn31075.1.9b-69.fc39 fedora 36 k texlive-mdputu noarch 11:svn20298.1.2-69.fc39 fedora 32 k texlive-mdsymbol noarch 11:svn28399.0.5-69.fc39 fedora 950 k texlive-mdwtools noarch 11:svn15878.1.05.4-69.fc39 fedora 36 k texlive-mecaso noarch 11:svn60346-69.fc39 fedora 241 k texlive-media4svg noarch 11:svn64686-69.fc39 fedora 194 k texlive-media9 noarch 11:svn64047-69.fc39 fedora 1.8 M texlive-medstarbeamer noarch 11:svn38828-69.fc39 fedora 19 k texlive-meetingmins noarch 11:svn31878.1.6-69.fc39 fedora 19 k texlive-membranecomputing noarch 11:svn64627-69.fc39 fedora 296 k texlive-memexsupp noarch 11:svn15878.0.1-69.fc39 fedora 16 k texlive-memoir noarch 11:svn65040-69.fc39 fedora 94 k texlive-memory noarch 11:svn30452.1.2-69.fc39 fedora 18 k texlive-memorygraphs noarch 11:svn49631-69.fc39 fedora 203 k texlive-mensa-tex noarch 11:svn45997-69.fc39 fedora 184 k texlive-mentis noarch 11:svn15878.1.5-69.fc39 fedora 18 k texlive-menu noarch 11:svn15878.0.994-69.fc39 fedora 13 k texlive-menucard noarch 11:svn55643-69.fc39 fedora 189 k texlive-menukeys noarch 11:svn64314-69.fc39 fedora 22 k texlive-mercatormap noarch 11:svn56060-69.fc39 fedora 23 M texlive-merriweather noarch 11:svn64452-69.fc39 fedora 5.9 M texlive-messagepassing noarch 11:svn63116-69.fc39 fedora 279 k texlive-metafont x86_64 11:svn66186-77.fc39 fedora 268 k texlive-metalogo noarch 11:svn18611.0.12-69.fc39 fedora 16 k texlive-metalogox noarch 11:svn65448-69.fc39 fedora 391 k texlive-metanorma noarch 11:svn55010-69.fc39 fedora 102 k texlive-metastr noarch 11:svn56246-69.fc39 fedora 342 k texlive-method noarch 11:svn17485.2.0b-69.fc39 fedora 17 k texlive-metre noarch 11:svn18489.1.0-69.fc39 fedora 25 k texlive-mfirstuc noarch 11:svn64743-69.fc39 fedora 23 k texlive-mflogo noarch 11:svn42428-69.fc39 fedora 16 k texlive-mflogo-font noarch 11:svn54512-69.fc39 fedora 32 k texlive-mfnfss noarch 11:svn46036-69.fc39 fedora 17 k texlive-mftinc noarch 11:svn15878.1.0a-69.fc39 fedora 17 k texlive-mfware x86_64 11:svn66186-77.fc39 fedora 101 k texlive-mgltex noarch 11:svn63255-69.fc39 fedora 35 k texlive-mhchem noarch 11:svn61456-69.fc39 fedora 223 k texlive-mhequ noarch 11:svn64978-69.fc39 fedora 15 k texlive-mi-solns noarch 11:svn49651-69.fc39 fedora 466 k texlive-miama noarch 11:svn54512-69.fc39 fedora 558 k texlive-microtype noarch 11:svn66587-69.fc39 fedora 83 k texlive-midpage noarch 11:svn17484.1.1a-69.fc39 fedora 15 k texlive-miller noarch 11:svn18789.1.2-69.fc39 fedora 15 k texlive-milsymb noarch 11:svn54361-69.fc39 fedora 1.5 M texlive-mindflow noarch 11:svn65236-69.fc39 fedora 210 k texlive-minibox noarch 11:svn30914.0.2a-69.fc39 fedora 15 k texlive-minidocument noarch 11:svn43752-69.fc39 fedora 200 k texlive-minifp noarch 11:svn32559.0.96-69.fc39 fedora 27 k texlive-minimalist noarch 11:svn66434-69.fc39 fedora 32 k texlive-minipage-marginpar noarch 11:svn15878.v0.2-69.fc39 fedora 16 k texlive-miniplot noarch 11:svn17483.0-69.fc39 fedora 20 k texlive-minitoc noarch 11:svn61719-69.fc39 fedora 122 k texlive-minorrevision noarch 11:svn32165.1.1-69.fc39 fedora 17 k texlive-minted noarch 11:svn65252-69.fc39 fedora 27 k texlive-mintspirit noarch 11:svn64461-69.fc39 fedora 1.3 M texlive-minutes noarch 11:svn42186-69.fc39 fedora 20 k texlive-mismath noarch 11:svn66391-69.fc39 fedora 251 k texlive-missaali noarch 11:svn61719-69.fc39 fedora 14 M texlive-mkpic noarch 11:svn33700-77.fc39 fedora 215 k texlive-mla-paper noarch 11:svn54080-69.fc39 fedora 16 k texlive-mlacls noarch 11:svn60508-69.fc39 fedora 2.9 M texlive-mleftright noarch 11:svn53021-69.fc39 fedora 318 k texlive-mlist noarch 11:svn15878.0.6a-69.fc39 fedora 17 k texlive-mlmodern noarch 11:svn57458-69.fc39 fedora 7.5 M texlive-mluexercise noarch 11:svn56927-69.fc39 fedora 459 k texlive-mmap noarch 11:svn15878.1.03-69.fc39 fedora 49 k texlive-mnotes noarch 11:svn63406-69.fc39 fedora 19 k texlive-mnras noarch 11:svn55729-69.fc39 fedora 43 k texlive-mnsymbol noarch 11:svn18651.1.4-69.fc39 fedora 4.4 M texlive-modeles-factures-belges-assocs noarch 11:svn50010-69.fc39 fedora 272 k texlive-moderncv noarch 11:svn62128-69.fc39 fedora 65 k texlive-modernposter noarch 11:svn47269-69.fc39 fedora 393 k texlive-moderntimeline noarch 11:svn55518-69.fc39 fedora 19 k texlive-modes noarch 11:svn61719-69.fc39 fedora 306 k texlive-modiagram noarch 11:svn56886-69.fc39 fedora 26 k texlive-modref noarch 11:svn15878.1.0-69.fc39 fedora 17 k texlive-modroman noarch 11:svn29803.1-69.fc39 fedora 17 k texlive-modular noarch 11:svn44142-69.fc39 fedora 160 k texlive-monofill noarch 11:svn28140.0.2-69.fc39 fedora 21 k texlive-montserrat noarch 11:svn54512-69.fc39 fedora 14 M texlive-moodle noarch 11:svn65672-69.fc39 fedora 54 k texlive-moreenum noarch 11:svn24479.1.03-69.fc39 fedora 20 k texlive-morefloats noarch 11:svn37927.1.0h-69.fc39 fedora 22 k texlive-morehype noarch 11:svn38815-69.fc39 fedora 80 k texlive-moresize noarch 11:svn17513.1.9-69.fc39 fedora 16 k texlive-moreverb noarch 11:svn22126.2.3a-69.fc39 fedora 16 k texlive-morewrites noarch 11:svn49531-69.fc39 fedora 22 k texlive-movie15 noarch 11:svn26473-69.fc39 fedora 512 k texlive-mparhack noarch 11:svn59066-69.fc39 fedora 18 k texlive-mpfonts noarch 11:svn54512-69.fc39 fedora 6.0 M texlive-mpostinl noarch 11:svn49559-69.fc39 fedora 342 k texlive-mptopdf noarch 11:svn65952-77.fc39 fedora 52 k texlive-ms noarch 11:svn57473-69.fc39 fedora 17 k texlive-msc noarch 11:svn63291-69.fc39 fedora 34 k texlive-msg noarch 11:svn49578-69.fc39 fedora 22 k texlive-mslapa noarch 11:svn54080-69.fc39 fedora 20 k texlive-msu-thesis noarch 11:svn65462-69.fc39 fedora 29 k texlive-mtgreek noarch 11:svn17967.1.1+-69.fc39 fedora 16 k texlive-mucproc noarch 11:svn43445-69.fc39 fedora 347 k texlive-mugsthesis noarch 11:svn64259-69.fc39 fedora 20 k texlive-muling noarch 11:svn61719-69.fc39 fedora 159 k texlive-multenum noarch 11:svn21775.0-69.fc39 fedora 16 k texlive-multiaudience noarch 11:svn60688-69.fc39 fedora 18 k texlive-multibbl noarch 11:svn15878.v1.1-69.fc39 fedora 16 k texlive-multibib noarch 11:svn15878.1.4-69.fc39 fedora 31 k texlive-multibibliography noarch 11:svn30939-77.fc39 fedora 466 k texlive-multicap noarch 11:svn15878.0-69.fc39 fedora 16 k texlive-multicolrule noarch 11:svn56366-69.fc39 fedora 586 k texlive-multidef noarch 11:svn40637-69.fc39 fedora 19 k texlive-multido noarch 11:svn18302.1.42-69.fc39 fedora 18 k texlive-multienv noarch 11:svn64967-69.fc39 fedora 18 k texlive-multiexpand noarch 11:svn45943-69.fc39 fedora 18 k texlive-multifootnote noarch 11:svn63456-69.fc39 fedora 113 k texlive-multilang noarch 11:svn49065-69.fc39 fedora 420 k texlive-multiobjective noarch 11:svn15878.1.0-69.fc39 fedora 15 k texlive-multiple-choice noarch 11:svn63722-69.fc39 fedora 146 k texlive-multirow noarch 11:svn58396-69.fc39 fedora 17 k texlive-munich noarch 11:svn15878.0-69.fc39 fedora 21 k texlive-musuos noarch 11:svn24857.1.1d-69.fc39 fedora 18 k texlive-muthesis noarch 11:svn23861.0-69.fc39 fedora 22 k texlive-mversion noarch 11:svn29370.1.0.1-69.fc39 fedora 17 k texlive-mwe noarch 11:svn64967-69.fc39 fedora 841 k texlive-mweights noarch 11:svn53520-69.fc39 fedora 15 k texlive-mycv noarch 11:svn26807.1.5.6-69.fc39 fedora 26 k texlive-mylatex noarch 11:svn56751-69.fc39 fedora 21 k texlive-mylatexformat noarch 11:svn21392.3.4-69.fc39 fedora 21 k texlive-mynsfc noarch 11:svn60280-69.fc39 fedora 20 k texlive-nag noarch 11:svn24741.0.7-69.fc39 fedora 22 k texlive-naive-ebnf noarch 11:svn66017-69.fc39 fedora 556 k texlive-nameauth noarch 11:svn65738-69.fc39 fedora 24 k texlive-namedtensor noarch 11:svn65346-69.fc39 fedora 13 k texlive-namespc noarch 11:svn15878.0-69.fc39 fedora 15 k texlive-nar noarch 11:svn38100.3.19-69.fc39 fedora 17 k texlive-natbib noarch 11:svn20668.8.31b-69.fc39 fedora 31 k texlive-natded noarch 11:svn32693.0.1-69.fc39 fedora 20 k texlive-nath noarch 11:svn15878.0-69.fc39 fedora 37 k texlive-nature noarch 11:svn21819.1.0-69.fc39 fedora 20 k texlive-navydocs noarch 11:svn41643-69.fc39 fedora 1.0 M texlive-ncclatex noarch 11:svn15878.1.5-69.fc39 fedora 41 k texlive-ncctools noarch 11:svn51810-69.fc39 fedora 47 k texlive-nchairx noarch 11:svn60196-69.fc39 fedora 681 k texlive-ncntrsbk noarch 11:svn61719-69.fc39 fedora 363 k texlive-nddiss noarch 11:svn45107-69.fc39 fedora 26 k texlive-ndsu-thesis noarch 11:svn46639-69.fc39 fedora 20 k texlive-ndsu-thesis-2022 noarch 11:svn63881-69.fc39 fedora 1.5 M texlive-needspace noarch 11:svn29601.1.3d-69.fc39 fedora 15 k texlive-nestquot noarch 11:svn27323.0-69.fc39 fedora 13 k texlive-neuralnetwork noarch 11:svn31500.1.0-69.fc39 fedora 19 k texlive-newcastle-bst noarch 11:svn62856-69.fc39 fedora 160 k texlive-newcommand-doc noarch 11:svn18704.2.0-69.fc39 fedora 409 k texlive-newcomputermodern noarch 11:svn66327-69.fc39 fedora 20 M texlive-newenviron noarch 11:svn29331.1.0-69.fc39 fedora 19 k texlive-newfile noarch 11:svn15878.1.0c-69.fc39 fedora 16 k texlive-newfloat noarch 11:svn52906-69.fc39 fedora 120 k texlive-newlfm noarch 11:svn15878.9.4-69.fc39 fedora 37 k texlive-newpx noarch 11:svn61806-69.fc39 fedora 3.1 M texlive-newspaper noarch 11:svn15878.1.0-69.fc39 fedora 16 k texlive-newtx noarch 11:svn62369-69.fc39 fedora 6.5 M texlive-newtxsf noarch 11:svn59227-69.fc39 fedora 126 k texlive-newtxtt noarch 11:svn54512-69.fc39 fedora 180 k texlive-newunicodechar noarch 11:svn47382-69.fc39 fedora 19 k texlive-newvbtm noarch 11:svn23996.1.1-69.fc39 fedora 17 k texlive-newverbs noarch 11:svn64833-69.fc39 fedora 20 k texlive-nextpage noarch 11:svn15878.1.1a-69.fc39 fedora 15 k texlive-nfssext-cfr noarch 11:svn43640-69.fc39 fedora 22 k texlive-nicefilelist noarch 11:svn65842-69.fc39 fedora 25 k texlive-niceframe noarch 11:svn36086.1.1c-69.fc39 fedora 52 k texlive-niceframe-type1 noarch 11:svn44671-69.fc39 fedora 287 k texlive-nicematrix noarch 11:svn66461-69.fc39 fedora 1.8 M texlive-nicetext noarch 11:svn38914-69.fc39 fedora 76 k texlive-nidanfloat noarch 11:svn48295-69.fc39 fedora 235 k texlive-nih noarch 11:svn15878.0-69.fc39 fedora 17 k texlive-nihbiosketch noarch 11:svn54191-69.fc39 fedora 19 k texlive-nimbus15 noarch 11:svn58839-69.fc39 fedora 3.9 M texlive-ninecolors noarch 11:svn62006-69.fc39 fedora 67 k texlive-njustthesis noarch 11:svn62451-69.fc39 fedora 231 k texlive-njuthesis noarch 11:svn65546-69.fc39 fedora 1.2 M texlive-njuvisual noarch 11:svn65261-69.fc39 fedora 920 k texlive-nkarta noarch 11:svn16437.0.2-69.fc39 fedora 41 k texlive-nl-interval noarch 11:svn58328-69.fc39 fedora 81 k texlive-nlctdoc noarch 11:svn64708-69.fc39 fedora 38 k texlive-nmbib noarch 11:svn37984.1.04-69.fc39 fedora 26 k texlive-nndraw noarch 11:svn59674-69.fc39 fedora 119 k texlive-noconflict noarch 11:svn30140.1.0-69.fc39 fedora 18 k texlive-noindentafter noarch 11:svn59195-69.fc39 fedora 18 k texlive-noitcrul noarch 11:svn15878.0.2-69.fc39 fedora 15 k texlive-nolbreaks noarch 11:svn26786.1.2-69.fc39 fedora 14 k texlive-nomencl noarch 11:svn61029-69.fc39 fedora 18 k texlive-nomentbl noarch 11:svn16549.0.4-69.fc39 fedora 17 k texlive-nonfloat noarch 11:svn17598.1.0-69.fc39 fedora 13 k texlive-nonumonpart noarch 11:svn22114.1-69.fc39 fedora 16 k texlive-nopageno noarch 11:svn18128.0-69.fc39 fedora 15 k texlive-norasi-c90 noarch 11:svn60831-69.fc39 fedora 22 k texlive-normalcolor noarch 11:svn40125-69.fc39 fedora 18 k texlive-nostarch noarch 11:svn15878.1.3-69.fc39 fedora 23 k texlive-notes noarch 11:svn42428-69.fc39 fedora 22 k texlive-notes2bib noarch 11:svn52231-69.fc39 fedora 18 k texlive-notespages noarch 11:svn41906-69.fc39 fedora 883 k texlive-notestex noarch 11:svn45396-69.fc39 fedora 545 k texlive-notex-bst noarch 11:svn42361-69.fc39 fedora 15 k texlive-noto noarch 11:svn64351-69.fc39 fedora 28 M texlive-noto-emoji noarch 11:svn62950-69.fc39 fedora 8.9 M texlive-notoccite noarch 11:svn18129.0-69.fc39 fedora 13 k texlive-notomath noarch 11:svn58726-69.fc39 fedora 648 k texlive-novel noarch 11:svn66628-69.fc39 fedora 4.7 M texlive-nowidow noarch 11:svn24066.1.0-69.fc39 fedora 18 k texlive-nox noarch 11:svn30991.1.0-69.fc39 fedora 18 k texlive-nrc noarch 11:svn29027.2.01a-69.fc39 fedora 29 k texlive-ntgclass noarch 11:svn65522-69.fc39 fedora 34 k texlive-nth noarch 11:svn54252-69.fc39 fedora 12 k texlive-ntheorem noarch 11:svn27609.1.33-69.fc39 fedora 24 k texlive-nuc noarch 11:svn22256.0.1-69.fc39 fedora 16 k texlive-nucleardata noarch 11:svn47307-69.fc39 fedora 151 k texlive-numberedblock noarch 11:svn33109.1.10-69.fc39 fedora 19 k texlive-numerica noarch 11:svn61283-69.fc39 fedora 1.0 M texlive-numerica-plus noarch 11:svn61289-69.fc39 fedora 575 k texlive-numerica-tables noarch 11:svn61288-69.fc39 fedora 586 k texlive-numericplots noarch 11:svn31729.2.0.2-69.fc39 fedora 32 k texlive-numname noarch 11:svn18130.0-69.fc39 fedora 17 k texlive-numprint noarch 11:svn27498.1.39-69.fc39 fedora 25 k texlive-numspell noarch 11:svn61132-69.fc39 fedora 293 k texlive-nunito noarch 11:svn57429-69.fc39 fedora 4.8 M texlive-nwafuthesis noarch 11:svn63438-69.fc39 fedora 2.2 M texlive-nwejm noarch 11:svn64462-69.fc39 fedora 3.9 M texlive-oberdiek noarch 11:svn65521-77.fc39 fedora 7.7 M texlive-objectz noarch 11:svn61719-69.fc39 fedora 24 k texlive-obnov noarch 11:svn33355.0.11-69.fc39 fedora 88 k texlive-ocg-p noarch 11:svn28803.0.4-69.fc39 fedora 18 k texlive-ocgx noarch 11:svn54512-69.fc39 fedora 16 k texlive-ocgx2 noarch 11:svn65292-69.fc39 fedora 34 k texlive-ocherokee noarch 11:svn25689.0-69.fc39 fedora 93 k texlive-ocr-b noarch 11:svn20852.0-69.fc39 fedora 28 k texlive-ocr-b-outline noarch 11:svn20969.0-69.fc39 fedora 249 k texlive-ocr-latex noarch 11:svn15878.0-69.fc39 fedora 18 k texlive-octavo noarch 11:svn15878.1.2-69.fc39 fedora 21 k texlive-ogham noarch 11:svn24876.0-69.fc39 fedora 13 k texlive-oinuit noarch 11:svn28668.0-69.fc39 fedora 169 k texlive-old-arrows noarch 11:svn42872-69.fc39 fedora 64 k texlive-oldlatin noarch 11:svn17932.1.00-69.fc39 fedora 79 k texlive-oldstandard noarch 11:svn64464-69.fc39 fedora 1.8 M texlive-oldstyle noarch 11:svn15878.0.2-69.fc39 fedora 16 k texlive-onlyamsmath noarch 11:svn42927-69.fc39 fedora 15 k texlive-onrannual noarch 11:svn17474.1.1-69.fc39 fedora 20 k texlive-opcit noarch 11:svn15878.1.1-69.fc39 fedora 21 k texlive-opencolor noarch 11:svn66363-69.fc39 fedora 206 k texlive-opensans noarch 11:svn54512-69.fc39 fedora 2.4 M texlive-oplotsymbl noarch 11:svn44951-69.fc39 fedora 244 k texlive-opteng noarch 11:svn27331.1.0-69.fc39 fedora 20 k texlive-optidef noarch 11:svn50941-69.fc39 fedora 22 k texlive-optional noarch 11:svn18131.2.2b-69.fc39 fedora 17 k texlive-options noarch 11:svn39030-69.fc39 fedora 28 k texlive-orcidlink noarch 11:svn59560-69.fc39 fedora 187 k texlive-orientation noarch 11:svn57390-69.fc39 fedora 12 k texlive-orkhun noarch 11:svn15878.0-69.fc39 fedora 18 k texlive-oscola noarch 11:svn54328-69.fc39 fedora 40 k texlive-oswald noarch 11:svn60784-69.fc39 fedora 1.0 M texlive-ot-tableau noarch 11:svn59318-69.fc39 fedora 17 k texlive-oubraces noarch 11:svn21833.0-69.fc39 fedora 12 k texlive-oup-authoring-template noarch 11:svn64491-69.fc39 fedora 349 k texlive-outilsgeomtikz noarch 11:svn66461-69.fc39 fedora 394 k texlive-outline noarch 11:svn18360.0-69.fc39 fedora 15 k texlive-outliner noarch 11:svn21095.0.94-69.fc39 fedora 19 k texlive-outlines noarch 11:svn25192.1.1-69.fc39 fedora 16 k texlive-outlining noarch 11:svn45601-69.fc39 fedora 153 k texlive-overarrows noarch 11:svn65853-69.fc39 fedora 550 k texlive-overlays noarch 11:svn57866-69.fc39 fedora 120 k texlive-overlock noarch 11:svn64495-69.fc39 fedora 684 k texlive-overpic noarch 11:svn53889-69.fc39 fedora 16 k texlive-pacioli noarch 11:svn24947.0-69.fc39 fedora 31 k texlive-padcount noarch 11:svn47621-69.fc39 fedora 155 k texlive-pagecolor noarch 11:svn65843-69.fc39 fedora 20 k texlive-pagecont noarch 11:svn15878.1.0-69.fc39 fedora 15 k texlive-pagegrid noarch 11:svn64470-69.fc39 fedora 338 k texlive-pagelayout x86_64 11:svn66392-77.fc39 fedora 4.2 M texlive-pagella-otf noarch 11:svn64705-69.fc39 fedora 305 k texlive-pagenote noarch 11:svn63708-69.fc39 fedora 19 k texlive-pagerange noarch 11:svn16915.0.5-69.fc39 fedora 18 k texlive-pagesel noarch 11:svn56105-69.fc39 fedora 340 k texlive-pageslts noarch 11:svn39164-69.fc39 fedora 26 k texlive-palatino noarch 11:svn61719-69.fc39 fedora 423 k texlive-palette noarch 11:svn60119-69.fc39 fedora 193 k texlive-pangram noarch 11:svn66300-69.fc39 fedora 117 k texlive-paper noarch 11:svn34521.1.0l-69.fc39 fedora 24 k texlive-papercdcase noarch 11:svn15878.0-69.fc39 fedora 18 k texlive-papermas noarch 11:svn23667.1.0h-69.fc39 fedora 20 k texlive-papertex noarch 11:svn19230.1.2b-69.fc39 fedora 20 k texlive-paracol noarch 11:svn49560-69.fc39 fedora 33 k texlive-parades noarch 11:svn40042-69.fc39 fedora 18 k texlive-paralist noarch 11:svn43021-69.fc39 fedora 18 k texlive-parallel noarch 11:svn15878.0-69.fc39 fedora 18 k texlive-paratype noarch 11:svn32859.0-69.fc39 fedora 4.6 M texlive-paresse noarch 11:svn59228-69.fc39 fedora 18 k texlive-parnotes noarch 11:svn51720-69.fc39 fedora 20 k texlive-parsa noarch 11:svn54840-69.fc39 fedora 149 k texlive-parselines noarch 11:svn21475.1.4-69.fc39 fedora 19 k texlive-parskip noarch 11:svn58358-69.fc39 fedora 17 k texlive-pas-cours noarch 11:svn55859-69.fc39 fedora 16 k texlive-pas-cv noarch 11:svn32263.2.01-69.fc39 fedora 26 k texlive-pas-tableur noarch 11:svn39542-69.fc39 fedora 17 k texlive-pascaltriangle noarch 11:svn61774-69.fc39 fedora 180 k texlive-patchcmd noarch 11:svn41379-69.fc39 fedora 13 k texlive-path noarch 11:svn22045.3.05-69.fc39 fedora 16 k texlive-pauldoc noarch 11:svn16005.0.5-69.fc39 fedora 16 k texlive-pawpict noarch 11:svn21629.1.0-69.fc39 fedora 16 k texlive-pax noarch 11:svn63509-77.fc39 fedora 5.0 M texlive-pb-diagram noarch 11:svn15878.5.0-69.fc39 fedora 27 k texlive-pbalance noarch 11:svn64002-69.fc39 fedora 71 k texlive-pbox noarch 11:svn24807.1.2-69.fc39 fedora 18 k texlive-pbsheet noarch 11:svn24830.0.1-69.fc39 fedora 20 k texlive-pdf14 noarch 11:svn17583.0.1-69.fc39 fedora 18 k texlive-pdfcol noarch 11:svn64469-69.fc39 fedora 327 k texlive-pdfcolfoot noarch 11:svn65512-69.fc39 fedora 319 k texlive-pdfcolmk noarch 11:svn52912-69.fc39 fedora 18 k texlive-pdfcomment noarch 11:svn49047-69.fc39 fedora 28 k texlive-pdfcprot noarch 11:svn18735.1.7a-69.fc39 fedora 21 k texlive-pdfcrop noarch 11:svn55435-77.fc39 fedora 32 k texlive-pdfescape noarch 11:svn53082-69.fc39 fedora 353 k texlive-pdflscape noarch 11:svn64851-69.fc39 fedora 324 k texlive-pdfmanagement-testphase noarch 11:svn66532-69.fc39 fedora 8.3 M texlive-pdfmarginpar noarch 11:svn23492.0.92-69.fc39 fedora 18 k texlive-pdfoverlay noarch 11:svn64210-69.fc39 fedora 568 k texlive-pdfpagediff noarch 11:svn37946.1.4-69.fc39 fedora 17 k texlive-pdfpages noarch 11:svn65319-69.fc39 fedora 32 k texlive-pdfpc noarch 11:svn63866-69.fc39 fedora 205 k texlive-pdfpc-movie noarch 11:svn48245-69.fc39 fedora 92 k texlive-pdfprivacy noarch 11:svn45985-69.fc39 fedora 269 k texlive-pdfreview noarch 11:svn50100-69.fc39 fedora 584 k texlive-pdfscreen noarch 11:svn42428-69.fc39 fedora 100 k texlive-pdfslide noarch 11:svn15878.0-69.fc39 fedora 59 k texlive-pdfsync noarch 11:svn20373.0-69.fc39 fedora 18 k texlive-pdftex x86_64 11:svn66243-77.fc39 fedora 2.5 M texlive-pdftexcmds noarch 11:svn55777-69.fc39 fedora 391 k texlive-pdfwin noarch 11:svn54074-69.fc39 fedora 27 k texlive-pdfx noarch 11:svn50338-69.fc39 fedora 120 k texlive-pecha noarch 11:svn15878.0.1-69.fc39 fedora 26 k texlive-penrose noarch 11:svn57508-69.fc39 fedora 962 k texlive-perception noarch 11:svn48861-69.fc39 fedora 20 k texlive-perfectcut noarch 11:svn54080-69.fc39 fedora 22 k texlive-perltex noarch 11:svn52162-77.fc39 fedora 287 k texlive-permute noarch 11:svn15878.0-69.fc39 fedora 17 k texlive-petiteannonce noarch 11:svn25915.1.0001-69.fc39 fedora 17 k texlive-petri-nets noarch 11:svn39165-77.fc39 fedora 208 k texlive-pfdicons noarch 11:svn60089-69.fc39 fedora 959 k texlive-pgf noarch 11:svn65553-69.fc39 fedora 897 k texlive-pgf-blur noarch 11:svn54512-69.fc39 fedora 17 k texlive-pgf-interference noarch 11:svn61562-69.fc39 fedora 743 k texlive-pgf-periodictable noarch 11:svn66010-69.fc39 fedora 5.3 M texlive-pgf-pie noarch 11:svn63603-69.fc39 fedora 242 k texlive-pgf-soroban noarch 11:svn32269.1.1-69.fc39 fedora 16 k texlive-pgf-spectra noarch 11:svn66011-69.fc39 fedora 289 k texlive-pgf-umlcd noarch 11:svn63386-69.fc39 fedora 19 k texlive-pgf-umlsd noarch 11:svn55342-69.fc39 fedora 19 k texlive-pgfgantt noarch 11:svn52662-69.fc39 fedora 25 k texlive-pgfkeyx noarch 11:svn26093.0.0.1-69.fc39 fedora 24 k texlive-pgfmath-xfp noarch 11:svn59268-69.fc39 fedora 268 k texlive-pgfmolbio noarch 11:svn35152.0.21-69.fc39 fedora 32 k texlive-pgfmorepages noarch 11:svn54770-69.fc39 fedora 84 k texlive-pgfopts noarch 11:svn56615-69.fc39 fedora 19 k texlive-pgfornament noarch 11:svn55326-69.fc39 fedora 730 k texlive-pgfplots noarch 11:svn61719-69.fc39 fedora 600 k texlive-phaistos noarch 11:svn18651.1.0-69.fc39 fedora 196 k texlive-phfcc noarch 11:svn60731-69.fc39 fedora 416 k texlive-phfextendedabstract noarch 11:svn60732-69.fc39 fedora 293 k texlive-phffullpagefigure noarch 11:svn41857-69.fc39 fedora 255 k texlive-phfnote noarch 11:svn60733-69.fc39 fedora 765 k texlive-phfparen noarch 11:svn41859-69.fc39 fedora 316 k texlive-phfqit noarch 11:svn60734-69.fc39 fedora 463 k texlive-phfquotetext noarch 11:svn41869-69.fc39 fedora 212 k texlive-phfsvnwatermark noarch 11:svn41870-69.fc39 fedora 218 k texlive-phfthm noarch 11:svn60735-69.fc39 fedora 404 k texlive-philex noarch 11:svn36396.1.3-69.fc39 fedora 19 k texlive-philosophersimprint noarch 11:svn56954-69.fc39 fedora 19 k texlive-phonenumbers noarch 11:svn63774-69.fc39 fedora 1.2 M texlive-phonetic noarch 11:svn56468-69.fc39 fedora 48 k texlive-photo noarch 11:svn18739.0-69.fc39 fedora 17 k texlive-photobook noarch 11:svn66629-69.fc39 fedora 190 k texlive-physconst noarch 11:svn58727-69.fc39 fedora 226 k texlive-physics noarch 11:svn28590.1.3-69.fc39 fedora 20 k texlive-physics2 noarch 11:svn66115-69.fc39 fedora 217 k texlive-physunits noarch 11:svn58728-69.fc39 fedora 120 k texlive-picinpar noarch 11:svn65097-69.fc39 fedora 21 k texlive-pict2e noarch 11:svn56504-69.fc39 fedora 24 k texlive-pictex noarch 11:svn59551-69.fc39 fedora 57 k texlive-pictex2 noarch 11:svn15878.0-69.fc39 fedora 18 k texlive-picture noarch 11:svn54867-69.fc39 fedora 312 k texlive-piff noarch 11:svn21894.0-69.fc39 fedora 14 k texlive-pigpen noarch 11:svn15878.0.2-69.fc39 fedora 23 k texlive-pinlabel noarch 11:svn24769.1.2-69.fc39 fedora 25 k texlive-pinoutikz noarch 11:svn55966-69.fc39 fedora 93 k texlive-pittetd noarch 11:svn15878.1.618-69.fc39 fedora 23 k texlive-pixelart noarch 11:svn66012-69.fc39 fedora 394 k texlive-pixelarttikz noarch 11:svn65649-69.fc39 fedora 810 k texlive-pkgloader noarch 11:svn47486-69.fc39 fedora 28 k texlive-pkuthss noarch 11:svn64869-69.fc39 fedora 30 k texlive-pl noarch 11:svn58661-69.fc39 fedora 2.0 M texlive-placeins noarch 11:svn19848.2.2-69.fc39 fedora 13 k texlive-plain noarch 11:svn57963-69.fc39 fedora 48 k texlive-plainpkg noarch 11:svn27765.0.4a-69.fc39 fedora 20 k texlive-plainyr noarch 11:svn52783-69.fc39 fedora 15 k texlive-plantslabels noarch 11:svn29803.1.0-69.fc39 fedora 15 k texlive-plates noarch 11:svn15878.0.1-69.fc39 fedora 20 k texlive-platex noarch 11:svn66186-69.fc39 fedora 69 k texlive-platex-tools noarch 11:svn66185-69.fc39 fedora 589 k texlive-playfair noarch 11:svn64857-69.fc39 fedora 1.8 M texlive-plex noarch 11:svn64496-69.fc39 fedora 11 M texlive-plex-otf noarch 11:svn47562-69.fc39 fedora 556 k texlive-plimsoll noarch 11:svn56605-69.fc39 fedora 231 k texlive-plweb noarch 11:svn15878.3.0-69.fc39 fedora 15 k texlive-pm-isomath noarch 11:svn60368-69.fc39 fedora 681 k texlive-pmboxdraw noarch 11:svn53046-69.fc39 fedora 602 k texlive-pmgraph noarch 11:svn15878.1.0-69.fc39 fedora 25 k texlive-pnas2009 noarch 11:svn16287.1.0-69.fc39 fedora 15 k texlive-poiretone noarch 11:svn64856-69.fc39 fedora 218 k texlive-polexpr noarch 11:svn63337-69.fc39 fedora 177 k texlive-polski noarch 11:svn60322-69.fc39 fedora 27 k texlive-poltawski noarch 11:svn20075.1.101-69.fc39 fedora 7.0 M texlive-polyglossia noarch 11:svn65792-69.fc39 fedora 220 k texlive-polynom noarch 11:svn44832-69.fc39 fedora 25 k texlive-polynomial noarch 11:svn15878.1.0-69.fc39 fedora 16 k texlive-polytable noarch 11:svn55837-69.fc39 fedora 20 k texlive-postage noarch 11:svn55920-69.fc39 fedora 319 k texlive-postcards noarch 11:svn21641.0-69.fc39 fedora 16 k texlive-poster-mac noarch 11:svn18305.1.1-69.fc39 fedora 17 k texlive-postnotes noarch 11:svn66019-69.fc39 fedora 992 k texlive-powerdot noarch 11:svn59272-69.fc39 fedora 51 k texlive-powerdot-fuberlin noarch 11:svn52922-69.fc39 fedora 291 k texlive-powerdot-tuliplab noarch 11:svn47963-69.fc39 fedora 1.3 M texlive-ppr-prv noarch 11:svn15878.0.13c-69.fc39 fedora 20 k texlive-ppt-slides noarch 11:svn65194-69.fc39 fedora 782 k texlive-pracjourn noarch 11:svn61719-69.fc39 fedora 20 k texlive-practicalreports noarch 11:svn52312-69.fc39 fedora 201 k texlive-precattl noarch 11:svn63967-69.fc39 fedora 389 k texlive-prelim2e noarch 11:svn57000-69.fc39 fedora 433 k texlive-preprint noarch 11:svn30447.2011-69.fc39 fedora 18 k texlive-prerex noarch 11:svn54512-69.fc39 fedora 24 k texlive-pressrelease noarch 11:svn35147.1.0-69.fc39 fedora 21 k texlive-prettyref noarch 11:svn15878.3.0-69.fc39 fedora 12 k texlive-prettytok noarch 11:svn63842-69.fc39 fedora 384 k texlive-prftree noarch 11:svn54080-69.fc39 fedora 27 k texlive-principia noarch 11:svn58927-69.fc39 fedora 280 k texlive-printlen noarch 11:svn19847.1.1a-69.fc39 fedora 16 k texlive-proba noarch 11:svn15878.0-69.fc39 fedora 16 k texlive-probsoln noarch 11:svn44783-69.fc39 fedora 21 k texlive-prociagssymp noarch 11:svn63242-69.fc39 fedora 17 k texlive-prodint noarch 11:svn21893.0-69.fc39 fedora 16 k texlive-productbox noarch 11:svn20886.1.1-69.fc39 fedora 16 k texlive-program noarch 11:svn44214-69.fc39 fedora 33 k texlive-progress noarch 11:svn19519.1.10-69.fc39 fedora 18 k texlive-progressbar noarch 11:svn33822.v1.0b_4-69.fc39 fedora 16 k texlive-projlib noarch 11:svn65475-69.fc39 fedora 49 k texlive-proof-at-the-end noarch 11:svn64188-69.fc39 fedora 473 k texlive-proofread noarch 11:svn61719-69.fc39 fedora 20 k texlive-prooftrees noarch 11:svn52221-69.fc39 fedora 27 k texlive-properties noarch 11:svn15878.0.2-69.fc39 fedora 15 k texlive-proposal noarch 11:svn40538-69.fc39 fedora 26 k texlive-prosper noarch 11:svn33033.1.0h-69.fc39 fedora 131 k texlive-protex noarch 11:svn41633-69.fc39 fedora 28 k texlive-protocol noarch 11:svn25562.1.13-69.fc39 fedora 19 k texlive-prtec noarch 11:svn51919-69.fc39 fedora 373 k texlive-pseudo noarch 11:svn66638-69.fc39 fedora 1.0 M texlive-pseudocode noarch 11:svn54080-69.fc39 fedora 16 k texlive-psfrag noarch 11:svn15878.3.04-69.fc39 fedora 15 k texlive-psfragx noarch 11:svn26243.1.1-69.fc39 fedora 18 k texlive-pslatex noarch 11:svn57434-69.fc39 fedora 22 k texlive-psnfss noarch 11:svn54694-69.fc39 fedora 60 k texlive-pspicture noarch 11:svn15878.0-69.fc39 fedora 16 k texlive-pst-3d noarch 11:svn17257.1.10-69.fc39 fedora 18 k texlive-pst-blur noarch 11:svn15878.2.0-69.fc39 fedora 17 k texlive-pst-coil noarch 11:svn62977-69.fc39 fedora 18 k texlive-pst-eps noarch 11:svn15878.1.0-69.fc39 fedora 17 k texlive-pst-fill noarch 11:svn60671-69.fc39 fedora 18 k texlive-pst-grad noarch 11:svn15878.1.06-69.fc39 fedora 18 k texlive-pst-math noarch 11:svn64732-69.fc39 fedora 20 k texlive-pst-node noarch 11:svn61838-69.fc39 fedora 40 k texlive-pst-ovl noarch 11:svn54963-69.fc39 fedora 16 k texlive-pst-plot noarch 11:svn65346-69.fc39 fedora 37 k texlive-pst-slpe noarch 11:svn24391.1.31-69.fc39 fedora 18 k texlive-pst-text noarch 11:svn49542-69.fc39 fedora 19 k texlive-pst-tools noarch 11:svn60621-69.fc39 fedora 21 k texlive-pst-tree noarch 11:svn60421-69.fc39 fedora 21 k texlive-pstool noarch 11:svn46393-69.fc39 fedora 21 k texlive-pstricks noarch 11:svn65346-69.fc39 fedora 117 k texlive-pstricks-add noarch 11:svn65067-69.fc39 fedora 37 k texlive-pstring noarch 11:svn42857-69.fc39 fedora 139 k texlive-ptex x86_64 11:svn66186-77.fc39 fedora 629 k texlive-ptex-base noarch 11:svn64072-69.fc39 fedora 23 k texlive-ptex-fonts noarch 11:svn64330-69.fc39 fedora 67 k texlive-ptolemaicastronomy noarch 11:svn50810-69.fc39 fedora 257 k texlive-ptptex noarch 11:svn19440.0.91-69.fc39 fedora 24 k texlive-punk noarch 11:svn27388.0-69.fc39 fedora 24 k texlive-punk-latex noarch 11:svn27389.1.1-69.fc39 fedora 17 k texlive-punknova noarch 11:svn24649.1.003-69.fc39 fedora 893 k texlive-puyotikz noarch 11:svn57254-69.fc39 fedora 210 k texlive-pxfonts noarch 11:svn15878.0-69.fc39 fedora 523 k texlive-pxgreeks noarch 11:svn21838.1.0-69.fc39 fedora 19 k texlive-pxpgfmark noarch 11:svn30212.0.2-69.fc39 fedora 12 k texlive-pxpic noarch 11:svn65803-69.fc39 fedora 368 k texlive-pxtxalfa noarch 11:svn60847-69.fc39 fedora 33 k texlive-pygmentex noarch 11:svn64131-77.fc39 fedora 717 k texlive-python noarch 11:svn60162-69.fc39 fedora 17 k texlive-pythonhighlight noarch 11:svn43191-69.fc39 fedora 15 k texlive-pythonimmediate noarch 11:svn66461-69.fc39 fedora 446 k texlive-pythontex noarch 11:svn59514-77.fc39 fedora 1.6 M texlive-qcircuit noarch 11:svn48400-69.fc39 fedora 21 k texlive-qcm noarch 11:svn63833-69.fc39 fedora 18 k texlive-qrbill noarch 11:svn66301-69.fc39 fedora 125 k texlive-qrcode noarch 11:svn36065.1.51-69.fc39 fedora 36 k texlive-qsharp noarch 11:svn49722-69.fc39 fedora 19 k texlive-qstest noarch 11:svn15878.0-69.fc39 fedora 19 k texlive-qsymbols noarch 11:svn15878.0-69.fc39 fedora 23 k texlive-qtree noarch 11:svn15878.3.1b-69.fc39 fedora 22 k texlive-qualitype noarch 11:svn54512-69.fc39 fedora 2.5 M texlive-quantikz noarch 11:svn54911-69.fc39 fedora 465 k texlive-quantumarticle noarch 11:svn65242-69.fc39 fedora 1.3 M texlive-quattrocento noarch 11:svn64372-69.fc39 fedora 822 k texlive-quicktype noarch 11:svn42183-69.fc39 fedora 194 k texlive-quiz2socrative noarch 11:svn52276-69.fc39 fedora 227 k texlive-quotchap noarch 11:svn56926-69.fc39 fedora 18 k texlive-quoting noarch 11:svn32818.v0.1c-69.fc39 fedora 19 k texlive-quotmark noarch 11:svn15878.1.0-69.fc39 fedora 32 k texlive-ragged2e noarch 11:svn66152-69.fc39 fedora 682 k texlive-raleway noarch 11:svn42629-69.fc39 fedora 2.6 M texlive-ran_toks noarch 11:svn59515-69.fc39 fedora 20 k texlive-randbild noarch 11:svn15878.0.2-69.fc39 fedora 16 k texlive-random noarch 11:svn54723-69.fc39 fedora 89 k texlive-randomwalk noarch 11:svn49513-69.fc39 fedora 16 k texlive-randtext noarch 11:svn15878.0-69.fc39 fedora 17 k texlive-rank-2-roots noarch 11:svn61719-69.fc39 fedora 410 k texlive-rbt-mathnotes noarch 11:svn61193-69.fc39 fedora 234 k texlive-rccol noarch 11:svn15878.1.2c-69.fc39 fedora 13 k texlive-rcs noarch 11:svn15878.0-69.fc39 fedora 28 k texlive-rcs-multi noarch 11:svn64967-69.fc39 fedora 17 k texlive-rcsinfo noarch 11:svn15878.1.11-69.fc39 fedora 17 k texlive-readablecv noarch 11:svn61719-69.fc39 fedora 223 k texlive-readarray noarch 11:svn60540-69.fc39 fedora 22 k texlive-realboxes noarch 11:svn64967-69.fc39 fedora 20 k texlive-realhats noarch 11:svn63595-69.fc39 fedora 1.8 M texlive-realscripts noarch 11:svn56594-69.fc39 fedora 19 k texlive-rec-thy noarch 11:svn63982-69.fc39 fedora 27 k texlive-recipe noarch 11:svn54080-69.fc39 fedora 13 k texlive-recipebook noarch 11:svn37026.0-69.fc39 fedora 21 k texlive-recipecard noarch 11:svn15878.2.0-69.fc39 fedora 17 k texlive-rectopma noarch 11:svn19980.0-69.fc39 fedora 16 k texlive-recycle noarch 11:svn15878.0-69.fc39 fedora 26 k texlive-refcheck noarch 11:svn29128.1.9.1-69.fc39 fedora 19 k texlive-refcount noarch 11:svn53164-69.fc39 fedora 335 k texlive-refenums noarch 11:svn44131-69.fc39 fedora 19 k texlive-reflectgraphics noarch 11:svn40612-69.fc39 fedora 19 k texlive-refman noarch 11:svn15878.2.0e-69.fc39 fedora 23 k texlive-refstyle noarch 11:svn20318.0.5-69.fc39 fedora 20 k texlive-regcount noarch 11:svn19979.1.0-69.fc39 fedora 16 k texlive-regexpatch noarch 11:svn58668-69.fc39 fedora 20 k texlive-register noarch 11:svn54485-69.fc39 fedora 19 k texlive-regstats noarch 11:svn25050.1.0h-69.fc39 fedora 20 k texlive-relenc noarch 11:svn22050.0-69.fc39 fedora 27 k texlive-relsize noarch 11:svn30707.4.1-69.fc39 fedora 17 k texlive-reotex noarch 11:svn34924.1.1-69.fc39 fedora 18 k texlive-repeatindex noarch 11:svn24305.0.01-69.fc39 fedora 16 k texlive-repltext noarch 11:svn56433-69.fc39 fedora 18 k texlive-rerunfilecheck noarch 11:svn63869-69.fc39 fedora 341 k texlive-rescansync noarch 11:svn63856-69.fc39 fedora 389 k texlive-resmes noarch 11:svn65375-69.fc39 fedora 78 k texlive-resolsysteme noarch 11:svn66192-69.fc39 fedora 486 k texlive-resphilosophica noarch 11:svn50935-69.fc39 fedora 29 k texlive-rest-api noarch 11:svn57068-69.fc39 fedora 158 k texlive-resumecls noarch 11:svn54815-69.fc39 fedora 18 k texlive-returntogrid noarch 11:svn48485-69.fc39 fedora 165 k texlive-revquantum noarch 11:svn43505-69.fc39 fedora 266 k texlive-revtex noarch 11:svn56591-69.fc39 fedora 93 k texlive-revtex4 noarch 11:svn56589-69.fc39 fedora 59 k texlive-revtex4-1 noarch 11:svn56590-69.fc39 fedora 5.3 M texlive-rgltxdoc noarch 11:svn53858-69.fc39 fedora 174 k texlive-ribbonproofs noarch 11:svn31137.1.0-69.fc39 fedora 28 k texlive-rjlparshap noarch 11:svn15878.1.0-69.fc39 fedora 17 k texlive-rlepsf noarch 11:svn19082.0-69.fc39 fedora 17 k texlive-rmathbr noarch 11:svn57173-69.fc39 fedora 26 k texlive-rmpage noarch 11:svn54080-69.fc39 fedora 78 k texlive-robotarm noarch 11:svn63116-69.fc39 fedora 198 k texlive-roboto noarch 11:svn64350-69.fc39 fedora 27 M texlive-robustcommand noarch 11:svn15878.0.1-69.fc39 fedora 15 k texlive-robustindex noarch 11:svn49877-69.fc39 fedora 19 k texlive-romanbar noarch 11:svn25005.1.0f-69.fc39 fedora 19 k texlive-romanbarpagenumber noarch 11:svn36236.1.0-69.fc39 fedora 18 k texlive-romande noarch 11:svn19537.1.008_v7_sc-69.fc39 fedora 519 k texlive-romanneg noarch 11:svn20087.0-69.fc39 fedora 12 k texlive-romannum noarch 11:svn15878.1.0b-69.fc39 fedora 16 k texlive-rosario noarch 11:svn51688-69.fc39 fedora 1.6 M texlive-rotfloat noarch 11:svn18292.1.2-69.fc39 fedora 16 k texlive-rotpages noarch 11:svn18740.3.0-69.fc39 fedora 16 k texlive-roundbox noarch 11:svn29675.0.2-69.fc39 fedora 18 k texlive-rsc noarch 11:svn41923-69.fc39 fedora 23 k texlive-rsfs noarch 11:svn15878.0-69.fc39 fedora 73 k texlive-rsfso noarch 11:svn60849-69.fc39 fedora 20 k texlive-rterface noarch 11:svn30084.0-69.fc39 fedora 17 k texlive-rtkinenc noarch 11:svn20003.1.0-69.fc39 fedora 17 k texlive-rulerbox noarch 11:svn50984-69.fc39 fedora 75 k texlive-rulercompass noarch 11:svn32392.1-69.fc39 fedora 21 k texlive-runcode noarch 11:svn65588-69.fc39 fedora 418 k texlive-rutitlepage noarch 11:svn62143-69.fc39 fedora 2.7 M texlive-rviewport noarch 11:svn23739.v1.0-69.fc39 fedora 16 k texlive-rvwrite noarch 11:svn19614.1.2-69.fc39 fedora 16 k texlive-ryersonsgsthesis noarch 11:svn50119-69.fc39 fedora 82 k texlive-ryethesis noarch 11:svn33945.1.36-69.fc39 fedora 21 k texlive-sa-tikz noarch 11:svn32815.0.7a-69.fc39 fedora 22 k texlive-sacsymb noarch 11:svn65768-69.fc39 fedora 55 k texlive-sageep noarch 11:svn15878.1.0-69.fc39 fedora 22 k texlive-sanitize-umlaut noarch 11:svn63770-69.fc39 fedora 18 k texlive-sankey noarch 11:svn61874-69.fc39 fedora 973 k texlive-sansmath noarch 11:svn17997.1.1-69.fc39 fedora 14 k texlive-sansmathaccent noarch 11:svn53628-69.fc39 fedora 36 k texlive-sansmathfonts noarch 11:svn64661-69.fc39 fedora 4.2 M texlive-sapthesis noarch 11:svn63810-69.fc39 fedora 47 k texlive-sasnrdisplay noarch 11:svn63255-69.fc39 fedora 24 k texlive-sauerj noarch 11:svn15878.0-69.fc39 fedora 20 k texlive-sauter noarch 11:svn13293.2.4-69.fc39 fedora 41 k texlive-sauterfonts noarch 11:svn15878.0-69.fc39 fedora 30 k texlive-saveenv noarch 11:svn65346-69.fc39 fedora 407 k texlive-savefnmark noarch 11:svn15878.1.0-69.fc39 fedora 17 k texlive-savesym noarch 11:svn31565.1.2-69.fc39 fedora 16 k texlive-savetrees noarch 11:svn40525-69.fc39 fedora 26 k texlive-scale noarch 11:svn15878.1.1.2-69.fc39 fedora 17 k texlive-scalebar noarch 11:svn15878.1.0-69.fc39 fedora 16 k texlive-scalerel noarch 11:svn42809-69.fc39 fedora 20 k texlive-scanpages noarch 11:svn42633-69.fc39 fedora 23 k texlive-schedule noarch 11:svn51805-69.fc39 fedora 257 k texlive-schemabloc noarch 11:svn58212-69.fc39 fedora 16 k texlive-schola-otf noarch 11:svn64734-69.fc39 fedora 490 k texlive-scholax noarch 11:svn61836-69.fc39 fedora 2.4 M texlive-schooldocs noarch 11:svn65650-69.fc39 fedora 522 k texlive-schule noarch 11:svn60210-69.fc39 fedora 83 k texlive-schulschriften noarch 11:svn59388-69.fc39 fedora 109 k texlive-scientific-thesis-cover noarch 11:svn47923-69.fc39 fedora 149 k texlive-sciposter noarch 11:svn15878.1.18-69.fc39 fedora 22 k texlive-sclang-prettifier noarch 11:svn35087.0.1-69.fc39 fedora 19 k texlive-scontents noarch 11:svn62902-69.fc39 fedora 381 k texlive-scrambledenvs noarch 11:svn60615-69.fc39 fedora 207 k texlive-scratch noarch 11:svn50073-69.fc39 fedora 519 k texlive-scratch3 noarch 11:svn61921-69.fc39 fedora 541 k texlive-scratchx noarch 11:svn44906-69.fc39 fedora 440 k texlive-scripture noarch 11:svn65493-69.fc39 fedora 910 k texlive-scrjrnl noarch 11:svn27810.0.1-69.fc39 fedora 19 k texlive-scrlayer-fancyhdr noarch 11:svn63844-69.fc39 fedora 332 k texlive-scrlttr2copy noarch 11:svn56733-69.fc39 fedora 19 k texlive-scsnowman noarch 11:svn66115-69.fc39 fedora 510 k texlive-sdaps noarch 11:svn65345-69.fc39 fedora 360 k texlive-sdrt noarch 11:svn15878.1.0-69.fc39 fedora 17 k texlive-sduthesis noarch 11:svn41401-69.fc39 fedora 1.2 M texlive-se2thesis noarch 11:svn65645-69.fc39 fedora 1.4 M texlive-secdot noarch 11:svn20208.1.0-69.fc39 fedora 15 k texlive-secnum noarch 11:svn61813-69.fc39 fedora 451 k texlive-section noarch 11:svn20180.0-69.fc39 fedora 24 k texlive-sectionbox noarch 11:svn37749.1.01-69.fc39 fedora 16 k texlive-sectionbreak noarch 11:svn50339-69.fc39 fedora 68 k texlive-sectsty noarch 11:svn15878.2.0.2-69.fc39 fedora 19 k texlive-seealso noarch 11:svn43595-69.fc39 fedora 19 k texlive-selectp noarch 11:svn20185.1.0-69.fc39 fedora 15 k texlive-selinput noarch 11:svn53098-69.fc39 fedora 540 k texlive-semantex noarch 11:svn65679-69.fc39 fedora 450 k texlive-semantic noarch 11:svn15878.2.0-69.fc39 fedora 21 k texlive-semantic-markup noarch 11:svn53607-69.fc39 fedora 281 k texlive-semaphor noarch 11:svn18651.0-69.fc39 fedora 301 k texlive-semesterplanner noarch 11:svn56841-69.fc39 fedora 95 k texlive-seminar noarch 11:svn59801-69.fc39 fedora 52 k texlive-semioneside noarch 11:svn15878.v0.41-69.fc39 fedora 15 k texlive-semproc noarch 11:svn37568.0.1-69.fc39 fedora 20 k texlive-semtex noarch 11:svn56530-69.fc39 fedora 82 k texlive-sepfootnotes noarch 11:svn41732-69.fc39 fedora 19 k texlive-sepnum noarch 11:svn20186.2.0-69.fc39 fedora 17 k texlive-seqsplit noarch 11:svn15878.0.1-69.fc39 fedora 15 k texlive-sesamanuel noarch 11:svn36613.0.6-69.fc39 fedora 47 k texlive-sesstime noarch 11:svn49750-69.fc39 fedora 325 k texlive-setdeck noarch 11:svn40613-69.fc39 fedora 24 k texlive-setspace noarch 11:svn65206-69.fc39 fedora 18 k texlive-seu-ml-assign noarch 11:svn62933-69.fc39 fedora 186 k texlive-seuthesis noarch 11:svn33042.2.1.2-69.fc39 fedora 32 k texlive-seuthesix noarch 11:svn40088-69.fc39 fedora 32 k texlive-sf298 noarch 11:svn41653-69.fc39 fedora 21 k texlive-sffms noarch 11:svn15878.2.0-69.fc39 fedora 18 k texlive-sfg noarch 11:svn20209.0.91-69.fc39 fedora 20 k texlive-sfmath noarch 11:svn15878.0.8-69.fc39 fedora 18 k texlive-shadethm noarch 11:svn53350-69.fc39 fedora 22 k texlive-shadow noarch 11:svn20312.0-69.fc39 fedora 16 k texlive-shadowtext noarch 11:svn26522.0.3-69.fc39 fedora 15 k texlive-shapepar noarch 11:svn30708.2.2-69.fc39 fedora 28 k texlive-shdoc noarch 11:svn41991-69.fc39 fedora 20 k texlive-shipunov noarch 11:svn52334-69.fc39 fedora 43 k texlive-shobhika noarch 11:svn50555-69.fc39 fedora 489 k texlive-shortmathj noarch 11:svn54407-69.fc39 fedora 179 k texlive-shorttoc noarch 11:svn15878.1.3-69.fc39 fedora 15 k texlive-show2e noarch 11:svn15878.1.0-69.fc39 fedora 15 k texlive-showcharinbox noarch 11:svn29803.0.1-69.fc39 fedora 19 k texlive-showdim noarch 11:svn28918.1.2-69.fc39 fedora 16 k texlive-showexpl noarch 11:svn57414-69.fc39 fedora 18 k texlive-showlabels noarch 11:svn63940-69.fc39 fedora 19 k texlive-showtags noarch 11:svn20336.1.05-69.fc39 fedora 13 k texlive-shtthesis noarch 11:svn62441-69.fc39 fedora 2.1 M texlive-shuffle noarch 11:svn15878.1.0-69.fc39 fedora 16 k texlive-sidecap noarch 11:svn65618-69.fc39 fedora 17 k texlive-sidenotes noarch 11:svn54524-69.fc39 fedora 22 k texlive-sidenotesplus noarch 11:svn63867-69.fc39 fedora 424 k texlive-signchart noarch 11:svn39707-69.fc39 fedora 18 k texlive-silence noarch 11:svn27028.1.5b-69.fc39 fedora 18 k texlive-sillypage noarch 11:svn66349-69.fc39 fedora 312 k texlive-simplebnf noarch 11:svn65485-69.fc39 fedora 163 k texlive-simplecd noarch 11:svn29260.1.4-69.fc39 fedora 22 k texlive-simplecv noarch 11:svn35537.1.6a-69.fc39 fedora 16 k texlive-simpleicons noarch 11:svn66617-69.fc39 fedora 4.6 M texlive-simpleinvoice noarch 11:svn45673-69.fc39 fedora 232 k texlive-simplekv noarch 11:svn64578-69.fc39 fedora 147 k texlive-simplenodes noarch 11:svn62888-69.fc39 fedora 215 k texlive-simpleoptics noarch 11:svn62977-69.fc39 fedora 99 k texlive-simpler-wick noarch 11:svn39074-69.fc39 fedora 19 k texlive-simples-matrices noarch 11:svn63802-69.fc39 fedora 1.5 M texlive-simplewick noarch 11:svn15878.1.2a-69.fc39 fedora 18 k texlive-simplivre noarch 11:svn65475-69.fc39 fedora 23 k texlive-sistyle noarch 11:svn59682-69.fc39 fedora 18 k texlive-sitem noarch 11:svn22136.1.0-69.fc39 fedora 18 k texlive-siunits noarch 11:svn59702-69.fc39 fedora 23 k texlive-siunitx noarch 11:svn66627-69.fc39 fedora 83 k texlive-skak noarch 11:svn61719-69.fc39 fedora 38 k texlive-skb noarch 11:svn22781.0.52-69.fc39 fedora 25 k texlive-skdoc noarch 11:svn56950-69.fc39 fedora 27 k texlive-skeldoc noarch 11:svn57922-69.fc39 fedora 234 k texlive-skeycommand noarch 11:svn24652.0.4-69.fc39 fedora 21 k texlive-skeyval noarch 11:svn30560.1.3-69.fc39 fedora 116 k texlive-skills noarch 11:svn56734-69.fc39 fedora 93 k texlive-skmath noarch 11:svn52411-69.fc39 fedora 20 k texlive-skrapport noarch 11:svn52412-69.fc39 fedora 32 k texlive-skull noarch 11:svn51907-69.fc39 fedora 18 k texlive-slantsc noarch 11:svn25007.2.11-69.fc39 fedora 15 k texlive-smalltableof noarch 11:svn20333.0-69.fc39 fedora 15 k texlive-smart-eqn noarch 11:svn61719-69.fc39 fedora 101 k texlive-smartdiagram noarch 11:svn42781-69.fc39 fedora 24 k texlive-smartref noarch 11:svn20311.1.9-69.fc39 fedora 17 k texlive-smartunits noarch 11:svn39592-69.fc39 fedora 20 k texlive-smflatex noarch 11:svn58910-69.fc39 fedora 850 k texlive-snapshot noarch 11:svn56735-69.fc39 fedora 20 k texlive-snaptodo noarch 11:svn61155-69.fc39 fedora 226 k texlive-snotez noarch 11:svn61992-69.fc39 fedora 20 k texlive-songbook noarch 11:svn18136.4.5-69.fc39 fedora 29 k texlive-sort-by-letters noarch 11:svn27128.0-69.fc39 fedora 23 k texlive-soton noarch 11:svn16215.0.1-69.fc39 fedora 16 k texlive-soul noarch 11:svn65908-69.fc39 fedora 23 k texlive-soulpos noarch 11:svn60772-69.fc39 fedora 185 k texlive-sourcecodepro noarch 11:svn54512-69.fc39 fedora 3.8 M texlive-sourcesanspro noarch 11:svn54892-69.fc39 fedora 5.6 M texlive-sourceserifpro noarch 11:svn54512-69.fc39 fedora 4.0 M texlive-spacingtricks noarch 11:svn66393-69.fc39 fedora 170 k texlive-spalign noarch 11:svn42225-69.fc39 fedora 137 k texlive-spark-otf noarch 11:svn62481-69.fc39 fedora 429 k texlive-sparklines noarch 11:svn42821-69.fc39 fedora 17 k texlive-spath3 noarch 11:svn64818-69.fc39 fedora 42 k texlive-spbmark noarch 11:svn64706-69.fc39 fedora 105 k texlive-spectral noarch 11:svn64528-69.fc39 fedora 4.1 M texlive-spectralsequences noarch 11:svn65667-69.fc39 fedora 2.1 M texlive-sphack noarch 11:svn20842.0-69.fc39 fedora 13 k texlive-sphdthesis noarch 11:svn34374.1.0-69.fc39 fedora 18 k texlive-spie noarch 11:svn15878.3.25-69.fc39 fedora 24 k texlive-splitbib noarch 11:svn15878.1.17-69.fc39 fedora 19 k texlive-splitindex noarch 11:svn39766-77.fc39 fedora 434 k texlive-spot noarch 11:svn22408.1.1-69.fc39 fedora 19 k texlive-spotcolor noarch 11:svn15878.1.2-69.fc39 fedora 24 k texlive-spreadtab noarch 11:svn50147-69.fc39 fedora 39 k texlive-spverbatim noarch 11:svn15878.v1.0-69.fc39 fedora 15 k texlive-sr-vorl noarch 11:svn59333-69.fc39 fedora 21 k texlive-srbook-mem noarch 11:svn45818-69.fc39 fedora 12 k texlive-srbtiks noarch 11:svn63308-69.fc39 fedora 933 k texlive-srcltx noarch 11:svn15878.1.6-69.fc39 fedora 14 k texlive-srdp-mathematik noarch 11:svn65293-69.fc39 fedora 196 k texlive-sseq noarch 11:svn31585.2.01-69.fc39 fedora 22 k texlive-sslides noarch 11:svn32293.0-69.fc39 fedora 18 k texlive-stack noarch 11:svn15878.1.00-69.fc39 fedora 16 k texlive-stackengine noarch 11:svn60019-69.fc39 fedora 22 k texlive-standalone noarch 11:svn64677-69.fc39 fedora 29 k texlive-stanli noarch 11:svn54512-69.fc39 fedora 477 k texlive-starfont noarch 11:svn19982.1.2-69.fc39 fedora 188 k texlive-statex noarch 11:svn20306.1.6-69.fc39 fedora 19 k texlive-statex2 noarch 11:svn23961.2.1-69.fc39 fedora 19 k texlive-statistics noarch 11:svn52212-69.fc39 fedora 445 k texlive-statistik noarch 11:svn20334.0.03-69.fc39 fedora 17 k texlive-statmath noarch 11:svn46925-69.fc39 fedora 218 k texlive-staves noarch 11:svn15878.0-69.fc39 fedora 133 k texlive-stdclsdv noarch 11:svn15878.1.1a-69.fc39 fedora 15 k texlive-stdpage noarch 11:svn15878.0.6-69.fc39 fedora 18 k texlive-stealcaps noarch 11:svn64967-69.fc39 fedora 478 k texlive-steinmetz noarch 11:svn15878.1.0-69.fc39 fedora 15 k texlive-stellenbosch noarch 11:svn66379-69.fc39 fedora 726 k texlive-step noarch 11:svn57307-69.fc39 fedora 1.5 M texlive-stepgreek noarch 11:svn57074-69.fc39 fedora 465 k texlive-stex noarch 11:svn64383-69.fc39 fedora 105 k texlive-stickstoo noarch 11:svn60793-69.fc39 fedora 3.2 M texlive-stix noarch 11:svn54512-69.fc39 fedora 2.6 M texlive-stix2-otf noarch 11:svn58735-69.fc39 fedora 2.7 M texlive-stix2-type1 noarch 11:svn57448-69.fc39 fedora 4.3 M texlive-stmaryrd noarch 11:svn22027.0-69.fc39 fedora 190 k texlive-storebox noarch 11:svn64967-69.fc39 fedora 20 k texlive-storecmd noarch 11:svn24431.0.0.2-69.fc39 fedora 20 k texlive-strands noarch 11:svn59906-69.fc39 fedora 161 k texlive-stringenc noarch 11:svn52982-69.fc39 fedora 702 k texlive-stringstrings noarch 11:svn57097-69.fc39 fedora 26 k texlive-structmech noarch 11:svn58985-69.fc39 fedora 154 k texlive-struktex noarch 11:svn47931-69.fc39 fedora 26 k texlive-sttools noarch 11:svn60736-69.fc39 fedora 31 k texlive-stubs noarch 11:svn66204-69.fc39 fedora 17 k texlive-studenthandouts noarch 11:svn43516-69.fc39 fedora 332 k texlive-styledcmd noarch 11:svn65262-69.fc39 fedora 383 k texlive-subdepth noarch 11:svn15878.0.1-69.fc39 fedora 16 k texlive-subdocs noarch 11:svn51480-69.fc39 fedora 14 k texlive-subeqn noarch 11:svn15878.2.0b-69.fc39 fedora 16 k texlive-subeqnarray noarch 11:svn15878.2.1c-69.fc39 fedora 16 k texlive-subfig noarch 11:svn15878.1.3-69.fc39 fedora 21 k texlive-subfigmat noarch 11:svn20308.1.0-69.fc39 fedora 16 k texlive-subfigure noarch 11:svn15878.2.1.5-69.fc39 fedora 19 k texlive-subfiles noarch 11:svn56977-69.fc39 fedora 19 k texlive-subfloat noarch 11:svn29349.2.14-69.fc39 fedora 16 k texlive-substances noarch 11:svn40989-69.fc39 fedora 22 k texlive-substitutefont noarch 11:svn32066.0.1.4-69.fc39 fedora 18 k texlive-substr noarch 11:svn16117.1.2-69.fc39 fedora 16 k texlive-subsupscripts noarch 11:svn16080.1.0-69.fc39 fedora 11 k texlive-subtext noarch 11:svn51273-69.fc39 fedora 55 k texlive-suftesi noarch 11:svn60991-69.fc39 fedora 32 k texlive-sugconf noarch 11:svn58752-69.fc39 fedora 17 k texlive-superiors noarch 11:svn51909-69.fc39 fedora 26 k texlive-supertabular noarch 11:svn53658-69.fc39 fedora 21 k texlive-suppose noarch 11:svn59281-69.fc39 fedora 211 k texlive-susy noarch 11:svn19440.0-69.fc39 fedora 15 k texlive-svg noarch 11:svn57010-69.fc39 fedora 31 k texlive-svgcolor noarch 11:svn15878.1.0-69.fc39 fedora 18 k texlive-svn noarch 11:svn15878.43-69.fc39 fedora 18 k texlive-svn-multi noarch 11:svn64967-77.fc39 fedora 415 k texlive-svn-prov noarch 11:svn64967-69.fc39 fedora 16 k texlive-svninfo noarch 11:svn62157-69.fc39 fedora 18 k texlive-svrsymbols noarch 11:svn50019-69.fc39 fedora 87 k texlive-swfigure noarch 11:svn63255-69.fc39 fedora 2.8 M texlive-swimgraf noarch 11:svn25446.0-69.fc39 fedora 26 k texlive-swungdash noarch 11:svn64204-69.fc39 fedora 81 k texlive-syllogism noarch 11:svn15878.1.2-69.fc39 fedora 17 k texlive-symbats3 noarch 11:svn63833-69.fc39 fedora 206 k texlive-symbol noarch 11:svn61719-69.fc39 fedora 54 k texlive-sympytexpackage noarch 11:svn57090-69.fc39 fedora 18 k texlive-synproof noarch 11:svn15878.1.0-69.fc39 fedora 16 k texlive-syntax noarch 11:svn15878.0-69.fc39 fedora 18 k texlive-syntaxdi noarch 11:svn56685-69.fc39 fedora 200 k texlive-syntrace noarch 11:svn15878.1.1-69.fc39 fedora 15 k texlive-synttree noarch 11:svn16252.1.4.2-69.fc39 fedora 17 k texlive-t-angles noarch 11:svn15878.0-69.fc39 fedora 21 k texlive-tabbing noarch 11:svn59715-69.fc39 fedora 16 k texlive-tabfigures noarch 11:svn25202.1.1-69.fc39 fedora 19 k texlive-table-fct noarch 11:svn41849-69.fc39 fedora 20 k texlive-tableaux noarch 11:svn42413-69.fc39 fedora 17 k texlive-tablefootnote noarch 11:svn32804.1.1c-69.fc39 fedora 21 k texlive-tableof noarch 11:svn59837-69.fc39 fedora 19 k texlive-tablists noarch 11:svn15878.0.0e-69.fc39 fedora 17 k texlive-tablor noarch 11:svn31855.4.07_g-69.fc39 fedora 27 k texlive-tabls noarch 11:svn17255.3.5-69.fc39 fedora 15 k texlive-tablvar noarch 11:svn51543-69.fc39 fedora 189 k texlive-tabriz-thesis noarch 11:svn51729-69.fc39 fedora 21 k texlive-tabstackengine noarch 11:svn46848-69.fc39 fedora 19 k texlive-tabto-ltx noarch 11:svn54080-69.fc39 fedora 17 k texlive-tabu noarch 11:svn61719-69.fc39 fedora 41 k texlive-tabularborder noarch 11:svn17885.1.0a-69.fc39 fedora 17 k texlive-tabularcalc noarch 11:svn15878.0.2-69.fc39 fedora 19 k texlive-tabularew noarch 11:svn15878.0.1-69.fc39 fedora 16 k texlive-tabularray noarch 11:svn66276-69.fc39 fedora 762 k texlive-tabulary noarch 11:svn34368.0.10-69.fc39 fedora 18 k texlive-tagging noarch 11:svn52064-69.fc39 fedora 18 k texlive-tagpair noarch 11:svn42138-69.fc39 fedora 18 k texlive-tagpdf noarch 11:svn66461-69.fc39 fedora 2.0 M texlive-talk noarch 11:svn42428-69.fc39 fedora 19 k texlive-tamefloats noarch 11:svn27345.v0.42-69.fc39 fedora 21 k texlive-tapir noarch 11:svn20484.0.2-69.fc39 fedora 68 k texlive-tasks noarch 11:svn61541-69.fc39 fedora 24 k texlive-tcldoc noarch 11:svn22018.2.40-69.fc39 fedora 21 k texlive-tcolorbox noarch 11:svn66620-69.fc39 fedora 252 k texlive-tdclock noarch 11:svn33043.v2.5-69.fc39 fedora 21 k texlive-technics noarch 11:svn29349.1.0-69.fc39 fedora 16 k texlive-technion-thesis-template noarch 11:svn49889-69.fc39 fedora 135 k texlive-ted noarch 11:svn15878.1.06-69.fc39 fedora 17 k texlive-templatetools noarch 11:svn34495.0-69.fc39 fedora 19 k texlive-tempora noarch 11:svn39596-69.fc39 fedora 1.3 M texlive-tengwarscript noarch 11:svn34594.1.3.1-69.fc39 fedora 72 k texlive-tensind noarch 11:svn51481-69.fc39 fedora 225 k texlive-tensor noarch 11:svn15878.2.1-69.fc39 fedora 16 k texlive-termcal noarch 11:svn22514.1.8-69.fc39 fedora 17 k texlive-termes-otf noarch 11:svn64733-69.fc39 fedora 469 k texlive-termlist noarch 11:svn18923.1.1-69.fc39 fedora 15 k texlive-termsim noarch 11:svn61414-69.fc39 fedora 399 k texlive-testhyphens noarch 11:svn38928-69.fc39 fedora 19 k texlive-testidx noarch 11:svn60966-69.fc39 fedora 3.2 M texlive-tex x86_64 11:svn66186-77.fc39 fedora 212 k texlive-tex-ewd noarch 11:svn15878.0-69.fc39 fedora 15 k texlive-tex-gyre noarch 11:svn65956-69.fc39 fedora 8.0 M texlive-tex-gyre-math noarch 11:svn41264-69.fc39 fedora 1.4 M texlive-tex-ini-files noarch 11:svn40533-69.fc39 fedora 15 k texlive-tex-label noarch 11:svn16372.0-69.fc39 fedora 18 k texlive-tex-locale noarch 11:svn48500-69.fc39 fedora 949 k texlive-tex4ht x86_64 11:svn66530-77.fc39 fedora 4.1 M texlive-texdraw noarch 11:svn64477-69.fc39 fedora 54 k texlive-texilikechaps noarch 11:svn28553.1.0a-69.fc39 fedora 16 k texlive-texilikecover noarch 11:svn15878.0.1-69.fc39 fedora 15 k texlive-texlive-common-doc noarch 11:svn54176-69.fc39 fedora 158 k texlive-texlive-en noarch 11:svn66572-77.fc39 fedora 2.0 M texlive-texlive-msg-translations noarch 11:svn66593-69.fc39 fedora 165 k texlive-texlive-scripts noarch 11:svn66584-77.fc39 fedora 122 k texlive-texlive.infra noarch 11:svn66512-77.fc39 fedora 295 k texlive-texlogos noarch 11:svn19083.1.3.1-69.fc39 fedora 16 k texlive-texmate noarch 11:svn15878.2-69.fc39 fedora 20 k texlive-texments noarch 11:svn15878.0.2.0-69.fc39 fedora 15 k texlive-texpower noarch 11:svn29349.0.2-69.fc39 fedora 57 k texlive-texshade noarch 11:svn64242-69.fc39 fedora 81 k texlive-texsurgery noarch 11:svn59885-69.fc39 fedora 147 k texlive-textcase noarch 11:svn63868-69.fc39 fedora 16 k texlive-textcsc noarch 11:svn64935-69.fc39 fedora 75 k texlive-textfit noarch 11:svn20591.5-69.fc39 fedora 19 k texlive-textgreek noarch 11:svn44192-69.fc39 fedora 17 k texlive-textmerg noarch 11:svn20677.2.01-69.fc39 fedora 13 k texlive-textopo noarch 11:svn23796.1.5-69.fc39 fedora 48 k texlive-textpos noarch 11:svn63967-69.fc39 fedora 20 k texlive-textualicomma noarch 11:svn48474-69.fc39 fedora 133 k texlive-texvc noarch 11:svn46844-69.fc39 fedora 18 k texlive-tfrupee noarch 11:svn20770.1.02-69.fc39 fedora 613 k texlive-theanodidot noarch 11:svn64518-69.fc39 fedora 463 k texlive-theanomodern noarch 11:svn64520-69.fc39 fedora 476 k texlive-theanooldstyle noarch 11:svn64519-69.fc39 fedora 571 k texlive-theoremref noarch 11:svn54512-69.fc39 fedora 18 k texlive-thermodynamics noarch 11:svn63188-69.fc39 fedora 220 k texlive-thesis-ekf noarch 11:svn60228-69.fc39 fedora 131 k texlive-thesis-gwu noarch 11:svn54287-69.fc39 fedora 425 k texlive-thesis-qom noarch 11:svn63524-69.fc39 fedora 1.3 M texlive-thesis-titlepage-fhac noarch 11:svn15878.0.1-69.fc39 fedora 18 k texlive-thinsp noarch 11:svn39669-69.fc39 fedora 17 k texlive-thmbox noarch 11:svn15878.0-69.fc39 fedora 16 k texlive-thmtools noarch 11:svn65863-69.fc39 fedora 32 k texlive-threadcol noarch 11:svn28754.1.0-69.fc39 fedora 19 k texlive-threeparttable noarch 11:svn17383.0-69.fc39 fedora 16 k texlive-threeparttablex noarch 11:svn34206.0.3-69.fc39 fedora 16 k texlive-thuaslogos noarch 11:svn51347-69.fc39 fedora 789 k texlive-thubeamer noarch 11:svn61071-69.fc39 fedora 702 k texlive-thucoursework noarch 11:svn56435-69.fc39 fedora 514 k texlive-thumb noarch 11:svn16549.1.0-69.fc39 fedora 19 k texlive-thumbpdf noarch 11:svn62518-77.fc39 fedora 41 k texlive-thumbs noarch 11:svn33134.1.0q-69.fc39 fedora 28 k texlive-thumby noarch 11:svn16736.0.1-69.fc39 fedora 25 k texlive-thuthesis noarch 11:svn64628-69.fc39 fedora 62 k texlive-ticket noarch 11:svn42280-69.fc39 fedora 20 k texlive-ticollege noarch 11:svn36306.1.0-69.fc39 fedora 19 k texlive-tidyres noarch 11:svn65789-69.fc39 fedora 205 k texlive-tikz-3dplot noarch 11:svn25087.0-69.fc39 fedora 25 k texlive-tikz-among-us noarch 11:svn60880-69.fc39 fedora 4.9 M texlive-tikz-bagua noarch 11:svn64103-69.fc39 fedora 193 k texlive-tikz-bayesnet noarch 11:svn38295.0.1-69.fc39 fedora 19 k texlive-tikz-bbox noarch 11:svn57444-69.fc39 fedora 249 k texlive-tikz-cd noarch 11:svn59133-69.fc39 fedora 29 k texlive-tikz-dependency noarch 11:svn54512-69.fc39 fedora 27 k texlive-tikz-dimline noarch 11:svn35805.1.0-69.fc39 fedora 13 k texlive-tikz-ext noarch 11:svn64920-69.fc39 fedora 494 k texlive-tikz-feynhand noarch 11:svn51915-69.fc39 fedora 335 k texlive-tikz-feynman noarch 11:svn56615-69.fc39 fedora 33 k texlive-tikz-imagelabels noarch 11:svn51490-69.fc39 fedora 967 k texlive-tikz-inet noarch 11:svn15878.0.1-69.fc39 fedora 17 k texlive-tikz-kalender noarch 11:svn52890-69.fc39 fedora 132 k texlive-tikz-karnaugh noarch 11:svn62040-69.fc39 fedora 372 k texlive-tikz-ladder noarch 11:svn62992-69.fc39 fedora 311 k texlive-tikz-lake-fig noarch 11:svn55288-69.fc39 fedora 81 k texlive-tikz-layers noarch 11:svn46660-69.fc39 fedora 19 k texlive-tikz-mirror-lens noarch 11:svn65500-69.fc39 fedora 1.2 M texlive-tikz-nef noarch 11:svn55920-69.fc39 fedora 107 k texlive-tikz-network noarch 11:svn51884-69.fc39 fedora 834 k texlive-tikz-opm noarch 11:svn32769.0.1.1-69.fc39 fedora 19 k texlive-tikz-optics noarch 11:svn62977-69.fc39 fedora 410 k texlive-tikz-page noarch 11:svn42039-69.fc39 fedora 569 k texlive-tikz-palattice noarch 11:svn43442-69.fc39 fedora 22 k texlive-tikz-planets noarch 11:svn55002-69.fc39 fedora 180 k texlive-tikz-qtree noarch 11:svn26108.1.2-69.fc39 fedora 23 k texlive-tikz-relay noarch 11:svn64072-69.fc39 fedora 636 k texlive-tikz-sfc noarch 11:svn49424-69.fc39 fedora 348 k texlive-tikz-swigs noarch 11:svn59889-69.fc39 fedora 187 k texlive-tikz-timing noarch 11:svn64967-69.fc39 fedora 35 k texlive-tikz-trackschematic noarch 11:svn63480-69.fc39 fedora 748 k texlive-tikz-truchet noarch 11:svn50020-69.fc39 fedora 129 k texlive-tikzbricks noarch 11:svn63952-69.fc39 fedora 279 k texlive-tikzcodeblocks noarch 11:svn54758-69.fc39 fedora 492 k texlive-tikzducks noarch 11:svn55713-69.fc39 fedora 470 k texlive-tikzfill noarch 11:svn63947-69.fc39 fedora 628 k texlive-tikzinclude noarch 11:svn28715.1.0-69.fc39 fedora 18 k texlive-tikzlings noarch 11:svn63628-69.fc39 fedora 733 k texlive-tikzmark noarch 11:svn64819-69.fc39 fedora 26 k texlive-tikzmarmots noarch 11:svn54080-69.fc39 fedora 238 k texlive-tikzorbital noarch 11:svn36439.0-69.fc39 fedora 17 k texlive-tikzpackets noarch 11:svn55827-69.fc39 fedora 76 k texlive-tikzpagenodes noarch 11:svn64967-69.fc39 fedora 16 k texlive-tikzpeople noarch 11:svn43978-69.fc39 fedora 519 k texlive-tikzpfeile noarch 11:svn25777.1.0-69.fc39 fedora 16 k texlive-tikzpingus noarch 11:svn64199-69.fc39 fedora 2.6 M texlive-tikzposter noarch 11:svn32732.2.0-69.fc39 fedora 28 k texlive-tikzscale noarch 11:svn30637.0.2.6-69.fc39 fedora 21 k texlive-tikzsymbols noarch 11:svn61300-69.fc39 fedora 31 k texlive-tikztosvg x86_64 11:svn60289-77.fc39 fedora 202 k texlive-tikzviolinplots noarch 11:svn65687-69.fc39 fedora 314 k texlive-tile-graphic noarch 11:svn55325-69.fc39 fedora 6.2 M texlive-timbreicmc noarch 11:svn49740-69.fc39 fedora 725 k texlive-times noarch 11:svn61719-69.fc39 fedora 368 k texlive-timing-diagrams noarch 11:svn31491.0-69.fc39 fedora 19 k texlive-tinos noarch 11:svn64504-69.fc39 fedora 3.2 M texlive-tipa noarch 11:svn29349.1.3-69.fc39 fedora 2.8 M texlive-tipauni noarch 11:svn65817-69.fc39 fedora 315 k texlive-tipfr-doc noarch 11:svn38646-69.fc39 fedora 385 k texlive-tiscreen noarch 11:svn62602-69.fc39 fedora 241 k texlive-titlecaps noarch 11:svn63020-69.fc39 fedora 21 k texlive-titlefoot noarch 11:svn15878.0-69.fc39 fedora 17 k texlive-titlepic noarch 11:svn43497-69.fc39 fedora 13 k texlive-titleref noarch 11:svn18729.3.1-69.fc39 fedora 14 k texlive-titlesec noarch 11:svn59845-69.fc39 fedora 34 k texlive-titling noarch 11:svn15878.2.1d-69.fc39 fedora 16 k texlive-tkz-base noarch 11:svn66115-69.fc39 fedora 37 k texlive-tkz-berge noarch 11:svn57485-69.fc39 fedora 972 k texlive-tkz-doc noarch 11:svn66115-69.fc39 fedora 55 k texlive-tkz-euclide noarch 11:svn65724-69.fc39 fedora 70 k texlive-tkz-fct noarch 11:svn61949-69.fc39 fedora 19 k texlive-tkz-graph noarch 11:svn57484-69.fc39 fedora 340 k texlive-tkz-orm noarch 11:svn61719-69.fc39 fedora 23 k texlive-tkz-tab noarch 11:svn66115-69.fc39 fedora 20 k texlive-tkzexample noarch 11:svn63908-69.fc39 fedora 115 k texlive-tlc-article noarch 11:svn51431-69.fc39 fedora 444 k texlive-to-be-determined noarch 11:svn64882-69.fc39 fedora 256 k texlive-tocbibind noarch 11:svn20085.1.5k-69.fc39 fedora 17 k texlive-tocdata noarch 11:svn65451-69.fc39 fedora 944 k texlive-tocloft noarch 11:svn53364-69.fc39 fedora 23 k texlive-tocvsec2 noarch 11:svn33146.1.3a-69.fc39 fedora 19 k texlive-todo noarch 11:svn17746.2.142-69.fc39 fedora 16 k texlive-todonotes noarch 11:svn65699-69.fc39 fedora 20 k texlive-tokcycle noarch 11:svn60320-69.fc39 fedora 1.1 M texlive-tokenizer noarch 11:svn15878.1.1.0-69.fc39 fedora 16 k texlive-tonevalue noarch 11:svn60058-69.fc39 fedora 167 k texlive-toolbox noarch 11:svn32260.5.1-69.fc39 fedora 17 k texlive-tools noarch 11:svn64892-69.fc39 fedora 75 k texlive-topfloat noarch 11:svn19084.0-69.fc39 fedora 17 k texlive-topiclongtable noarch 11:svn54758-69.fc39 fedora 384 k texlive-topletter noarch 11:svn48182-69.fc39 fedora 366 k texlive-toptesi noarch 11:svn56276-69.fc39 fedora 39 k texlive-totalcount noarch 11:svn56214-69.fc39 fedora 91 k texlive-totcount noarch 11:svn21178.1.2-69.fc39 fedora 16 k texlive-totpages noarch 11:svn15878.2.00-69.fc39 fedora 17 k texlive-tpslifonts noarch 11:svn42428-69.fc39 fedora 21 k texlive-tqft noarch 11:svn44455-69.fc39 fedora 24 k texlive-tracklang noarch 11:svn65263-69.fc39 fedora 38 k texlive-trajan noarch 11:svn15878.1.1-69.fc39 fedora 62 k texlive-tramlines noarch 11:svn65692-69.fc39 fedora 82 k texlive-translations noarch 11:svn61896-69.fc39 fedora 32 k texlive-translator noarch 11:svn59412-69.fc39 fedora 296 k texlive-transparent noarch 11:svn64852-69.fc39 fedora 286 k texlive-tree-dvips noarch 11:svn21751.91-69.fc39 fedora 22 k texlive-trfsigns noarch 11:svn15878.1.01-69.fc39 fedora 17 k texlive-trimspaces noarch 11:svn15878.1.1-69.fc39 fedora 15 k texlive-trivfloat noarch 11:svn15878.1.3b-69.fc39 fedora 16 k texlive-trsym noarch 11:svn18732.1.0-69.fc39 fedora 18 k texlive-truncate noarch 11:svn18921.3.6-69.fc39 fedora 14 k texlive-tsemlines noarch 11:svn23440.1.0-69.fc39 fedora 12 k texlive-ttfutils x86_64 11:svn66186-77.fc39 fedora 303 k texlive-tucv noarch 11:svn20680.1.0-69.fc39 fedora 13 k texlive-tuda-ci noarch 11:svn65254-69.fc39 fedora 1.2 M texlive-tudscr noarch 11:svn64085-69.fc39 fedora 198 k texlive-tufte-latex noarch 11:svn37649.3.5.2-69.fc39 fedora 36 k texlive-tugboat noarch 11:svn66513-69.fc39 fedora 48 k texlive-tugboat-plain noarch 11:svn63386-69.fc39 fedora 44 k texlive-tui noarch 11:svn27253.1.9-69.fc39 fedora 18 k texlive-turabian noarch 11:svn36298.0.1.0-69.fc39 fedora 16 k texlive-turabian-formatting noarch 11:svn58561-69.fc39 fedora 25 k texlive-turnstile noarch 11:svn64967-69.fc39 fedora 16 k texlive-turnthepage noarch 11:svn29803.1.3a-69.fc39 fedora 16 k texlive-twemoji-colr noarch 11:svn64854-69.fc39 fedora 643 k texlive-twemojis noarch 11:svn62930-69.fc39 fedora 4.7 M texlive-twoinone noarch 11:svn17024.0-69.fc39 fedora 12 k texlive-twoup noarch 11:svn15878.1.3-69.fc39 fedora 16 k texlive-txfonts noarch 11:svn15878.0-69.fc39 fedora 816 k texlive-txfontsb noarch 11:svn54512-69.fc39 fedora 3.5 M texlive-txgreeks noarch 11:svn21839.1.0-69.fc39 fedora 19 k texlive-txuprcal noarch 11:svn43327-69.fc39 fedora 158 k texlive-type1cm noarch 11:svn21820.0-69.fc39 fedora 16 k texlive-typed-checklist noarch 11:svn63445-69.fc39 fedora 21 k texlive-typeface noarch 11:svn27046.0.1-69.fc39 fedora 61 k texlive-typehtml noarch 11:svn17134.0-69.fc39 fedora 21 k texlive-typicons noarch 11:svn37623.2.0.7-69.fc39 fedora 79 k texlive-typoaid noarch 11:svn44238-69.fc39 fedora 346 k texlive-typogrid noarch 11:svn24994.0.21-69.fc39 fedora 16 k texlive-tzplot noarch 11:svn64537-69.fc39 fedora 1.2 M texlive-uaclasses noarch 11:svn15878.0-69.fc39 fedora 18 k texlive-uafthesis noarch 11:svn57349-69.fc39 fedora 21 k texlive-uantwerpendocs noarch 11:svn64165-69.fc39 fedora 6.3 M texlive-uassign noarch 11:svn38459-69.fc39 fedora 19 k texlive-ucalgmthesis noarch 11:svn66602-69.fc39 fedora 281 k texlive-ucbthesis noarch 11:svn51690-69.fc39 fedora 24 k texlive-ucdavisthesis noarch 11:svn40772-69.fc39 fedora 25 k texlive-ucharcat noarch 11:svn38907-69.fc39 fedora 15 k texlive-ucs noarch 11:svn64114-69.fc39 fedora 423 k texlive-ucsmonograph noarch 11:svn52698-69.fc39 fedora 436 k texlive-ucthesis noarch 11:svn15878.3.2-69.fc39 fedora 36 k texlive-udes-genie-these noarch 11:svn65039-69.fc39 fedora 153 k texlive-uebungsblatt noarch 11:svn15878.1.5.0-69.fc39 fedora 18 k texlive-uestcthesis noarch 11:svn36371.1.1.0-69.fc39 fedora 35 k texlive-ufrgscca noarch 11:svn65661-69.fc39 fedora 533 k texlive-uhc noarch 11:svn16791.0-69.fc39 fedora 4.4 M texlive-uhhassignment noarch 11:svn44026-69.fc39 fedora 388 k texlive-uiucredborder noarch 11:svn29974.1.00-69.fc39 fedora 17 k texlive-uiucthesis noarch 11:svn15878.2.25-69.fc39 fedora 19 k texlive-ukbill noarch 11:svn65485-69.fc39 fedora 204 k texlive-ulem noarch 11:svn53365-69.fc39 fedora 17 k texlive-ulqda noarch 11:svn26313-77.fc39 fedora 264 k texlive-ulthese noarch 11:svn60217-69.fc39 fedora 78 k texlive-umbclegislation noarch 11:svn41348-69.fc39 fedora 24 k texlive-umich-thesis noarch 11:svn15878.1.20-69.fc39 fedora 20 k texlive-umoline noarch 11:svn19085.0-69.fc39 fedora 17 k texlive-umthesis noarch 11:svn15878.0.2-69.fc39 fedora 21 k texlive-umtypewriter noarch 11:svn64443-69.fc39 fedora 379 k texlive-unam-thesis noarch 11:svn51207-69.fc39 fedora 27 k texlive-unamth-template-doc noarch 11:svn33625.2.0-69.fc39 fedora 4.8 M texlive-unamthesis noarch 11:svn43639-69.fc39 fedora 27 k texlive-unbtex noarch 11:svn64634-69.fc39 fedora 1.4 M texlive-underlin noarch 11:svn15878.1.01-69.fc39 fedora 15 k texlive-underoverlap noarch 11:svn29019.0.0.1_r1-69.fc39 fedora 20 k texlive-underscore noarch 11:svn18261.0-69.fc39 fedora 19 k texlive-undolabl noarch 11:svn65846-69.fc39 fedora 19 k texlive-uni-titlepage noarch 11:svn64306-69.fc39 fedora 1.8 M texlive-uni-wtal-ger noarch 11:svn31541.0.2-69.fc39 fedora 19 k texlive-uni-wtal-lin noarch 11:svn31409.0.2-69.fc39 fedora 20 k texlive-unicode-data noarch 11:svn64423-69.fc39 fedora 371 k texlive-unicode-math noarch 11:svn61719-69.fc39 fedora 63 k texlive-unicodefonttable noarch 11:svn65009-69.fc39 fedora 1.4 M texlive-unifith noarch 11:svn60698-69.fc39 fedora 990 k texlive-unigrazpub noarch 11:svn64797-69.fc39 fedora 149 k texlive-uniquecounter noarch 11:svn53162-69.fc39 fedora 300 k texlive-unisc noarch 11:svn63178-69.fc39 fedora 212 k texlive-unitconv noarch 11:svn55060-69.fc39 fedora 86 k texlive-unitn-bimrep noarch 11:svn45581-69.fc39 fedora 817 k texlive-units noarch 11:svn42428-69.fc39 fedora 18 k texlive-unitsdef noarch 11:svn15878.0.2-69.fc39 fedora 20 k texlive-universa noarch 11:svn51984-69.fc39 fedora 61 k texlive-universalis noarch 11:svn64505-69.fc39 fedora 606 k texlive-univie-ling noarch 11:svn65651-69.fc39 fedora 4.0 M texlive-unizgklasa noarch 11:svn51647-69.fc39 fedora 50 k texlive-unravel noarch 11:svn59175-69.fc39 fedora 45 k texlive-unswcover noarch 11:svn66115-69.fc39 fedora 19 k texlive-uol-physics-report noarch 11:svn65761-69.fc39 fedora 191 k texlive-uothesis noarch 11:svn25355.2.5.6-69.fc39 fedora 26 k texlive-uowthesis noarch 11:svn19700.1.0a-69.fc39 fedora 60 k texlive-uowthesistitlepage noarch 11:svn54512-69.fc39 fedora 22 k texlive-uplatex noarch 11:svn66186-69.fc39 fedora 37 k texlive-upmethodology noarch 11:svn64613-69.fc39 fedora 51 k texlive-uppunctlm noarch 11:svn42334-69.fc39 fedora 188 k texlive-upquote noarch 11:svn26059.v1.3-69.fc39 fedora 17 k texlive-uptex x86_64 11:svn66381-77.fc39 fedora 1.5 M texlive-uptex-base noarch 11:svn65802-69.fc39 fedora 22 k texlive-uptex-fonts noarch 11:svn65657-69.fc39 fedora 464 k texlive-urcls noarch 11:svn49903-69.fc39 fedora 28 k texlive-uri noarch 11:svn48602-69.fc39 fedora 20 k texlive-url noarch 11:svn32528.3.4-69.fc39 fedora 20 k texlive-urlbst noarch 11:svn65694-77.fc39 fedora 266 k texlive-urwchancal noarch 11:svn21701.1-69.fc39 fedora 17 k texlive-usebib noarch 11:svn25969.1.0a-69.fc39 fedora 18 k texlive-ushort noarch 11:svn32261.2.2-69.fc39 fedora 16 k texlive-uspace noarch 11:svn63123-69.fc39 fedora 297 k texlive-uspatent noarch 11:svn27744.1.0-69.fc39 fedora 21 k texlive-ut-thesis noarch 11:svn65767-69.fc39 fedora 19 k texlive-utexasthesis noarch 11:svn48648-69.fc39 fedora 17 k texlive-utf8add noarch 11:svn61074-69.fc39 fedora 93 k texlive-utfsym noarch 11:svn63076-69.fc39 fedora 5.2 M texlive-utopia noarch 11:svn15878.0-69.fc39 fedora 239 k texlive-uwa-colours noarch 11:svn60443-69.fc39 fedora 142 k texlive-uwa-letterhead noarch 11:svn64491-69.fc39 fedora 76 k texlive-uwa-pcf noarch 11:svn64491-69.fc39 fedora 71 k texlive-uwa-pif noarch 11:svn64491-69.fc39 fedora 68 k texlive-uwthesis noarch 11:svn15878.6.13-69.fc39 fedora 22 k texlive-vak noarch 11:svn23431.0-69.fc39 fedora 20 k texlive-vancouver noarch 11:svn59192-69.fc39 fedora 25 k texlive-variablelm noarch 11:svn60014-69.fc39 fedora 153 k texlive-varindex noarch 11:svn32262.2.3-69.fc39 fedora 20 k texlive-varsfromjobname noarch 11:svn44154-69.fc39 fedora 15 k texlive-varwidth noarch 11:svn24104.0.92-69.fc39 fedora 18 k texlive-vcell noarch 11:svn59039-69.fc39 fedora 152 k texlive-vdmlisting noarch 11:svn56905-69.fc39 fedora 17 k texlive-venn noarch 11:svn15878.0-69.fc39 fedora 16 k texlive-venndiagram noarch 11:svn47952-69.fc39 fedora 18 k texlive-venturisadf noarch 11:svn19444.1.005-69.fc39 fedora 4.1 M texlive-verbasef noarch 11:svn21922.1.1-69.fc39 fedora 20 k texlive-verbatimbox noarch 11:svn33197.3.13-69.fc39 fedora 18 k texlive-verbatimcopy noarch 11:svn15878.0.06-69.fc39 fedora 17 k texlive-verbdef noarch 11:svn17177.0.2-69.fc39 fedora 16 k texlive-verbments noarch 11:svn23670.1.2-69.fc39 fedora 18 k texlive-verifiche noarch 11:svn64425-69.fc39 fedora 866 k texlive-verse noarch 11:svn34017.2.4b-69.fc39 fedora 17 k texlive-version noarch 11:svn21920.2.0-69.fc39 fedora 13 k texlive-versions noarch 11:svn21921.0.55-69.fc39 fedora 22 k texlive-versonotes noarch 11:svn55777-69.fc39 fedora 19 k texlive-vertbars noarch 11:svn49429-69.fc39 fedora 18 k texlive-vgrid noarch 11:svn32457.0.1-69.fc39 fedora 18 k texlive-vhistory noarch 11:svn61719-69.fc39 fedora 24 k texlive-visualpstricks-doc noarch 11:svn39799-69.fc39 fedora 13 M texlive-vmargin noarch 11:svn15878.2.5-69.fc39 fedora 17 k texlive-volumes noarch 11:svn15878.1.0-69.fc39 fedora 17 k texlive-vpe noarch 11:svn26039-77.fc39 fedora 27 k texlive-vruler noarch 11:svn21598.2.3-69.fc39 fedora 20 k texlive-vtable noarch 11:svn51126-69.fc39 fedora 72 k texlive-vwcol noarch 11:svn36254.0.2-69.fc39 fedora 20 k texlive-wadalab noarch 11:svn42428-69.fc39 fedora 17 M texlive-wallcalendar noarch 11:svn45568-69.fc39 fedora 2.6 M texlive-wallpaper noarch 11:svn15878.1.10-69.fc39 fedora 16 k texlive-warning noarch 11:svn22028.0.01-69.fc39 fedora 15 k texlive-warpcol noarch 11:svn15878.1.0c-69.fc39 fedora 15 k texlive-was noarch 11:svn64691-69.fc39 fedora 13 k texlive-wasy noarch 11:svn53533-69.fc39 fedora 44 k texlive-wasy-type1 noarch 11:svn53534-69.fc39 fedora 272 k texlive-wasysym noarch 11:svn54080-69.fc39 fedora 18 k texlive-webquiz noarch 11:svn58808-77.fc39 fedora 6.6 M texlive-wheelchart noarch 11:svn64373-69.fc39 fedora 665 k texlive-widetable noarch 11:svn53409-69.fc39 fedora 18 k texlive-widows-and-orphans noarch 11:svn66532-69.fc39 fedora 813 k texlive-williams noarch 11:svn15878.0-69.fc39 fedora 17 k texlive-willowtreebook noarch 11:svn60638-69.fc39 fedora 23 M texlive-windycity noarch 11:svn61223-69.fc39 fedora 581 k texlive-withargs noarch 11:svn52641-69.fc39 fedora 23 k texlive-witharrows noarch 11:svn65841-69.fc39 fedora 839 k texlive-wordcount noarch 11:svn46165-77.fc39 fedora 18 k texlive-wordlike noarch 11:svn15878.1.2b-69.fc39 fedora 16 k texlive-worksheet noarch 11:svn48423-69.fc39 fedora 114 k texlive-worldflags noarch 11:svn59171-69.fc39 fedora 4.1 M texlive-wrapfig noarch 11:svn61719-69.fc39 fedora 23 k texlive-wrapfig2 noarch 11:svn66115-69.fc39 fedora 697 k texlive-wrapstuff noarch 11:svn64058-69.fc39 fedora 454 k texlive-writeongrid noarch 11:svn66603-69.fc39 fedora 945 k texlive-wsemclassic noarch 11:svn31532.1.0.1-69.fc39 fedora 16 k texlive-wsuipa noarch 11:svn25469.0-69.fc39 fedora 63 k texlive-wtref noarch 11:svn55558-69.fc39 fedora 350 k texlive-xargs noarch 11:svn15878.1.1-69.fc39 fedora 17 k texlive-xassoccnt noarch 11:svn61112-69.fc39 fedora 36 k texlive-xbmks noarch 11:svn53448-69.fc39 fedora 379 k texlive-xcharter noarch 11:svn63057-69.fc39 fedora 2.7 M texlive-xcharter-math noarch 11:svn65686-69.fc39 fedora 2.1 M texlive-xcite noarch 11:svn53486-69.fc39 fedora 18 k texlive-xcjk2uni noarch 11:svn54958-69.fc39 fedora 373 k texlive-xcntperchap noarch 11:svn54080-69.fc39 fedora 20 k texlive-xcolor noarch 11:svn63563-69.fc39 fedora 32 k texlive-xcolor-material noarch 11:svn42289-69.fc39 fedora 582 k texlive-xcolor-solarized noarch 11:svn61719-69.fc39 fedora 18 k texlive-xcomment noarch 11:svn20031.1.3-69.fc39 fedora 17 k texlive-xcookybooky noarch 11:svn36435.1.5-69.fc39 fedora 24 k texlive-xcpdftips noarch 11:svn50449-69.fc39 fedora 163 k texlive-xdoc noarch 11:svn15878.prot2.5-69.fc39 fedora 27 k texlive-xduthesis noarch 11:svn63116-69.fc39 fedora 128 k texlive-xduts noarch 11:svn66472-69.fc39 fedora 849 k texlive-xdvi x86_64 11:svn62387-77.fc39 fedora 351 k texlive-xecjk noarch 11:svn64059-69.fc39 fedora 243 k texlive-xellipsis noarch 11:svn47546-69.fc39 fedora 18 k texlive-xetex x86_64 11:svn66203-77.fc39 fedora 1.3 M texlive-xetexconfig noarch 11:svn45845-69.fc39 fedora 11 k texlive-xfakebold noarch 11:svn55654-69.fc39 fedora 115 k texlive-xfor noarch 11:svn15878.1.05-69.fc39 fedora 16 k texlive-xhfill noarch 11:svn22575.1.01-69.fc39 fedora 15 k texlive-xifthen noarch 11:svn38929-69.fc39 fedora 16 k texlive-xint noarch 11:svn63562-69.fc39 fedora 101 k texlive-xistercian noarch 11:svn61366-69.fc39 fedora 351 k texlive-xits noarch 11:svn55730-69.fc39 fedora 601 k texlive-xkcdcolors noarch 11:svn54512-69.fc39 fedora 231 k texlive-xkeyval noarch 11:svn63616-69.fc39 fedora 27 k texlive-xltabular noarch 11:svn56855-69.fc39 fedora 91 k texlive-xltxtra noarch 11:svn56594-69.fc39 fedora 17 k texlive-xmpincl noarch 11:svn60593-69.fc39 fedora 17 k texlive-xmuthesis noarch 11:svn56614-69.fc39 fedora 488 k texlive-xnewcommand noarch 11:svn15878.1.2-69.fc39 fedora 15 k texlive-xoptarg noarch 11:svn15878.1.0-69.fc39 fedora 16 k texlive-xpatch noarch 11:svn54563-69.fc39 fedora 19 k texlive-xpeek noarch 11:svn61719-69.fc39 fedora 18 k texlive-xpicture noarch 11:svn28770.1.2a-69.fc39 fedora 26 k texlive-xpinyin noarch 11:svn66115-69.fc39 fedora 287 k texlive-xprintlen noarch 11:svn35928.1.0-69.fc39 fedora 18 k texlive-xpunctuate noarch 11:svn26641.1.0-69.fc39 fedora 17 k texlive-xput noarch 11:svn65325-69.fc39 fedora 12 M texlive-xsavebox noarch 11:svn64049-69.fc39 fedora 21 k texlive-xsim noarch 11:svn61988-69.fc39 fedora 2.9 M texlive-xstring noarch 11:svn65551-69.fc39 fedora 25 k texlive-xtab noarch 11:svn23347.2.3f-69.fc39 fedora 18 k texlive-xunicode noarch 11:svn30466.0.981-69.fc39 fedora 44 k texlive-xurl noarch 11:svn61553-69.fc39 fedora 67 k texlive-xwatermark noarch 11:svn61719-69.fc39 fedora 33 k texlive-xyling noarch 11:svn15878.1.1-69.fc39 fedora 25 k texlive-xymtex noarch 11:svn32182.5.06-69.fc39 fedora 116 k texlive-xypic noarch 11:svn61719-69.fc39 fedora 770 k texlive-xytree noarch 11:svn15878.1.5-69.fc39 fedora 18 k texlive-yafoot noarch 11:svn48568-69.fc39 fedora 18 k texlive-yagusylo noarch 11:svn29803.1.2-69.fc39 fedora 20 k texlive-yaletter noarch 11:svn42830-69.fc39 fedora 494 k texlive-yathesis noarch 11:svn66146-69.fc39 fedora 42 k texlive-yazd-thesis noarch 11:svn61719-69.fc39 fedora 2.1 M texlive-yb-book noarch 11:svn64586-69.fc39 fedora 290 k texlive-ycbook noarch 11:svn46201-69.fc39 fedora 21 k texlive-ydoc noarch 11:svn64887-69.fc39 fedora 28 k texlive-yfonts noarch 11:svn50755-69.fc39 fedora 16 k texlive-yfonts-otf noarch 11:svn65030-69.fc39 fedora 229 k texlive-yfonts-t1 noarch 11:svn36013-69.fc39 fedora 189 k texlive-yhmath noarch 11:svn54377-69.fc39 fedora 54 k texlive-yinit-otf noarch 11:svn40207-69.fc39 fedora 272 k texlive-york-thesis noarch 11:svn23348.3.6-69.fc39 fedora 21 k texlive-youngtab noarch 11:svn56500-69.fc39 fedora 16 k texlive-yplan noarch 11:svn34398-77.fc39 fedora 23 k texlive-yquant noarch 11:svn65933-69.fc39 fedora 891 k texlive-ytableau noarch 11:svn59580-69.fc39 fedora 18 k texlive-zapfchan noarch 11:svn61719-69.fc39 fedora 109 k texlive-zapfding noarch 11:svn61719-69.fc39 fedora 64 k texlive-zebra-goodies noarch 11:svn51554-69.fc39 fedora 128 k texlive-zed-csp noarch 11:svn17258.0-69.fc39 fedora 19 k texlive-zennote noarch 11:svn65549-69.fc39 fedora 74 k texlive-zhmetrics noarch 11:svn22207.r206-69.fc39 fedora 1.6 M texlive-zhmetrics-uptex noarch 11:svn40728-69.fc39 fedora 122 k texlive-zhnumber noarch 11:svn66115-69.fc39 fedora 26 k texlive-ziffer noarch 11:svn32279.2.1-69.fc39 fedora 16 k texlive-zlmtt noarch 11:svn64076-69.fc39 fedora 27 k texlive-zootaxa-bst noarch 11:svn50619-69.fc39 fedora 150 k texlive-zref noarch 11:svn62977-69.fc39 fedora 688 k texlive-zref-check noarch 11:svn63845-69.fc39 fedora 1.0 M texlive-zref-clever noarch 11:svn66021-69.fc39 fedora 1.5 M texlive-zref-vario noarch 11:svn65453-69.fc39 fedora 779 k texlive-zwgetfdate noarch 11:svn15878.0-69.fc39 fedora 18 k texlive-zwpagelayout noarch 11:svn63074-69.fc39 fedora 23 k texlive-zx-calculus noarch 11:svn60838-69.fc39 fedora 641 k tk x86_64 1:8.6.13-1.fc40 fedora 1.6 M tre x86_64 0.8.0-42.20140228gitc2f5d13.fc40 fedora 43 k tre-common noarch 0.8.0-42.20140228gitc2f5d13.fc40 fedora 31 k tzdata noarch 2023c-4.fc40 fedora 717 k urw-base35-bookman-fonts noarch 20200910-18.fc39 fedora 847 k urw-base35-c059-fonts noarch 20200910-18.fc39 fedora 874 k urw-base35-d050000l-fonts noarch 20200910-18.fc39 fedora 76 k urw-base35-fonts noarch 20200910-18.fc39 fedora 10 k urw-base35-fonts-common noarch 20200910-18.fc39 fedora 21 k urw-base35-fonts-legacy noarch 20200910-18.fc39 fedora 3.0 M urw-base35-gothic-fonts noarch 20200910-18.fc39 fedora 643 k urw-base35-nimbus-mono-ps-fonts noarch 20200910-18.fc39 fedora 795 k urw-base35-nimbus-roman-fonts noarch 20200910-18.fc39 fedora 856 k urw-base35-nimbus-sans-fonts noarch 20200910-18.fc39 fedora 1.3 M urw-base35-p052-fonts noarch 20200910-18.fc39 fedora 974 k urw-base35-standard-symbols-ps-fonts noarch 20200910-18.fc39 fedora 42 k urw-base35-z003-fonts noarch 20200910-18.fc39 fedora 276 k woff2 x86_64 1.0.2-18.fc39 fedora 61 k xdg-utils noarch 1.1.3-15.fc40 fedora 77 k xkeyboard-config noarch 2.40-1.fc40 fedora 971 k xml-common noarch 0.6.3-62.fc40 fedora 31 k xpdf-libs x86_64 1:4.04-10.fc39 fedora 647 k xprop x86_64 1.2.5-4.fc39 fedora 35 k yosyshq-abc-libs x86_64 0.35-1.20231108git896e5e7.fc40 fedora 5.3 M zlib-devel x86_64 1.2.13-5.fc40 fedora 45 k zziplib x86_64 0.13.72-5.fc39 fedora 86 k Transaction Summary ==================================================================================================== Install 3616 Packages Total size: 2.0 G Total download size: 1.0 G Installed size: 4.5 G Downloading Packages: [SKIPPED] at-spi2-atk-2.50.0-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] at-spi2-core-2.50.0-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] atk-2.50.0-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] fontforge-20230101-8.fc40.x86_64.rpm: Already downloaded [SKIPPED] graphite2-1.3.14-13.fc40.x86_64.rpm: Already downloaded [SKIPPED] imath-3.1.9-3.fc40.x86_64.rpm: Already downloaded [SKIPPED] python-pip-wheel-23.2.1-1.fc40.noarch.rpm: Already downloaded [SKIPPED] python3-olefile-0.46-21.fc40.noarch.rpm: Already downloaded [SKIPPED] python3-packaging-23.2-2.fc40.noarch.rpm: Already downloaded [SKIPPED] python3-pygments-2.16.1-1.fc40.noarch.rpm: Already downloaded [SKIPPED] python3-pyparsing-3.0.9-11.fc40.noarch.rpm: Already downloaded [SKIPPED] python3-requests-2.31.0-1.fc40.noarch.rpm: Already downloaded [SKIPPED] GraphicsMagick-1.3.40-3.fc39.x86_64.rpm: Already downloaded [SKIPPED] GraphicsMagick-c++-1.3.40-3.fc39.x86_64.rpm: Already downloaded [SKIPPED] ImageMagick-7.1.1.15-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] ImageMagick-libs-7.1.1.15-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] LibRaw-0.21.1-6.fc40.x86_64.rpm: Already downloaded [SKIPPED] abattis-cantarell-vf-fonts-0.301-10.fc39.noarch.rpm: Already downloaded [SKIPPED] adobe-mappings-cmap-20230622-1.fc39.noarch.rpm: Already downloaded [SKIPPED] adobe-mappings-cmap-deprecated-20230622-1.fc39.noarch.rpm: Already downloaded [SKIPPED] adobe-mappings-pdf-20190401-5.fc39.noarch.rpm: Already downloaded [SKIPPED] adwaita-cursor-theme-45.0-1.fc40.noarch.rpm: Already downloaded [SKIPPED] adwaita-icon-theme-45.0-1.fc40.noarch.rpm: Already downloaded [SKIPPED] alsa-lib-1.2.10-3.fc40.x86_64.rpm: Already downloaded [SKIPPED] annobin-docs-12.31-1.fc40.noarch.rpm: Already downloaded [SKIPPED] annobin-plugin-gcc-12.31-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] atkmm-2.28.3-3.fc39.x86_64.rpm: Already downloaded [SKIPPED] avahi-libs-0.8-24.fc39.x86_64.rpm: Already downloaded [SKIPPED] biber-2.19-3.fc39.noarch.rpm: Already downloaded [SKIPPED] boost-atomic-1.81.0-10.fc40.x86_64.rpm: Already downloaded [SKIPPED] boost-filesystem-1.81.0-10.fc40.x86_64.rpm: Already downloaded [SKIPPED] boost-system-1.81.0-10.fc40.x86_64.rpm: Already downloaded [SKIPPED] cairo-1.18.0-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] cairo-gobject-1.18.0-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] cairomm-1.14.5-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] cdparanoia-libs-10.2-42.fc39.x86_64.rpm: Already downloaded [SKIPPED] colord-libs-1.4.6-6.fc40.x86_64.rpm: Already downloaded [SKIPPED] cpp-13.2.1-5.fc40.x86_64.rpm: Already downloaded [SKIPPED] crypto-policies-scripts-20231113-1.gitb402e82.fc40.noarch.rpm: Already downloaded [SKIPPED] cups-libs-2.4.7-3.fc40.x86_64.rpm: Already downloaded [SKIPPED] dbus-1.14.10-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] dbus-broker-33-2.fc39.x86_64.rpm: Already downloaded [SKIPPED] dbus-common-1.14.10-1.fc40.noarch.rpm: Already downloaded [SKIPPED] dbus-libs-1.14.10-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] default-fonts-core-sans-4.0-9.fc40.noarch.rpm: Already downloaded [SKIPPED] desktop-file-utils-0.26-9.fc39.x86_64.rpm: Already downloaded [SKIPPED] double-conversion-3.1.5-9.fc39.x86_64.rpm: Already downloaded [SKIPPED] emacs-filesystem-29.1-14.fc40.noarch.rpm: Already downloaded [SKIPPED] enchant2-2.6.2-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] expat-2.5.0-3.fc39.x86_64.rpm: Already downloaded [SKIPPED] fftw-libs-double-3.3.10-7.fc39.x86_64.rpm: Already downloaded [SKIPPED] flexiblas-3.3.1-5.fc39.x86_64.rpm: Already downloaded [SKIPPED] flexiblas-netlib-3.3.1-5.fc39.x86_64.rpm: Already downloaded [SKIPPED] flexiblas-openblas-openmp-3.3.1-5.fc39.x86_64.rpm: Already downloaded [SKIPPED] fontconfig-2.14.2-5.fc40.x86_64.rpm: Already downloaded [SKIPPED] fonts-filesystem-2.0.5-12.fc39.noarch.rpm: Already downloaded [SKIPPED] freetype-2.13.1-2.fc39.x86_64.rpm: Already downloaded [SKIPPED] fribidi-1.0.13-2.fc39.x86_64.rpm: Already downloaded [SKIPPED] gc-8.2.2-4.fc39.x86_64.rpm: Already downloaded [SKIPPED] gcc-13.2.1-5.fc40.x86_64.rpm: Already downloaded [SKIPPED] gcc-c++-13.2.1-5.fc40.x86_64.rpm: Already downloaded [SKIPPED] gcc-plugin-annobin-13.2.1-5.fc40.x86_64.rpm: Already downloaded [SKIPPED] gd-2.3.3-12.fc39.x86_64.rpm: Already downloaded [SKIPPED] gdk-pixbuf2-2.42.10-5.fc39.x86_64.rpm: Already downloaded [SKIPPED] gdk-pixbuf2-modules-2.42.10-5.fc39.x86_64.rpm: Already downloaded [SKIPPED] ghostscript-10.02.1-4.fc40.x86_64.rpm: Already downloaded [SKIPPED] ghostscript-tools-fonts-10.02.1-4.fc40.x86_64.rpm: Already downloaded [SKIPPED] ghostscript-tools-printing-10.02.1-4.fc40.x86_64.rpm: Already downloaded [SKIPPED] giflib-5.2.1-17.fc40.x86_64.rpm: Already downloaded [SKIPPED] glib2-2.78.1-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] glibc-devel-2.38.9000-22.fc40.x86_64.rpm: Already downloaded [SKIPPED] glibc-headers-x86-2.38.9000-22.fc40.noarch.rpm: Already downloaded [SKIPPED] glibmm2.4-2.66.6-2.fc39.x86_64.rpm: Already downloaded [SKIPPED] gnutls-3.8.1-3.fc40.x86_64.rpm: Already downloaded [SKIPPED] gobject-introspection-1.78.1-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] google-droid-sans-fonts-20200215-17.fc40.noarch.rpm: Already downloaded [SKIPPED] google-noto-fonts-common-20230801-4.fc40.noarch.rpm: Already downloaded [SKIPPED] google-noto-sans-vf-fonts-20230801-4.fc40.noarch.rpm: Already downloaded [SKIPPED] graphene-1.10.6-6.fc39.x86_64.rpm: Already downloaded [SKIPPED] graphviz-9.0.0-3.fc40.x86_64.rpm: Already downloaded [SKIPPED] groff-base-1.23.0-3.fc40.x86_64.rpm: Already downloaded [SKIPPED] gsl-2.7.1-5.fc39.x86_64.rpm: Already downloaded [SKIPPED] gspell-1.12.2-1.fc39.x86_64.rpm: Already downloaded [SKIPPED] gstreamer1-1.22.7-2.fc40.x86_64.rpm: Already downloaded [SKIPPED] gstreamer1-plugins-base-1.22.7-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] gtk-update-icon-cache-3.24.38-3.fc39.x86_64.rpm: Already downloaded [SKIPPED] gtk3-3.24.38-3.fc39.x86_64.rpm: Already downloaded [SKIPPED] gtkmm3.0-3.24.8-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] gts-0.7.6-46.20121130.fc39.x86_64.rpm: Already downloaded [SKIPPED] guile22-2.2.7-9.fc39.x86_64.rpm: Already downloaded [SKIPPED] gumbo-parser-0.10.1-29.fc39.x86_64.rpm: Already downloaded [SKIPPED] harfbuzz-8.3.0-2.fc40.x86_64.rpm: Already downloaded [SKIPPED] hicolor-icon-theme-0.17-16.fc39.noarch.rpm: Already downloaded [SKIPPED] highway-1.0.7-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] hunspell-1.7.2-5.fc39.x86_64.rpm: Already downloaded [SKIPPED] hunspell-en-US-0.20201207-7.fc39.noarch.rpm: Already downloaded [SKIPPED] hunspell-filesystem-1.7.2-5.fc39.x86_64.rpm: Already downloaded [SKIPPED] hwdata-0.376-1.fc40.noarch.rpm: Already downloaded [SKIPPED] inkscape-1.3-7.fc40.x86_64.rpm: Already downloaded [SKIPPED] iso-codes-4.15.0-2.fc39.noarch.rpm: Already downloaded [SKIPPED] jasper-libs-3.0.6-4.fc39.x86_64.rpm: Already downloaded [SKIPPED] jbig2dec-libs-0.20-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] jbigkit-libs-2.1-26.fc39.x86_64.rpm: Already downloaded [SKIPPED] json-glib-1.8.0-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] kernel-headers-6.7.0-0.rc2.git0.1.fc40.x86_64.rpm: Already downloaded [SKIPPED] lasi-1.1.3-11.fc39.x86_64.rpm: Already downloaded [SKIPPED] lcms2-2.15-2.fc39.x86_64.rpm: Already downloaded [SKIPPED] leptonica-1.83.1-2.fc39.x86_64.rpm: Already downloaded [SKIPPED] lib2geom-1.3-2.fc40.x86_64.rpm: Already downloaded [SKIPPED] libICE-1.1.1-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] libSM-1.2.4-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] libX11-1.8.7-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] libX11-common-1.8.7-1.fc40.noarch.rpm: Already downloaded [SKIPPED] libX11-xcb-1.8.7-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] libXau-1.0.11-4.fc40.x86_64.rpm: Already downloaded [SKIPPED] libXaw-1.0.15-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] libXcomposite-0.4.6-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] libXcursor-1.2.1-5.fc40.x86_64.rpm: Already downloaded [SKIPPED] libXdamage-1.1.6-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] libXext-1.3.5-4.fc40.x86_64.rpm: Already downloaded [SKIPPED] libXfixes-6.0.1-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] libXft-2.3.8-4.fc40.x86_64.rpm: Already downloaded [SKIPPED] libXi-1.8.1-3.fc40.x86_64.rpm: Already downloaded [SKIPPED] libXinerama-1.1.5-4.fc40.x86_64.rpm: Already downloaded [SKIPPED] libXmu-1.1.4-4.fc40.x86_64.rpm: Already downloaded [SKIPPED] libXpm-3.5.17-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] libXrandr-1.5.4-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] libXrender-0.9.11-4.fc40.x86_64.rpm: Already downloaded [SKIPPED] libXt-1.3.0-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] libXtst-1.2.4-4.fc40.x86_64.rpm: Already downloaded [SKIPPED] libXv-1.0.12-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] libXxf86vm-1.1.5-4.fc40.x86_64.rpm: Already downloaded [SKIPPED] libaom-3.7.0-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] libavif-0.11.1-11.fc39.x86_64.rpm: Already downloaded [SKIPPED] libb2-0.98.1-9.fc39.x86_64.rpm: Already downloaded [SKIPPED] libcloudproviders-0.3.5-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] libdatrie-0.2.13-7.fc39.x86_64.rpm: Already downloaded [SKIPPED] libdav1d-1.2.1-2.fc39.x86_64.rpm: Already downloaded [SKIPPED] libdrm-2.4.117-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] libepoxy-1.5.10-4.fc39.x86_64.rpm: Already downloaded [SKIPPED] libffi-devel-3.4.4-4.fc39.x86_64.rpm: Already downloaded [SKIPPED] libfontenc-1.1.7-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] libgee-0.20.6-3.fc39.x86_64.rpm: Already downloaded [SKIPPED] libgfortran-13.2.1-5.fc40.x86_64.rpm: Already downloaded [SKIPPED] libglvnd-1.7.0-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] libglvnd-egl-1.7.0-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] libglvnd-glx-1.7.0-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] libgs-10.02.1-4.fc40.x86_64.rpm: Already downloaded [SKIPPED] libgudev-238-2.fc39.x86_64.rpm: Already downloaded [SKIPPED] libgusb-0.4.7-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] libheif-1.16.2-2.fc40.x86_64.rpm: Already downloaded [SKIPPED] libicu-73.2-2.fc39.x86_64.rpm: Already downloaded [SKIPPED] libijs-0.35-19.fc39.x86_64.rpm: Already downloaded [SKIPPED] libimagequant-4.0.3-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] libjpeg-turbo-2.1.4-3.fc39.x86_64.rpm: Already downloaded [SKIPPED] libjxl-0.8.2-3.fc40.x86_64.rpm: Already downloaded [SKIPPED] liblerc-4.0.0-4.fc39.x86_64.rpm: Already downloaded [SKIPPED] liblqr-1-0.4.2-22.fc39.x86_64.rpm: Already downloaded [SKIPPED] libmarkdown-2.2.7-5.fc39.x86_64.rpm: Already downloaded [SKIPPED] libmpc-1.3.1-3.fc39.x86_64.rpm: Already downloaded [SKIPPED] libogg-1.3.5-6.fc39.x86_64.rpm: Already downloaded [SKIPPED] libpaper-2.1.1-1.fc39.x86_64.rpm: Already downloaded [SKIPPED] libpciaccess-0.16-9.fc39.x86_64.rpm: Already downloaded [SKIPPED] libpng-1.6.40-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] libquadmath-13.2.1-5.fc40.x86_64.rpm: Already downloaded [SKIPPED] libraqm-0.8.0-5.fc39.x86_64.rpm: Already downloaded [SKIPPED] librevenge-0.0.5-5.fc39.x86_64.rpm: Already downloaded [SKIPPED] librsvg2-2.57.0-3.fc40.x86_64.rpm: Already downloaded [SKIPPED] libsigc++20-2.12.1-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] libsoup3-3.4.4-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] libspiro-20221101-4.fc39.x86_64.rpm: Already downloaded [SKIPPED] libstdc++-devel-13.2.1-5.fc40.x86_64.rpm: Already downloaded [SKIPPED] libstemmer-2.2.0-7.fc39.x86_64.rpm: Already downloaded [SKIPPED] libthai-0.1.29-6.fc39.x86_64.rpm: Already downloaded [SKIPPED] libtheora-1.1.1-34.fc39.x86_64.rpm: Already downloaded [SKIPPED] libtiff-4.5.0-3.fc40.x86_64.rpm: Already downloaded [SKIPPED] libtool-ltdl-2.4.7-8.fc40.x86_64.rpm: Already downloaded [SKIPPED] libtracker-sparql-3.6.0-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] libunwind-1.7.2-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] libusb1-1.0.26-4.fc40.x86_64.rpm: Already downloaded [SKIPPED] libvisual-0.4.1-2.fc39.x86_64.rpm: Already downloaded [SKIPPED] libvmaf-2.3.0-6.fc39.x86_64.rpm: Already downloaded [SKIPPED] libvorbis-1.3.7-8.fc39.x86_64.rpm: Already downloaded [SKIPPED] libwayland-client-1.22.0-2.fc39.x86_64.rpm: Already downloaded [SKIPPED] libwayland-cursor-1.22.0-2.fc39.x86_64.rpm: Already downloaded [SKIPPED] libwayland-egl-1.22.0-2.fc39.x86_64.rpm: Already downloaded [SKIPPED] libwayland-server-1.22.0-2.fc39.x86_64.rpm: Already downloaded [SKIPPED] libwebp-1.3.2-2.fc40.x86_64.rpm: Already downloaded [SKIPPED] libwmf-lite-0.2.13-2.fc39.x86_64.rpm: Already downloaded [SKIPPED] libwpd-0.10.3-17.fc39.x86_64.rpm: Already downloaded [SKIPPED] libwpg-0.3.3-16.fc39.x86_64.rpm: Already downloaded [SKIPPED] libxcb-1.16-2.fc40.x86_64.rpm: Already downloaded [SKIPPED] libxcrypt-devel-4.4.36-2.fc39.x86_64.rpm: Already downloaded [SKIPPED] libxkbcommon-1.6.0-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] libxshmfence-1.3.2-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] libxslt-1.1.39-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] libzip-1.10.1-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] m4-1.4.19-7.fc40.x86_64.rpm: Already downloaded [SKIPPED] mailcap-2.1.54-2.fc39.noarch.rpm: Already downloaded [SKIPPED] make-4.4.1-2.fc39.x86_64.rpm: Already downloaded [SKIPPED] mesa-libEGL-23.3.0~rc2-3.fc40.x86_64.rpm: Already downloaded [SKIPPED] mesa-libGL-23.3.0~rc2-3.fc40.x86_64.rpm: Already downloaded [SKIPPED] mesa-libgbm-23.3.0~rc2-3.fc40.x86_64.rpm: Already downloaded [SKIPPED] mesa-libglapi-23.3.0~rc2-3.fc40.x86_64.rpm: Already downloaded [SKIPPED] mkfontscale-1.2.2-4.fc39.x86_64.rpm: Already downloaded [SKIPPED] mpdecimal-2.5.1-7.fc39.x86_64.rpm: Already downloaded [SKIPPED] mupdf-1.23.5-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] ncurses-6.4-8.20231001.fc40.x86_64.rpm: Already downloaded [SKIPPED] netpbm-11.02.00-2.fc39.x86_64.rpm: Already downloaded [SKIPPED] nettle-3.9.1-2.fc39.x86_64.rpm: Already downloaded [SKIPPED] nspr-4.35.0-15.fc40.x86_64.rpm: Already downloaded [SKIPPED] nss-3.94.0-2.fc40.x86_64.rpm: Already downloaded [SKIPPED] nss-softokn-3.94.0-2.fc40.x86_64.rpm: Already downloaded [SKIPPED] nss-softokn-freebl-3.94.0-2.fc40.x86_64.rpm: Already downloaded [SKIPPED] nss-sysinit-3.94.0-2.fc40.x86_64.rpm: Already downloaded [SKIPPED] nss-util-3.94.0-2.fc40.x86_64.rpm: Already downloaded [SKIPPED] openblas-0.3.24-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] openblas-openmp-0.3.24-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] openexr-libs-3.1.10-2.fc39.x86_64.rpm: Already downloaded [SKIPPED] openjpeg2-2.5.0-5.fc40.x86_64.rpm: Already downloaded [SKIPPED] opus-1.4-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] orc-0.4.33-3.fc39.x86_64.rpm: Already downloaded [SKIPPED] pango-1.51.0-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] pangomm-2.46.3-3.fc39.x86_64.rpm: Already downloaded [SKIPPED] pdfpc-4.6.0-3.fc40.x86_64.rpm: Already downloaded [SKIPPED] perl-Authen-SASL-2.1700-1.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-AutoLoader-5.74-502.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-B-1.88-502.fc40.x86_64.rpm: Already downloaded [SKIPPED] perl-B-Hooks-EndOfScope-0.26-7.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-Bit-Vector-7.4-31.fc39.x86_64.rpm: Already downloaded [SKIPPED] perl-Business-ISBN-3.008-2.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-Business-ISBN-Data-20231118.001-1.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-Business-ISMN-1.203-2.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-Business-ISSN-1.005-8.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-Carp-1.54-500.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-Carp-Clan-6.08-16.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-Class-Accessor-0.51-18.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-Class-Data-Inheritable-0.09-6.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-Class-Inspector-1.36-14.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-Class-Method-Modifiers-2.15-2.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-Class-Singleton-1.6-9.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-Class-Struct-0.68-502.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-Clone-0.46-4.fc39.x86_64.rpm: Already downloaded [SKIPPED] perl-Compress-Raw-Bzip2-2.206-1.fc39.x86_64.rpm: Already downloaded [SKIPPED] perl-Compress-Raw-Zlib-2.206-1.fc39.x86_64.rpm: Already downloaded [SKIPPED] perl-Convert-ASN1-0.34-1.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-Crypt-RC4-2.02-35.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-Data-Compare-1.29-2.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-Data-Dump-1.25-8.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-Data-Dumper-2.188-501.fc39.x86_64.rpm: Already downloaded [SKIPPED] perl-Data-OptList-0.114-2.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-Data-Uniqid-0.12-31.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-Date-Calc-6.4-26.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-Date-ISO8601-0.005-18.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-Date-Manip-6.92-2.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-DateTime-1.65-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] perl-DateTime-Calendar-Julian-0.107-5.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-DateTime-Format-Builder-0.8300-11.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-DateTime-Format-Strptime-1.79-8.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-DateTime-Locale-1.40-1.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-DateTime-TimeZone-2.60-4.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-DateTime-TimeZone-SystemV-0.010-19.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-DateTime-TimeZone-Tzfile-0.011-19.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-Devel-CallChecker-0.009-3.fc39.x86_64.rpm: Already downloaded [SKIPPED] perl-Devel-Caller-2.07-3.fc39.x86_64.rpm: Already downloaded [SKIPPED] perl-Devel-GlobalDestruction-0.14-22.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-Devel-LexAlias-0.05-34.fc39.x86_64.rpm: Already downloaded [SKIPPED] perl-Devel-StackTrace-2.04-16.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-Digest-1.20-500.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-Digest-HMAC-1.04-8.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-Digest-MD4-1.9-36.fc39.x86_64.rpm: Already downloaded [SKIPPED] perl-Digest-MD5-2.58-501.fc40.x86_64.rpm: Already downloaded [SKIPPED] perl-Digest-Perl-MD5-1.9-29.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-Digest-SHA-6.04-501.fc39.x86_64.rpm: Already downloaded [SKIPPED] perl-Dist-CheckConflicts-0.11-28.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-DynaLoader-1.54-502.fc40.x86_64.rpm: Already downloaded [SKIPPED] perl-DynaLoader-Functions-0.004-2.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-Email-Date-Format-1.008-2.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-Encode-3.20-501.fc40.x86_64.rpm: Already downloaded [SKIPPED] perl-Encode-Locale-1.05-27.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-English-1.11-502.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-Errno-1.37-502.fc40.x86_64.rpm: Already downloaded [SKIPPED] perl-Eval-Closure-0.14-21.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-Exception-Class-1.45-8.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-Exporter-5.77-500.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-ExtUtils-MM-Utils-7.70-501.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-Fcntl-1.15-502.fc40.x86_64.rpm: Already downloaded [SKIPPED] perl-File-Basename-2.86-502.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-File-Compare-1.100.700-502.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-File-Copy-2.41-502.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-File-Find-1.43-502.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-File-Find-Rule-0.34-26.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-File-Listing-6.16-1.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-File-Path-2.18-501.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-File-ShareDir-1.118-9.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-File-Slurper-0.014-3.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-File-Temp-0.231.100-501.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-File-Which-1.27-9.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-File-stat-1.13-502.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-FileHandle-2.05-502.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-Filter-1.64-501.fc39.x86_64.rpm: Already downloaded [SKIPPED] perl-GSSAPI-0.28-43.fc39.x86_64.rpm: Already downloaded [SKIPPED] perl-Getopt-Long-2.57-1.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-Getopt-Std-1.13-502.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-HTML-Parser-3.81-3.fc39.x86_64.rpm: Already downloaded [SKIPPED] perl-HTML-Tagset-3.20-55.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-HTTP-Cookies-6.10-10.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-HTTP-Date-6.06-2.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-HTTP-Message-6.45-1.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-HTTP-Negotiate-6.01-36.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-HTTP-Tiny-0.088-3.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-Hash-Util-FieldHash-1.26-502.fc40.x86_64.rpm: Already downloaded [SKIPPED] perl-I18N-LangTags-0.45-502.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-I18N-Langinfo-0.22-502.fc40.x86_64.rpm: Already downloaded [SKIPPED] perl-IO-1.52-502.fc40.x86_64.rpm: Already downloaded [SKIPPED] perl-IO-Compress-2.206-1.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-IO-HTML-1.004-11.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-IO-Socket-IP-0.42-1.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-IO-Socket-SSL-2.084-1.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-IO-String-1.08-48.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-IO-stringy-2.113-12.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-IPC-Cmd-1.04-502.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-IPC-Open3-1.22-502.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-IPC-Run3-0.048-29.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-IPC-SysV-2.09-502.fc40.x86_64.rpm: Already downloaded [SKIPPED] perl-IPC-System-Simple-1.30-11.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-JSON-4.10-3.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-Jcode-2.07-41.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-LDAP-0.68-11.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-LWP-MediaTypes-6.04-15.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-LWP-Protocol-https-6.11-1.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-Lingua-Translit-0.29-4.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-List-AllUtils-0.19-10.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-List-SomeUtils-0.59-3.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-List-UtilsBy-0.12-7.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-Locale-Maketext-1.33-501.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-Locale-Maketext-Simple-0.21-502.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-Log-Dispatch-2.71-2.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-Log-Dispatch-FileRotate-1.38-7.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-Log-Log4perl-1.57-3.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-MIME-Base64-3.16-501.fc40.x86_64.rpm: Already downloaded [SKIPPED] perl-MIME-Charset-1.013.1-3.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-MIME-Lite-3.033-8.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-MIME-Types-2.24-3.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-MRO-Compat-0.15-7.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-Mail-Sender-0.903-22.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-Mail-Sendmail-0.80-18.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-MailTools-2.21-14.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-Math-BigInt-2.0010.01-1.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-Math-Complex-1.62-502.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-Module-CoreList-5.20231120-1.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-Module-Implementation-0.09-37.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-Module-Load-0.36-501.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-Module-Load-Conditional-0.74-501.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-Module-Metadata-1.000038-3.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-Module-Runtime-0.016-20.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-Mozilla-CA-20230821-1.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-NTLM-1.09-36.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-Net-HTTP-6.23-2.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-Net-SMTP-SSL-1.04-22.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-Net-SSLeay-1.92-10.fc39.x86_64.rpm: Already downloaded [SKIPPED] perl-Number-Compare-0.03-36.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-OLE-Storage_Lite-0.22-2.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-Opcode-1.64-502.fc40.x86_64.rpm: Already downloaded [SKIPPED] perl-POSIX-2.13-502.fc40.x86_64.rpm: Already downloaded [SKIPPED] perl-Package-Generator-1.106-29.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-Package-Stash-0.40-5.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-Package-Stash-XS-0.30-5.fc39.x86_64.rpm: Already downloaded [SKIPPED] perl-PadWalker-2.5-10.fc39.x86_64.rpm: Already downloaded [SKIPPED] perl-Params-Check-0.38-500.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-Params-Classify-0.015-20.fc39.x86_64.rpm: Already downloaded [SKIPPED] perl-Params-Util-1.102-12.fc39.x86_64.rpm: Already downloaded [SKIPPED] perl-Params-Validate-1.31-5.fc39.x86_64.rpm: Already downloaded [SKIPPED] perl-Params-ValidationCompiler-0.31-3.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-Parse-RecDescent-1.967015-20.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-PathTools-3.89-500.fc39.x86_64.rpm: Already downloaded [SKIPPED] perl-Pod-Escapes-1.07-501.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-Pod-Html-1.34-502.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-Pod-Perldoc-3.28.01-501.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-Pod-Simple-3.45-4.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-Pod-Usage-2.03-500.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-Ref-Util-0.204-18.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-Ref-Util-XS-0.117-20.fc39.x86_64.rpm: Already downloaded [SKIPPED] perl-Regexp-Common-2017060201-22.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-Role-Tiny-2.002004-9.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-Safe-2.44-502.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-Scalar-List-Utils-1.63-500.fc39.x86_64.rpm: Already downloaded [SKIPPED] perl-SelectSaver-1.02-502.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-Socket-2.037-3.fc39.x86_64.rpm: Already downloaded [SKIPPED] perl-Sort-Key-1.33-28.fc39.x86_64.rpm: Already downloaded [SKIPPED] perl-Specio-0.48-4.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-Spreadsheet-ParseExcel-0.6500-35.fc39.x86_64.rpm: Already downloaded [SKIPPED] perl-Spreadsheet-WriteExcel-2.40-28.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-Storable-3.32-500.fc39.x86_64.rpm: Already downloaded [SKIPPED] perl-Sub-Exporter-0.990-1.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-Sub-Exporter-Progressive-0.001013-22.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-Sub-Identify-0.14-24.fc39.x86_64.rpm: Already downloaded [SKIPPED] perl-Sub-Install-0.929-3.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-Symbol-1.09-502.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-Sys-Hostname-1.25-502.fc40.x86_64.rpm: Already downloaded [SKIPPED] perl-Sys-Syslog-0.36-501.fc39.x86_64.rpm: Already downloaded [SKIPPED] perl-Term-ANSIColor-5.01-501.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-Term-Cap-1.18-500.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-Text-Balanced-2.06-500.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-Text-BibTeX-0.89-3.fc39.x86_64.rpm: Already downloaded [SKIPPED] perl-Text-CSV-2.03-1.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-Text-CSV_XS-1.52-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] perl-Text-Glob-0.11-21.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-Text-ParseWords-3.31-500.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-Text-Roman-3.5-25.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-Text-Soundex-3.05-28.fc39.x86_64.rpm: Already downloaded [SKIPPED] perl-Text-Tabs+Wrap-2023.0511-3.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-Text-Unidecode-1.30-22.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-Tie-4.6-502.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-Tie-Cycle-1.227-9.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-Tie-RefHash-1.40-500.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-Time-HiRes-1.9775-500.fc39.x86_64.rpm: Already downloaded [SKIPPED] perl-Time-Local-1.350-3.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-TimeDate-2.33-11.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-Try-Tiny-0.31-6.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-UNIVERSAL-isa-1.20171012-17.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-URI-5.21-1.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-Unicode-Collate-1.31-500.fc39.x86_64.rpm: Already downloaded [SKIPPED] perl-Unicode-LineBreak-2019.001-18.fc39.x86_64.rpm: Already downloaded [SKIPPED] perl-Unicode-Map-0.112-61.fc39.x86_64.rpm: Already downloaded [SKIPPED] perl-Unicode-Normalize-1.32-500.fc39.x86_64.rpm: Already downloaded [SKIPPED] perl-Unicode-UCD-0.78-502.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-Variable-Magic-0.63-4.fc39.x86_64.rpm: Already downloaded [SKIPPED] perl-WWW-RobotRules-6.02-37.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-XML-LibXML-2.0209-2.fc39.x86_64.rpm: Already downloaded [SKIPPED] perl-XML-LibXML-Simple-1.01-12.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-XML-LibXSLT-2.002.001-3.fc39.x86_64.rpm: Already downloaded [SKIPPED] perl-XML-NamespaceSupport-1.12-21.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-XML-Parser-2.46-16.fc40.x86_64.rpm: Already downloaded [SKIPPED] perl-XML-SAX-1.02-13.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-XML-SAX-Base-1.09-21.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-XML-Writer-0.900-12.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-XML-XPath-1.48-4.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-XString-0.005-10.fc39.x86_64.rpm: Already downloaded [SKIPPED] perl-autodie-2.36-501.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-autouse-1.11-502.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-autovivification-0.18-20.fc39.x86_64.rpm: Already downloaded [SKIPPED] perl-base-2.27-502.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-constant-1.33-501.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-deprecate-0.04-502.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-encoding-3.00-501.fc40.x86_64.rpm: Already downloaded [SKIPPED] perl-if-0.61.000-502.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-interpreter-5.38.0-502.fc40.x86_64.rpm: Already downloaded [SKIPPED] perl-libnet-3.15-501.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-libs-5.38.0-502.fc40.x86_64.rpm: Already downloaded [SKIPPED] perl-libwww-perl-6.72-1.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-locale-1.10-502.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-meta-notation-5.38.0-502.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-mro-1.28-502.fc40.x86_64.rpm: Already downloaded [SKIPPED] perl-namespace-autoclean-0.29-16.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-namespace-clean-0.27-24.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-open-1.13-502.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-overload-1.37-502.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-overloading-0.02-502.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-parent-0.241-500.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-podlators-5.01-500.fc39.noarch.rpm: Already downloaded [SKIPPED] perl-sigtrap-1.10-502.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-subs-1.04-502.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-vars-1.05-502.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-version-0.99.30-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] perltidy-20230912-1.fc40.noarch.rpm: Already downloaded [SKIPPED] pixman-0.42.2-2.fc39.x86_64.rpm: Already downloaded [SKIPPED] poppler-23.08.0-1.fc39.x86_64.rpm: Already downloaded [SKIPPED] poppler-data-0.4.11-5.fc39.noarch.rpm: Already downloaded [SKIPPED] poppler-glib-23.08.0-1.fc39.x86_64.rpm: Already downloaded [SKIPPED] potrace-1.16-10.fc39.x86_64.rpm: Already downloaded [SKIPPED] python-unversioned-command-3.12.0-2.fc40.noarch.rpm: Already downloaded [SKIPPED] python3-3.12.0-2.fc40.x86_64.rpm: Already downloaded [SKIPPED] python3-appdirs-1.4.4-11.fc39.noarch.rpm: Already downloaded [SKIPPED] python3-charset-normalizer-3.3.2-1.fc40.noarch.rpm: Already downloaded [SKIPPED] python3-cssselect-1.1.0-6.fc39.noarch.rpm: Already downloaded [SKIPPED] python3-gobject-base-3.46.0-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] python3-gobject-base-noarch-3.46.0-1.fc40.noarch.rpm: Already downloaded [SKIPPED] python3-idna-3.4-5.fc40.noarch.rpm: Already downloaded [SKIPPED] python3-inkex-1.3.0-1.fc40.noarch.rpm: Already downloaded [SKIPPED] python3-libs-3.12.0-2.fc40.x86_64.rpm: Already downloaded [SKIPPED] python3-lxml-4.9.3-3.fc40.x86_64.rpm: Already downloaded [SKIPPED] python3-numpy-1.26.0-2.fc40.x86_64.rpm: Already downloaded [SKIPPED] python3-pillow-10.1.0-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] python3-pyserial-3.5-6.fc39.noarch.rpm: Already downloaded [SKIPPED] python3-scour-0.38.2-6.fc40.noarch.rpm: Already downloaded [SKIPPED] python3-six-1.16.0-12.fc39.noarch.rpm: Already downloaded [SKIPPED] python3-urllib3-1.26.18-1.fc40.noarch.rpm: Already downloaded [SKIPPED] rav1e-libs-0.6.6-3.fc40.x86_64.rpm: Already downloaded [SKIPPED] rsvg-pixbuf-loader-2.57.0-3.fc40.x86_64.rpm: Already downloaded [SKIPPED] shared-mime-info-2.3-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] sombok-2.4.0-20.fc39.x86_64.rpm: Already downloaded [SKIPPED] svt-av1-libs-1.4.1-3.fc39.x86_64.rpm: Already downloaded [SKIPPED] tcl-8.6.13-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] teckit-2.5.12-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] tesseract-5.3.3-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] tesseract-langpack-eng-4.1.0-6.fc39.noarch.rpm: Already downloaded [SKIPPED] tesseract-tessdata-doc-4.1.0-6.fc39.noarch.rpm: Already downloaded [SKIPPED] tex-preview-13.2-2.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-2up-svn55076-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-a0poster-svn54071-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-a4wide-svn20943.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-a5comb-svn17020.4-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-abraces-svn64967-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-abspos-svn64465-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-abstract-svn15878.1.2a-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-accessibility-svn55777-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-accsupp-svn53052-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-achemso-svn65103-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-acro-svn62925-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-acronym-svn54758-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-acroterm-svn61719-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-actuarialangle-svn51376-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-actuarialsymbol-svn54080-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-addfont-svn58559-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-addlines-svn49326-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-adigraph-svn49862-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-adjmulticol-svn63320-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-adjustbox-svn64967-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-adrconv-svn46817-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-advdate-svn20538.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-ae-svn15878.1.4-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-aeguill-svn15878.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-akktex-svn26055.0.3.2-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-akletter-svn15878.1.5i-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-alertmessage-svn38055.1.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-algorithmicx-svn15878.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-algorithms-svn42428-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-alnumsec-svn15878.v0.03-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-alphalph-svn53087-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-alterqcm-svn59265-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-altfont-svn15878.1.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-altsubsup-svn62738-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-amsaddr-svn64357-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-amscls-svn55378-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-amsfonts-svn61937-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-amsmath-svn63514-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-animate-svn64401-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-anonchap-svn17049.1.1a-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-answers-svn35032.2.16-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-anyfontsize-svn17050.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-anysize-svn15878.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-aobs-tikz-svn32662.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-appendix-svn53718-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-appendixnumberbeamer-svn46317-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-apptools-svn28400.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-arabicfront-svn51474-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-arcs-svn15878.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-arev-svn15878.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-arphic-svn15878.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-arraycols-svn61719-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-arrayjobx-svn18125.1.04-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-arraysort-svn31576.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-arydshln-svn50084-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-asciilist-svn49060-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-askinclude-svn54725-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-askmaps-svn56730-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-assignment-svn20431.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-assoccnt-svn38497-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-association-matrix-svn64845-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-asyfig-svn17512.0.1c-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-asypictureb-svn33490.0.3-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-atbegshi-svn53051-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-atenddvi-svn56922-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-atendofenv-svn62164-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-attachfile-svn42099-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-attachfile2-svn57959-77.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-atveryend-svn53108-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-aurl-svn41853-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-authoraftertitle-svn55889-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-authorarchive-svn65777-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-authorindex-svn51757-77.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-auto-pst-pdf-svn56596-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-autoarea-svn59552-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-autofancyhdr-svn54049-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-autonum-svn36084.0.3.11-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-autopdf-svn32377.1.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-autopuncitems-svn63045-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-auxhook-svn53173-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-avantgar-svn61983-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-avremu-svn35373.0.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-axessibility-svn57105-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-babel-english-svn44495-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-babel-svn65823-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-babelbib-svn57349-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-background-svn42428-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-bankstatement-svn38857-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-bardiag-svn22013.0.4a-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-base-20230311-77.fc39.x86_64.rpm: Already downloaded [SKIPPED] texlive-bashful-svn25597.0.93-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-basicarith-svn35460.1.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-bbding-svn17186.1.01-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-bbm-macros-svn17224.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-bbm-svn15878.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-bchart-svn43928-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-beamer-rl-svn65725-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-beamer-svn65984-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-beamer2thesis-svn27539.2.2-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-beamerappendixnote-svn55732-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-beameraudience-svn23427.0.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-beamerauxtheme-svn56087-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-beamercolorthemeowl-svn40105-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-beamerdarkthemes-svn55117-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-beamerposter-svn54512-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-beamersubframe-svn23510.0.2-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-beamerswitch-svn64182-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-beamertheme-arguelles-svn66358-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-beamertheme-cuerna-svn42161-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-beamertheme-detlevcm-svn39048-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-beamertheme-epyt-svn41404-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-beamertheme-focus-svn62551-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-beamertheme-light-svn49867-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-beamertheme-metropolis-svn43031-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-beamertheme-npbt-svn54512-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-beamertheme-phnompenh-svn39100-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-beamertheme-pure-minimalistic-svn56934-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-beamertheme-saintpetersburg-svn45877-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-beamertheme-simpledarkblue-svn60061-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-beamertheme-simpleplus-svn64770-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-beamertheme-tcolorbox-svn64387-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-beamertheme-trigon-svn65985-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-beamertheme-upenn-bc-svn29937.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-beamerthemeamurmaple-svn65698-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-beamerthemejltree-svn21977.1.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-beamerthemelalic-svn58777-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-beamerthemenirma-svn20765.0.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-beamerthemenord-svn56180-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-bearwear-svn54826-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-beaulivre-svn65475-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-bera-svn20031.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-beton-svn15878.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-bewerbung-svn61632-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-bez123-svn15878.1.1b-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-bguq-svn27401.0.4-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-bhcexam-svn64093-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-biblatex-svn66403-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-bibletext-svn45196-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-bibtex-svn66186-77.fc39.x86_64.rpm: Already downloaded [SKIPPED] texlive-bidi-svn65572-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-bigfoot-svn38248.2.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-bigintcalc-svn53172-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-bigints-svn29803.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-bilingualpages-svn59643-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-binarytree-svn41777-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-biochemistry-colors-svn54512-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-bithesis-svn66622-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-bitset-svn53837-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-bizcard-svn15878.1.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-blindtext-svn25039.2.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-blkarray-svn36406.0.07-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-blochsphere-svn38388-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-block-svn17209.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-bloques-svn22490.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-blowup-svn64466-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-blox-svn57949-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-bnumexpr-svn59244-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-bodegraph-svn61719-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-boites-svn32235.1.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-bold-extra-svn17076.0.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-bondgraph-svn21670.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-bondgraphs-svn36605.1.0.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-book-of-common-prayer-svn62240-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-bookcover-svn65394-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-bookest-svn15878.1.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-booklet-svn15878.0.7b-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-bookman-svn61719-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-bookmark-svn56885-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-bookshelf-svn55475-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-booktabs-svn53402-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-boolexpr-svn17830.3.14-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-boondox-svn54512-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-bophook-svn17062.0.02-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-boxedminipage-svn54827-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-boxhandler-svn28031.1.30-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-bracketkey-svn17129.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-braids-svn64817-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-braket-svn17127.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-breakurl-svn29901.1.40-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-breqn-svn60881-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-bubblesort-svn56070-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-bullcntr-svn15878.0.04-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-bxcalc-svn56431-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-bxdpx-beamer-svn41813-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-bxdvidriver-svn43219-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-bxeepic-svn30559.0.2-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-bxenclose-svn40213-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-bxnewfont-svn44173-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-bxpapersize-svn63174-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-bxpdfver-svn63185-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-bxtexlogo-svn63231-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-byo-twemojis-svn58917-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-byrne-svn61943-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-cachepic-svn26313-77.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-calcage-svn27725.0.90-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-calctab-svn15878.v0.6.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-calculator-svn64424-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-callouts-svn44899-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-calrsfs-svn17125.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-cals-svn43003-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-calxxxx-yyyy-svn65426-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-cancel-svn32508.2.2-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-canoniclayout-svn64889-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-capt-of-svn29803.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-captcont-svn15878.2.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-captdef-svn17353.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-caption-svn66580-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-carbohydrates-svn39000-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-carlisle-svn59577-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-cases-svn54682-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-casyl-svn15878.2.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-catchfile-svn53084-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-catchfilebetweentags-svn21476.1.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-catcodes-svn38859-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-catechis-svn59998-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-catoptions-svn35069.0.2.7h-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-cbcoptic-svn16666.0.2-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-ccaption-svn23443.3.2c-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-ccicons-svn54512-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-cclicenses-svn15878.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-cd-cover-svn17121.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-cd-svn34452.1.4-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-cdcmd-svn60742-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-cdpbundl-svn61719-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-cellprops-svn57599-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-cellspace-svn61501-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-celtic-svn39797-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-censor-svn63126-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-centeredline-svn64672-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-centerlastline-svn56644-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-changebar-svn63259-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-changelayout-svn16094.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-changelog-svn65861-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-changepage-svn15878.1.0c-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-changes-svn59950-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-chappg-svn15878.2.1b-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-chapterfolder-svn15878.2.0.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-charter-svn15878.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-cheatsheet-svn45069-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-checkend-svn51475-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-chemfig-svn65912-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-chemgreek-svn53437-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-chessfss-svn19440.1.2a-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-chet-svn45081-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-chextras-svn27118.1.01-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-childdoc-svn49543-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-chkfloat-svn27473.0.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-chletter-svn20060.2.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-chngcntr-svn47577-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-chronology-svn37934.1.1.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-circ-svn62977-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-circledsteps-svn63255-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-circledtext-svn63166-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-circuit-macros-svn66549-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-circuitikz-svn65785-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-cite-svn36428.5.5-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-cjk-svn60865-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-classics-svn53671-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-classpack-svn55218-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-clefval-svn55985-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-cleveref-svn61719-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-clicks-svn64602-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-clipboard-svn47747-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-clistmap-svn61811-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-clock-svn15878.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-clrdblpg-svn47511-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-clrstrip-svn60363-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-cm-super-svn15878.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-cm-svn57963-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-cmap-svn57640-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-cmbright-svn21107.8.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-cmdstring-svn15878.1.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-cmdtrack-svn28910-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-cmsd-svn18787.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-cnltx-svn55265-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-cns-svn45677-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-cntformats-svn34668.0.7-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-cntperchap-svn37572.0.3-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-codebox-svn61771-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-codedoc-svn17630.0.3-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-codehigh-svn65787-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-codepage-svn51502-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-codesection-svn34481.0.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-coffeestains-svn59703-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-collcell-svn64967-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-collectbox-svn64967-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-collection-basic-svn59159-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-collection-latex-svn63515-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-collection-latexextra-svn66548-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-collection-latexrecommended-svn65512-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-collection-pictures-svn66636-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-colophon-svn47913-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-color-edits-svn56707-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-colordoc-svn18270.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-colorframed-svn64551-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-colorinfo-svn15878.0.3c-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-coloring-svn41042-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-colorist-svn66434-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-colorprofiles-svn49086-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-colorspace-svn50585-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-colortab-svn22155.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-colortbl-svn64015-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-colorwav-svn15878.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-colorweb-svn31490.1.3-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-colourchange-svn21741.1.22-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-combelow-svn18462.0.99f-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-combine-svn19361.0.7a-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-combinedgraphics-svn27198.0.2.2-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-comma-svn18259.1.2-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-commado-svn38875-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-commedit-svn50116-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-comment-svn41927-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-commonunicode-svn62901-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-competences-svn47573-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-concepts-svn29020.0.0.5_r1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-concprog-svn18791.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-conditext-svn55387-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-constants-svn15878.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-continue-svn49449-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-contour-svn18950.2.14-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-contracard-svn50217-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-conv-xkv-svn43558-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-cooking-svn15878.0.9b-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-cooking-units-svn65241-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-cookingsymbols-svn35929.1.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-cool-svn15878.1.35-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-coolfn-svn66221-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-coollist-svn63523-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-coolstr-svn15878.2.2-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-coolthms-svn29062.1.2-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-cooltooltips-svn60201-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-coop-writing-svn61607-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-coordsys-svn15878.1.4-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-copyedit-svn37928.1.6-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-copyrightbox-svn24829.0.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-coseoul-svn23862.1.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-counttexruns-svn27576.1.00a-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-courier-svn61719-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-courseoutline-svn15878.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-coursepaper-svn15878.2.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-coverpage-svn63509-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-cprotect-svn21209.1.0e-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-cprotectinside-svn63833-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-crbox-svn29803.0.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-create-theorem-svn64104-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-crefthe-svn64498-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-crop-svn55424-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-crossreference-svn15878.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-crossreftools-svn55879-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-crumbs-svn64602-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-csquotes-svn64389-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-css-colors-svn54512-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-csvmerge-svn51857-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-csvsimple-svn64450-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-ctable-svn38672-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-ctablestack-svn38514-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-ctib-svn15878.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-cuisine-svn34453.0.7-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-currency-svn48990-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-currfile-svn64673-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-currvita-svn15878.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-curve-svn20745.1.16-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-curve2e-svn65469-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-curves-svn45255-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-cutwin-svn60901-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-cv-svn15878.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-cv4tw-svn34577.0.2-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-cweb-latex-svn28878.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-cyber-svn46776-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-cybercic-svn37659.2.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-darkmode-svn64271-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-dashbox-svn23425.1.14-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-dashrule-svn29579.1.3-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-dashundergaps-svn58150-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-dataref-svn62942-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-datatool-svn52663-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-datax-svn61772-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-dateiliste-svn27974.0.6-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-datenumber-svn61761-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-datestamp-svn61719-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-datetime-svn36650.2.60-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-datetime2-bahasai-svn46287-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-datetime2-basque-svn47064-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-datetime2-breton-svn52647-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-datetime2-bulgarian-svn47031-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-datetime2-catalan-svn47032-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-datetime2-croatian-svn36682.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-datetime2-czech-svn47033-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-datetime2-danish-svn47034-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-datetime2-dutch-svn47355-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-datetime2-en-fulltext-svn36705.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-datetime2-english-svn52479-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-datetime2-esperanto-svn47356-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-datetime2-estonian-svn47565-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-datetime2-finnish-svn47047-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-datetime2-french-svn56393-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-datetime2-galician-svn47631-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-datetime2-german-svn53125-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-datetime2-greek-svn47533-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-datetime2-hebrew-svn47534-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-datetime2-icelandic-svn65213-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-datetime2-irish-svn47632-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-datetime2-it-fulltext-svn54779-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-datetime2-italian-svn37146.1.3-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-datetime2-latin-svn47748-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-datetime2-lsorbian-svn47749-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-datetime2-magyar-svn48266-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-datetime2-norsk-svn48267-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-datetime2-polish-svn48456-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-datetime2-portuges-svn48457-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-datetime2-romanian-svn56394-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-datetime2-russian-svn49345-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-datetime2-samin-svn49346-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-datetime2-scottish-svn52101-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-datetime2-serbian-svn52893-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-datetime2-slovak-svn52281-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-datetime2-slovene-svn52282-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-datetime2-spanish-svn45785-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-datetime2-svn63102-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-datetime2-swedish-svn36700.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-datetime2-turkish-svn52331-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-datetime2-ukrainian-svn47552-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-datetime2-usorbian-svn52375-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-datetime2-welsh-svn52553-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-dblfloatfix-svn28983.1.0a-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-dbshow-svn61634-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-dcpic-svn30206.5.0.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-debate-svn64846-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-decimal-svn23374.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-decorule-svn55230-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-dehyph-svn48599-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-delimtxt-svn16549.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-democodetools-svn64314-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-denisbdoc-svn66137-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-dhua-svn24035.0.11-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-diabetes-logbook-svn54810-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-diagbox-svn54080-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-diagmac2-svn15878.2.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-diagnose-svn19387.0.2-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-dialogl-svn28946.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-dichokey-svn17192.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-dimnum-svn58774-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-dinbrief-svn15878.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-directory-svn15878.1.20-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-dirtree-svn42428-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-dirtytalk-svn20520.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-ditaa-svn48932-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-dlfltxb-svn17337.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-dnaseq-svn17194.0.01-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-doc-pictex-doc-svn24927.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-doclicense-svn63340-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-docmfp-svn15878.1.2d-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-docmute-svn25741.1.4-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-docshots-svn65141-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-doctools-svn34474.0.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-documentation-svn34521.0.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-docutils-svn56594-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-doi-svn48634-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-dot2texi-svn26237.3.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-dotarrow-svn15878.0.01a-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-dotlessi-svn51476-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-dotseqn-svn17195.1.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-dottex-svn15878.0.6-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-doublestroke-svn15878.1.111-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-dowith-svn38860-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-download-svn52257-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-dox-svn46011-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-dpcircling-svn54994-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-dpfloat-svn17196.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-dprogress-svn15878.0.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-drac-svn15878.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-draftcopy-svn15878.2.16-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-draftfigure-svn44854-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-draftwatermark-svn57099-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-dratex-svn15878.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-drs-svn19232.1.1b-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-dtk-svn65315-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-dtxdescribe-svn65445-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-dtxgallery-doc-svn49504-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-ducksay-svn64655-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-duckuments-svn52271-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-duotenzor-svn18728.1.00-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-dvdcoll-svn15878.v1.1a-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-dvipdfmx-svn66203-77.fc39.x86_64.rpm: Already downloaded [SKIPPED] texlive-dvips-svn66203-77.fc39.x86_64.rpm: Already downloaded [SKIPPED] texlive-dvisvgm-svn66532-77.fc39.x86_64.rpm: Already downloaded [SKIPPED] texlive-dynamicnumber-svn38726-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-dynblocks-svn35193.0.2b-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-dynkin-diagrams-svn58758-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-ean-svn20851.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-ean13isbn-svn57514-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-easy-svn19440.0.99-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-easy-todo-svn32677.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-easybook-svn64976-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-easyfig-svn64967-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-easyfloats-svn57204-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-easyformat-svn44543-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-easylist-svn32661.1.3-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-easyreview-svn38352.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-ebezier-svn15878.4-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-ebgaramond-maths-svn52168-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-ebgaramond-svn66604-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-ec-svn25033.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-ecclesiastic-svn38172.0.3-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-ecgdraw-svn41617-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-econlipsum-svn58390-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-ecv-svn24928.0.3-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-ed-svn25231.1.8-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-edichokey-svn56223-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-edmargin-svn27599.1.2-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-eemeir-svn15878.1.1b-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-eepic-svn15878.1.1e-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-efbox-svn33236.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-egpeirce-svn66636-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-egplot-svn20617.1.02a-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-ehhline-svn54676-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-einfart-svn65475-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-elements-svn61792-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-ellipse-svn39025-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-ellipsis-svn55418-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-elmath-svn15878.v1.2-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-elocalloc-svn42712-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-elpres-svn60209-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-elzcards-svn51894-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-emarks-svn24504.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-embedall-svn51177-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-embedfile-svn65528-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-embrac-svn57814-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-emptypage-svn18064.1.2-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-emulateapj-svn28469.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-enctex-svn34957.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-endfloat-svn57090-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-endheads-svn43750-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-endnotes-hy-svn54758-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-endnotes-svn53319-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-endofproofwd-svn55643-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-engpron-svn16558.2-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-engrec-svn15878.1.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-enotez-svn61490-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-enumitem-svn51423-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-enumitem-zref-svn21472.1.8-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-envbig-svn15878.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-environ-svn56615-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-envlab-svn61937-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-epigraph-keys-svn61719-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-epigraph-svn54857-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-epiolmec-svn15878.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-epsf-svn21461.2.7.4-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-epspdf-svn66115-77.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-epspdfconversion-svn18703.0.61-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-epstopdf-pkg-svn53546-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-epstopdf-svn66461-77.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-eq-pin2corr-svn59477-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-eqell-svn22931.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-eqlist-svn32257.2.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-eqnalign-svn43278-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-eqname-svn20678.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-eqparbox-svn45215-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-errata-svn42428-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-erw-l3-svn61799-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-esami-svn61596-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-esdiff-svn21385.1.2-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-esieecv-svn59638-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-esindex-svn52342-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-esint-svn52240-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-esint-type1-svn15878.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-esk-svn18115.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-eso-pic-svn56658-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-esvect-svn32098.1.3-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-etaremune-svn15878.v1.2-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-etex-pkg-svn41784-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-etex-svn66203-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-etexcmds-svn53171-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-etextools-svn20694.3.1415926-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-etl-svn60998-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-etoc-svn66299-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-etoolbox-svn56554-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-euclideangeometry-svn60697-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-euenc-svn19795.0.1h-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-euflag-svn55265-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-eukdate-svn15878.1.04-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-euler-svn42428-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-eulerpx-svn63967-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-eulervm-svn15878.4.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-europasscv-svn56829-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-europecv-svn64037-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-eurosym-svn17265.1.4_subrfix-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-everyhook-svn35675.1.2-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-everypage-svn56694-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-everysel-svn57489-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-everyshi-svn57001-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-exam-lite-svn65754-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-exam-n-svn64674-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-exam-randomizechoices-svn61719-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-exam-svn64134-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-examdesign-svn15878.1.02-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-example-svn33398.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-examplep-svn55265-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-exceltex-svn26313-77.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-excludeonly-svn17262.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-exercise-svn35417.1.6-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-exercisebank-svn50448-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-exercisepoints-svn49590-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-exercises-svn55188-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-exesheet-svn65577-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-exframe-svn53911-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-exp-testopt-svn15878.0.3-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-expdlist-svn15878.2.4-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-expkv-bundle-svn65623-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-export-svn27206.1.8-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-exsheets-svn52227-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-exsol-svn48977-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-extarrows-svn54400-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-extract-svn52117-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-extsizes-svn17263.1.4a-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-facsimile-svn21328.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-factura-svn61697-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-familytree-svn63739-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-fancybox-svn18304.1.4-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-fancyhandout-svn46411-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-fancyhdr-svn64977-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-fancylabel-svn46736-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-fancynum-svn15878.0.92-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-fancypar-svn58895-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-fancyqr-svn64182-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-fancyref-svn15878.0.9c-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-fancyslides-svn36263.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-fancytabs-svn41549-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-fancytooltips-svn56291-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-fancyvrb-svn65585-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-fast-diagram-svn29264.1.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-fbox-svn62126-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-fcolumn-svn61855-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-fenetrecas-svn66611-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-fetchcls-svn45245-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-fewerfloatpages-svn58058-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-ffcode-svn65170-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-ffslides-svn38895-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-fgruler-svn63721-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-fifo-stack-svn33288.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-fig4latex-svn26313-77.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-figchild-svn62945-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-figput-svn63957-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-figsize-svn18784.0.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-filecontents-svn52142-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-filecontentsdef-svn52208-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-filedate-svn29529.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-filehook-svn64822-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-fileinfo-svn28421.0.81a-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-filemod-svn64967-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-fink-svn24329.2.2.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-finstrut-svn21719.0.5-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-fira-svn64422-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-firstaid-svn64892-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-fistrum-svn66461-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-fitbox-svn50088-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-fithesis-svn64135-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-fix2col-svn38770-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-fixcmex-svn51825-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-fixfoot-svn17131.0.3a-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-fixmath-svn64648-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-fixme-svn63708-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-fixmetodonotes-svn30168.0.2.2-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-fjodor-svn53207-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-flabels-svn17272.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-flacards-svn19440.0.1.1b-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-flagderiv-svn15878.0.10-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-flashcards-svn62104-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-flashmovie-svn25768.0.4-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-flexipage-svn64572-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-flipbook-svn25584.0.2-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-flippdf-svn56782-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-float-svn15878.1.3d-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-floatflt-svn25540.1.31-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-floatrow-svn15878.0.3b-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-flowchart-svn36572.3.3-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-flowfram-svn35291.1.17-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-fltpoint-svn56594-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-fmp-svn15878.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-fmtcount-svn53912-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-fn2end-svn15878.1.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-fnbreak-svn25003.1.30-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-fncychap-svn20710.v1.34-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-fncylab-svn52090-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-fnpara-svn25607.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-fnpct-svn62248-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-fnumprint-svn29173.1.1a-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-foilhtml-svn61937-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-foliono-svn58877-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-fontawesome-svn48145-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-fontaxes-svn55920-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-fonts-tlwg-svn60817-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-fontsetup-svn65439-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-fontsize-svn60161-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-fontspec-svn63386-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-fonttable-svn44799-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-footmisc-svn62524-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-footmisx-svn42621-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-footnotebackref-svn27034.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-footnotehyper-svn60374-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-footnoterange-svn66149-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-footnpag-svn15878.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-forarray-svn15878.1.01-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-foreign-svn27819.2.7-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-forest-svn57398-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-forloop-svn15878.3.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-formlett-svn21480.2.3-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-forms16be-svn51305-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-formular-svn15878.1.0a-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-fourier-svn61937-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-fp-svn49719-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-fpl-svn54512-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-fragments-svn15878.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-frame-svn18312.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-framed-svn26789.0.96-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-frankenstein-svn15878.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-frege-svn27417.1.3-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-froufrou-svn59103-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-ftcap-svn17275.1.4-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-ftnxtra-svn29652.0.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-fullblck-svn25434.1.03-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-fullminipage-svn34545.0.1.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-fullwidth-svn24684.0.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-functional-svn65485-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-fundus-calligra-svn26018.1.2-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-fundus-cyr-svn26019.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-fundus-sueterlin-svn26030.1.2-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-fvextra-svn65158-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-fwlw-svn29803.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-g-brief-svn50415-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-garamond-libre-svn64412-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-garuda-c90-svn60832-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-gatherenum-svn52209-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-gauss-svn32934.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-gb4e-svn19216.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-gcard-svn15878.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-gcite-svn15878.1.0.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-gender-svn36464.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-genealogytree-svn66513-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-genmpage-svn15878.0.3.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-gensymb-svn64740-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-geometry-svn61719-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-getfiledate-svn16189.1.2-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-getitems-svn39365-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-getmap-svn50589-77.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-gettitlestring-svn53170-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-gincltex-svn64967-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-gindex-svn52311-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-ginpenc-svn24980.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-gitfile-info-svn51928-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-gitinfo-svn34049.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-gitinfo2-svn38913-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-gitlog-svn38932-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-gitstatus-svn64662-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-gitver-svn63920-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-globalvals-svn49962-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-gloss-svn15878.1.5.2-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-glossaries-danish-svn35665.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-glossaries-dutch-svn35685.1.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-glossaries-english-svn35665.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-glossaries-estonian-svn49928-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-glossaries-extra-svn64973-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-glossaries-finnish-svn54080-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-glossaries-french-svn42873-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-glossaries-german-svn35665.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-glossaries-irish-svn35665.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-glossaries-italian-svn35665.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-glossaries-magyar-svn35665.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-glossaries-nynorsk-svn55189-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-glossaries-polish-svn35665.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-glossaries-portuges-svn36064.1.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-glossaries-serbian-svn35665.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-glossaries-slovene-svn51211-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-glossaries-spanish-svn35665.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-glossaries-svn64919-77.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-glyphlist-svn54074-77.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-gmdoc-enhance-svn15878.v0.2-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-gmdoc-svn21292.0.993-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-gmiflink-svn15878.v0.97-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-gmutils-svn24287.v0.996-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-gmverb-svn24288.v0.98-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-gnuplottex-svn54758-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-grabbox-svn65223-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-gradient-text-svn65567-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-gradientframe-svn21387.0.2-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-grading-scheme-svn62505-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-grafcet-svn22509.1.3.5-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-graph35-svn65138-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-graphbox-svn46360-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-graphics-cfg-svn41448-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-graphics-def-svn64487-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-graphics-svn66204-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-graphicscache-svn65318-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-graphicx-psmin-svn56931-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-graphicxbox-svn32630.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-graphicxpsd-svn57341-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-graphpaper-svn63116-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-graphviz-svn31517.0.94-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-grayhints-svn49052-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-grfext-svn53024-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-grffile-svn52756-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-grfpaste-svn17354.0.2-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-grid-svn61719-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-grid-system-svn32981.0.3.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-gridpapers-svn58723-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-gridset-svn53762-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-gridslides-svn54512-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-gs1-svn59620-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-gsftopk-svn52851-77.fc39.x86_64.rpm: Already downloaded [SKIPPED] texlive-gtl-svn49527-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-gtrlib-largetrees-svn49062-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-guitlogo-svn55741-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-ha-prosper-svn59651-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-hackthefootline-svn46494-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-halloweenmath-svn52602-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-handin-svn48255-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-handout-svn43962-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-handoutwithnotes-svn62140-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-hang-svn43280-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-hanging-svn15878.1.2b-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-hardwrap-svn21396.0.2-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-harnon-cv-svn26543.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-harpoon-svn21327.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-harveyballs-svn32003.1.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-hc-svn15878.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-he-she-svn41359-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-helvetic-svn61719-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-hep-acronym-svn64890-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-hep-float-svn64904-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-hep-math-svn64905-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-hep-text-svn64906-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-hep-title-svn64907-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-here-svn16135.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-hereapplies-svn65251-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-hf-tikz-svn34733.0.3a-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-hhtensor-svn54080-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-hideanswer-svn63852-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-highlightlatex-svn58392-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-histogr-svn15878.1.01-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-hitec-svn15878.0.0_beta_-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-hitreport-svn58357-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-hletter-svn30002.4.2-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-hobby-svn44474-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-hobsub-svn52810-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-hologo-svn61719-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-hopatch-svn65491-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-hpsdiss-svn15878.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-href-ul-svn64880-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-hrefhide-svn66189-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-huawei-svn65264-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-hvextern-svn65670-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-hvfloat-svn65671-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-hvindex-svn46051-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-hvlogos-svn63261-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-hvpygmentex-svn62405-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-hvqrurl-svn59256-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-hwemoji-svn65001-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-hycolor-svn53584-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-hypdestopt-svn56253-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-hypdoc-svn65678-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-hypdvips-svn53197-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-hyper-svn17357.4.2d-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-hyperbar-svn48147-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-hypernat-svn17358.1.0b-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-hyperref-svn65758-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-hyperxmp-svn65980-77.fc39.x86_64.rpm: Already downloaded [SKIPPED] texlive-hyph-utf8-svn61719-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-hyphen-base-svn66413-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-hyphen-polish-svn58609-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-hyphenat-svn15878.2.3c-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-hyphenex-svn57387-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-identkey-svn61719-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-idxcmds-svn54554-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-idxlayout-svn25821.0.4d-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-iexec-svn64908-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-ifallfalse-svn60027-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-iffont-svn38823-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-ifmslide-svn20727.0.47-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-ifmtarg-svn47544-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-ifnextok-svn23379.0.3-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-ifoddpage-svn64967-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-ifplatform-svn45533-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-ifsym-svn24868.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-iftex-svn61910-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-ifthenx-svn25819.0.1a-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-iitem-svn29613.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-image-gallery-svn15878.v1.0j-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-imakeidx-svn42287-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-import-svn54683-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-incgraph-svn60810-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-index-svn24099.4.1beta-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-indextools-svn38931-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-infwarerr-svn53023-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-inline-images-svn61719-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-inlinedef-svn15878.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-inlinelabel-svn63853-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-inputenx-svn52986-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-inputtrc-svn28019.0.3-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-intcalc-svn53168-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-interactiveworkbook-svn15878.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-interfaces-svn21474.3.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-intopdf-svn63987-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-inversepath-svn15878.0.2-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-invoice-class-svn49749-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-invoice-svn48359-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-invoice2-svn46364-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-iso-svn15878.2.4-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-iso10303-svn15878.1.5-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-isodate-svn16613.2.28-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-isodoc-svn59709-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-isomath-svn27654.0.6.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-isonums-svn17362.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-isopt-svn45509-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-isorot-svn15878.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-isotope-svn23711.v0.3-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-issuulinks-svn25742.1.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-istgame-svn62946-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-itnumpar-svn15878.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-iwhdp-svn37552.0.50-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-jknapltx-svn19440.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-jlabels-svn24858.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-jmsdelim-svn62630-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-jobname-suffix-svn64797-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-jslectureplanner-svn57095-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-jumplines-svn37553.0.2-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-jvlisting-svn24638.0.7-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-kalendarium-svn48744-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-kantlipsum-svn51727-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-kastrup-svn15878.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-kblocks-svn57617-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-kerntest-svn15878.1.32-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-keycommand-svn18042.3.1415-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-keyfloat-svn65446-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-keyindex-svn50828-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-keyparse-svn60277-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-keyreader-svn28195.0.5b-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-keystroke-svn17992.v1.6-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-keyval2e-svn23698.0.0.2-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-keyvaltable-svn65416-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-kinematikz-svn61392-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-kix-svn21606.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-knitting-svn50782-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-knittingpattern-svn17205.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-knowledge-svn61991-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-knuth-lib-svn57963-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-knuth-local-svn57963-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-koma-moderncvclassic-svn25025.v0.5-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-koma-script-sfs-svn26137.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-koma-script-svn64685-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-komacv-rg-svn49064-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-komacv-svn57721-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-kpathsea-svn66209-77.fc39.x86_64.rpm: Already downloaded [SKIPPED] texlive-kpfonts-svn65583-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-ktv-texdata-svn27369.05.34-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-kurier-svn19612.0.995b-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-kvdefinekeys-svn53193-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-kvoptions-svn63622-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-kvsetkeys-svn64632-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-l3backend-svn65573-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-l3build-svn66471-77.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-l3experimental-svn65621-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-l3kernel-svn66094-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-l3packages-svn65722-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-labbook-svn15878.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-labels-svn15878.13-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-labels4easylist-svn51124-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-labelschanged-svn46040-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-ladder-svn44394-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-lambda-lists-svn31402.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-lambdax-svn60278-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-langcode-svn27764.0.2-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-lapdf-svn23806.1.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-lastpackage-svn34481.0.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-lastpage-svn66461-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-latex-fonts-svn28888.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-latex-lab-svn64892-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-latex-make-svn60874-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-latex-svn65161-77.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-latex-uni8-svn49729-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-latexbug-svn63596-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-latexcolors-svn49888-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-latexconfig-svn53525-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-latexdemo-svn55265-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-latexgit-svn54811-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-layouts-svn42428-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-lazylist-svn17691.1.0a-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-lccaps-svn46432-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-lcd-svn16549.0.3-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-lcg-svn31474.1.3-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-leading-svn15878.0.3-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-leaflet-svn56878-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-lebhart-svn65475-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-lectures-svn53642-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-lectureslides-svn62292-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-leftidx-svn15878.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-leftindex-svn56182-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-leipzig-svn52450-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-lengthconvert-svn55064-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-letltxmacro-svn53022-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-lettre-svn54722-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-lettrine-svn64511-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-lewis-svn15878.0.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-lhelp-svn23638.2.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-lib-20230311-77.fc39.x86_64.rpm: Already downloaded [SKIPPED] texlive-libertine-svn64359-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-libertinust1math-svn61751-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-libgreek-svn65004-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-liftarm-svn62981-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-limap-svn44863-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-linegoal-svn21523.2.9-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-lineno-svn65586-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-linguex-svn30815.4.3-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-linop-svn41304-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-lipsum-svn60561-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-lisp-on-tex-svn38722-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-listing-svn17373.1.2-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-listings-svn66222-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-listingsutf8-svn53097-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-listlbls-svn34893.1.03-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-listliketab-svn15878.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-listofitems-svn51923-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-listofsymbols-svn16134.0.2-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-lkproof-svn20021.3.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-lm-math-svn36915.1.959-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-lm-svn65956-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-lmake-svn25552.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-locality-svn20422.0.2-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-logbox-svn24499.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-logical-markup-utils-svn15878.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-logpap-svn15878.0.6-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-logreq-svn53003-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-longfbox-svn39028-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-longfigure-svn34302.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-longnamefilelist-svn27889.0.2-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-loops-svn30704.1.3-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-lpic-svn20843.0.8-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-lroundrect-svn39804-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-lsc-svn15878.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-lstaddons-svn64967-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-lstfiracode-svn49503-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-lt3graph-svn45913-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-lt3rawobjects-svn65230-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-ltablex-svn34923.1.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-ltabptch-svn17533.1.74d-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-ltxcmds-svn56421-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-ltxdockit-svn21869.1.2d-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-ltxguidex-svn50992-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-ltxkeys-svn28332.0.0.3c-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-ltxmisc-svn21927.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-ltxnew-svn21586.1.3-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-ltxtools-svn24897.0.0.1a-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-lua-alt-getopt-svn56414-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-lua-check-hyphen-svn47527-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-lua-physical-svn59138-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-lua-uni-algos-svn62204-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-lua-widow-control-svn65084-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-luabidi-svn54512-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-luacode-svn25193.1.2a-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-luacolor-svn57829-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-luahbtex-svn66186-77.fc39.x86_64.rpm: Already downloaded [SKIPPED] texlive-luainputenc-svn20491.0.973-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-lualatex-math-svn61464-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-lualibs-svn64615-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-luamesh-svn63875-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-luamplib-svn61587-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-luaotfload-svn64616-77.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-luasseq-svn65511-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-luatex-svn66967-77.fc39.x86_64.rpm: Already downloaded [SKIPPED] texlive-luatexbase-svn52663-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-luatextra-svn20747.1.0.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-luatodonotes-svn53825-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-lwarp-svn66259-77.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-ly1-svn63565-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-macrolist-svn60139-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-macroswap-svn31498.1.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-magaz-svn24694.0.4-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-magicnum-svn52983-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-magicwatermark-svn63656-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-mailing-svn15878.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-mailmerge-svn15878.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-make4ht-svn66130-77.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-makebarcode-svn15878.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-makebase-svn41012-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-makebox-svn15878.0.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-makecell-svn15878.0.1e-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-makecirc-svn15878.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-makecmds-svn15878.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-makecookbook-svn49311-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-makedtx-svn46702-77.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-makeglos-svn15878.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-makeindex-svn62517-77.fc39.x86_64.rpm: Already downloaded [SKIPPED] texlive-makelabels-svn60255-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-maker-svn44823-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-makerobust-svn52811-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-makeshape-svn28973.2.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-mandi-svn61764-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-manfnt-svn54684-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-manuscript-svn36110.1.7-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-manyind-svn49874-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-marginfit-svn48281-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-marginfix-svn55064-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-marginnote-svn48383-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-markdown-svn66257-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-marvosym-svn29349.2.2a-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-mathabx-svn15878.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-mathalpha-svn61089-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-mathastext-svn64930-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-mathdesign-svn31639.2.31-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-mathexam-svn15878.1.00-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-mathfam256-svn53519-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-mathfont-svn65205-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-mathpazo-svn52663-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-mathspec-svn42773-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-mathspic-svn31957-77.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-mathtools-svn63767-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-maybemath-svn15878.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-mcaption-svn15878.3.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-mceinleger-svn15878.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-mcexam-svn60481-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-mcite-svn18173.1.6-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-mciteplus-svn31648.1.2-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-mdframed-svn31075.1.9b-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-mdwtools-svn15878.1.05.4-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-media4svg-svn64686-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-media9-svn64047-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-medstarbeamer-svn38828-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-meetingmins-svn31878.1.6-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-memexsupp-svn15878.0.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-memoir-svn65040-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-memory-svn30452.1.2-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-mensa-tex-svn45997-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-menu-svn15878.0.994-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-menucard-svn55643-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-menukeys-svn64314-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-mercatormap-svn56060-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-metafont-svn66186-77.fc39.x86_64.rpm: Already downloaded [SKIPPED] texlive-metalogo-svn18611.0.12-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-metalogox-svn65448-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-metanorma-svn55010-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-metastr-svn56246-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-method-svn17485.2.0b-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-metre-svn18489.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-mfirstuc-svn64743-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-mflogo-svn42428-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-mfnfss-svn46036-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-mftinc-svn15878.1.0a-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-mfware-svn66186-77.fc39.x86_64.rpm: Already downloaded [SKIPPED] texlive-mhchem-svn61456-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-mi-solns-svn49651-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-microtype-svn66587-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-midpage-svn17484.1.1a-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-milsymb-svn54361-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-mindflow-svn65236-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-minibox-svn30914.0.2a-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-minidocument-svn43752-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-minifp-svn32559.0.96-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-minimalist-svn66434-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-minipage-marginpar-svn15878.v0.2-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-miniplot-svn17483.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-minitoc-svn61719-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-minorrevision-svn32165.1.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-minted-svn65252-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-minutes-svn42186-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-mkpic-svn33700-77.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-mla-paper-svn54080-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-mleftright-svn53021-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-mlist-svn15878.0.6a-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-mmap-svn15878.1.03-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-mnotes-svn63406-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-mnsymbol-svn18651.1.4-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-moderncv-svn62128-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-modernposter-svn47269-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-moderntimeline-svn55518-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-modes-svn61719-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-modiagram-svn56886-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-modref-svn15878.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-modroman-svn29803.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-modular-svn44142-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-monofill-svn28140.0.2-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-moodle-svn65672-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-moreenum-svn24479.1.03-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-morefloats-svn37927.1.0h-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-morehype-svn38815-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-moresize-svn17513.1.9-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-moreverb-svn22126.2.3a-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-morewrites-svn49531-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-movie15-svn26473-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-mparhack-svn59066-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-mpostinl-svn49559-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-mptopdf-svn65952-77.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-ms-svn57473-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-msc-svn63291-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-msg-svn49578-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-mslapa-svn54080-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-mtgreek-svn17967.1.1+-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-multenum-svn21775.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-multiaudience-svn60688-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-multibbl-svn15878.v1.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-multicap-svn15878.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-multicolrule-svn56366-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-multidef-svn40637-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-multido-svn18302.1.42-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-multienv-svn64967-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-multiexpand-svn45943-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-multifootnote-svn63456-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-multilang-svn49065-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-multiple-choice-svn63722-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-multirow-svn58396-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-mversion-svn29370.1.0.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-mwe-svn64967-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-mweights-svn53520-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-mycv-svn26807.1.5.6-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-mylatex-svn56751-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-mylatexformat-svn21392.3.4-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-nag-svn24741.0.7-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-nameauth-svn65738-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-namespc-svn15878.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-natbib-svn20668.8.31b-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-ncclatex-svn15878.1.5-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-ncctools-svn51810-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-needspace-svn29601.1.3d-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-nestquot-svn27323.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-neuralnetwork-svn31500.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-newcommand-doc-svn18704.2.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-newenviron-svn29331.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-newfile-svn15878.1.0c-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-newfloat-svn52906-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-newlfm-svn15878.9.4-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-newpx-svn61806-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-newspaper-svn15878.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-newtx-svn62369-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-newtxtt-svn54512-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-newunicodechar-svn47382-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-newvbtm-svn23996.1.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-newverbs-svn64833-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-nextpage-svn15878.1.1a-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-nfssext-cfr-svn43640-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-nicefilelist-svn65842-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-niceframe-svn36086.1.1c-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-nicetext-svn38914-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-nidanfloat-svn48295-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-ninecolors-svn62006-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-nl-interval-svn58328-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-nlctdoc-svn64708-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-nndraw-svn59674-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-noconflict-svn30140.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-noindentafter-svn59195-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-noitcrul-svn15878.0.2-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-nolbreaks-svn26786.1.2-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-nomencl-svn61029-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-nomentbl-svn16549.0.4-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-nonfloat-svn17598.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-nonumonpart-svn22114.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-nopageno-svn18128.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-norasi-c90-svn60831-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-normalcolor-svn40125-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-notes-svn42428-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-notespages-svn41906-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-notestex-svn45396-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-notoccite-svn18129.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-nowidow-svn24066.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-nox-svn30991.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-ntgclass-svn65522-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-nth-svn54252-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-ntheorem-svn27609.1.33-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-numberedblock-svn33109.1.10-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-numericplots-svn31729.2.0.2-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-numname-svn18130.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-numprint-svn27498.1.39-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-numspell-svn61132-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-oberdiek-svn65521-77.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-ocg-p-svn28803.0.4-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-ocgx-svn54512-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-ocgx2-svn65292-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-ocr-latex-svn15878.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-octavo-svn15878.1.2-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-oldstyle-svn15878.0.2-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-onlyamsmath-svn42927-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-opcit-svn15878.1.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-opencolor-svn66363-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-opensans-svn54512-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-optidef-svn50941-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-optional-svn18131.2.2b-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-options-svn39030-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-orcidlink-svn59560-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-orientation-svn57390-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-outilsgeomtikz-svn66461-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-outline-svn18360.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-outliner-svn21095.0.94-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-outlines-svn25192.1.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-outlining-svn45601-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-overlays-svn57866-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-overpic-svn53889-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-padcount-svn47621-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pagecolor-svn65843-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pagecont-svn15878.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pagegrid-svn64470-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pagelayout-svn66392-77.fc39.x86_64.rpm: Already downloaded [SKIPPED] texlive-pagenote-svn63708-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pagerange-svn16915.0.5-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pagesel-svn56105-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pageslts-svn39164-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-palatino-svn61719-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-palette-svn60119-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pangram-svn66300-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-paper-svn34521.1.0l-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-papercdcase-svn15878.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-papermas-svn23667.1.0h-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-papertex-svn19230.1.2b-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-paracol-svn49560-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-parades-svn40042-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-paralist-svn43021-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-parallel-svn15878.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-paratype-svn32859.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-paresse-svn59228-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-parnotes-svn51720-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-parsa-svn54840-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-parselines-svn21475.1.4-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-parskip-svn58358-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pas-cours-svn55859-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pas-cv-svn32263.2.01-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pas-tableur-svn39542-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-patchcmd-svn41379-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-path-svn22045.3.05-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pauldoc-svn16005.0.5-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pawpict-svn21629.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pax-svn63509-77.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pb-diagram-svn15878.5.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pbalance-svn64002-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pbox-svn24807.1.2-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pbsheet-svn24830.0.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pdf14-svn17583.0.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pdfcol-svn64469-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pdfcolfoot-svn65512-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pdfcolmk-svn52912-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pdfcomment-svn49047-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pdfcprot-svn18735.1.7a-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pdfcrop-svn55435-77.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pdfescape-svn53082-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pdflscape-svn64851-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pdfmanagement-testphase-svn66532-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pdfmarginpar-svn23492.0.92-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pdfoverlay-svn64210-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pdfpagediff-svn37946.1.4-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pdfpages-svn65319-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pdfpc-movie-svn48245-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pdfpc-svn63866-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pdfprivacy-svn45985-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pdfreview-svn50100-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pdfscreen-svn42428-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pdfslide-svn15878.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pdfsync-svn20373.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pdftex-svn66243-77.fc39.x86_64.rpm: Already downloaded [SKIPPED] texlive-pdftexcmds-svn55777-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pdfwin-svn54074-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pdfx-svn50338-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pecha-svn15878.0.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-penrose-svn57508-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-perltex-svn52162-77.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-permute-svn15878.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-petiteannonce-svn25915.1.0001-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-petri-nets-svn39165-77.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pgf-blur-svn54512-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pgf-interference-svn61562-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pgf-periodictable-svn66010-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pgf-pie-svn63603-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pgf-soroban-svn32269.1.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pgf-spectra-svn66011-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pgf-svn65553-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pgf-umlcd-svn63386-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pgf-umlsd-svn55342-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pgfgantt-svn52662-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pgfkeyx-svn26093.0.0.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pgfmath-xfp-svn59268-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pgfmolbio-svn35152.0.21-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pgfmorepages-svn54770-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pgfopts-svn56615-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pgfornament-svn55326-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pgfplots-svn61719-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-phfcc-svn60731-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-phfextendedabstract-svn60732-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-phffullpagefigure-svn41857-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-phfnote-svn60733-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-phfparen-svn41859-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-phfqit-svn60734-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-phfquotetext-svn41869-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-phfsvnwatermark-svn41870-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-phfthm-svn60735-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-philex-svn36396.1.3-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-phonenumbers-svn63774-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-photo-svn18739.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-photobook-svn66629-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-picinpar-svn65097-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pict2e-svn56504-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pictex-svn59551-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pictex2-svn15878.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-picture-svn54867-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-piff-svn21894.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pinlabel-svn24769.1.2-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pixelart-svn66012-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pixelarttikz-svn65649-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pkgloader-svn47486-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pl-svn58661-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-placeins-svn19848.2.2-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-plain-svn57963-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-plainpkg-svn27765.0.4a-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-plantslabels-svn29803.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-plates-svn15878.0.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-plweb-svn15878.3.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pmboxdraw-svn53046-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pmgraph-svn15878.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-polski-svn60322-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-polyglossia-svn65792-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-polynom-svn44832-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-polynomial-svn15878.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-polytable-svn55837-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-postage-svn55920-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-postcards-svn21641.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-poster-mac-svn18305.1.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-postnotes-svn66019-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-powerdot-svn59272-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-ppr-prv-svn15878.0.13c-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-ppt-slides-svn65194-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-practicalreports-svn52312-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-precattl-svn63967-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-prelim2e-svn57000-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-preprint-svn30447.2011-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-prerex-svn54512-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pressrelease-svn35147.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-prettyref-svn15878.3.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-prettytok-svn63842-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-printlen-svn19847.1.1a-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-probsoln-svn44783-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-productbox-svn20886.1.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-program-svn44214-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-progress-svn19519.1.10-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-progressbar-svn33822.v1.0b_4-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-projlib-svn65475-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-proofread-svn61719-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-properties-svn15878.0.2-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-prosper-svn33033.1.0h-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-protex-svn41633-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-protocol-svn25562.1.13-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-psfrag-svn15878.3.04-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-psfragx-svn26243.1.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pslatex-svn57434-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-psnfss-svn54694-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pspicture-svn15878.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pst-3d-svn17257.1.10-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pst-blur-svn15878.2.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pst-coil-svn62977-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pst-eps-svn15878.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pst-fill-svn60671-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pst-grad-svn15878.1.06-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pst-math-svn64732-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pst-node-svn61838-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pst-ovl-svn54963-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pst-plot-svn65346-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pst-slpe-svn24391.1.31-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pst-text-svn49542-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pst-tools-svn60621-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pst-tree-svn60421-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pstool-svn46393-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pstricks-add-svn65067-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pstricks-svn65346-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pstring-svn42857-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-ptolemaicastronomy-svn50810-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-puyotikz-svn57254-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pxfonts-svn15878.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pxgreeks-svn21838.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pxpgfmark-svn30212.0.2-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pxpic-svn65803-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pygmentex-svn64131-77.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-python-svn60162-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pythonimmediate-svn66461-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-pythontex-svn59514-77.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-qcircuit-svn48400-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-qcm-svn63833-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-qrcode-svn36065.1.51-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-qstest-svn15878.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-qsymbols-svn15878.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-qtree-svn15878.3.1b-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-quantikz-svn54911-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-quicktype-svn42183-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-quiz2socrative-svn52276-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-quotchap-svn56926-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-quoting-svn32818.v0.1c-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-quotmark-svn15878.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-ragged2e-svn66152-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-ran_toks-svn59515-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-randbild-svn15878.0.2-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-random-svn54723-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-randomwalk-svn49513-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-randtext-svn15878.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-rccol-svn15878.1.2c-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-rcs-multi-svn64967-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-rcs-svn15878.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-rcsinfo-svn15878.1.11-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-readablecv-svn61719-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-readarray-svn60540-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-realboxes-svn64967-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-realhats-svn63595-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-realscripts-svn56594-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-recipe-svn54080-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-recipebook-svn37026.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-recipecard-svn15878.2.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-rectopma-svn19980.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-refcheck-svn29128.1.9.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-refcount-svn53164-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-refenums-svn44131-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-reflectgraphics-svn40612-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-refman-svn15878.2.0e-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-refstyle-svn20318.0.5-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-regcount-svn19979.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-regexpatch-svn58668-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-register-svn54485-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-regstats-svn25050.1.0h-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-relenc-svn22050.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-relsize-svn30707.4.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-reotex-svn34924.1.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-repeatindex-svn24305.0.01-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-repltext-svn56433-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-rerunfilecheck-svn63869-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-rescansync-svn63856-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-resmes-svn65375-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-returntogrid-svn48485-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-rgltxdoc-svn53858-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-rjlparshap-svn15878.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-rlepsf-svn19082.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-rmpage-svn54080-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-robotarm-svn63116-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-robustcommand-svn15878.0.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-robustindex-svn49877-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-romanbar-svn25005.1.0f-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-romanbarpagenumber-svn36236.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-romanneg-svn20087.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-romannum-svn15878.1.0b-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-rotfloat-svn18292.1.2-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-rotpages-svn18740.3.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-roundbox-svn29675.0.2-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-rsfs-svn15878.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-rterface-svn30084.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-rtkinenc-svn20003.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-rulerbox-svn50984-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-rulercompass-svn32392.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-runcode-svn65588-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-rviewport-svn23739.v1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-rvwrite-svn19614.1.2-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-sa-tikz-svn32815.0.7a-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-sacsymb-svn65768-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-sanitize-umlaut-svn63770-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-sansmath-svn17997.1.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-sansmathaccent-svn53628-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-sauerj-svn15878.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-saveenv-svn65346-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-savefnmark-svn15878.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-savesym-svn31565.1.2-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-savetrees-svn40525-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-scale-svn15878.1.1.2-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-scalebar-svn15878.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-scalerel-svn42809-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-scanpages-svn42633-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-schedule-svn51805-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-schemabloc-svn58212-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-schooldocs-svn65650-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-scontents-svn62902-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-scrambledenvs-svn60615-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-scratch-svn50073-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-scratch3-svn61921-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-scrlayer-fancyhdr-svn63844-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-scrlttr2copy-svn56733-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-scsnowman-svn66115-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-sdaps-svn65345-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-sdrt-svn15878.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-secdot-svn20208.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-secnum-svn61813-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-section-svn20180.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-sectionbox-svn37749.1.01-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-sectionbreak-svn50339-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-sectsty-svn15878.2.0.2-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-seealso-svn43595-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-selectp-svn20185.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-selinput-svn53098-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-semantex-svn65679-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-semantic-markup-svn53607-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-semantic-svn15878.2.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-semesterplanner-svn56841-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-seminar-svn59801-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-semioneside-svn15878.v0.41-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-semproc-svn37568.0.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-semtex-svn56530-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-sepfootnotes-svn41732-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-sepnum-svn20186.2.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-seqsplit-svn15878.0.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-sesstime-svn49750-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-setdeck-svn40613-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-setspace-svn65206-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-sf298-svn41653-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-sffms-svn15878.2.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-sfmath-svn15878.0.8-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-shadethm-svn53350-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-shadow-svn20312.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-shadowtext-svn26522.0.3-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-shapepar-svn30708.2.2-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-shdoc-svn41991-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-shipunov-svn52334-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-shorttoc-svn15878.1.3-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-show2e-svn15878.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-showcharinbox-svn29803.0.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-showdim-svn28918.1.2-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-showexpl-svn57414-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-showlabels-svn63940-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-sidecap-svn65618-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-sidenotes-svn54524-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-sidenotesplus-svn63867-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-signchart-svn39707-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-silence-svn27028.1.5b-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-sillypage-svn66349-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-simplecd-svn29260.1.4-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-simplecv-svn35537.1.6a-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-simpleinvoice-svn45673-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-simplekv-svn64578-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-simplenodes-svn62888-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-simpleoptics-svn62977-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-simplivre-svn65475-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-sitem-svn22136.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-siunitx-svn66627-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-skak-svn61719-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-skb-svn22781.0.52-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-skdoc-svn56950-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-skeldoc-svn57922-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-skeycommand-svn24652.0.4-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-skeyval-svn30560.1.3-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-skills-svn56734-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-skmath-svn52411-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-skrapport-svn52412-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-slantsc-svn25007.2.11-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-smalltableof-svn20333.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-smart-eqn-svn61719-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-smartdiagram-svn42781-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-smartref-svn20311.1.9-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-smartunits-svn39592-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-snapshot-svn56735-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-snaptodo-svn61155-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-snotez-svn61992-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-songbook-svn18136.4.5-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-soul-svn65908-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-soulpos-svn60772-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-sourcecodepro-svn54512-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-spacingtricks-svn66393-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-spark-otf-svn62481-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-sparklines-svn42821-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-spath3-svn64818-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-spectralsequences-svn65667-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-sphack-svn20842.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-splitindex-svn39766-77.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-spot-svn22408.1.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-spotcolor-svn15878.1.2-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-spreadtab-svn50147-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-spverbatim-svn15878.v1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-srbook-mem-svn45818-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-srcltx-svn15878.1.6-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-sseq-svn31585.2.01-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-sslides-svn32293.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-stack-svn15878.1.00-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-stackengine-svn60019-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-standalone-svn64677-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-stdclsdv-svn15878.1.1a-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-stdpage-svn15878.0.6-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-stealcaps-svn64967-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-stex-svn64383-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-stmaryrd-svn22027.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-storebox-svn64967-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-storecmd-svn24431.0.0.2-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-strands-svn59906-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-stringenc-svn52982-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-stringstrings-svn57097-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-sttools-svn60736-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-stubs-svn66204-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-studenthandouts-svn43516-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-styledcmd-svn65262-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-subdepth-svn15878.0.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-subdocs-svn51480-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-subeqn-svn15878.2.0b-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-subeqnarray-svn15878.2.1c-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-subfig-svn15878.1.3-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-subfigmat-svn20308.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-subfigure-svn15878.2.1.5-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-subfiles-svn56977-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-subfloat-svn29349.2.14-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-substitutefont-svn32066.0.1.4-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-substr-svn16117.1.2-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-superiors-svn51909-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-supertabular-svn53658-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-suppose-svn59281-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-svg-svn57010-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-svgcolor-svn15878.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-svn-multi-svn64967-77.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-svn-prov-svn64967-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-svn-svn15878.43-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-svninfo-svn62157-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-swfigure-svn63255-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-swimgraf-svn25446.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-swungdash-svn64204-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-symbol-svn61719-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-syntax-svn15878.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-syntaxdi-svn56685-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-syntrace-svn15878.1.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-synttree-svn16252.1.4.2-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tabbing-svn59715-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tabfigures-svn25202.1.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-table-fct-svn41849-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tableaux-svn42413-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tablefootnote-svn32804.1.1c-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tableof-svn59837-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tablists-svn15878.0.0e-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tabls-svn17255.3.5-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tablvar-svn51543-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tabstackengine-svn46848-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tabto-ltx-svn54080-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tabu-svn61719-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tabularborder-svn17885.1.0a-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tabularcalc-svn15878.0.2-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tabularew-svn15878.0.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tabularray-svn66276-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tabulary-svn34368.0.10-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tagging-svn52064-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tagpair-svn42138-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tagpdf-svn66461-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-talk-svn42428-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tamefloats-svn27345.v0.42-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tasks-svn61541-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tcldoc-svn22018.2.40-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tcolorbox-svn66620-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tdclock-svn33043.v2.5-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-technics-svn29349.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-ted-svn15878.1.06-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-templatetools-svn34495.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-termcal-svn22514.1.8-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-termlist-svn18923.1.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-termsim-svn61414-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-testhyphens-svn38928-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-testidx-svn60966-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tex-gyre-svn65956-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tex-ini-files-svn40533-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tex-label-svn16372.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tex-locale-svn48500-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tex-svn66186-77.fc39.x86_64.rpm: Already downloaded [SKIPPED] texlive-tex4ht-svn66530-77.fc39.x86_64.rpm: Already downloaded [SKIPPED] texlive-texdraw-svn64477-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-texlive-common-doc-svn54176-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-texlive-en-svn66572-77.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-texlive-msg-translations-svn66593-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-texlive-scripts-svn66584-77.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-texlive.infra-svn66512-77.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-texlogos-svn19083.1.3.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-texmate-svn15878.2-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-texments-svn15878.0.2.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-texpower-svn29349.0.2-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-texshade-svn64242-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-texsurgery-svn59885-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-textcase-svn63868-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-textcsc-svn64935-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-textfit-svn20591.5-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-textmerg-svn20677.2.01-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-textpos-svn63967-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-textualicomma-svn48474-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-texvc-svn46844-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-theoremref-svn54512-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-thinsp-svn39669-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-thmbox-svn15878.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-thmtools-svn65863-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-threadcol-svn28754.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-threeparttable-svn17383.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-threeparttablex-svn34206.0.3-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-thumb-svn16549.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-thumbpdf-svn62518-77.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-thumbs-svn33134.1.0q-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-thumby-svn16736.0.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-ticket-svn42280-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-ticollege-svn36306.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tikz-3dplot-svn25087.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tikz-among-us-svn60880-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tikz-bagua-svn64103-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tikz-bayesnet-svn38295.0.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tikz-bbox-svn57444-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tikz-cd-svn59133-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tikz-dependency-svn54512-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tikz-dimline-svn35805.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tikz-ext-svn64920-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tikz-feynhand-svn51915-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tikz-feynman-svn56615-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tikz-imagelabels-svn51490-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tikz-inet-svn15878.0.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tikz-kalender-svn52890-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tikz-karnaugh-svn62040-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tikz-ladder-svn62992-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tikz-lake-fig-svn55288-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tikz-layers-svn46660-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tikz-mirror-lens-svn65500-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tikz-nef-svn55920-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tikz-network-svn51884-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tikz-opm-svn32769.0.1.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tikz-optics-svn62977-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tikz-page-svn42039-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tikz-palattice-svn43442-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tikz-planets-svn55002-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tikz-qtree-svn26108.1.2-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tikz-relay-svn64072-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tikz-sfc-svn49424-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tikz-swigs-svn59889-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tikz-timing-svn64967-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tikz-trackschematic-svn63480-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tikz-truchet-svn50020-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tikzbricks-svn63952-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tikzcodeblocks-svn54758-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tikzducks-svn55713-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tikzfill-svn63947-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tikzinclude-svn28715.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tikzlings-svn63628-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tikzmark-svn64819-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tikzmarmots-svn54080-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tikzorbital-svn36439.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tikzpackets-svn55827-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tikzpagenodes-svn64967-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tikzpeople-svn43978-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tikzpfeile-svn25777.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tikzpingus-svn64199-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tikzposter-svn32732.2.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tikzscale-svn30637.0.2.6-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tikzsymbols-svn61300-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tikztosvg-svn60289-77.fc39.x86_64.rpm: Already downloaded [SKIPPED] texlive-tikzviolinplots-svn65687-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tile-graphic-svn55325-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-times-svn61719-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-timing-diagrams-svn31491.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tipa-svn29349.1.3-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tipauni-svn65817-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tipfr-doc-svn38646-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-titlecaps-svn63020-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-titlefoot-svn15878.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-titlepic-svn43497-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-titleref-svn18729.3.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-titlesec-svn59845-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-titling-svn15878.2.1d-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tkz-base-svn66115-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tkz-berge-svn57485-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tkz-doc-svn66115-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tkz-euclide-svn65724-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tkz-fct-svn61949-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tkz-graph-svn57484-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tkz-orm-svn61719-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tkz-tab-svn66115-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tkzexample-svn63908-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-to-be-determined-svn64882-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tocbibind-svn20085.1.5k-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tocdata-svn65451-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tocloft-svn53364-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tocvsec2-svn33146.1.3a-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-todo-svn17746.2.142-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-todonotes-svn65699-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tokcycle-svn60320-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tokenizer-svn15878.1.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tonevalue-svn60058-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-toolbox-svn32260.5.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tools-svn64892-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-topfloat-svn19084.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-topiclongtable-svn54758-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-totalcount-svn56214-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-totcount-svn21178.1.2-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-totpages-svn15878.2.00-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tpslifonts-svn42428-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tqft-svn44455-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tracklang-svn65263-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tramlines-svn65692-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-translations-svn61896-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-translator-svn59412-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-transparent-svn64852-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tree-dvips-svn21751.91-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-trfsigns-svn15878.1.01-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-trimspaces-svn15878.1.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-trivfloat-svn15878.1.3b-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-trsym-svn18732.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-truncate-svn18921.3.6-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tsemlines-svn23440.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tucv-svn20680.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tufte-latex-svn37649.3.5.2-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-turnthepage-svn29803.1.3a-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-twemojis-svn62930-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-twoinone-svn17024.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-twoup-svn15878.1.3-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-txfonts-svn15878.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-txgreeks-svn21839.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-type1cm-svn21820.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-typed-checklist-svn63445-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-typeface-svn27046.0.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-typehtml-svn17134.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-typoaid-svn44238-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-typogrid-svn24994.0.21-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-tzplot-svn64537-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-uassign-svn38459-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-ucharcat-svn38907-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-ucs-svn64114-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-uebungsblatt-svn15878.1.5.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-uhc-svn16791.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-ulem-svn53365-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-umoline-svn19085.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-underlin-svn15878.1.01-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-underoverlap-svn29019.0.0.1_r1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-underscore-svn18261.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-undolabl-svn65846-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-uni-titlepage-svn64306-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-unicode-data-svn64423-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-unicode-math-svn61719-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-unicodefonttable-svn65009-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-uniquecounter-svn53162-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-unisc-svn63178-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-unitconv-svn55060-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-units-svn42428-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-unravel-svn59175-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-upmethodology-svn64613-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-upquote-svn26059.v1.3-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-uri-svn48602-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-url-svn32528.3.4-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-ushort-svn32261.2.2-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-uspace-svn63123-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-utf8add-svn61074-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-utfsym-svn63076-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-utopia-svn15878.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-variablelm-svn60014-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-varindex-svn32262.2.3-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-varsfromjobname-svn44154-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-varwidth-svn24104.0.92-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-vcell-svn59039-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-vdmlisting-svn56905-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-venndiagram-svn47952-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-verbasef-svn21922.1.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-verbatimbox-svn33197.3.13-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-verbatimcopy-svn15878.0.06-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-verbdef-svn17177.0.2-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-verbments-svn23670.1.2-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-verifiche-svn64425-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-version-svn21920.2.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-versions-svn21921.0.55-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-versonotes-svn55777-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-vertbars-svn49429-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-vgrid-svn32457.0.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-vhistory-svn61719-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-visualpstricks-doc-svn39799-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-vmargin-svn15878.2.5-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-volumes-svn15878.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-vpe-svn26039-77.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-vruler-svn21598.2.3-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-vtable-svn51126-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-vwcol-svn36254.0.2-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-wadalab-svn42428-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-wallcalendar-svn45568-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-wallpaper-svn15878.1.10-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-warning-svn22028.0.01-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-warpcol-svn15878.1.0c-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-was-svn64691-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-wasysym-svn54080-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-webquiz-svn58808-77.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-wheelchart-svn64373-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-widetable-svn53409-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-widows-and-orphans-svn66532-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-williams-svn15878.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-willowtreebook-svn60638-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-withargs-svn52641-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-wordcount-svn46165-77.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-wordlike-svn15878.1.2b-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-worksheet-svn48423-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-worldflags-svn59171-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-wrapfig-svn61719-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-wrapfig2-svn66115-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-wrapstuff-svn64058-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-writeongrid-svn66603-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-wtref-svn55558-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-xargs-svn15878.1.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-xassoccnt-svn61112-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-xbmks-svn53448-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-xcntperchap-svn54080-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-xcolor-material-svn42289-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-xcolor-solarized-svn61719-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-xcolor-svn63563-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-xcomment-svn20031.1.3-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-xcookybooky-svn36435.1.5-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-xcpdftips-svn50449-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-xdoc-svn15878.prot2.5-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-xdvi-svn62387-77.fc39.x86_64.rpm: Already downloaded [SKIPPED] texlive-xellipsis-svn47546-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-xetex-svn66203-77.fc39.x86_64.rpm: Already downloaded [SKIPPED] texlive-xetexconfig-svn45845-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-xfakebold-svn55654-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-xfor-svn15878.1.05-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-xhfill-svn22575.1.01-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-xifthen-svn38929-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-xint-svn63562-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-xistercian-svn61366-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-xkcdcolors-svn54512-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-xkeyval-svn63616-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-xltabular-svn56855-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-xltxtra-svn56594-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-xmpincl-svn60593-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-xnewcommand-svn15878.1.2-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-xoptarg-svn15878.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-xpatch-svn54563-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-xpeek-svn61719-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-xpicture-svn28770.1.2a-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-xprintlen-svn35928.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-xpunctuate-svn26641.1.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-xput-svn65325-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-xsavebox-svn64049-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-xsim-svn61988-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-xstring-svn65551-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-xtab-svn23347.2.3f-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-xunicode-svn30466.0.981-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-xurl-svn61553-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-xwatermark-svn61719-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-xyling-svn15878.1.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-xypic-svn61719-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-xytree-svn15878.1.5-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-yafoot-svn48568-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-yagusylo-svn29803.1.2-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-yaletter-svn42830-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-ycbook-svn46201-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-ydoc-svn64887-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-yfonts-svn50755-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-yplan-svn34398-77.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-zapfding-svn61719-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-zebra-goodies-svn51554-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-zed-csp-svn17258.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-zennote-svn65549-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-zhnumber-svn66115-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-ziffer-svn32279.2.1-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-zref-check-svn63845-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-zref-clever-svn66021-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-zref-svn62977-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-zref-vario-svn65453-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-zwgetfdate-svn15878.0-69.fc39.noarch.rpm: Already downloaded [SKIPPED] texlive-zwpagelayout-svn63074-69.fc39.noarch.rpm: Already downloaded [SKIPPED] tk-8.6.13-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] tzdata-2023c-4.fc40.noarch.rpm: Already downloaded [SKIPPED] urw-base35-bookman-fonts-20200910-18.fc39.noarch.rpm: Already downloaded [SKIPPED] urw-base35-c059-fonts-20200910-18.fc39.noarch.rpm: Already downloaded [SKIPPED] urw-base35-d050000l-fonts-20200910-18.fc39.noarch.rpm: Already downloaded [SKIPPED] urw-base35-fonts-20200910-18.fc39.noarch.rpm: Already downloaded [SKIPPED] urw-base35-fonts-common-20200910-18.fc39.noarch.rpm: Already downloaded [SKIPPED] urw-base35-fonts-legacy-20200910-18.fc39.noarch.rpm: Already downloaded [SKIPPED] urw-base35-gothic-fonts-20200910-18.fc39.noarch.rpm: Already downloaded [SKIPPED] urw-base35-nimbus-mono-ps-fonts-20200910-18.fc39.noarch.rpm: Already downloaded [SKIPPED] urw-base35-nimbus-roman-fonts-20200910-18.fc39.noarch.rpm: Already downloaded [SKIPPED] urw-base35-nimbus-sans-fonts-20200910-18.fc39.noarch.rpm: Already downloaded [SKIPPED] urw-base35-p052-fonts-20200910-18.fc39.noarch.rpm: Already downloaded [SKIPPED] urw-base35-standard-symbols-ps-fonts-20200910-18.fc39.noarch.rpm: Already downloaded [SKIPPED] urw-base35-z003-fonts-20200910-18.fc39.noarch.rpm: Already downloaded [SKIPPED] woff2-1.0.2-18.fc39.x86_64.rpm: Already downloaded [SKIPPED] xdg-utils-1.1.3-15.fc40.noarch.rpm: Already downloaded [SKIPPED] xkeyboard-config-2.40-1.fc40.noarch.rpm: Already downloaded [SKIPPED] xml-common-0.6.3-62.fc40.noarch.rpm: Already downloaded [SKIPPED] xpdf-libs-4.04-10.fc39.x86_64.rpm: Already downloaded [SKIPPED] xprop-1.2.5-4.fc39.x86_64.rpm: Already downloaded [SKIPPED] zlib-devel-1.2.13-5.fc40.x86_64.rpm: Already downloaded [SKIPPED] zziplib-0.13.72-5.fc39.x86_64.rpm: Already downloaded (2564/3616): R-evaluate-0.23-1.fc40.noarch.rpm 314 kB/s | 108 kB 00:00 (2565/3616): R-highr-0.10-5.fc39.noarch.rpm 143 kB/s | 58 kB 00:00 (2566/3616): R-knitr-1.45-1.fc40.noarch.rpm 4.9 MB/s | 1.4 MB 00:00 (2567/3616): R-xfun-0.41-1.fc40.x86_64.rpm 2.1 MB/s | 515 kB 00:00 (2568/3616): R-yaml-2.3.5-4.fc39.x86_64.rpm 1.2 MB/s | 127 kB 00:00 (2569/3616): bison-3.8.2-6.fc40.x86_64.rpm 6.1 MB/s | 1.0 MB 00:00 (2570/3616): flex-2.6.4-14.fc40.x86_64.rpm 2.1 MB/s | 313 kB 00:00 (2571/3616): latexmk-4.81-1.fc40.noarch.rpm 1.2 MB/s | 421 kB 00:00 (2572/3616): iverilog-12.0-2.fc39.x86_64.rpm 4.7 MB/s | 2.2 MB 00:00 (2573/3616): libRmath-4.3.2-2.fc40.x86_64.rpm 1.9 MB/s | 122 kB 00:00 (2574/3616): ncurses-c++-libs-6.4-8.20231001.fc 619 kB/s | 37 kB 00:00 (2575/3616): ncurses-devel-6.4-8.20231001.fc40. 8.9 MB/s | 555 kB 00:00 (2576/3616): oldstandard-sfd-fonts-2.0.2-34.fc3 19 MB/s | 1.6 MB 00:00 (2577/3616): perl-BibTeX-Parser-1.04-1.fc40.noa 386 kB/s | 30 kB 00:00 (2578/3616): perl-Digest-SHA1-2.13-41.fc40.x86_ 854 kB/s | 52 kB 00:00 (2579/3616): perl-LaTeX-ToUnicode-0.54-1.fc40.n 595 kB/s | 40 kB 00:00 (2580/3616): readline-devel-8.2-4.fc39.x86_64.r 2.6 MB/s | 207 kB 00:00 (2581/3616): ruby-3.2.2-183.fc40.x86_64.rpm 517 kB/s | 41 kB 00:00 (2582/3616): rubypick-1.1.1-19.fc39.noarch.rpm 132 kB/s | 9.9 kB 00:00 (2583/3616): ruby-libs-3.2.2-183.fc40.x86_64.rp 24 MB/s | 4.0 MB 00:00 (2584/3616): tcl-devel-8.6.13-1.fc40.x86_64.rpm 1.7 MB/s | 170 kB 00:00 (2585/3616): texlive-12many-svn15878.0.3-69.fc3 248 kB/s | 16 kB 00:00 (2586/3616): texlive-aaai-named-svn52470-69.fc3 200 kB/s | 16 kB 00:00 (2587/3616): texlive-aastex-svn58057-69.fc39.no 764 kB/s | 64 kB 00:00 (2588/3616): texlive-abnt-svn55471-69.fc39.noar 2.2 MB/s | 136 kB 00:00 (2589/3616): texlive-abntex2-svn49248-69.fc39.n 723 kB/s | 47 kB 00:00 (2590/3616): texlive-abntexto-svn65705-69.fc39. 1.6 MB/s | 113 kB 00:00 (2591/3616): R-core-4.3.2-2.fc40.x86_64.rpm 31 MB/s | 63 MB 00:02 (2592/3616): texlive-academicons-svn62622-69.fc 454 kB/s | 55 kB 00:00 (2593/3616): texlive-accanthis-svn64844-69.fc39 7.7 MB/s | 427 kB 00:00 (2594/3616): texlive-accents-svn51497-69.fc39.n 3.7 MB/s | 244 kB 00:00 (2595/3616): texlive-acmart-svn64967-69.fc39.no 947 kB/s | 61 kB 00:00 (2596/3616): texlive-acmconf-svn15878.1.3-69.fc 287 kB/s | 18 kB 00:00 (2597/3616): texlive-active-conf-svn15878.0.3a- 290 kB/s | 19 kB 00:00 (2598/3616): texlive-adfathesis-svn26048.2.42-6 236 kB/s | 21 kB 00:00 (2599/3616): texlive-adforn-svn54512-69.fc39.no 578 kB/s | 52 kB 00:00 (2600/3616): texlive-adfsymbols-svn54512-69.fc3 489 kB/s | 43 kB 00:00 (2601/3616): texlive-adobemapping-svn66552-69.f 11 MB/s | 2.9 MB 00:00 (2602/3616): texlive-aesupp-svn58253-69.fc39.no 14 MB/s | 3.0 MB 00:00 (2603/3616): texlive-afparticle-svn35900.1.3-69 221 kB/s | 21 kB 00:00 (2604/3616): texlive-afthesis-svn15878.2.7-69.f 303 kB/s | 25 kB 00:00 (2605/3616): texlive-aguplus-svn17156.1.6b-69.f 516 kB/s | 43 kB 00:00 (2606/3616): texlive-aiaa-svn15878.3.6-69.fc39. 234 kB/s | 23 kB 00:00 (2607/3616): texlive-aichej-svn15878.0-69.fc39. 216 kB/s | 20 kB 00:00 (2608/3616): texlive-ajl-svn34016.0-69.fc39.noa 228 kB/s | 20 kB 00:00 (2609/3616): texlive-aboensis-svn62977-69.fc39. 29 MB/s | 34 MB 00:01 (2610/3616): texlive-alfaslabone-svn57452-69.fc 933 kB/s | 231 kB 00:00 (2611/3616): texlive-alg-svn15878.0-69.fc39.noa 225 kB/s | 17 kB 00:00 (2612/3616): texlive-algobox-svn52204-69.fc39.n 1.7 MB/s | 137 kB 00:00 (2613/3616): texlive-algorithm2e-svn44846-69.fc 547 kB/s | 47 kB 00:00 (2614/3616): texlive-algolrevived-svn56864-69.f 8.8 MB/s | 1.0 MB 00:00 (2615/3616): texlive-algxpar-svn56006-69.fc39.n 1.9 MB/s | 189 kB 00:00 (2616/3616): texlive-algpseudocodex-svn65860-69 3.2 MB/s | 368 kB 00:00 (2617/3616): texlive-aligned-overset-svn47290-6 4.0 MB/s | 386 kB 00:00 (2618/3616): texlive-almendra-svn64539-69.fc39. 4.9 MB/s | 386 kB 00:00 (2619/3616): texlive-almfixed-svn35065.0.92-69. 4.9 MB/s | 286 kB 00:00 (2620/3616): texlive-amscdx-svn51532-69.fc39.no 2.6 MB/s | 225 kB 00:00 (2621/3616): texlive-alegreya-svn64384-69.fc39. 28 MB/s | 24 MB 00:00 (2622/3616): texlive-amsrefs-svn61937-69.fc39.n 803 kB/s | 46 kB 00:00 (2623/3616): texlive-amstex-svn66186-77.fc39.no 6.5 MB/s | 426 kB 00:00 (2624/3616): texlive-allrunes-svn42221-69.fc39. 18 MB/s | 7.7 MB 00:00 (2625/3616): texlive-annotate-equations-svn6585 4.3 MB/s | 216 kB 00:00 (2626/3616): texlive-andika-svn64540-69.fc39.no 24 MB/s | 3.3 MB 00:00 (2627/3616): texlive-annotate-svn52824-69.fc39. 225 kB/s | 15 kB 00:00 (2628/3616): texlive-anonymous-acm-svn55121-69. 5.4 MB/s | 389 kB 00:00 (2629/3616): texlive-anonymouspro-svn51631-69.f 5.9 MB/s | 462 kB 00:00 (2630/3616): texlive-antiqua-svn24266.001.003-6 1.3 MB/s | 91 kB 00:00 (2631/3616): texlive-anufinalexam-doc-svn26053. 329 kB/s | 18 kB 00:00 (2632/3616): texlive-aomart-svn63442-69.fc39.no 583 kB/s | 31 kB 00:00 (2633/3616): texlive-antt-svn18651.2.08-69.fc39 31 MB/s | 4.8 MB 00:00 (2634/3616): texlive-apa-svn54080-69.fc39.noarc 579 kB/s | 27 kB 00:00 (2635/3616): texlive-apa6-svn53406-69.fc39.noar 579 kB/s | 32 kB 00:00 (2636/3616): texlive-apa6e-svn23350.0.3-69.fc39 256 kB/s | 14 kB 00:00 (2637/3616): texlive-apacite-svn54080-69.fc39.n 1.1 MB/s | 58 kB 00:00 (2638/3616): texlive-apa7-svn63974-69.fc39.noar 11 MB/s | 762 kB 00:00 (2639/3616): texlive-apalike-ejor-svn59667-69.f 3.1 MB/s | 152 kB 00:00 (2640/3616): texlive-apalike2-svn54080-69.fc39. 297 kB/s | 17 kB 00:00 (2641/3616): texlive-apxproof-svn64715-69.fc39. 8.5 MB/s | 585 kB 00:00 (2642/3616): texlive-archaeologie-svn57090-69.f 1.7 MB/s | 94 kB 00:00 (2643/3616): texlive-archaic-svn38005.0-69.fc39 5.3 MB/s | 416 kB 00:00 (2644/3616): texlive-arsclassica-svn45656-69.fc 316 kB/s | 16 kB 00:00 (2645/3616): texlive-articleingud-svn38741-69.f 393 kB/s | 20 kB 00:00 (2646/3616): texlive-arimo-svn64548-69.fc39.noa 25 MB/s | 2.9 MB 00:00 (2647/3616): texlive-arvo-svn57213-69.fc39.noar 3.7 MB/s | 203 kB 00:00 (2648/3616): texlive-asaetr-svn15878.1.0a-69.fc 516 kB/s | 25 kB 00:00 (2649/3616): texlive-asapsym-svn40201-69.fc39.n 1.3 MB/s | 68 kB 00:00 (2650/3616): texlive-asana-math-svn59629-69.fc3 11 MB/s | 721 kB 00:00 (2651/3616): texlive-ascelike-svn29129.2.3-69.f 566 kB/s | 27 kB 00:00 (2652/3616): texlive-ascii-font-svn29989.2.0-69 1.3 MB/s | 63 kB 00:00 (2653/3616): texlive-ascmac-svn53411-69.fc39.no 3.6 MB/s | 186 kB 00:00 (2654/3616): texlive-aspectratio-svn25243.2.0-6 1.5 MB/s | 98 kB 00:00 (2655/3616): texlive-asmejour-svn65405-69.fc39. 20 MB/s | 1.8 MB 00:00 (2656/3616): texlive-astro-svn15878.2.20-69.fc3 597 kB/s | 28 kB 00:00 (2657/3616): texlive-atkinson-svn64385-69.fc39. 12 MB/s | 1.0 MB 00:00 (2658/3616): texlive-asmeconf-svn65413-69.fc39. 14 MB/s | 2.7 MB 00:00 (2659/3616): texlive-aucklandthesis-svn51323-69 433 kB/s | 23 kB 00:00 (2660/3616): texlive-augie-svn61719-69.fc39.noa 1.6 MB/s | 78 kB 00:00 (2661/3616): texlive-auncial-new-svn62977-69.fc 3.3 MB/s | 213 kB 00:00 (2662/3616): texlive-authordate-svn52564-69.fc3 515 kB/s | 23 kB 00:00 (2663/3616): texlive-autobreak-svn43337-69.fc39 9.2 MB/s | 549 kB 00:00 (2664/3616): texlive-aurical-svn15878.1.5-69.fc 16 MB/s | 2.4 MB 00:00 (2665/3616): texlive-axodraw2-svn58155-77.fc39. 8.3 MB/s | 532 kB 00:00 (2666/3616): texlive-b1encoding-svn21271.1.0-69 472 kB/s | 22 kB 00:00 (2667/3616): texlive-babel-dutch-svn60362-69.fc 411 kB/s | 20 kB 00:00 (2668/3616): texlive-backnaur-svn54080-69.fc39. 316 kB/s | 18 kB 00:00 (2669/3616): texlive-bangorcsthesis-svn61770-69 1.3 MB/s | 74 kB 00:00 (2670/3616): texlive-barcodes-svn15878.0-69.fc3 503 kB/s | 23 kB 00:00 (2671/3616): texlive-bangorexam-svn65140-69.fc3 5.9 MB/s | 358 kB 00:00 (2672/3616): texlive-baskervald-svn19490.1.016- 7.6 MB/s | 471 kB 00:00 (2673/3616): texlive-baskervaldx-svn57080-69.fc 15 MB/s | 913 kB 00:00 (2674/3616): texlive-bath-bst-svn63398-69.fc39. 14 MB/s | 929 kB 00:00 (2675/3616): texlive-baskervillef-svn55475-69.f 14 MB/s | 1.5 MB 00:00 (2676/3616): texlive-bbold-svn17187.1.01-69.fc3 829 kB/s | 37 kB 00:00 (2677/3616): texlive-bbold-type1-svn33143.0-69. 1.6 MB/s | 80 kB 00:00 (2678/3616): texlive-beamer-fuberlin-svn63161-6 385 kB/s | 18 kB 00:00 (2679/3616): texlive-bboldx-svn65424-69.fc39.no 4.5 MB/s | 258 kB 00:00 (2680/3616): texlive-beamer-verona-svn39180-69. 436 kB/s | 21 kB 00:00 (2681/3616): texlive-begriff-svn15878.1.6-69.fc 280 kB/s | 18 kB 00:00 (2682/3616): texlive-beebe-svn66286-69.fc39.noa 11 MB/s | 911 kB 00:00 (2683/3616): texlive-beilstein-svn56193-69.fc39 9.4 MB/s | 679 kB 00:00 (2684/3616): texlive-belleek-svn66115-69.fc39.n 1.6 MB/s | 96 kB 00:00 (2685/3616): texlive-berenisadf-svn32215.1.004- 19 MB/s | 1.5 MB 00:00 (2686/3616): texlive-besjournals-svn45662-69.fc 351 kB/s | 19 kB 00:00 (2687/3616): texlive-bestpapers-svn38708-69.fc3 304 kB/s | 19 kB 00:00 (2688/3616): texlive-beuron-svn46374-69.fc39.no 2.4 MB/s | 290 kB 00:00 (2689/3616): texlive-bgteubner-svn54080-69.fc39 368 kB/s | 46 kB 00:00 (2690/3616): texlive-bfh-ci-svn66461-69.fc39.no 1.9 MB/s | 287 kB 00:00 (2691/3616): texlive-bibcop-svn65816-77.fc39.no 5.2 MB/s | 354 kB 00:00 (2692/3616): texlive-bibarts-svn64579-69.fc39.n 660 kB/s | 45 kB 00:00 (2693/3616): texlive-bibhtml-svn31607.2.0.2-69. 558 kB/s | 28 kB 00:00 (2694/3616): texlive-bibexport-svn50677-77.fc39 4.8 MB/s | 268 kB 00:00 (2695/3616): texlive-bib2gls-svn65104-77.fc39.n 39 MB/s | 7.0 MB 00:00 (2696/3616): texlive-biblatex-abnt-svn49179-69. 747 kB/s | 36 kB 00:00 (2697/3616): texlive-biblatex-ajc2020unofficial 361 kB/s | 20 kB 00:00 (2698/3616): texlive-biblatex-anonymous-svn4854 321 kB/s | 18 kB 00:00 (2699/3616): texlive-biblatex-apa-svn66605-69.f 1.2 MB/s | 59 kB 00:00 (2700/3616): texlive-biblatex-apa6-svn56209-69. 5.0 MB/s | 268 kB 00:00 (2701/3616): texlive-biblatex-arthistory-bonn-s 3.7 MB/s | 204 kB 00:00 (2702/3616): texlive-biblatex-bath-svn63401-69. 7.7 MB/s | 468 kB 00:00 (2703/3616): texlive-biblatex-archaeology-svn53 40 MB/s | 4.8 MB 00:00 (2704/3616): texlive-biblatex-bookinarticle-svn 389 kB/s | 19 kB 00:00 (2705/3616): texlive-biblatex-bookinother-svn54 427 kB/s | 20 kB 00:00 (2706/3616): texlive-biblatex-bwl-svn26556.0.02 406 kB/s | 18 kB 00:00 (2707/3616): texlive-biblatex-caspervector-svn6 463 kB/s | 22 kB 00:00 (2708/3616): texlive-biblatex-chem-svn57904-69. 397 kB/s | 23 kB 00:00 (2709/3616): texlive-biblatex-chicago-svn65037- 2.4 MB/s | 134 kB 00:00 (2710/3616): texlive-biblatex-claves-svn43723-6 396 kB/s | 19 kB 00:00 (2711/3616): texlive-biblatex-cv-svn59433-69.fc 2.9 MB/s | 189 kB 00:00 (2712/3616): texlive-biblatex-dw-svn66579-69.fc 606 kB/s | 32 kB 00:00 (2713/3616): texlive-biblatex-enc-svn44627-69.f 508 kB/s | 27 kB 00:00 (2714/3616): texlive-biblatex-fiwi-svn45876-69. 763 kB/s | 34 kB 00:00 (2715/3616): texlive-biblatex-ext-svn63638-69.f 14 MB/s | 924 kB 00:00 (2716/3616): texlive-biblatex-german-legal-svn6 3.9 MB/s | 192 kB 00:00 (2717/3616): texlive-biblatex-gb7714-2015-svn64 13 MB/s | 1.4 MB 00:00 (2718/3616): texlive-biblatex-gost-svn59135-69. 1.1 MB/s | 53 kB 00:00 (2719/3616): texlive-biblatex-historian-svn1978 855 kB/s | 38 kB 00:00 (2720/3616): texlive-biblatex-ieee-svn61243-69. 490 kB/s | 23 kB 00:00 (2721/3616): texlive-biblatex-ijsra-svn41634-69 379 kB/s | 18 kB 00:00 (2722/3616): texlive-biblatex-iso690-svn62866-6 948 kB/s | 43 kB 00:00 (2723/3616): texlive-biblatex-juradiss-svn56502 382 kB/s | 21 kB 00:00 (2724/3616): texlive-biblatex-jura2-svn64762-69 6.3 MB/s | 391 kB 00:00 (2725/3616): texlive-biblatex-license-svn58437- 4.9 MB/s | 243 kB 00:00 (2726/3616): texlive-biblatex-lncs-svn65280-69. 474 kB/s | 23 kB 00:00 (2727/3616): texlive-biblatex-lni-svn61719-69.f 424 kB/s | 20 kB 00:00 (2728/3616): texlive-biblatex-luh-ipw-svn32180. 534 kB/s | 24 kB 00:00 (2729/3616): texlive-biblatex-manuscripts-philo 474 kB/s | 23 kB 00:00 (2730/3616): texlive-biblatex-mla-svn62138-69.f 860 kB/s | 41 kB 00:00 (2731/3616): texlive-biblatex-morenames-svn4304 432 kB/s | 19 kB 00:00 (2732/3616): texlive-biblatex-multiple-dm-svn37 298 kB/s | 18 kB 00:00 (2733/3616): texlive-biblatex-musuos-svn24097.1 392 kB/s | 18 kB 00:00 (2734/3616): texlive-biblatex-nature-svn57262-6 384 kB/s | 17 kB 00:00 (2735/3616): texlive-biblatex-nejm-svn49839-69. 401 kB/s | 19 kB 00:00 (2736/3616): texlive-biblatex-nottsclassic-svn4 448 kB/s | 20 kB 00:00 (2737/3616): texlive-biblatex-opcit-booktitle-s 416 kB/s | 20 kB 00:00 (2738/3616): texlive-biblatex-philosophy-svn644 639 kB/s | 31 kB 00:00 (2739/3616): texlive-biblatex-oxref-svn65707-69 23 MB/s | 2.0 MB 00:00 (2740/3616): texlive-biblatex-ms-svn66480-69.fc 29 MB/s | 7.4 MB 00:00 (2741/3616): texlive-biblatex-phys-svn55643-69. 436 kB/s | 21 kB 00:00 (2742/3616): texlive-biblatex-publist-svn66613- 651 kB/s | 29 kB 00:00 (2743/3616): texlive-biblatex-readbbl-svn61549- 943 kB/s | 45 kB 00:00 (2744/3616): texlive-biblatex-realauthor-svn458 411 kB/s | 19 kB 00:00 (2745/3616): texlive-biblatex-sbl-svn63639-69.f 12 MB/s | 688 kB 00:00 (2746/3616): texlive-biblatex-science-svn48945- 355 kB/s | 17 kB 00:00 (2747/3616): texlive-biblatex-shortfields-svn45 2.0 MB/s | 100 kB 00:00 (2748/3616): texlive-biblatex-socialscienceshub 2.6 MB/s | 129 kB 00:00 (2749/3616): texlive-biblatex-software-svn64030 4.2 MB/s | 330 kB 00:00 (2750/3616): texlive-biblatex-subseries-svn4333 348 kB/s | 18 kB 00:00 (2751/3616): texlive-biblatex-source-division-s 140 kB/s | 19 kB 00:00 (2752/3616): texlive-biblatex-swiss-legal-svn64 249 kB/s | 37 kB 00:00 (2753/3616): texlive-biblatex-spbasic-svn61439- 413 kB/s | 98 kB 00:00 (2754/3616): texlive-biblatex-trad-svn58169-69. 136 kB/s | 19 kB 00:00 (2755/3616): texlive-biblatex-true-citepages-om 354 kB/s | 18 kB 00:00 (2756/3616): texlive-biblatex2bibitem-svn61648- 4.2 MB/s | 233 kB 00:00 (2757/3616): texlive-biblatex-vancouver-svn5533 169 kB/s | 25 kB 00:00 (2758/3616): texlive-biblist-svn17116.0-69.fc39 408 kB/s | 23 kB 00:00 (2759/3616): texlive-bibtopic-svn15878.1.1a-69. 490 kB/s | 24 kB 00:00 (2760/3616): texlive-bibtexperllibs-svn57137-69 119 kB/s | 11 kB 00:00 (2761/3616): texlive-bibtopicprefix-svn15878.1. 317 kB/s | 16 kB 00:00 (2762/3616): texlive-binomexp-svn15878.1.0-69.f 258 kB/s | 16 kB 00:00 (2763/3616): texlive-bibunits-svn15878.2.2-69.f 146 kB/s | 17 kB 00:00 (2764/3616): texlive-biocon-svn15878.0-69.fc39. 352 kB/s | 19 kB 00:00 (2765/3616): texlive-bitpattern-svn39073-69.fc3 357 kB/s | 19 kB 00:00 (2766/3616): texlive-biolett-bst-svn66115-69.fc 160 kB/s | 20 kB 00:00 (2767/3616): texlive-bitter-svn64541-69.fc39.no 5.0 MB/s | 272 kB 00:00 (2768/3616): texlive-biblatex-unified-svn64975- 1.2 MB/s | 629 kB 00:00 (2769/3616): texlive-blacklettert1-svn15878.0-6 467 kB/s | 26 kB 00:00 (2770/3616): texlive-bmstu-iu8-svn61937-69.fc39 1.5 MB/s | 203 kB 00:00 (2771/3616): texlive-bmstu-svn65897-69.fc39.noa 14 MB/s | 1.3 MB 00:00 (2772/3616): texlive-bohr-svn62977-69.fc39.noar 338 kB/s | 20 kB 00:00 (2773/3616): texlive-boisik-svn15878.0.5-69.fc3 4.9 MB/s | 273 kB 00:00 (2774/3616): texlive-boldtensors-svn15878.0-69. 267 kB/s | 18 kB 00:00 (2775/3616): texlive-bodeplot-svn65074-69.fc39. 3.0 MB/s | 740 kB 00:00 (2776/3616): texlive-bookdb-svn37536.0.2-69.fc3 366 kB/s | 24 kB 00:00 (2777/3616): texlive-bosisio-svn16989.0-69.fc39 421 kB/s | 22 kB 00:00 (2778/3616): texlive-bookhands-svn46480-69.fc39 2.4 MB/s | 234 kB 00:00 (2779/3616): texlive-bpchem-svn45120-69.fc39.no 352 kB/s | 17 kB 00:00 (2780/3616): texlive-br-lex-svn44939-69.fc39.no 396 kB/s | 19 kB 00:00 (2781/3616): texlive-braille-svn20655.0-69.fc39 291 kB/s | 17 kB 00:00 (2782/3616): texlive-brandeis-dissertation-svn6 276 kB/s | 17 kB 00:00 (2783/3616): texlive-brandeis-problemset-svn509 3.1 MB/s | 163 kB 00:00 (2784/3616): texlive-breakcites-svn21014-69.fc3 255 kB/s | 12 kB 00:00 (2785/3616): texlive-brandeis-thesis-svn59832-6 2.0 MB/s | 164 kB 00:00 (2786/3616): texlive-bropd-svn35383.1.2-69.fc39 267 kB/s | 18 kB 00:00 (2787/3616): texlive-brushscr-svn28363.0-69.fc3 899 kB/s | 62 kB 00:00 (2788/3616): texlive-buctthesis-svn64004-69.fc3 7.9 MB/s | 474 kB 00:00 (2789/3616): texlive-bussproofs-svn54080-69.fc3 450 kB/s | 25 kB 00:00 (2790/3616): texlive-bussproofs-extra-svn51299- 2.3 MB/s | 238 kB 00:00 (2791/3616): texlive-bytefield-svn60265-69.fc39 315 kB/s | 19 kB 00:00 (2792/3616): texlive-caladea-svn64549-69.fc39.n 5.2 MB/s | 385 kB 00:00 (2793/3616): texlive-calculation-svn35973.1.0-6 355 kB/s | 20 kB 00:00 (2794/3616): texlive-calligra-svn15878.0-69.fc3 1.1 MB/s | 57 kB 00:00 (2795/3616): texlive-calligra-type1-svn24302.00 1.2 MB/s | 69 kB 00:00 (2796/3616): texlive-cantarell-svn54512-69.fc39 17 MB/s | 1.4 MB 00:00 (2797/3616): texlive-cabin-svn65358-69.fc39.noa 7.2 MB/s | 3.0 MB 00:00 (2798/3616): texlive-carlito-svn64624-69.fc39.n 21 MB/s | 2.6 MB 00:00 (2799/3616): texlive-carolmin-ps-svn15878.0-69. 1.4 MB/s | 119 kB 00:00 (2800/3616): texlive-cartonaugh-svn59938-69.fc3 3.1 MB/s | 187 kB 00:00 (2801/3616): texlive-cascade-svn65757-69.fc39.n 2.7 MB/s | 175 kB 00:00 (2802/3616): texlive-cascadilla-svn25144.1.8.2- 408 kB/s | 24 kB 00:00 (2803/3616): texlive-cascadia-code-svn57478-69. 19 MB/s | 1.9 MB 00:00 (2804/3616): texlive-cbfonts-fd-svn54080-69.fc3 408 kB/s | 21 kB 00:00 (2805/3616): texlive-causets-svn66359-69.fc39.n 6.2 MB/s | 625 kB 00:00 (2806/3616): texlive-ccfonts-svn61431-69.fc39.n 285 kB/s | 16 kB 00:00 (2807/3616): texlive-bjfuthesis-svn59809-69.fc3 5.5 MB/s | 9.5 MB 00:01 (2808/3616): texlive-ccool-svn60600-69.fc39.noa 7.5 MB/s | 798 kB 00:00 (2809/3616): texlive-cell-svn42428-69.fc39.noar 491 kB/s | 23 kB 00:00 (2810/3616): texlive-cesenaexam-svn44960-69.fc3 6.5 MB/s | 519 kB 00:00 (2811/3616): texlive-cfr-initials-svn61719-69.f 591 kB/s | 28 kB 00:00 (2812/3616): texlive-cfr-lm-svn36195.1.5-69.fc3 6.5 MB/s | 452 kB 00:00 (2813/3616): texlive-chbibref-svn17120.1.0-69.f 303 kB/s | 15 kB 00:00 (2814/3616): texlive-chem-journal-svn15878.0-69 344 kB/s | 21 kB 00:00 (2815/3616): texlive-chemarrow-svn17146.0.9-69. 210 kB/s | 18 kB 00:00 (2816/3616): texlive-charissil-svn64998-69.fc39 12 MB/s | 3.6 MB 00:00 (2817/3616): texlive-chembst-svn15878.0.2.5-69. 448 kB/s | 21 kB 00:00 (2818/3616): texlive-chemcompounds-svn15878.0-6 354 kB/s | 16 kB 00:00 (2819/3616): texlive-chemcono-svn17119.1.3-69.f 321 kB/s | 22 kB 00:00 (2820/3616): texlive-chemexec-svn21632.1.0-69.f 526 kB/s | 24 kB 00:00 (2821/3616): texlive-chemformula-svn61719-69.fc 568 kB/s | 32 kB 00:00 (2822/3616): texlive-chemmacros-svn62655-69.fc3 950 kB/s | 60 kB 00:00 (2823/3616): texlive-chemnum-svn57490-69.fc39.n 578 kB/s | 28 kB 00:00 (2824/3616): texlive-chemobabel-svn64778-69.fc3 8.5 MB/s | 917 kB 00:00 (2825/3616): texlive-chemplants-svn60606-69.fc3 10 MB/s | 967 kB 00:00 (2826/3616): texlive-chemschemex-svn46723-69.fc 487 kB/s | 22 kB 00:00 (2827/3616): texlive-chemsec-svn46972-69.fc39.n 4.4 MB/s | 265 kB 00:00 (2828/3616): texlive-chemstyle-svn31096.2.0m-69 506 kB/s | 25 kB 00:00 (2829/3616): texlive-cherokee-svn21046.0-69.fc3 318 kB/s | 16 kB 00:00 (2830/3616): texlive-chicago-annote-svn15878.0- 410 kB/s | 23 kB 00:00 (2831/3616): texlive-chicago-svn15878.0-69.fc39 432 kB/s | 21 kB 00:00 (2832/3616): texlive-chicagoa-svn52567-69.fc39. 407 kB/s | 19 kB 00:00 (2833/3616): texlive-chifoot-svn57312-69.fc39.n 380 kB/s | 18 kB 00:00 (2834/3616): texlive-chs-physics-report-svn5451 2.9 MB/s | 201 kB 00:00 (2835/3616): texlive-chscite-svn28552.2.9999-69 352 kB/s | 22 kB 00:00 (2836/3616): texlive-cbfonts-svn54080-69.fc39.n 51 MB/s | 63 MB 00:01 (2837/3616): texlive-chivo-svn65029-69.fc39.noa 12 MB/s | 2.8 MB 00:00 (2838/3616): texlive-cinzel-svn64550-69.fc39.no 7.5 MB/s | 585 kB 00:00 (2839/3616): texlive-citeall-svn45975-69.fc39.n 392 kB/s | 19 kB 00:00 (2840/3616): texlive-citeref-svn47407-69.fc39.n 1.5 MB/s | 78 kB 00:00 (2841/3616): texlive-citation-style-language-sv 6.0 MB/s | 408 kB 00:00 (2842/3616): texlive-cje-svn46721-69.fc39.noarc 11 MB/s | 659 kB 00:00 (2843/3616): texlive-cjkpunct-svn41119-69.fc39. 325 kB/s | 18 kB 00:00 (2844/3616): texlive-classicthesis-svn48041-69. 548 kB/s | 26 kB 00:00 (2845/3616): texlive-cleanthesis-svn51472-69.fc 491 kB/s | 24 kB 00:00 (2846/3616): texlive-clara-svn54512-69.fc39.noa 11 MB/s | 1.2 MB 00:00 (2847/3616): texlive-clrscode-svn51136-69.fc39. 371 kB/s | 18 kB 00:00 (2848/3616): texlive-clrscode3e-svn51137-69.fc3 422 kB/s | 19 kB 00:00 (2849/3616): texlive-clearsans-svn64400-69.fc39 19 MB/s | 1.4 MB 00:00 (2850/3616): texlive-cm-mf-extra-bold-svn54512- 270 kB/s | 23 kB 00:00 (2851/3616): texlive-cmathbb-svn56414-69.fc39.n 2.3 MB/s | 183 kB 00:00 (2852/3616): texlive-cmexb-svn54074-69.fc39.noa 522 kB/s | 43 kB 00:00 (2853/3616): texlive-cm-lgc-svn28250.0.5-69.fc3 16 MB/s | 4.9 MB 00:00 (2854/3616): texlive-cmextra-svn57866-69.fc39.n 856 kB/s | 49 kB 00:00 (2855/3616): texlive-cm-unicode-svn58661-69.fc3 40 MB/s | 14 MB 00:00 (2856/3616): texlive-cmll-svn17964.0-69.fc39.no 5.0 MB/s | 369 kB 00:00 (2857/3616): texlive-cmpica-svn15878.0-69.fc39. 364 kB/s | 19 kB 00:00 (2858/3616): texlive-cmpj-svn58506-69.fc39.noar 1.4 MB/s | 67 kB 00:00 (2859/3616): texlive-cmtiup-svn39728-69.fc39.no 1.7 MB/s | 92 kB 00:00 (2860/3616): texlive-cmupint-svn54735-69.fc39.n 5.5 MB/s | 364 kB 00:00 (2861/3616): texlive-cmsrb-svn54706-69.fc39.noa 17 MB/s | 3.0 MB 00:00 (2862/3616): texlive-cochineal-svn62063-69.fc39 25 MB/s | 3.2 MB 00:00 (2863/3616): texlive-codeanatomy-svn65648-69.fc 4.3 MB/s | 306 kB 00:00 (2864/3616): texlive-collection-bibtexextra-svn 269 kB/s | 15 kB 00:00 (2865/3616): texlive-collection-fontsextra-svn6 351 kB/s | 18 kB 00:00 (2866/3616): texlive-collection-fontsrecommende 212 kB/s | 11 kB 00:00 (2867/3616): texlive-collection-mathscience-svn 303 kB/s | 16 kB 00:00 (2868/3616): texlive-collection-publishers-svn6 368 kB/s | 17 kB 00:00 (2869/3616): texlive-collref-svn46358-69.fc39.n 384 kB/s | 18 kB 00:00 (2870/3616): texlive-comicneue-svn54891-69.fc39 11 MB/s | 958 kB 00:00 (2871/3616): texlive-comfortaa-svn54512-69.fc39 6.2 MB/s | 637 kB 00:00 (2872/3616): texlive-coelacanth-svn64558-69.fc3 26 MB/s | 7.0 MB 00:00 (2873/3616): texlive-commath-svn15878.0.3-69.fc 251 kB/s | 16 kB 00:00 (2874/3616): texlive-compactbib-svn15878.0-69.f 280 kB/s | 16 kB 00:00 (2875/3616): texlive-commutative-diagrams-svn55 6.3 MB/s | 567 kB 00:00 (2876/3616): texlive-complexity-svn45322-69.fc3 416 kB/s | 20 kB 00:00 (2877/3616): texlive-computational-complexity-s 1.1 MB/s | 55 kB 00:00 (2878/3616): texlive-concmath-fonts-svn17218.0- 1.0 MB/s | 49 kB 00:00 (2879/3616): texlive-concmath-svn17219.0-69.fc3 359 kB/s | 17 kB 00:00 (2880/3616): texlive-concrete-svn57963-69.fc39. 665 kB/s | 31 kB 00:00 (2881/3616): texlive-concmath-otf-svn65683-69.f 24 MB/s | 1.9 MB 00:00 (2882/3616): texlive-confproc-svn29349.0.8-69.f 671 kB/s | 32 kB 00:00 (2883/3616): texlive-conteq-svn37868.0.1.1-69.f 267 kB/s | 19 kB 00:00 (2884/3616): texlive-convbkmk-svn49252-77.fc39. 248 kB/s | 18 kB 00:00 (2885/3616): texlive-cooperhewitt-svn64967-69.f 12 MB/s | 1.1 MB 00:00 (2886/3616): texlive-correctmathalign-svn44131- 8.7 MB/s | 546 kB 00:00 (2887/3616): texlive-countriesofeurope-svn54512 4.4 MB/s | 236 kB 00:00 (2888/3616): texlive-courier-scaled-svn24940.0- 392 kB/s | 19 kB 00:00 (2889/3616): texlive-courierten-svn55436-69.fc3 5.1 MB/s | 279 kB 00:00 (2890/3616): texlive-cquthesis-svn55643-69.fc39 592 kB/s | 34 kB 00:00 (2891/3616): texlive-crimson-svn64559-69.fc39.n 14 MB/s | 1.4 MB 00:00 (2892/3616): texlive-cormorantgaramond-svn64411 21 MB/s | 6.0 MB 00:00 (2893/3616): texlive-crimsonpro-svn64565-69.fc3 25 MB/s | 3.0 MB 00:00 (2894/3616): texlive-crossrefware-svn64754-77.f 4.0 MB/s | 286 kB 00:00 (2895/3616): texlive-cryptocode-svn60249-69.fc3 830 kB/s | 39 kB 00:00 (2896/3616): texlive-cryst-svn15878.0-69.fc39.n 1.0 MB/s | 50 kB 00:00 (2897/3616): texlive-csassignments-svn63992-69. 2.1 MB/s | 120 kB 00:00 (2898/3616): texlive-ctex-svn66115-69.fc39.noar 3.1 MB/s | 204 kB 00:00 (2899/3616): texlive-cuprum-svn49909-69.fc39.no 2.5 MB/s | 150 kB 00:00 (2900/3616): texlive-custom-bib-svn24729.4.33-6 1.9 MB/s | 105 kB 00:00 (2901/3616): texlive-cvss-svn65169-69.fc39.noar 8.3 MB/s | 572 kB 00:00 (2902/3616): texlive-cyklop-svn18651.0.915-69.f 5.6 MB/s | 359 kB 00:00 (2903/3616): texlive-dancers-svn13293.0-69.fc39 326 kB/s | 15 kB 00:00 (2904/3616): texlive-dantelogo-svn38599-69.fc39 950 kB/s | 43 kB 00:00 (2905/3616): texlive-dccpaper-svn61763-69.fc39. 667 kB/s | 43 kB 00:00 (2906/3616): texlive-decision-table-svn60673-69 1.9 MB/s | 123 kB 00:00 (2907/3616): texlive-dejavu-otf-svn45991-69.fc3 6.0 MB/s | 343 kB 00:00 (2908/3616): texlive-delim-svn23974.1.0-69.fc39 249 kB/s | 16 kB 00:00 (2909/3616): texlive-delimseasy-svn39589-69.fc3 476 kB/s | 22 kB 00:00 (2910/3616): texlive-delimset-svn49544-69.fc39. 4.9 MB/s | 328 kB 00:00 (2911/3616): texlive-derivative-svn63850-69.fc3 3.4 MB/s | 218 kB 00:00 (2912/3616): texlive-dice-svn28501.0-69.fc39.no 264 kB/s | 17 kB 00:00 (2913/3616): texlive-dictsym-svn20031.0-69.fc39 607 kB/s | 47 kB 00:00 (2914/3616): texlive-dejavu-svn31771.2.34-69.fc 38 MB/s | 11 MB 00:00 (2915/3616): texlive-digiconfigs-svn15878.0.5-6 257 kB/s | 16 kB 00:00 (2916/3616): texlive-diffcoeff-svn65676-69.fc39 15 MB/s | 1.3 MB 00:00 (2917/3616): texlive-din1505-svn19441.0-69.fc39 542 kB/s | 27 kB 00:00 (2918/3616): texlive-dijkstra-svn64580-69.fc39. 2.9 MB/s | 177 kB 00:00 (2919/3616): texlive-dingbat-svn27918.1.0-69.fc 474 kB/s | 25 kB 00:00 (2920/3616): texlive-dithesis-svn34295.0.2-69.f 432 kB/s | 20 kB 00:00 (2921/3616): texlive-dk-bib-svn15878.0.6-69.fc3 558 kB/s | 27 kB 00:00 (2922/3616): texlive-doipubmed-svn15878.1.01-69 314 kB/s | 16 kB 00:00 (2923/3616): texlive-doulossil-svn63255-69.fc39 6.3 MB/s | 517 kB 00:00 (2924/3616): texlive-dozenal-svn47680-69.fc39.n 1.9 MB/s | 153 kB 00:00 (2925/3616): texlive-domitian-svn55286-69.fc39. 15 MB/s | 1.6 MB 00:00 (2926/3616): texlive-drawmatrix-svn44471-69.fc3 203 kB/s | 14 kB 00:00 (2927/3616): texlive-drawstack-svn28582.0-69.fc 303 kB/s | 19 kB 00:00 (2928/3616): texlive-dsserif-svn60898-69.fc39.n 4.6 MB/s | 388 kB 00:00 (2929/3616): texlive-droid-svn54512-69.fc39.noa 20 MB/s | 3.2 MB 00:00 (2930/3616): texlive-duerer-latex-svn15878.1.1- 198 kB/s | 17 kB 00:00 (2931/3616): texlive-duerer-svn20741.0-69.fc39. 533 kB/s | 28 kB 00:00 (2932/3616): texlive-dutchcal-svn54080-69.fc39. 1.0 MB/s | 52 kB 00:00 (2933/3616): texlive-dyntree-svn15878.1.0-69.fc 318 kB/s | 23 kB 00:00 (2934/3616): texlive-easing-svn59975-69.fc39.no 4.1 MB/s | 322 kB 00:00 (2935/3616): texlive-ebook-svn29466.0-69.fc39.n 182 kB/s | 13 kB 00:00 (2936/3616): texlive-ebproof-svn57544-69.fc39.n 341 kB/s | 21 kB 00:00 (2937/3616): texlive-ebsthesis-svn15878.1.0-69. 437 kB/s | 21 kB 00:00 (2938/3616): texlive-ecc-svn15878.0-69.fc39.noa 902 kB/s | 53 kB 00:00 (2939/3616): texlive-eco-svn29349.1.3-69.fc39.n 6.1 MB/s | 352 kB 00:00 (2940/3616): texlive-ecobiblatex-svn39233-69.fc 388 kB/s | 19 kB 00:00 (2941/3616): texlive-drm-svn38157.4.4-69.fc39.n 24 MB/s | 13 MB 00:00 (2942/3616): texlive-econometrics-svn39396-69.f 315 kB/s | 20 kB 00:00 (2943/3616): texlive-econ-bst-svn61499-69.fc39. 16 MB/s | 1.3 MB 00:00 (2944/3616): texlive-economic-svn32639.0-69.fc3 1.1 MB/s | 55 kB 00:00 (2945/3616): texlive-ecothesis-svn48007-69.fc39 6.4 MB/s | 356 kB 00:00 (2946/3616): texlive-eiad-ltx-svn15878.1.0-69.f 318 kB/s | 18 kB 00:00 (2947/3616): texlive-eczar-svn57716-69.fc39.noa 8.3 MB/s | 505 kB 00:00 (2948/3616): texlive-eiad-svn15878.0-69.fc39.no 1.1 MB/s | 60 kB 00:00 (2949/3616): texlive-ejpecp-svn60950-69.fc39.no 456 kB/s | 21 kB 00:00 (2950/3616): texlive-ekaia-svn49594-69.fc39.noa 399 kB/s | 18 kB 00:00 (2951/3616): texlive-elbioimp-svn21758.1.2-69.f 170 kB/s | 16 kB 00:00 (2952/3616): texlive-electrum-svn19705.1.005_b- 7.8 MB/s | 829 kB 00:00 (2953/3616): texlive-ektype-tanka-svn63255-69.f 17 MB/s | 2.1 MB 00:00 (2954/3616): texlive-elsarticle-svn56999-69.fc3 534 kB/s | 35 kB 00:00 (2955/3616): texlive-els-cas-templates-svn62931 5.3 MB/s | 405 kB 00:00 (2956/3616): texlive-elteikthesis-svn63186-69.f 303 kB/s | 21 kB 00:00 (2957/3616): texlive-eltex-svn15878.2.0-69.fc39 592 kB/s | 28 kB 00:00 (2958/3616): texlive-elvish-svn15878.0-69.fc39. 475 kB/s | 22 kB 00:00 (2959/3616): texlive-emf-svn42023-69.fc39.noarc 5.6 MB/s | 328 kB 00:00 (2960/3616): texlive-emisa-svn60068-69.fc39.noa 719 kB/s | 32 kB 00:00 (2961/3616): texlive-endiagram-svn34486.0.1d-69 542 kB/s | 25 kB 00:00 (2962/3616): texlive-engtlc-svn28571.3.2-69.fc3 373 kB/s | 21 kB 00:00 (2963/3616): texlive-epigrafica-svn17210.1.01-6 4.2 MB/s | 373 kB 00:00 (2964/3616): texlive-epsdice-svn15878.2.1-69.fc 310 kB/s | 20 kB 00:00 (2965/3616): texlive-eolang-svn66274-69.fc39.no 14 MB/s | 1.5 MB 00:00 (2966/3616): texlive-eqnarray-svn20641.1.3-69.f 388 kB/s | 24 kB 00:00 (2967/3616): texlive-eqexpl-svn63629-69.fc39.no 1.5 MB/s | 98 kB 00:00 (2968/3616): texlive-eqnnumwarn-svn45511-69.fc3 2.3 MB/s | 110 kB 00:00 (2969/3616): texlive-erdc-svn15878.1.1-69.fc39. 432 kB/s | 20 kB 00:00 (2970/3616): texlive-erewhon-math-svn65684-69.f 22 MB/s | 2.2 MB 00:00 (2971/3616): texlive-esrelation-svn37236.0-69.f 849 kB/s | 51 kB 00:00 (2972/3616): texlive-erewhon-svn63312-69.fc39.n 23 MB/s | 3.0 MB 00:00 (2973/3616): texlive-estcpmm-svn17335.0.4-69.fc 348 kB/s | 17 kB 00:00 (2974/3616): texlive-esstix-svn22426.1.0-69.fc3 4.0 MB/s | 232 kB 00:00 (2975/3616): texlive-etsvthor-svn48186-69.fc39. 334 kB/s | 19 kB 00:00 (2976/3616): texlive-etbb-svn61872-69.fc39.noar 17 MB/s | 1.7 MB 00:00 (2977/3616): texlive-euro-ce-svn25714-69.fc39.n 678 kB/s | 32 kB 00:00 (2978/3616): texlive-euxm-svn54074-69.fc39.noar 799 kB/s | 38 kB 00:00 (2979/3616): texlive-extpfeil-svn16243.0.4-69.f 253 kB/s | 18 kB 00:00 (2980/3616): texlive-euro-svn22191.1.1-69.fc39. 93 kB/s | 17 kB 00:00 (2981/3616): texlive-euler-math-svn65685-69.fc3 5.8 MB/s | 1.8 MB 00:00 (2982/3616): texlive-facture-belge-simple-sans- 3.2 MB/s | 287 kB 00:00 (2983/3616): texlive-faktor-svn15878.0.1b-69.fc 147 kB/s | 15 kB 00:00 (2984/3616): texlive-fascicules-svn54080-69.fc3 14 MB/s | 2.0 MB 00:00 (2985/3616): texlive-fbb-svn55728-69.fc39.noarc 11 MB/s | 1.4 MB 00:00 (2986/3616): texlive-fbithesis-svn21340.1.2m-69 206 kB/s | 17 kB 00:00 (2987/3616): texlive-fbs-svn15878.0-69.fc39.noa 333 kB/s | 19 kB 00:00 (2988/3616): texlive-fcavtex-svn38074.1.1-69.fc 550 kB/s | 33 kB 00:00 (2989/3616): texlive-fcltxdoc-svn24500.1.0-69.f 361 kB/s | 28 kB 00:00 (2990/3616): texlive-fei-svn65352-69.fc39.noarc 296 kB/s | 25 kB 00:00 (2991/3616): texlive-fdsymbol-svn61719-69.fc39. 7.3 MB/s | 963 kB 00:00 (2992/3616): texlive-feyn-svn63945-69.fc39.noar 398 kB/s | 40 kB 00:00 (2993/3616): texlive-feynmf-svn17259.1.08-69.fc 444 kB/s | 35 kB 00:00 (2994/3616): texlive-fetamont-svn43812-69.fc39. 17 MB/s | 3.7 MB 00:00 (2995/3616): texlive-fandol-svn37889.0.3-69.fc3 32 MB/s | 22 MB 00:00 (2996/3616): texlive-fge-svn37628.1.25-69.fc39. 677 kB/s | 54 kB 00:00 (2997/3616): texlive-figbib-svn19388.0-69.fc39. 285 kB/s | 18 kB 00:00 (2998/3616): texlive-firamath-otf-svn65561-69.f 2.2 MB/s | 132 kB 00:00 (2999/3616): texlive-firamath-svn56672-69.fc39. 9.2 MB/s | 655 kB 00:00 (3000/3616): texlive-fixdif-svn66606-69.fc39.no 3.4 MB/s | 261 kB 00:00 (3001/3616): texlive-fixltxhyph-svn25832.0.4-69 344 kB/s | 18 kB 00:00 (3002/3616): texlive-fnspe-svn45360-69.fc39.noa 2.8 MB/s | 185 kB 00:00 (3003/3616): texlive-foekfont-svn15878.0-69.fc3 400 kB/s | 26 kB 00:00 (3004/3616): texlive-fonetika-svn21326.0-69.fc3 1.6 MB/s | 83 kB 00:00 (3005/3616): texlive-fontmfizz-svn43546-69.fc39 697 kB/s | 53 kB 00:00 (3006/3616): texlive-fontawesome5-svn63207-69.f 15 MB/s | 1.6 MB 00:00 (3007/3616): texlive-fonts-churchslavonic-svn56 15 MB/s | 1.7 MB 00:00 (3008/3616): texlive-footbib-svn17115.2.0.7-69. 299 kB/s | 21 kB 00:00 (3009/3616): texlive-formal-grammar-svn61955-69 4.3 MB/s | 247 kB 00:00 (3010/3616): texlive-fouridx-svn32214.2.00-69.f 270 kB/s | 15 kB 00:00 (3011/3616): texlive-fouriernc-svn29646.0-69.fc 678 kB/s | 36 kB 00:00 (3012/3616): texlive-forum-svn64566-69.fc39.noa 9.0 MB/s | 746 kB 00:00 (3013/3616): texlive-francais-bst-svn38922-69.f 488 kB/s | 25 kB 00:00 (3014/3616): texlive-frederika2016-svn42157-69. 5.4 MB/s | 381 kB 00:00 (3015/3616): texlive-frcursive-svn24559.0-69.fc 18 MB/s | 1.7 MB 00:00 (3016/3616): texlive-frimurer-svn56704-69.fc39. 4.0 MB/s | 214 kB 00:00 (3017/3616): texlive-functan-svn15878.0-69.fc39 353 kB/s | 17 kB 00:00 (3018/3616): texlive-gaceta-svn15878.1.06-69.fc 492 kB/s | 32 kB 00:00 (3019/3616): texlive-galois-svn15878.1.5-69.fc3 319 kB/s | 16 kB 00:00 (3020/3616): texlive-gammas-svn56403-69.fc39.no 618 kB/s | 30 kB 00:00 (3021/3616): texlive-ftc-notebook-svn50043-69.f 23 MB/s | 3.5 MB 00:00 (3022/3616): texlive-garamond-math-svn61481-69. 9.5 MB/s | 763 kB 00:00 (3023/3616): texlive-gastex-svn58505-69.fc39.no 503 kB/s | 33 kB 00:00 (3024/3616): texlive-gbt7714-svn64633-69.fc39.n 7.6 MB/s | 492 kB 00:00 (3025/3616): texlive-gene-logic-svn15878.1.4-69 270 kB/s | 13 kB 00:00 (3026/3616): texlive-genealogy-svn25112.0-69.fc 359 kB/s | 17 kB 00:00 (3027/3616): texlive-geschichtsfrkl-svn42121-69 262 kB/s | 19 kB 00:00 (3028/3616): texlive-geradwp-svn63134-69.fc39.n 8.9 MB/s | 839 kB 00:00 (3029/3616): texlive-gfdl-svn65415-69.fc39.noar 1.7 MB/s | 189 kB 00:00 (3030/3616): texlive-gfsartemisia-svn19469.1.0- 3.4 MB/s | 1.0 MB 00:00 (3031/3616): texlive-gfsbodoni-svn28484.1.01-69 4.2 MB/s | 960 kB 00:00 (3032/3616): texlive-gentium-tug-svn63470-69.fc 18 MB/s | 8.6 MB 00:00 (3033/3616): texlive-gfscomplutum-svn19469.1.0- 1.6 MB/s | 137 kB 00:00 (3034/3616): texlive-gfsdidotclassic-svn52778-6 960 kB/s | 44 kB 00:00 (3035/3616): texlive-gfsneohellenicmath-svn6392 6.0 MB/s | 332 kB 00:00 (3036/3616): texlive-gfssolomos-svn18651.1.0-69 2.4 MB/s | 116 kB 00:00 (3037/3616): texlive-gfsdidot-svn54080-69.fc39. 5.7 MB/s | 1.4 MB 00:00 (3038/3616): texlive-ghsystem-svn53822-69.fc39. 19 MB/s | 1.9 MB 00:00 (3039/3616): texlive-gfsneohellenic-svn63944-69 4.6 MB/s | 1.0 MB 00:00 (3040/3616): texlive-gillcm-svn19878.1.1-69.fc3 670 kB/s | 38 kB 00:00 (3041/3616): texlive-gillius-svn64865-69.fc39.n 14 MB/s | 1.1 MB 00:00 (3042/3616): texlive-gmp-svn21691.1.0-69.fc39.n 309 kB/s | 21 kB 00:00 (3043/3616): texlive-glosmathtools-svn55920-69. 3.8 MB/s | 537 kB 00:00 (3044/3616): texlive-gothic-svn49869-69.fc39.no 2.1 MB/s | 166 kB 00:00 (3045/3616): texlive-gnu-freefont-svn29349.0-69 32 MB/s | 7.4 MB 00:00 (3046/3616): texlive-gotoh-svn44764-69.fc39.noa 3.2 MB/s | 296 kB 00:00 (3047/3616): texlive-gradstudentresume-svn38832 404 kB/s | 19 kB 00:00 (3048/3616): texlive-gofonts-svn64358-69.fc39.n 6.0 MB/s | 1.7 MB 00:00 (3049/3616): texlive-grant-svn56852-69.fc39.noa 1.9 MB/s | 125 kB 00:00 (3050/3616): texlive-greek-fontenc-svn66612-69. 702 kB/s | 53 kB 00:00 (3051/3616): texlive-greek-inputenc-svn66634-69 343 kB/s | 24 kB 00:00 (3052/3616): texlive-greenpoint-svn15878.0-69.f 258 kB/s | 17 kB 00:00 (3053/3616): texlive-grotesq-svn35859.0-69.fc39 1.3 MB/s | 74 kB 00:00 (3054/3616): texlive-grundgesetze-svn58997-69.f 356 kB/s | 20 kB 00:00 (3055/3616): texlive-gsemthesis-svn56291-69.fc3 381 kB/s | 20 kB 00:00 (3056/3616): texlive-gu-svn15878.0-69.fc39.noar 455 kB/s | 20 kB 00:00 (3057/3616): texlive-gudea-svn57359-69.fc39.noa 3.2 MB/s | 265 kB 00:00 (3058/3616): texlive-gzt-svn63591-69.fc39.noarc 664 kB/s | 53 kB 00:00 (3059/3616): texlive-h2020proposal-svn38428-69. 663 kB/s | 30 kB 00:00 (3060/3616): texlive-hacm-svn27671.0.1-69.fc39. 2.8 MB/s | 253 kB 00:00 (3061/3616): texlive-hamnosys-svn61941-69.fc39. 2.4 MB/s | 215 kB 00:00 (3062/3616): texlive-hagenberg-thesis-svn65819- 25 MB/s | 2.5 MB 00:00 (3063/3616): texlive-har2nat-svn54080-69.fc39.n 319 kB/s | 15 kB 00:00 (3064/3616): texlive-harvard-svn15878.2.0.5-69. 620 kB/s | 32 kB 00:00 (3065/3616): texlive-harvmac-svn15878.0-69.fc39 331 kB/s | 16 kB 00:00 (3066/3616): texlive-hecthese-svn60455-69.fc39. 13 MB/s | 898 kB 00:00 (3067/3616): texlive-hands-svn13293.0-69.fc39.n 104 kB/s | 14 kB 00:00 (3068/3616): texlive-helmholtz-ellis-ji-notatio 1.7 MB/s | 105 kB 00:00 (3069/3616): texlive-hep-bibliography-svn64888- 8.8 MB/s | 493 kB 00:00 (3070/3616): texlive-hep-svn15878.1.0-69.fc39.n 362 kB/s | 16 kB 00:00 (3071/3616): texlive-hep-reference-svn64853-69. 4.5 MB/s | 461 kB 00:00 (3072/3616): texlive-hepnames-svn35722.2.0-69.f 480 kB/s | 21 kB 00:00 (3073/3616): texlive-hepparticles-svn35723.2.0- 384 kB/s | 19 kB 00:00 (3074/3616): texlive-hepthesis-svn46054-69.fc39 481 kB/s | 22 kB 00:00 (3075/3616): texlive-hepunits-svn54758-69.fc39. 330 kB/s | 16 kB 00:00 (3076/3616): texlive-hep-paper-svn64917-69.fc39 3.0 MB/s | 694 kB 00:00 (3077/3616): texlive-heuristica-svn51362-69.fc3 17 MB/s | 1.2 MB 00:00 (3078/3616): texlive-hfutexam-svn66550-69.fc39. 8.6 MB/s | 522 kB 00:00 (3079/3616): texlive-hfoldsty-svn29349.1.15-69. 3.5 MB/s | 432 kB 00:00 (3080/3616): texlive-hfbright-svn29349.0-69.fc3 5.2 MB/s | 841 kB 00:00 (3081/3616): texlive-hfutthesis-svn64025-69.fc3 13 MB/s | 825 kB 00:00 (3082/3616): texlive-historische-zeitschrift-sv 412 kB/s | 20 kB 00:00 (3083/3616): texlive-hithesis-svn64005-69.fc39. 15 MB/s | 1.0 MB 00:00 (3084/3616): texlive-hitszthesis-svn61073-69.fc 20 MB/s | 1.6 MB 00:00 (3085/3616): texlive-hindmadurai-svn57360-69.fc 6.7 MB/s | 1.3 MB 00:00 (3086/3616): texlive-hitszbeamer-svn54381-69.fc 7.4 MB/s | 1.1 MB 00:00 (3087/3616): texlive-hobete-svn27036.0-69.fc39. 406 kB/s | 21 kB 00:00 (3088/3616): texlive-hu-berlin-bundle-svn57580- 3.0 MB/s | 239 kB 00:00 (3089/3616): texlive-hustthesis-svn42547-69.fc3 6.9 MB/s | 789 kB 00:00 (3090/3616): texlive-ibrackets-svn65383-69.fc39 1.3 MB/s | 96 kB 00:00 (3091/3616): texlive-ibarra-svn64567-69.fc39.no 13 MB/s | 1.4 MB 00:00 (3092/3616): texlive-icsv-svn15878.0.2-69.fc39. 268 kB/s | 18 kB 00:00 (3093/3616): texlive-ieeeconf-svn59665-69.fc39. 2.7 MB/s | 192 kB 00:00 (3094/3616): texlive-icite-svn54512-69.fc39.noa 2.9 MB/s | 290 kB 00:00 (3095/3616): texlive-ieeepes-svn17359.4.0-69.fc 297 kB/s | 24 kB 00:00 (3096/3616): texlive-ietfbibs-doc-svn41332-69.f 245 kB/s | 16 kB 00:00 (3097/3616): texlive-ieeetran-svn59672-69.fc39. 7.2 MB/s | 590 kB 00:00 (3098/3616): texlive-ijmart-svn30958.1.7-69.fc3 449 kB/s | 25 kB 00:00 (3099/3616): texlive-ijqc-svn15878.1.2-69.fc39. 367 kB/s | 20 kB 00:00 (3100/3616): texlive-ijsra-svn44886-69.fc39.noa 11 MB/s | 705 kB 00:00 (3101/3616): texlive-imac-svn17347.0-69.fc39.no 462 kB/s | 22 kB 00:00 (3102/3616): texlive-imtekda-svn17667.1.7-69.fc 323 kB/s | 18 kB 00:00 (3103/3616): texlive-includernw-svn47557-69.fc3 2.3 MB/s | 172 kB 00:00 (3104/3616): texlive-inconsolata-svn54512-69.fc 5.5 MB/s | 365 kB 00:00 (3105/3616): texlive-inkpaper-svn54080-69.fc39. 5.2 MB/s | 297 kB 00:00 (3106/3616): texlive-imfellenglish-svn64568-69. 12 MB/s | 3.1 MB 00:00 (3107/3616): texlive-inlinebib-svn22018.0-69.fc 92 kB/s | 21 kB 00:00 (3108/3616): texlive-initials-svn54080-69.fc39. 7.7 MB/s | 3.0 MB 00:00 (3109/3616): texlive-interval-svn50265-69.fc39. 143 kB/s | 18 kB 00:00 (3110/3616): texlive-inriafonts-svn54512-69.fc3 5.8 MB/s | 2.6 MB 00:00 (3111/3616): texlive-inter-svn58892-69.fc39.noa 21 MB/s | 6.8 MB 00:00 (3112/3616): texlive-ionumbers-svn33457.0.3.3-6 377 kB/s | 21 kB 00:00 (3113/3616): texlive-iopart-num-svn15878.2.1-69 437 kB/s | 22 kB 00:00 (3114/3616): texlive-iodhbwm-svn57773-69.fc39.n 13 MB/s | 3.0 MB 00:00 (3115/3616): texlive-is-bst-svn52623-69.fc39.no 483 kB/s | 35 kB 00:00 (3116/3616): texlive-iscram-svn45801-69.fc39.no 3.1 MB/s | 283 kB 00:00 (3117/3616): texlive-ipaex-type1-svn47700-69.fc 43 MB/s | 13 MB 00:00 (3118/3616): texlive-jablantile-svn16364.0-69.f 330 kB/s | 15 kB 00:00 (3119/3616): texlive-jacow-svn63060-69.fc39.noa 450 kB/s | 24 kB 00:00 (3120/3616): texlive-jamtimes-svn20408.1.12-69. 1.6 MB/s | 97 kB 00:00 (3121/3616): texlive-iwona-svn19611.0.995b-69.f 16 MB/s | 4.1 MB 00:00 (3122/3616): texlive-japanese-otf-svn66091-69.f 18 MB/s | 1.5 MB 00:00 (3123/3616): texlive-ipaex-svn61719-69.fc39.noa 26 MB/s | 16 MB 00:00 (3124/3616): texlive-jbact-svn52717-69.fc39.noa 343 kB/s | 20 kB 00:00 (3125/3616): texlive-jmlr-svn61957-69.fc39.noar 560 kB/s | 35 kB 00:00 (3126/3616): texlive-jneurosci-svn17346.1.00-69 392 kB/s | 21 kB 00:00 (3127/3616): texlive-jkmath-svn47109-69.fc39.no 918 kB/s | 142 kB 00:00 (3128/3616): texlive-jnuexam-svn56867-69.fc39.n 3.1 MB/s | 609 kB 00:00 (3129/3616): texlive-josefin-svn64569-69.fc39.n 7.4 MB/s | 1.3 MB 00:00 (3130/3616): texlive-jpsj-svn66115-69.fc39.noar 192 kB/s | 21 kB 00:00 (3131/3616): texlive-jourcl-svn65290-69.fc39.no 739 kB/s | 130 kB 00:00 (3132/3616): texlive-jupynotex-svn56715-69.fc39 738 kB/s | 43 kB 00:00 (3133/3616): texlive-jmb-svn52718-69.fc39.noarc 33 kB/s | 21 kB 00:00 (3134/3616): texlive-junicode-svn61719-69.fc39. 4.7 MB/s | 1.1 MB 00:00 (3135/3616): texlive-jwjournal-svn65480-69.fc39 2.0 MB/s | 103 kB 00:00 (3136/3616): texlive-jurabib-svn15878.0.6-69.fc 457 kB/s | 75 kB 00:00 (3137/3616): texlive-karnaugh-svn21338.0-69.fc3 385 kB/s | 18 kB 00:00 (3138/3616): texlive-karnaugh-map-svn61614-69.f 3.7 MB/s | 296 kB 00:00 (3139/3616): texlive-karnaughmap-svn36989.2.0-6 379 kB/s | 19 kB 00:00 (3140/3616): texlive-kdgdocs-svn24498.1.0-69.fc 441 kB/s | 21 kB 00:00 (3141/3616): texlive-kdpcover-svn65150-69.fc39. 5.4 MB/s | 372 kB 00:00 (3142/3616): texlive-kixfont-svn18488.0-69.fc39 275 kB/s | 13 kB 00:00 (3143/3616): texlive-kfupm-math-exam-svn63977-6 882 kB/s | 77 kB 00:00 (3144/3616): texlive-kluwer-svn54074-69.fc39.no 1.5 MB/s | 72 kB 00:00 (3145/3616): texlive-ksfh_nat-svn24825.1.1-69.f 288 kB/s | 20 kB 00:00 (3146/3616): texlive-ksp-thesis-svn39080-69.fc3 386 kB/s | 21 kB 00:00 (3147/3616): texlive-kvmap-svn56361-69.fc39.noa 1.3 MB/s | 110 kB 00:00 (3148/3616): texlive-ku-template-svn45935-69.fc 2.4 MB/s | 234 kB 00:00 (3149/3616): texlive-kpfonts-otf-svn65560-69.fc 17 MB/s | 3.2 MB 00:00 (3150/3616): texlive-langsci-avm-svn66016-69.fc 2.6 MB/s | 173 kB 00:00 (3151/3616): texlive-langsci-svn65793-69.fc39.n 1.5 MB/s | 93 kB 00:00 (3152/3616): texlive-latex-firstaid-dev-svn6518 3.5 MB/s | 278 kB 00:00 (3153/3616): texlive-letterswitharrows-svn59993 5.2 MB/s | 486 kB 00:00 (3154/3616): texlive-lexend-svn57564-69.fc39.no 4.8 MB/s | 373 kB 00:00 (3155/3616): texlive-lfb-svn15878.1.0-69.fc39.n 1.0 MB/s | 52 kB 00:00 (3156/3616): texlive-libertinegc-svn44616-69.fc 1.3 MB/s | 95 kB 00:00 (3157/3616): texlive-libertinus-fonts-svn57948- 12 MB/s | 2.3 MB 00:00 (3158/3616): texlive-libertinus-otf-svn60023-69 7.6 MB/s | 600 kB 00:00 (3159/3616): texlive-libertinus-svn61719-69.fc3 303 kB/s | 14 kB 00:00 (3160/3616): texlive-lato-svn54512-69.fc39.noar 17 MB/s | 13 MB 00:00 (3161/3616): texlive-librebaskerville-svn64421- 8.7 MB/s | 642 kB 00:00 (3162/3616): texlive-latex-base-dev-svn66513-69 32 MB/s | 30 MB 00:00 (3163/3616): texlive-librebodoni-svn64431-69.fc 8.2 MB/s | 610 kB 00:00 (3164/3616): texlive-librecaslon-svn64432-69.fc 10 MB/s | 832 kB 00:00 (3165/3616): texlive-libris-svn19409.1.007-69.f 4.1 MB/s | 278 kB 00:00 (3166/3616): texlive-librefranklin-svn64441-69. 17 MB/s | 3.1 MB 00:00 (3167/3616): texlive-lie-hasse-svn61719-69.fc39 9.7 MB/s | 587 kB 00:00 (3168/3616): texlive-lineara-svn63169-69.fc39.n 2.9 MB/s | 164 kB 00:00 (3169/3616): texlive-limecv-svn61199-69.fc39.no 6.6 MB/s | 391 kB 00:00 (3170/3616): texlive-libertinus-type1-svn64958- 19 MB/s | 10 MB 00:00 (3171/3616): texlive-linguisticspro-svn64858-69 19 MB/s | 1.5 MB 00:00 (3172/3616): texlive-lion-msc-svn55415-69.fc39. 16 MB/s | 1.4 MB 00:00 (3173/3616): texlive-listbib-svn29349-77.fc39.n 5.9 MB/s | 357 kB 00:00 (3174/3616): texlive-llncs-svn64299-69.fc39.noa 3.9 MB/s | 236 kB 00:00 (3175/3616): texlive-llncsconf-svn63136-69.fc39 5.0 MB/s | 282 kB 00:00 (3176/3616): texlive-lni-svn58061-69.fc39.noarc 5.7 MB/s | 387 kB 00:00 (3177/3616): texlive-logicproof-svn33254.0-69.f 390 kB/s | 19 kB 00:00 (3178/3616): texlive-lobster2-svn64442-69.fc39. 9.8 MB/s | 572 kB 00:00 (3179/3616): texlive-longdivision-svn59979-69.f 2.4 MB/s | 166 kB 00:00 (3180/3616): texlive-lpform-svn36918.0-69.fc39. 226 kB/s | 16 kB 00:00 (3181/3616): texlive-lplfitch-svn31077.0.9-69.f 317 kB/s | 19 kB 00:00 (3182/3616): texlive-lps-svn21322.0.7-69.fc39.n 275 kB/s | 16 kB 00:00 (3183/3616): texlive-logix-svn63688-69.fc39.noa 20 MB/s | 3.3 MB 00:00 (3184/3616): texlive-lstbayes-svn48160-69.fc39. 382 kB/s | 20 kB 00:00 (3185/3616): texlive-ltb2bib-svn43746-69.fc39.n 1.0 MB/s | 61 kB 00:00 (3186/3616): texlive-lua-uca-svn61023-69.fc39.n 3.1 MB/s | 192 kB 00:00 (3187/3616): texlive-luabibentry-svn55777-69.fc 407 kB/s | 19 kB 00:00 (3188/3616): texlive-luatexja-svn65790-69.fc39. 4.3 MB/s | 242 kB 00:00 (3189/3616): texlive-luaxml-svn60709-69.fc39.no 820 kB/s | 46 kB 00:00 (3190/3616): texlive-lxfonts-svn32354.2.0b-69.f 14 MB/s | 950 kB 00:00 (3191/3616): texlive-magra-svn57373-69.fc39.noa 3.7 MB/s | 242 kB 00:00 (3192/3616): texlive-manfnt-font-svn45777-69.fc 819 kB/s | 37 kB 00:00 (3193/3616): texlive-margbib-svn15878.1.0c-69.f 316 kB/s | 17 kB 00:00 (3194/3616): texlive-marcellus-svn64451-69.fc39 3.4 MB/s | 234 kB 00:00 (3195/3616): texlive-matc3-svn29845.1.0.1-69.fc 375 kB/s | 18 kB 00:00 (3196/3616): texlive-matc3mem-svn35773.1.1-69.f 411 kB/s | 19 kB 00:00 (3197/3616): texlive-mathcomp-svn15878.0.1f-69. 318 kB/s | 15 kB 00:00 (3198/3616): texlive-mathcommand-svn59512-69.fc 4.9 MB/s | 460 kB 00:00 (3199/3616): texlive-mathabx-type1-svn21129.0-6 16 MB/s | 1.8 MB 00:00 (3200/3616): texlive-mathlig-svn54244-69.fc39.n 278 kB/s | 13 kB 00:00 (3201/3616): texlive-mathfixs-svn49547-69.fc39. 4.3 MB/s | 304 kB 00:00 (3202/3616): texlive-mathpartir-svn39864-69.fc3 291 kB/s | 22 kB 00:00 (3203/3616): texlive-mathpunctspace-svn46754-69 2.2 MB/s | 198 kB 00:00 (3204/3616): texlive-mathsemantics-svn63241-69. 8.3 MB/s | 646 kB 00:00 (3205/3616): texlive-matlab-prettifier-svn34323 374 kB/s | 21 kB 00:00 (3206/3616): texlive-matrix-skeleton-svn65013-6 3.2 MB/s | 163 kB 00:00 (3207/3616): texlive-mattens-svn62326-69.fc39.n 357 kB/s | 17 kB 00:00 (3208/3616): texlive-mcmthesis-svn57333-69.fc39 440 kB/s | 21 kB 00:00 (3209/3616): texlive-mdputu-svn20298.1.2-69.fc3 672 kB/s | 32 kB 00:00 (3210/3616): texlive-mecaso-svn60346-69.fc39.no 4.3 MB/s | 241 kB 00:00 (3211/3616): texlive-mdsymbol-svn28399.0.5-69.f 14 MB/s | 950 kB 00:00 (3212/3616): texlive-membranecomputing-svn64627 4.2 MB/s | 296 kB 00:00 (3213/3616): texlive-memorygraphs-svn49631-69.f 3.3 MB/s | 203 kB 00:00 (3214/3616): texlive-mentis-svn15878.1.5-69.fc3 322 kB/s | 18 kB 00:00 (3215/3616): texlive-mflogo-font-svn54512-69.fc 501 kB/s | 32 kB 00:00 (3216/3616): texlive-messagepassing-svn63116-69 3.9 MB/s | 279 kB 00:00 (3217/3616): texlive-mgltex-svn63255-69.fc39.no 500 kB/s | 35 kB 00:00 (3218/3616): texlive-mhequ-svn64978-69.fc39.noa 203 kB/s | 15 kB 00:00 (3219/3616): texlive-merriweather-svn64452-69.f 28 MB/s | 5.9 MB 00:00 (3220/3616): texlive-miama-svn54512-69.fc39.noa 8.3 MB/s | 558 kB 00:00 (3221/3616): texlive-miller-svn18789.1.2-69.fc3 250 kB/s | 15 kB 00:00 (3222/3616): texlive-mismath-svn66391-69.fc39.n 3.1 MB/s | 251 kB 00:00 (3223/3616): texlive-mintspirit-svn64461-69.fc3 13 MB/s | 1.3 MB 00:00 (3224/3616): texlive-mlacls-svn60508-69.fc39.no 11 MB/s | 2.9 MB 00:00 (3225/3616): texlive-mlmodern-svn57458-69.fc39. 20 MB/s | 7.5 MB 00:00 (3226/3616): texlive-mluexercise-svn56927-69.fc 2.7 MB/s | 459 kB 00:00 (3227/3616): texlive-mnras-svn55729-69.fc39.noa 895 kB/s | 43 kB 00:00 (3228/3616): texlive-missaali-svn61719-69.fc39. 23 MB/s | 14 MB 00:00 (3229/3616): texlive-mpfonts-svn54512-69.fc39.n 22 MB/s | 6.0 MB 00:00 (3230/3616): texlive-msu-thesis-svn65462-69.fc3 605 kB/s | 29 kB 00:00 (3231/3616): texlive-modeles-factures-belges-as 633 kB/s | 272 kB 00:00 (3232/3616): texlive-mucproc-svn43445-69.fc39.n 5.3 MB/s | 347 kB 00:00 (3233/3616): texlive-montserrat-svn54512-69.fc3 29 MB/s | 14 MB 00:00 (3234/3616): texlive-mugsthesis-svn64259-69.fc3 284 kB/s | 20 kB 00:00 (3235/3616): texlive-muling-svn61719-69.fc39.no 2.9 MB/s | 159 kB 00:00 (3236/3616): texlive-multibib-svn15878.1.4-69.f 699 kB/s | 31 kB 00:00 (3237/3616): texlive-multiobjective-svn15878.1. 323 kB/s | 15 kB 00:00 (3238/3616): texlive-munich-svn15878.0-69.fc39. 461 kB/s | 21 kB 00:00 (3239/3616): texlive-musuos-svn24857.1.1d-69.fc 279 kB/s | 18 kB 00:00 (3240/3616): texlive-muthesis-svn23861.0-69.fc3 486 kB/s | 22 kB 00:00 (3241/3616): texlive-multibibliography-svn30939 3.0 MB/s | 466 kB 00:00 (3242/3616): texlive-mynsfc-svn60280-69.fc39.no 403 kB/s | 20 kB 00:00 (3243/3616): texlive-naive-ebnf-svn66017-69.fc3 9.1 MB/s | 556 kB 00:00 (3244/3616): texlive-namedtensor-svn65346-69.fc 242 kB/s | 13 kB 00:00 (3245/3616): texlive-nar-svn38100.3.19-69.fc39. 341 kB/s | 17 kB 00:00 (3246/3616): texlive-natded-svn32693.0.1-69.fc3 437 kB/s | 20 kB 00:00 (3247/3616): texlive-nath-svn15878.0-69.fc39.no 698 kB/s | 37 kB 00:00 (3248/3616): texlive-nature-svn21819.1.0-69.fc3 426 kB/s | 20 kB 00:00 (3249/3616): texlive-navydocs-svn41643-69.fc39. 15 MB/s | 1.0 MB 00:00 (3250/3616): texlive-ncntrsbk-svn61719-69.fc39. 6.3 MB/s | 363 kB 00:00 (3251/3616): texlive-nddiss-svn45107-69.fc39.no 584 kB/s | 26 kB 00:00 (3252/3616): texlive-ndsu-thesis-svn46639-69.fc 397 kB/s | 20 kB 00:00 (3253/3616): texlive-ndsu-thesis-2022-svn63881- 17 MB/s | 1.5 MB 00:00 (3254/3616): texlive-nchairx-svn60196-69.fc39.n 3.9 MB/s | 681 kB 00:00 (3255/3616): texlive-newcastle-bst-svn62856-69. 3.2 MB/s | 160 kB 00:00 (3256/3616): texlive-newtxsf-svn59227-69.fc39.n 1.6 MB/s | 126 kB 00:00 (3257/3616): texlive-niceframe-type1-svn44671-6 4.4 MB/s | 287 kB 00:00 (3258/3616): texlive-nih-svn15878.0-69.fc39.noa 300 kB/s | 17 kB 00:00 (3259/3616): texlive-nihbiosketch-svn54191-69.f 290 kB/s | 19 kB 00:00 (3260/3616): texlive-nicematrix-svn66461-69.fc3 4.7 MB/s | 1.8 MB 00:00 (3261/3616): texlive-nimbus15-svn58839-69.fc39. 14 MB/s | 3.9 MB 00:00 (3262/3616): texlive-njustthesis-svn62451-69.fc 2.2 MB/s | 231 kB 00:00 (3263/3616): texlive-njuthesis-svn65546-69.fc39 9.2 MB/s | 1.2 MB 00:00 (3264/3616): texlive-nkarta-svn16437.0.2-69.fc3 555 kB/s | 41 kB 00:00 (3265/3616): texlive-nmbib-svn37984.1.04-69.fc3 287 kB/s | 26 kB 00:00 (3266/3616): texlive-nostarch-svn15878.1.3-69.f 281 kB/s | 23 kB 00:00 (3267/3616): texlive-njuvisual-svn65261-69.fc39 2.9 MB/s | 920 kB 00:00 (3268/3616): texlive-notes2bib-svn52231-69.fc39 352 kB/s | 18 kB 00:00 (3269/3616): texlive-notex-bst-svn42361-69.fc39 235 kB/s | 15 kB 00:00 (3270/3616): texlive-newcomputermodern-svn66327 20 MB/s | 20 MB 00:00 (3271/3616): texlive-notomath-svn58726-69.fc39. 8.4 MB/s | 648 kB 00:00 (3272/3616): texlive-novel-svn66628-69.fc39.noa 25 MB/s | 4.7 MB 00:00 (3273/3616): texlive-nrc-svn29027.2.01a-69.fc39 322 kB/s | 29 kB 00:00 (3274/3616): texlive-nuc-svn22256.0.1-69.fc39.n 152 kB/s | 16 kB 00:00 (3275/3616): texlive-nucleardata-svn47307-69.fc 2.0 MB/s | 151 kB 00:00 (3276/3616): texlive-numerica-plus-svn61289-69. 4.9 MB/s | 575 kB 00:00 (3277/3616): texlive-numerica-svn61283-69.fc39. 10 MB/s | 1.0 MB 00:00 (3278/3616): texlive-noto-emoji-svn62950-69.fc3 11 MB/s | 8.9 MB 00:00 (3279/3616): texlive-numerica-tables-svn61288-6 6.8 MB/s | 586 kB 00:00 (3280/3616): texlive-nwafuthesis-svn63438-69.fc 19 MB/s | 2.2 MB 00:00 (3281/3616): texlive-nunito-svn57429-69.fc39.no 22 MB/s | 4.8 MB 00:00 (3282/3616): texlive-objectz-svn61719-69.fc39.n 526 kB/s | 24 kB 00:00 (3283/3616): texlive-obnov-svn33355.0.11-69.fc3 1.6 MB/s | 88 kB 00:00 (3284/3616): texlive-nwejm-svn64462-69.fc39.noa 28 MB/s | 3.9 MB 00:00 (3285/3616): texlive-ocherokee-svn25689.0-69.fc 1.5 MB/s | 93 kB 00:00 (3286/3616): texlive-ocr-b-outline-svn20969.0-6 4.0 MB/s | 249 kB 00:00 (3287/3616): texlive-ocr-b-svn20852.0-69.fc39.n 624 kB/s | 28 kB 00:00 (3288/3616): texlive-ogham-svn24876.0-69.fc39.n 268 kB/s | 13 kB 00:00 (3289/3616): texlive-oinuit-svn28668.0-69.fc39. 3.3 MB/s | 169 kB 00:00 (3290/3616): texlive-old-arrows-svn42872-69.fc3 1.3 MB/s | 64 kB 00:00 (3291/3616): texlive-oldlatin-svn17932.1.00-69. 1.6 MB/s | 79 kB 00:00 (3292/3616): texlive-oldstandard-svn64464-69.fc 20 MB/s | 1.8 MB 00:00 (3293/3616): texlive-onrannual-svn17474.1.1-69. 403 kB/s | 20 kB 00:00 (3294/3616): texlive-opteng-svn27331.1.0-69.fc3 394 kB/s | 20 kB 00:00 (3295/3616): texlive-oplotsymbl-svn44951-69.fc3 4.3 MB/s | 244 kB 00:00 (3296/3616): texlive-orkhun-svn15878.0-69.fc39. 286 kB/s | 18 kB 00:00 (3297/3616): texlive-oscola-svn54328-69.fc39.no 578 kB/s | 40 kB 00:00 (3298/3616): texlive-ot-tableau-svn59318-69.fc3 339 kB/s | 17 kB 00:00 (3299/3616): texlive-oswald-svn60784-69.fc39.no 13 MB/s | 1.0 MB 00:00 (3300/3616): texlive-oubraces-svn21833.0-69.fc3 255 kB/s | 12 kB 00:00 (3301/3616): texlive-oup-authoring-template-svn 6.0 MB/s | 349 kB 00:00 (3302/3616): texlive-overarrows-svn65853-69.fc3 9.0 MB/s | 550 kB 00:00 (3303/3616): texlive-overlock-svn64495-69.fc39. 9.2 MB/s | 684 kB 00:00 (3304/3616): texlive-pacioli-svn24947.0-69.fc39 551 kB/s | 31 kB 00:00 (3305/3616): texlive-pagella-otf-svn64705-69.fc 4.8 MB/s | 305 kB 00:00 (3306/3616): texlive-pascaltriangle-svn61774-69 2.5 MB/s | 180 kB 00:00 (3307/3616): texlive-perception-svn48861-69.fc3 359 kB/s | 20 kB 00:00 (3308/3616): texlive-perfectcut-svn54080-69.fc3 381 kB/s | 22 kB 00:00 (3309/3616): texlive-pfdicons-svn60089-69.fc39. 10 MB/s | 959 kB 00:00 (3310/3616): texlive-phaistos-svn18651.1.0-69.f 2.2 MB/s | 196 kB 00:00 (3311/3616): texlive-noto-svn64351-69.fc39.noar 14 MB/s | 28 MB 00:01 (3312/3616): texlive-philosophersimprint-svn569 412 kB/s | 19 kB 00:00 (3313/3616): texlive-phonetic-svn56468-69.fc39. 899 kB/s | 48 kB 00:00 (3314/3616): texlive-physics-svn28590.1.3-69.fc 424 kB/s | 20 kB 00:00 (3315/3616): texlive-physconst-svn58727-69.fc39 4.3 MB/s | 226 kB 00:00 (3316/3616): texlive-physics2-svn66115-69.fc39. 3.5 MB/s | 217 kB 00:00 (3317/3616): texlive-physunits-svn58728-69.fc39 2.3 MB/s | 120 kB 00:00 (3318/3616): texlive-pigpen-svn15878.0.2-69.fc3 378 kB/s | 23 kB 00:00 (3319/3616): texlive-pinoutikz-svn55966-69.fc39 1.6 MB/s | 93 kB 00:00 (3320/3616): texlive-pittetd-svn15878.1.618-69. 433 kB/s | 23 kB 00:00 (3321/3616): texlive-pkuthss-svn64869-69.fc39.n 551 kB/s | 30 kB 00:00 (3322/3616): texlive-plainyr-svn52783-69.fc39.n 302 kB/s | 15 kB 00:00 (3323/3616): texlive-platex-svn66186-69.fc39.no 1.2 MB/s | 69 kB 00:00 (3324/3616): texlive-platex-tools-svn66185-69.f 9.1 MB/s | 589 kB 00:00 (3325/3616): texlive-plex-otf-svn47562-69.fc39. 9.1 MB/s | 556 kB 00:00 (3326/3616): texlive-playfair-svn64857-69.fc39. 20 MB/s | 1.8 MB 00:00 (3327/3616): texlive-plimsoll-svn56605-69.fc39. 3.7 MB/s | 231 kB 00:00 (3328/3616): texlive-pm-isomath-svn60368-69.fc3 9.5 MB/s | 681 kB 00:00 (3329/3616): texlive-pnas2009-svn16287.1.0-69.f 274 kB/s | 15 kB 00:00 (3330/3616): texlive-poiretone-svn64856-69.fc39 3.1 MB/s | 218 kB 00:00 (3331/3616): texlive-polexpr-svn63337-69.fc39.n 3.0 MB/s | 177 kB 00:00 (3332/3616): texlive-powerdot-fuberlin-svn52922 3.3 MB/s | 291 kB 00:00 (3333/3616): texlive-plex-svn64496-69.fc39.noar 26 MB/s | 11 MB 00:00 (3334/3616): texlive-powerdot-tuliplab-svn47963 10 MB/s | 1.3 MB 00:00 (3335/3616): texlive-poltawski-svn20075.1.101-6 29 MB/s | 7.0 MB 00:00 (3336/3616): texlive-pracjourn-svn61719-69.fc39 342 kB/s | 20 kB 00:00 (3337/3616): texlive-prftree-svn54080-69.fc39.n 426 kB/s | 27 kB 00:00 (3338/3616): texlive-principia-svn58927-69.fc39 4.1 MB/s | 280 kB 00:00 (3339/3616): texlive-proba-svn15878.0-69.fc39.n 336 kB/s | 16 kB 00:00 (3340/3616): texlive-prociagssymp-svn63242-69.f 353 kB/s | 17 kB 00:00 (3341/3616): texlive-prodint-svn21893.0-69.fc39 330 kB/s | 16 kB 00:00 (3342/3616): texlive-prooftrees-svn52221-69.fc3 455 kB/s | 27 kB 00:00 (3343/3616): texlive-proposal-svn40538-69.fc39. 529 kB/s | 26 kB 00:00 (3344/3616): texlive-proof-at-the-end-svn64188- 6.2 MB/s | 473 kB 00:00 (3345/3616): texlive-prtec-svn51919-69.fc39.noa 4.8 MB/s | 373 kB 00:00 (3346/3616): texlive-pseudocode-svn54080-69.fc3 204 kB/s | 16 kB 00:00 (3347/3616): texlive-pseudo-svn66638-69.fc39.no 10 MB/s | 1.0 MB 00:00 (3348/3616): texlive-ptex-base-svn64072-69.fc39 354 kB/s | 23 kB 00:00 (3349/3616): texlive-ptex-fonts-svn64330-69.fc3 1.2 MB/s | 67 kB 00:00 (3350/3616): texlive-ptex-svn66186-77.fc39.x86_ 8.7 MB/s | 629 kB 00:00 (3351/3616): texlive-punk-latex-svn27389.1.1-69 360 kB/s | 17 kB 00:00 (3352/3616): texlive-ptptex-svn19440.0.91-69.fc 489 kB/s | 24 kB 00:00 (3353/3616): texlive-punk-svn27388.0-69.fc39.no 499 kB/s | 24 kB 00:00 (3354/3616): texlive-pxtxalfa-svn60847-69.fc39. 584 kB/s | 33 kB 00:00 (3355/3616): texlive-punknova-svn24649.1.003-69 13 MB/s | 893 kB 00:00 (3356/3616): texlive-pythonhighlight-svn43191-6 307 kB/s | 15 kB 00:00 (3357/3616): texlive-qsharp-svn49722-69.fc39.no 366 kB/s | 19 kB 00:00 (3358/3616): texlive-qrbill-svn66301-69.fc39.no 1.9 MB/s | 125 kB 00:00 (3359/3616): texlive-qualitype-svn54512-69.fc39 20 MB/s | 2.5 MB 00:00 (3360/3616): texlive-quattrocento-svn64372-69.f 9.4 MB/s | 822 kB 00:00 (3361/3616): texlive-quantumarticle-svn65242-69 14 MB/s | 1.3 MB 00:00 (3362/3616): texlive-rbt-mathnotes-svn61193-69. 2.6 MB/s | 234 kB 00:00 (3363/3616): texlive-rank-2-roots-svn61719-69.f 2.8 MB/s | 410 kB 00:00 (3364/3616): texlive-raleway-svn42629-69.fc39.n 17 MB/s | 2.6 MB 00:00 (3365/3616): texlive-rec-thy-svn63982-69.fc39.n 428 kB/s | 27 kB 00:00 (3366/3616): texlive-recycle-svn15878.0-69.fc39 538 kB/s | 26 kB 00:00 (3367/3616): texlive-resphilosophica-svn50935-6 513 kB/s | 29 kB 00:00 (3368/3616): texlive-resolsysteme-svn66192-69.f 7.7 MB/s | 486 kB 00:00 (3369/3616): texlive-rest-api-svn57068-69.fc39. 3.0 MB/s | 158 kB 00:00 (3370/3616): texlive-resumecls-svn54815-69.fc39 392 kB/s | 18 kB 00:00 (3371/3616): texlive-revquantum-svn43505-69.fc3 4.0 MB/s | 266 kB 00:00 (3372/3616): texlive-revtex-svn56591-69.fc39.no 1.6 MB/s | 93 kB 00:00 (3373/3616): texlive-revtex4-svn56589-69.fc39.n 1.0 MB/s | 59 kB 00:00 (3374/3616): texlive-ribbonproofs-svn31137.1.0- 576 kB/s | 28 kB 00:00 (3375/3616): texlive-rmathbr-svn57173-69.fc39.n 563 kB/s | 26 kB 00:00 (3376/3616): texlive-revtex4-1-svn56590-69.fc39 38 MB/s | 5.3 MB 00:00 (3377/3616): texlive-romande-svn19537.1.008_v7_ 7.4 MB/s | 519 kB 00:00 (3378/3616): texlive-rsc-svn41923-69.fc39.noarc 347 kB/s | 23 kB 00:00 (3379/3616): texlive-rsfso-svn60849-69.fc39.noa 337 kB/s | 20 kB 00:00 (3380/3616): texlive-rosario-svn51688-69.fc39.n 8.4 MB/s | 1.6 MB 00:00 (3381/3616): texlive-ryersonsgsthesis-svn50119- 1.2 MB/s | 82 kB 00:00 (3382/3616): texlive-rutitlepage-svn62143-69.fc 15 MB/s | 2.7 MB 00:00 (3383/3616): texlive-sageep-svn15878.1.0-69.fc3 358 kB/s | 22 kB 00:00 (3384/3616): texlive-sankey-svn61874-69.fc39.no 11 MB/s | 973 kB 00:00 (3385/3616): texlive-sansmathfonts-svn64661-69. 18 MB/s | 4.2 MB 00:00 (3386/3616): texlive-sapthesis-svn63810-69.fc39 717 kB/s | 47 kB 00:00 (3387/3616): texlive-roboto-svn64350-69.fc39.no 29 MB/s | 27 MB 00:00 (3388/3616): texlive-sasnrdisplay-svn63255-69.f 405 kB/s | 24 kB 00:00 (3389/3616): texlive-sauter-svn13293.2.4-69.fc3 908 kB/s | 41 kB 00:00 (3390/3616): texlive-sauterfonts-svn15878.0-69. 603 kB/s | 30 kB 00:00 (3391/3616): texlive-ryethesis-svn33945.1.36-69 31 kB/s | 21 kB 00:00 (3392/3616): texlive-schola-otf-svn64734-69.fc3 3.9 MB/s | 490 kB 00:00 (3393/3616): texlive-scholax-svn61836-69.fc39.n 16 MB/s | 2.4 MB 00:00 (3394/3616): texlive-schulschriften-svn59388-69 1.6 MB/s | 109 kB 00:00 (3395/3616): texlive-scientific-thesis-cover-sv 1.8 MB/s | 149 kB 00:00 (3396/3616): texlive-sciposter-svn15878.1.18-69 433 kB/s | 22 kB 00:00 (3397/3616): texlive-schule-svn60210-69.fc39.no 217 kB/s | 83 kB 00:00 (3398/3616): texlive-sclang-prettifier-svn35087 86 kB/s | 19 kB 00:00 (3399/3616): texlive-scratchx-svn44906-69.fc39. 1.5 MB/s | 440 kB 00:00 (3400/3616): texlive-scrjrnl-svn27810.0.1-69.fc 215 kB/s | 19 kB 00:00 (3401/3616): texlive-sduthesis-svn41401-69.fc39 5.7 MB/s | 1.2 MB 00:00 (3402/3616): texlive-se2thesis-svn65645-69.fc39 6.7 MB/s | 1.4 MB 00:00 (3403/3616): texlive-semaphor-svn18651.0-69.fc3 4.3 MB/s | 301 kB 00:00 (3404/3616): texlive-sesamanuel-svn36613.0.6-69 651 kB/s | 47 kB 00:00 (3405/3616): texlive-seuthesis-svn33042.2.1.2-6 488 kB/s | 32 kB 00:00 (3406/3616): texlive-seu-ml-assign-svn62933-69. 1.5 MB/s | 186 kB 00:00 (3407/3616): texlive-seuthesix-svn40088-69.fc39 655 kB/s | 32 kB 00:00 (3408/3616): texlive-sfg-svn20209.0.91-69.fc39. 331 kB/s | 20 kB 00:00 (3409/3616): texlive-shobhika-svn50555-69.fc39. 5.3 MB/s | 489 kB 00:00 (3410/3616): texlive-shortmathj-svn54407-69.fc3 3.0 MB/s | 179 kB 00:00 (3411/3616): texlive-showtags-svn20336.1.05-69. 267 kB/s | 13 kB 00:00 (3412/3616): texlive-shuffle-svn15878.1.0-69.fc 333 kB/s | 16 kB 00:00 (3413/3616): texlive-simplebnf-svn65485-69.fc39 2.9 MB/s | 163 kB 00:00 (3414/3616): texlive-shtthesis-svn62441-69.fc39 11 MB/s | 2.1 MB 00:00 (3415/3616): texlive-simpler-wick-svn39074-69.f 409 kB/s | 19 kB 00:00 (3416/3616): texlive-simpleicons-svn66617-69.fc 30 MB/s | 4.6 MB 00:00 (3417/3616): texlive-simplewick-svn15878.1.2a-6 363 kB/s | 18 kB 00:00 (3418/3616): texlive-simples-matrices-svn63802- 11 MB/s | 1.5 MB 00:00 (3419/3616): texlive-sistyle-svn59682-69.fc39.n 369 kB/s | 18 kB 00:00 (3420/3616): texlive-siunits-svn59702-69.fc39.n 513 kB/s | 23 kB 00:00 (3421/3616): texlive-skull-svn51907-69.fc39.noa 233 kB/s | 18 kB 00:00 (3422/3616): texlive-sort-by-letters-svn27128.0 422 kB/s | 23 kB 00:00 (3423/3616): texlive-smflatex-svn58910-69.fc39. 7.3 MB/s | 850 kB 00:00 (3424/3616): texlive-soton-svn16215.0.1-69.fc39 326 kB/s | 16 kB 00:00 (3425/3616): texlive-scripture-svn65493-69.fc39 694 kB/s | 910 kB 00:01 (3426/3616): texlive-sourceserifpro-svn54512-69 33 MB/s | 4.0 MB 00:00 (3427/3616): texlive-spbmark-svn64706-69.fc39.n 2.0 MB/s | 105 kB 00:00 (3428/3616): texlive-spalign-svn42225-69.fc39.n 1.3 MB/s | 137 kB 00:00 (3429/3616): texlive-sourcesanspro-svn54892-69. 21 MB/s | 5.6 MB 00:00 (3430/3616): texlive-sphdthesis-svn34374.1.0-69 322 kB/s | 18 kB 00:00 (3431/3616): texlive-spie-svn15878.3.25-69.fc39 540 kB/s | 24 kB 00:00 (3432/3616): texlive-spectral-svn64528-69.fc39. 32 MB/s | 4.1 MB 00:00 (3433/3616): texlive-splitbib-svn15878.1.17-69. 354 kB/s | 19 kB 00:00 (3434/3616): texlive-sr-vorl-svn59333-69.fc39.n 395 kB/s | 21 kB 00:00 (3435/3616): texlive-srbtiks-svn63308-69.fc39.n 15 MB/s | 933 kB 00:00 (3436/3616): texlive-stanli-svn54512-69.fc39.no 7.6 MB/s | 477 kB 00:00 (3437/3616): texlive-starfont-svn19982.1.2-69.f 3.6 MB/s | 188 kB 00:00 (3438/3616): texlive-statex-svn20306.1.6-69.fc3 370 kB/s | 19 kB 00:00 (3439/3616): texlive-srdp-mathematik-svn65293-6 1.6 MB/s | 196 kB 00:00 (3440/3616): texlive-statex2-svn23961.2.1-69.fc 403 kB/s | 19 kB 00:00 (3441/3616): texlive-statistik-svn20334.0.03-69 334 kB/s | 17 kB 00:00 (3442/3616): texlive-statistics-svn52212-69.fc3 7.4 MB/s | 445 kB 00:00 (3443/3616): texlive-statmath-svn46925-69.fc39. 4.2 MB/s | 218 kB 00:00 (3444/3616): texlive-steinmetz-svn15878.1.0-69. 343 kB/s | 15 kB 00:00 (3445/3616): texlive-stellenbosch-svn66379-69.f 12 MB/s | 726 kB 00:00 (3446/3616): texlive-staves-svn15878.0-69.fc39. 1.4 MB/s | 133 kB 00:00 (3447/3616): texlive-stepgreek-svn57074-69.fc39 8.3 MB/s | 465 kB 00:00 (3448/3616): texlive-step-svn57307-69.fc39.noar 16 MB/s | 1.5 MB 00:00 (3449/3616): texlive-stix-svn54512-69.fc39.noar 27 MB/s | 2.6 MB 00:00 (3450/3616): texlive-stix2-otf-svn58735-69.fc39 19 MB/s | 2.7 MB 00:00 (3451/3616): texlive-structmech-svn58985-69.fc3 2.6 MB/s | 154 kB 00:00 (3452/3616): texlive-stix2-type1-svn57448-69.fc 36 MB/s | 4.3 MB 00:00 (3453/3616): texlive-struktex-svn47931-69.fc39. 582 kB/s | 26 kB 00:00 (3454/3616): texlive-substances-svn40989-69.fc3 374 kB/s | 22 kB 00:00 (3455/3616): texlive-subsupscripts-svn16080.1.0 208 kB/s | 11 kB 00:00 (3456/3616): texlive-subtext-svn51273-69.fc39.n 1.1 MB/s | 55 kB 00:00 (3457/3616): texlive-suftesi-svn60991-69.fc39.n 708 kB/s | 32 kB 00:00 (3458/3616): texlive-sugconf-svn58752-69.fc39.n 348 kB/s | 17 kB 00:00 (3459/3616): texlive-susy-svn19440.0-69.fc39.no 332 kB/s | 15 kB 00:00 (3460/3616): texlive-svrsymbols-svn50019-69.fc3 1.3 MB/s | 87 kB 00:00 (3461/3616): texlive-syllogism-svn15878.1.2-69. 311 kB/s | 17 kB 00:00 (3462/3616): texlive-symbats3-svn63833-69.fc39. 4.0 MB/s | 206 kB 00:00 (3463/3616): texlive-sympytexpackage-svn57090-6 398 kB/s | 18 kB 00:00 (3464/3616): texlive-stickstoo-svn60793-69.fc39 5.8 MB/s | 3.2 MB 00:00 (3465/3616): texlive-synproof-svn15878.1.0-69.f 340 kB/s | 16 kB 00:00 (3466/3616): texlive-t-angles-svn15878.0-69.fc3 333 kB/s | 21 kB 00:00 (3467/3616): texlive-tabriz-thesis-svn51729-69. 420 kB/s | 21 kB 00:00 (3468/3616): texlive-tablor-svn31855.4.07_g-69. 431 kB/s | 27 kB 00:00 (3469/3616): texlive-tapir-svn20484.0.2-69.fc39 1.1 MB/s | 68 kB 00:00 (3470/3616): texlive-technion-thesis-template-s 1.8 MB/s | 135 kB 00:00 (3471/3616): texlive-tengwarscript-svn34594.1.3 1.4 MB/s | 72 kB 00:00 (3472/3616): texlive-tensor-svn15878.2.1-69.fc3 316 kB/s | 16 kB 00:00 (3473/3616): texlive-tensind-svn51481-69.fc39.n 3.4 MB/s | 225 kB 00:00 (3474/3616): texlive-tempora-svn39596-69.fc39.n 8.6 MB/s | 1.3 MB 00:00 (3475/3616): texlive-tex-ewd-svn15878.0-69.fc39 288 kB/s | 15 kB 00:00 (3476/3616): texlive-termes-otf-svn64733-69.fc3 8.0 MB/s | 469 kB 00:00 (3477/3616): texlive-texilikechaps-svn28553.1.0 177 kB/s | 16 kB 00:00 (3478/3616): texlive-texilikecover-svn15878.0.1 173 kB/s | 15 kB 00:00 (3479/3616): texlive-tex-gyre-math-svn41264-69. 9.7 MB/s | 1.4 MB 00:00 (3480/3616): texlive-textgreek-svn44192-69.fc39 292 kB/s | 17 kB 00:00 (3481/3616): texlive-textopo-svn23796.1.5-69.fc 813 kB/s | 48 kB 00:00 (3482/3616): texlive-tfrupee-svn20770.1.02-69.f 6.7 MB/s | 613 kB 00:00 (3483/3616): texlive-theanomodern-svn64520-69.f 6.6 MB/s | 476 kB 00:00 (3484/3616): texlive-theanodidot-svn64518-69.fc 6.0 MB/s | 463 kB 00:00 (3485/3616): texlive-thesis-ekf-svn60228-69.fc3 2.7 MB/s | 131 kB 00:00 (3486/3616): texlive-thermodynamics-svn63188-69 4.1 MB/s | 220 kB 00:00 (3487/3616): texlive-theanooldstyle-svn64519-69 6.8 MB/s | 571 kB 00:00 (3488/3616): texlive-thesis-gwu-svn54287-69.fc3 6.9 MB/s | 425 kB 00:00 (3489/3616): texlive-thesis-qom-svn63524-69.fc3 17 MB/s | 1.3 MB 00:00 (3490/3616): texlive-thesis-titlepage-fhac-svn1 235 kB/s | 18 kB 00:00 (3491/3616): texlive-thuaslogos-svn51347-69.fc3 10 MB/s | 789 kB 00:00 (3492/3616): texlive-thubeamer-svn61071-69.fc39 9.6 MB/s | 702 kB 00:00 (3493/3616): texlive-thucoursework-svn56435-69. 5.2 MB/s | 514 kB 00:00 (3494/3616): texlive-thuthesis-svn64628-69.fc39 1.1 MB/s | 62 kB 00:00 (3495/3616): texlive-tidyres-svn65789-69.fc39.n 3.0 MB/s | 205 kB 00:00 (3496/3616): texlive-tiscreen-svn62602-69.fc39. 2.9 MB/s | 241 kB 00:00 (3497/3616): texlive-tinos-svn64504-69.fc39.noa 19 MB/s | 3.2 MB 00:00 (3498/3616): texlive-tlc-article-svn51431-69.fc 5.5 MB/s | 444 kB 00:00 (3499/3616): texlive-topletter-svn48182-69.fc39 6.1 MB/s | 366 kB 00:00 (3500/3616): texlive-toptesi-svn56276-69.fc39.n 812 kB/s | 39 kB 00:00 (3501/3616): texlive-trajan-svn15878.1.1-69.fc3 873 kB/s | 62 kB 00:00 (3502/3616): texlive-ttfutils-svn66186-77.fc39. 3.0 MB/s | 303 kB 00:00 (3503/3616): texlive-timbreicmc-svn49740-69.fc3 2.0 MB/s | 725 kB 00:00 (3504/3616): texlive-tuda-ci-svn65254-69.fc39.n 13 MB/s | 1.2 MB 00:00 (3505/3616): texlive-tudscr-svn64085-69.fc39.no 2.9 MB/s | 198 kB 00:00 (3506/3616): texlive-tugboat-plain-svn63386-69. 659 kB/s | 44 kB 00:00 (3507/3616): texlive-tugboat-svn66513-69.fc39.n 945 kB/s | 48 kB 00:00 (3508/3616): texlive-tui-svn27253.1.9-69.fc39.n 369 kB/s | 18 kB 00:00 (3509/3616): texlive-turabian-formatting-svn585 511 kB/s | 25 kB 00:00 (3510/3616): texlive-turabian-svn36298.0.1.0-69 289 kB/s | 16 kB 00:00 (3511/3616): texlive-turnstile-svn64967-69.fc39 245 kB/s | 16 kB 00:00 (3512/3616): texlive-twemoji-colr-svn64854-69.f 5.3 MB/s | 643 kB 00:00 (3513/3616): texlive-txuprcal-svn43327-69.fc39. 2.0 MB/s | 158 kB 00:00 (3514/3616): texlive-typicons-svn37623.2.0.7-69 1.1 MB/s | 79 kB 00:00 (3515/3616): texlive-uaclasses-svn15878.0-69.fc 286 kB/s | 18 kB 00:00 (3516/3616): texlive-txfontsb-svn54512-69.fc39. 20 MB/s | 3.5 MB 00:00 (3517/3616): texlive-uafthesis-svn57349-69.fc39 412 kB/s | 21 kB 00:00 (3518/3616): texlive-ucalgmthesis-svn66602-69.f 3.2 MB/s | 281 kB 00:00 (3519/3616): texlive-ucbthesis-svn51690-69.fc39 328 kB/s | 24 kB 00:00 (3520/3616): texlive-uantwerpendocs-svn64165-69 38 MB/s | 6.3 MB 00:00 (3521/3616): texlive-ucdavisthesis-svn40772-69. 338 kB/s | 25 kB 00:00 (3522/3616): texlive-ucsmonograph-svn52698-69.f 4.6 MB/s | 436 kB 00:00 (3523/3616): texlive-ucthesis-svn15878.3.2-69.f 749 kB/s | 36 kB 00:00 (3524/3616): texlive-udes-genie-these-svn65039- 3.0 MB/s | 153 kB 00:00 (3525/3616): texlive-uestcthesis-svn36371.1.1.0 572 kB/s | 35 kB 00:00 (3526/3616): texlive-ufrgscca-svn65661-69.fc39. 7.8 MB/s | 533 kB 00:00 (3527/3616): texlive-uhhassignment-svn44026-69. 5.6 MB/s | 388 kB 00:00 (3528/3616): texlive-uiucredborder-svn29974.1.0 365 kB/s | 17 kB 00:00 (3529/3616): texlive-uiucthesis-svn15878.2.25-6 400 kB/s | 19 kB 00:00 (3530/3616): texlive-ukbill-svn65485-69.fc39.no 4.0 MB/s | 204 kB 00:00 (3531/3616): texlive-ulqda-svn26313-77.fc39.noa 3.7 MB/s | 264 kB 00:00 (3532/3616): texlive-ulthese-svn60217-69.fc39.n 1.3 MB/s | 78 kB 00:00 (3533/3616): texlive-umbclegislation-svn41348-6 411 kB/s | 24 kB 00:00 (3534/3616): texlive-umich-thesis-svn15878.1.20 417 kB/s | 20 kB 00:00 (3535/3616): texlive-umthesis-svn15878.0.2-69.f 432 kB/s | 21 kB 00:00 (3536/3616): texlive-umtypewriter-svn64443-69.f 5.9 MB/s | 379 kB 00:00 (3537/3616): texlive-unam-thesis-svn51207-69.fc 565 kB/s | 27 kB 00:00 (3538/3616): texlive-unamthesis-svn43639-69.fc3 476 kB/s | 27 kB 00:00 (3539/3616): texlive-unamth-template-doc-svn336 31 MB/s | 4.8 MB 00:00 (3540/3616): texlive-uni-wtal-ger-svn31541.0.2- 270 kB/s | 19 kB 00:00 (3541/3616): texlive-uni-wtal-lin-svn31409.0.2- 441 kB/s | 20 kB 00:00 (3542/3616): texlive-unbtex-svn64634-69.fc39.no 8.7 MB/s | 1.4 MB 00:00 (3543/3616): texlive-unifith-svn60698-69.fc39.n 12 MB/s | 990 kB 00:00 (3544/3616): texlive-unigrazpub-svn64797-69.fc3 2.9 MB/s | 149 kB 00:00 (3545/3616): texlive-unitsdef-svn15878.0.2-69.f 389 kB/s | 20 kB 00:00 (3546/3616): texlive-universa-svn51984-69.fc39. 1.2 MB/s | 61 kB 00:00 (3547/3616): texlive-unitn-bimrep-svn45581-69.f 6.9 MB/s | 817 kB 00:00 (3548/3616): texlive-universalis-svn64505-69.fc 10 MB/s | 606 kB 00:00 (3549/3616): texlive-unizgklasa-svn51647-69.fc3 931 kB/s | 50 kB 00:00 (3550/3616): texlive-unswcover-svn66115-69.fc39 324 kB/s | 19 kB 00:00 (3551/3616): texlive-uol-physics-report-svn6576 2.7 MB/s | 191 kB 00:00 (3552/3616): texlive-univie-ling-svn65651-69.fc 26 MB/s | 4.0 MB 00:00 (3553/3616): texlive-uothesis-svn25355.2.5.6-69 535 kB/s | 26 kB 00:00 (3554/3616): texlive-uowthesis-svn19700.1.0a-69 1.2 MB/s | 60 kB 00:00 (3555/3616): texlive-uowthesistitlepage-svn5451 490 kB/s | 22 kB 00:00 (3556/3616): texlive-uplatex-svn66186-69.fc39.n 695 kB/s | 37 kB 00:00 (3557/3616): texlive-uppunctlm-svn42334-69.fc39 2.4 MB/s | 188 kB 00:00 (3558/3616): texlive-uptex-base-svn65802-69.fc3 302 kB/s | 22 kB 00:00 (3559/3616): texlive-uptex-fonts-svn65657-69.fc 5.2 MB/s | 464 kB 00:00 (3560/3616): texlive-urcls-svn49903-69.fc39.noa 548 kB/s | 28 kB 00:00 (3561/3616): texlive-urlbst-svn65694-77.fc39.no 3.9 MB/s | 266 kB 00:00 (3562/3616): texlive-urwchancal-svn21701.1-69.f 383 kB/s | 17 kB 00:00 (3563/3616): texlive-usebib-svn25969.1.0a-69.fc 386 kB/s | 18 kB 00:00 (3564/3616): texlive-uptex-svn66381-77.fc39.x86 11 MB/s | 1.5 MB 00:00 (3565/3616): texlive-uspatent-svn27744.1.0-69.f 263 kB/s | 21 kB 00:00 (3566/3616): texlive-ut-thesis-svn65767-69.fc39 180 kB/s | 19 kB 00:00 (3567/3616): texlive-utexasthesis-svn48648-69.f 153 kB/s | 17 kB 00:00 (3568/3616): texlive-uwa-colours-svn60443-69.fc 1.4 MB/s | 142 kB 00:00 (3569/3616): texlive-uwa-pcf-svn64491-69.fc39.n 1.4 MB/s | 71 kB 00:00 (3570/3616): texlive-uwa-letterhead-svn64491-69 1.5 MB/s | 76 kB 00:00 (3571/3616): texlive-uwa-pif-svn64491-69.fc39.n 1.5 MB/s | 68 kB 00:00 (3572/3616): texlive-uwthesis-svn15878.6.13-69. 363 kB/s | 22 kB 00:00 (3573/3616): texlive-vak-svn23431.0-69.fc39.noa 321 kB/s | 20 kB 00:00 (3574/3616): texlive-vancouver-svn59192-69.fc39 563 kB/s | 25 kB 00:00 (3575/3616): texlive-venn-svn15878.0-69.fc39.no 259 kB/s | 16 kB 00:00 (3576/3616): texlive-verse-svn34017.2.4b-69.fc3 215 kB/s | 17 kB 00:00 (3577/3616): texlive-wasy-svn53533-69.fc39.noar 855 kB/s | 44 kB 00:00 (3578/3616): texlive-venturisadf-svn19444.1.005 31 MB/s | 4.1 MB 00:00 (3579/3616): texlive-wasy-type1-svn53534-69.fc3 5.3 MB/s | 272 kB 00:00 (3580/3616): texlive-windycity-svn61223-69.fc39 4.6 MB/s | 581 kB 00:00 (3581/3616): texlive-witharrows-svn65841-69.fc3 6.8 MB/s | 839 kB 00:00 (3582/3616): texlive-wsemclassic-svn31532.1.0.1 142 kB/s | 16 kB 00:00 (3583/3616): texlive-wsuipa-svn25469.0-69.fc39. 946 kB/s | 63 kB 00:00 (3584/3616): texlive-xcite-svn53486-69.fc39.noa 133 kB/s | 18 kB 00:00 (3585/3616): texlive-xcjk2uni-svn54958-69.fc39. 3.0 MB/s | 373 kB 00:00 (3586/3616): texlive-xcharter-svn63057-69.fc39. 8.7 MB/s | 2.7 MB 00:00 (3587/3616): texlive-xcharter-math-svn65686-69. 6.5 MB/s | 2.1 MB 00:00 (3588/3616): texlive-xduthesis-svn63116-69.fc39 1.1 MB/s | 128 kB 00:00 (3589/3616): texlive-xecjk-svn64059-69.fc39.noa 664 kB/s | 243 kB 00:00 (3590/3616): texlive-xits-svn55730-69.fc39.noar 1.9 MB/s | 601 kB 00:00 (3591/3616): texlive-xmuthesis-svn56614-69.fc39 6.1 MB/s | 488 kB 00:00 (3592/3616): texlive-xpinyin-svn66115-69.fc39.n 3.9 MB/s | 287 kB 00:00 (3593/3616): texlive-xduts-svn66472-69.fc39.noa 1.6 MB/s | 849 kB 00:00 (3594/3616): texlive-xymtex-svn32182.5.06-69.fc 1.2 MB/s | 116 kB 00:00 (3595/3616): texlive-yathesis-svn66146-69.fc39. 515 kB/s | 42 kB 00:00 (3596/3616): texlive-yb-book-svn64586-69.fc39.n 3.9 MB/s | 290 kB 00:00 (3597/3616): texlive-yfonts-otf-svn65030-69.fc3 2.7 MB/s | 229 kB 00:00 (3598/3616): texlive-yfonts-t1-svn36013-69.fc39 2.6 MB/s | 189 kB 00:00 (3599/3616): texlive-yhmath-svn54377-69.fc39.no 677 kB/s | 54 kB 00:00 (3600/3616): texlive-yinit-otf-svn40207-69.fc39 4.4 MB/s | 272 kB 00:00 (3601/3616): texlive-york-thesis-svn23348.3.6-6 445 kB/s | 21 kB 00:00 (3602/3616): texlive-youngtab-svn56500-69.fc39. 333 kB/s | 16 kB 00:00 (3603/3616): texlive-ytableau-svn59580-69.fc39. 384 kB/s | 18 kB 00:00 (3604/3616): texlive-yquant-svn65933-69.fc39.no 9.1 MB/s | 891 kB 00:00 (3605/3616): texlive-zapfchan-svn61719-69.fc39. 2.2 MB/s | 109 kB 00:00 (3606/3616): texlive-zhmetrics-uptex-svn40728-6 2.0 MB/s | 122 kB 00:00 (3607/3616): texlive-zhmetrics-svn22207.r206-69 11 MB/s | 1.6 MB 00:00 (3608/3616): texlive-zlmtt-svn64076-69.fc39.noa 554 kB/s | 27 kB 00:00 (3609/3616): texlive-zootaxa-bst-svn50619-69.fc 2.4 MB/s | 150 kB 00:00 (3610/3616): texlive-zx-calculus-svn60838-69.fc 8.8 MB/s | 641 kB 00:00 (3611/3616): tre-0.8.0-42.20140228gitc2f5d13.fc 710 kB/s | 43 kB 00:00 (3612/3616): tre-common-0.8.0-42.20140228gitc2f 633 kB/s | 31 kB 00:00 (3613/3616): texlive-yazd-thesis-svn61719-69.fc 3.3 MB/s | 2.1 MB 00:00 (3614/3616): txt2man-1.7.1-5.fc39.noarch.rpm 441 kB/s | 28 kB 00:00 (3615/3616): yosyshq-abc-0.35-1.20231108git896e 246 kB/s | 18 kB 00:00 (3616/3616): yosyshq-abc-libs-0.35-1.20231108gi 6.7 MB/s | 5.3 MB 00:00 -------------------------------------------------------------------------------- Total 26 MB/s | 1.0 GB 00:38 Running transaction check Transaction check succeeded. Running transaction test Transaction test succeeded. Running transaction Running scriptlet: texlive-base-11:20230311-77.fc39.x86_64 1/1 Running scriptlet: inkscape-1.3-7.fc40.x86_64 1/1 Preparing : 1/1 Running scriptlet: texlive-base-11:20230311-77.fc39.x86_64 1/3616 Installing : texlive-base-11:20230311-77.fc39.x86_64 1/3616 Installing : libpng-2:1.6.40-1.fc40.x86_64 2/3616 Installing : texlive-lib-11:20230311-77.fc39.x86_64 3/3616 Installing : libjpeg-turbo-2.1.4-3.fc39.x86_64 4/3616 Installing : lcms2-2.15-2.fc39.x86_64 5/3616 Installing : libwebp-1.3.2-2.fc40.x86_64 6/3616 Installing : expat-2.5.0-3.fc39.x86_64 7/3616 Installing : nspr-4.35.0-15.fc40.x86_64 8/3616 Installing : libsigc++20-2.12.1-1.fc40.x86_64 9/3616 Installing : fonts-filesystem-1:2.0.5-12.fc39.noarch 10/3616 Installing : urw-base35-fonts-common-20200910-18.fc39.noarc 11/3616 Installing : openjpeg2-2.5.0-5.fc40.x86_64 12/3616 Installing : libtool-ltdl-2.4.7-8.fc40.x86_64 13/3616 Installing : libICE-1.1.1-1.fc40.x86_64 14/3616 Installing : libSM-1.2.4-1.fc40.x86_64 15/3616 Installing : nss-util-3.94.0-2.fc40.x86_64 16/3616 Installing : libwayland-client-1.22.0-2.fc39.x86_64 17/3616 Installing : libicu-73.2-2.fc39.x86_64 18/3616 Installing : tcl-1:8.6.13-1.fc40.x86_64 19/3616 Installing : potrace-1.16-10.fc39.x86_64 20/3616 Installing : pixman-0.42.2-2.fc39.x86_64 21/3616 Installing : m4-1.4.19-7.fc40.x86_64 22/3616 Installing : libxslt-1.1.39-1.fc40.x86_64 23/3616 Installing : librevenge-0.0.5-5.fc39.x86_64 24/3616 Installing : libpaper-1:2.1.1-1.fc39.x86_64 25/3616 Installing : libogg-2:1.3.5-6.fc39.x86_64 26/3616 Installing : libmpc-1.3.1-3.fc39.x86_64 27/3616 Installing : libX11-xcb-1.8.7-1.fc40.x86_64 28/3616 Installing : jbigkit-libs-2.1-26.fc39.x86_64 29/3616 Installing : jbig2dec-libs-0.20-1.fc40.x86_64 30/3616 Installing : fribidi-1.0.13-2.fc39.x86_64 31/3616 Installing : dbus-libs-1:1.14.10-1.fc40.x86_64 32/3616 Installing : libwayland-cursor-1.22.0-2.fc39.x86_64 33/3616 Installing : jasper-libs-3.0.6-4.fc39.x86_64 34/3616 Installing : zziplib-0.13.72-5.fc39.x86_64 35/3616 Running scriptlet: xml-common-0.6.3-62.fc40.noarch 36/3616 Installing : xml-common-0.6.3-62.fc40.noarch 36/3616 Installing : iso-codes-4.15.0-2.fc39.noarch 37/3616 Installing : svt-av1-libs-1.4.1-3.fc39.x86_64 38/3616 Installing : rav1e-libs-0.6.6-3.fc40.x86_64 39/3616 Installing : mesa-libglapi-23.3.0~rc2-3.fc40.x86_64 40/3616 Installing : libxshmfence-1.3.2-1.fc40.x86_64 41/3616 Installing : libwmf-lite-0.2.13-2.fc39.x86_64 42/3616 Installing : libwayland-server-1.22.0-2.fc39.x86_64 43/3616 Installing : libwayland-egl-1.22.0-2.fc39.x86_64 44/3616 Installing : libimagequant-4.0.3-1.fc40.x86_64 45/3616 Installing : libglvnd-1:1.7.0-1.fc40.x86_64 46/3616 Installing : libgfortran-13.2.1-5.fc40.x86_64 47/3616 Installing : libepoxy-1.5.10-4.fc39.x86_64 48/3616 Installing : libdav1d-1.2.1-2.fc39.x86_64 49/3616 Installing : hunspell-filesystem-1.7.2-5.fc39.x86_64 50/3616 Installing : hunspell-en-US-0.20201207-7.fc39.noarch 51/3616 Installing : hunspell-1.7.2-5.fc39.x86_64 52/3616 Installing : hicolor-icon-theme-0.17-16.fc39.noarch 53/3616 Installing : gsl-2.7.1-5.fc39.x86_64 54/3616 Installing : giflib-5.2.1-17.fc40.x86_64 55/3616 Installing : gc-8.2.2-4.fc39.x86_64 56/3616 Installing : adobe-mappings-cmap-20230622-1.fc39.noarch 57/3616 Installing : imath-3.1.9-3.fc40.x86_64 58/3616 Installing : graphite2-1.3.14-13.fc40.x86_64 59/3616 Installing : openexr-libs-3.1.10-2.fc39.x86_64 60/3616 Installing : adobe-mappings-cmap-deprecated-20230622-1.fc39 61/3616 Installing : guile22-2.2.7-9.fc39.x86_64 62/3616 Installing : make-1:4.4.1-2.fc39.x86_64 63/3616 Installing : LibRaw-0.21.1-6.fc40.x86_64 64/3616 Installing : avahi-libs-0.8-24.fc39.x86_64 65/3616 Installing : cpp-13.2.1-5.fc40.x86_64 66/3616 Installing : libtheora-1:1.1.1-34.fc39.x86_64 67/3616 Installing : libvorbis-1:1.3.7-8.fc39.x86_64 68/3616 Installing : libwpd-0.10.3-17.fc39.x86_64 69/3616 Installing : libwpg-0.3.3-16.fc39.x86_64 70/3616 Installing : nss-softokn-freebl-3.94.0-2.fc40.x86_64 71/3616 Installing : nss-softokn-3.94.0-2.fc40.x86_64 72/3616 Installing : urw-base35-bookman-fonts-20200910-18.fc39.noar 73/3616 Running scriptlet: urw-base35-bookman-fonts-20200910-18.fc39.noar 73/3616 Installing : urw-base35-c059-fonts-20200910-18.fc39.noarch 74/3616 Running scriptlet: urw-base35-c059-fonts-20200910-18.fc39.noarch 74/3616 Installing : urw-base35-d050000l-fonts-20200910-18.fc39.noa 75/3616 Running scriptlet: urw-base35-d050000l-fonts-20200910-18.fc39.noa 75/3616 Installing : urw-base35-gothic-fonts-20200910-18.fc39.noarc 76/3616 Running scriptlet: urw-base35-gothic-fonts-20200910-18.fc39.noarc 76/3616 Installing : urw-base35-nimbus-mono-ps-fonts-20200910-18.fc 77/3616 Running scriptlet: urw-base35-nimbus-mono-ps-fonts-20200910-18.fc 77/3616 Installing : urw-base35-nimbus-roman-fonts-20200910-18.fc39 78/3616 Running scriptlet: urw-base35-nimbus-roman-fonts-20200910-18.fc39 78/3616 Installing : urw-base35-nimbus-sans-fonts-20200910-18.fc39. 79/3616 Running scriptlet: urw-base35-nimbus-sans-fonts-20200910-18.fc39. 79/3616 Installing : urw-base35-p052-fonts-20200910-18.fc39.noarch 80/3616 Running scriptlet: urw-base35-p052-fonts-20200910-18.fc39.noarch 80/3616 Installing : urw-base35-standard-symbols-ps-fonts-20200910- 81/3616 Running scriptlet: urw-base35-standard-symbols-ps-fonts-20200910- 81/3616 Installing : urw-base35-z003-fonts-20200910-18.fc39.noarch 82/3616 Running scriptlet: urw-base35-z003-fonts-20200910-18.fc39.noarch 82/3616 Installing : urw-base35-fonts-20200910-18.fc39.noarch 83/3616 Installing : abattis-cantarell-vf-fonts-0.301-10.fc39.noarc 84/3616 Installing : oldstandard-sfd-fonts-2.0.2-34.fc39.noarch 85/3616 Installing : teckit-2.5.12-1.fc40.x86_64 86/3616 Installing : zlib-devel-1.2.13-5.fc40.x86_64 87/3616 Installing : yosyshq-abc-libs-0.35-1.20231108git896e5e7.fc4 88/3616 Installing : xpdf-libs-1:4.04-10.fc39.x86_64 89/3616 Installing : xkeyboard-config-2.40-1.fc40.noarch 90/3616 Installing : libxkbcommon-1.6.0-1.fc40.x86_64 91/3616 Installing : woff2-1.0.2-18.fc39.x86_64 92/3616 Installing : tzdata-2023c-4.fc40.noarch 93/3616 Installing : tre-common-0.8.0-42.20140228gitc2f5d13.fc40.no 94/3616 Installing : tre-0.8.0-42.20140228gitc2f5d13.fc40.x86_64 95/3616 Installing : texlive-visualpstricks-doc-11:svn39799-69.fc39 96/3616 Installing : texlive-unamth-template-doc-11:svn33625.2.0-69 97/3616 Installing : texlive-uantwerpendocs-11:svn64165-69.fc39.noa 98/3616 Installing : texlive-tipfr-doc-11:svn38646-69.fc39.noarch 99/3616 Installing : texlive-texlive-common-doc-11:svn54176-69.fc39 100/3616 Installing : texlive-newcommand-doc-11:svn18704.2.0-69.fc39 101/3616 Installing : texlive-ietfbibs-doc-11:svn41332-69.fc39.noarc 102/3616 Installing : texlive-dtxgallery-doc-11:svn49504-69.fc39.noa 103/3616 Installing : texlive-doc-pictex-doc-11:svn24927.0-69.fc39.n 104/3616 Installing : texlive-anufinalexam-doc-11:svn26053.0-69.fc39 105/3616 Installing : tesseract-tessdata-doc-4.1.0-6.fc39.noarch 106/3616 Installing : ruby-libs-3.2.2-183.fc40.x86_64 107/3616 Installing : rubypick-1.1.1-19.fc39.noarch 108/3616 Installing : ruby-3.2.2-183.fc40.x86_64 109/3616 Installing : poppler-data-0.4.11-5.fc39.noarch 110/3616 Installing : orc-0.4.33-3.fc39.x86_64 111/3616 Installing : opus-1.4-1.fc40.x86_64 112/3616 Installing : openblas-0.3.24-1.fc40.x86_64 113/3616 Installing : openblas-openmp-0.3.24-1.fc40.x86_64 114/3616 Installing : nettle-3.9.1-2.fc39.x86_64 115/3616 Installing : gnutls-3.8.1-3.fc40.x86_64 116/3616 Installing : glib2-2.78.1-1.fc40.x86_64 117/3616 Installing : glibmm2.4-2.66.6-2.fc39.x86_64 118/3616 Installing : json-glib-1.8.0-1.fc40.x86_64 119/3616 Installing : shared-mime-info-2.3-1.fc40.x86_64 120/3616 Running scriptlet: shared-mime-info-2.3-1.fc40.x86_64 120/3616 Installing : gdk-pixbuf2-2.42.10-5.fc39.x86_64 121/3616 Installing : cups-libs-1:2.4.7-3.fc40.x86_64 122/3616 Installing : gtk-update-icon-cache-3.24.38-3.fc39.x86_64 123/3616 Installing : enchant2-2.6.2-1.fc40.x86_64 124/3616 Installing : gobject-introspection-1.78.1-1.fc40.x86_64 125/3616 Installing : graphene-1.10.6-6.fc39.x86_64 126/3616 Installing : libcloudproviders-0.3.5-1.fc40.x86_64 127/3616 Installing : libgee-0.20.6-3.fc39.x86_64 128/3616 Installing : libgudev-238-2.fc39.x86_64 129/3616 Installing : liblqr-1-0.4.2-22.fc39.x86_64 130/3616 Installing : libsoup3-3.4.4-1.fc40.x86_64 131/3616 Installing : netpbm-11.02.00-2.fc39.x86_64 132/3616 Installing : gts-0.7.6-46.20121130.fc39.x86_64 133/3616 Installing : ncurses-c++-libs-6.4-8.20231001.fc40.x86_64 134/3616 Installing : ncurses-devel-6.4-8.20231001.fc40.x86_64 135/3616 Installing : ncurses-6.4-8.20231001.fc40.x86_64 136/3616 Installing : mpdecimal-2.5.1-7.fc39.x86_64 137/3616 Installing : mailcap-2.1.54-2.fc39.noarch 138/3616 Installing : libzip-1.10.1-1.fc40.x86_64 139/3616 Installing : libvmaf-2.3.0-6.fc39.x86_64 140/3616 Installing : libvisual-1:0.4.1-2.fc39.x86_64 141/3616 Installing : libusb1-1.0.26-4.fc40.x86_64 142/3616 Installing : libgusb-0.4.7-1.fc40.x86_64 143/3616 Installing : colord-libs-1.4.6-6.fc40.x86_64 144/3616 Installing : libunwind-1.7.2-1.fc40.x86_64 145/3616 Installing : libstemmer-2.2.0-7.fc39.x86_64 146/3616 Installing : libtracker-sparql-3.6.0-1.fc40.x86_64 147/3616 Installing : libstdc++-devel-13.2.1-5.fc40.x86_64 148/3616 Installing : libspiro-20221101-4.fc39.x86_64 149/3616 Installing : libquadmath-13.2.1-5.fc40.x86_64 150/3616 Installing : flexiblas-netlib-3.3.1-5.fc39.x86_64 151/3616 Installing : flexiblas-openblas-openmp-3.3.1-5.fc39.x86_64 152/3616 Installing : flexiblas-3.3.1-5.fc39.x86_64 153/3616 Installing : libmarkdown-2.2.7-5.fc39.x86_64 154/3616 Installing : liblerc-4.0.0-4.fc39.x86_64 155/3616 Installing : libtiff-4.5.0-3.fc40.x86_64 156/3616 Installing : leptonica-1.83.1-2.fc39.x86_64 157/3616 Installing : tesseract-langpack-eng-4.1.0-6.fc39.noarch 158/3616 Installing : tesseract-5.3.3-1.fc40.x86_64 159/3616 Installing : libijs-0.35-19.fc39.x86_64 160/3616 Installing : libfontenc-1.1.7-1.fc40.x86_64 161/3616 Installing : libdatrie-0.2.13-7.fc39.x86_64 162/3616 Installing : libthai-0.1.29-6.fc39.x86_64 163/3616 Installing : sombok-2.4.0-20.fc39.x86_64 164/3616 Installing : libb2-0.98.1-9.fc39.x86_64 165/3616 Installing : libXau-1.0.11-4.fc40.x86_64 166/3616 Installing : libxcb-1.16-2.fc40.x86_64 167/3616 Installing : libX11-common-1.8.7-1.fc40.noarch 168/3616 Installing : libX11-1.8.7-1.fc40.x86_64 169/3616 Installing : libXext-1.3.5-4.fc40.x86_64 170/3616 Installing : libXrender-0.9.11-4.fc40.x86_64 171/3616 Installing : libXt-1.3.0-1.fc40.x86_64 172/3616 Installing : libXi-1.8.1-3.fc40.x86_64 173/3616 Installing : libXfixes-6.0.1-1.fc40.x86_64 174/3616 Installing : libXmu-1.1.4-4.fc40.x86_64 175/3616 Installing : libXpm-3.5.17-1.fc40.x86_64 176/3616 Installing : libXrandr-1.5.4-1.fc40.x86_64 177/3616 Installing : libXaw-1.0.15-1.fc40.x86_64 178/3616 Installing : libXcursor-1.2.1-5.fc40.x86_64 179/3616 Installing : libXdamage-1.1.6-1.fc40.x86_64 180/3616 Installing : libXtst-1.2.4-4.fc40.x86_64 181/3616 Installing : libXinerama-1.1.5-4.fc40.x86_64 182/3616 Installing : libXv-1.0.12-1.fc40.x86_64 183/3616 Installing : libXxf86vm-1.1.5-4.fc40.x86_64 184/3616 Installing : libXcomposite-0.4.6-1.fc40.x86_64 185/3616 Installing : xprop-1.2.5-4.fc39.x86_64 186/3616 Installing : libRmath-4.3.2-2.fc40.x86_64 187/3616 Installing : kernel-headers-6.7.0-0.rc2.git0.1.fc40.x86_64 188/3616 Installing : hwdata-0.376-1.fc40.noarch 189/3616 Installing : libpciaccess-0.16-9.fc39.x86_64 190/3616 Installing : libdrm-2.4.117-1.fc40.x86_64 191/3616 Installing : mesa-libgbm-23.3.0~rc2-3.fc40.x86_64 192/3616 Installing : libglvnd-egl-1:1.7.0-1.fc40.x86_64 193/3616 Installing : mesa-libEGL-23.3.0~rc2-3.fc40.x86_64 194/3616 Installing : libglvnd-glx-1:1.7.0-1.fc40.x86_64 195/3616 Installing : mesa-libGL-23.3.0~rc2-3.fc40.x86_64 196/3616 Installing : highway-1.0.7-1.fc40.x86_64 197/3616 Installing : libjxl-1:0.8.2-3.fc40.x86_64 198/3616 Installing : libaom-3.7.0-1.fc40.x86_64 199/3616 Installing : libavif-0.11.1-11.fc39.x86_64 200/3616 Installing : libheif-1.16.2-2.fc40.x86_64 201/3616 Installing : gumbo-parser-1:0.10.1-29.fc39.x86_64 202/3616 Running scriptlet: groff-base-1.23.0-3.fc40.x86_64 203/3616 Installing : groff-base-1.23.0-3.fc40.x86_64 203/3616 Running scriptlet: groff-base-1.23.0-3.fc40.x86_64 203/3616 Installing : perl-Digest-SHA-1:6.04-501.fc39.x86_64 204/3616 Installing : perl-Digest-1.20-500.fc39.noarch 205/3616 Installing : perl-Tie-4.6-502.fc40.noarch 206/3616 Installing : perl-GSSAPI-0.28-43.fc39.x86_64 207/3616 Installing : perl-Digest-HMAC-1.04-8.fc39.noarch 208/3616 Installing : perl-Digest-MD5-2.58-501.fc40.x86_64 209/3616 Installing : perl-Business-ISBN-Data-20231118.001-1.fc40.no 210/3616 Installing : perl-B-1.88-502.fc40.x86_64 211/3616 Installing : perl-FileHandle-2.05-502.fc40.noarch 212/3616 Installing : perl-subs-1.04-502.fc40.noarch 213/3616 Installing : perl-Authen-SASL-2.1700-1.fc40.noarch 214/3616 Installing : perl-Data-Dumper-2.188-501.fc39.x86_64 215/3616 Installing : perl-Business-ISBN-3.008-2.fc39.noarch 216/3616 Installing : perl-libnet-3.15-501.fc39.noarch 217/3616 Installing : perl-AutoLoader-5.74-502.fc40.noarch 218/3616 Installing : perl-URI-5.21-1.fc40.noarch 219/3616 Installing : perl-Text-Tabs+Wrap-2023.0511-3.fc39.noarch 220/3616 Installing : perl-Mozilla-CA-20230821-1.fc40.noarch 221/3616 Installing : perl-if-0.61.000-502.fc40.noarch 222/3616 Installing : perl-locale-1.10-502.fc40.noarch 223/3616 Installing : perl-IO-Socket-IP-0.42-1.fc39.noarch 224/3616 Installing : perl-Time-Local-2:1.350-3.fc39.noarch 225/3616 Installing : perl-File-Path-2.18-501.fc40.noarch 226/3616 Installing : perl-IO-Socket-SSL-2.084-1.fc40.noarch 227/3616 Installing : perl-Net-SSLeay-1.92-10.fc39.x86_64 228/3616 Installing : perl-Pod-Escapes-1:1.07-501.fc40.noarch 229/3616 Installing : perl-Class-Struct-0.68-502.fc40.noarch 230/3616 Installing : perl-Term-ANSIColor-5.01-501.fc39.noarch 231/3616 Installing : perl-POSIX-2.13-502.fc40.x86_64 232/3616 Installing : perl-IPC-Open3-1.22-502.fc40.noarch 233/3616 Installing : perl-File-Temp-1:0.231.100-501.fc40.noarch 234/3616 Installing : perl-HTTP-Tiny-0.088-3.fc39.noarch 235/3616 Installing : perl-Term-Cap-1.18-500.fc39.noarch 236/3616 Installing : perl-Pod-Simple-1:3.45-4.fc39.noarch 237/3616 Installing : perl-Socket-4:2.037-3.fc39.x86_64 238/3616 Installing : perl-SelectSaver-1.02-502.fc40.noarch 239/3616 Installing : perl-Symbol-1.09-502.fc40.noarch 240/3616 Installing : perl-File-stat-1.13-502.fc40.noarch 241/3616 Installing : perl-podlators-1:5.01-500.fc39.noarch 242/3616 Installing : perl-Pod-Perldoc-3.28.01-501.fc39.noarch 243/3616 Installing : perl-Fcntl-1.15-502.fc40.x86_64 244/3616 Installing : perl-Text-ParseWords-3.31-500.fc39.noarch 245/3616 Installing : perl-base-2.27-502.fc40.noarch 246/3616 Installing : perl-mro-1.28-502.fc40.x86_64 247/3616 Installing : perl-IO-1.52-502.fc40.x86_64 248/3616 Installing : perl-overloading-0.02-502.fc40.noarch 249/3616 Installing : perl-Pod-Usage-4:2.03-500.fc39.noarch 250/3616 Installing : perl-Errno-1.37-502.fc40.x86_64 251/3616 Installing : perl-File-Basename-2.86-502.fc40.noarch 252/3616 Installing : perl-Getopt-Std-1.13-502.fc40.noarch 253/3616 Installing : perl-MIME-Base64-3.16-501.fc40.x86_64 254/3616 Installing : perl-Scalar-List-Utils-5:1.63-500.fc39.x86_64 255/3616 Installing : perl-constant-1.33-501.fc39.noarch 256/3616 Installing : perl-Storable-1:3.32-500.fc39.x86_64 257/3616 Installing : perl-overload-1.37-502.fc40.noarch 258/3616 Installing : perl-parent-1:0.241-500.fc39.noarch 259/3616 Installing : perl-vars-1.05-502.fc40.noarch 260/3616 Installing : perl-Getopt-Long-1:2.57-1.fc40.noarch 261/3616 Installing : perl-Carp-1.54-500.fc39.noarch 262/3616 Installing : perl-Exporter-5.77-500.fc39.noarch 263/3616 Installing : perl-PathTools-3.89-500.fc39.x86_64 264/3616 Installing : perl-DynaLoader-1.54-502.fc40.x86_64 265/3616 Installing : perl-Encode-4:3.20-501.fc40.x86_64 266/3616 Installing : perl-libs-4:5.38.0-502.fc40.x86_64 267/3616 Installing : perl-interpreter-4:5.38.0-502.fc40.x86_64 268/3616 Installing : perl-File-Find-1.43-502.fc40.noarch 269/3616 Installing : perl-Try-Tiny-0.31-6.fc39.noarch 270/3616 Installing : perl-File-Copy-2.41-502.fc40.noarch 271/3616 Installing : perl-Module-Runtime-0.016-20.fc39.noarch 272/3616 Installing : perl-Unicode-Normalize-1.32-500.fc39.x86_64 273/3616 Installing : perl-version-8:0.99.30-1.fc40.x86_64 274/3616 Installing : perl-Dist-CheckConflicts-0.11-28.fc39.noarch 275/3616 Installing : perl-Module-Implementation-0.09-37.fc39.noarch 276/3616 Installing : perl-Time-HiRes-4:1.9775-500.fc39.x86_64 277/3616 Installing : perl-LaTeX-ToUnicode-0.54-1.fc40.noarch 278/3616 Installing : perl-Compress-Raw-Zlib-2.206-1.fc39.x86_64 279/3616 Installing : perl-LWP-MediaTypes-6.04-15.fc39.noarch 280/3616 Installing : perl-Params-Util-1.102-12.fc39.x86_64 281/3616 Installing : perl-Sys-Hostname-1.25-502.fc40.x86_64 282/3616 Installing : perl-TimeDate-1:2.33-11.fc39.noarch 283/3616 Installing : perl-HTTP-Date-6.06-2.fc39.noarch 284/3616 Installing : perl-BibTeX-Parser-1.04-1.fc40.noarch 285/3616 Installing : perl-Date-Manip-6.92-2.fc39.noarch 286/3616 Installing : perl-Carp-Clan-6.08-16.fc40.noarch 287/3616 Installing : perl-Clone-0.46-4.fc39.x86_64 288/3616 Installing : perl-Data-Dump-1.25-8.fc39.noarch 289/3616 Installing : perl-Date-ISO8601-0.005-18.fc39.noarch 290/3616 Installing : perl-Devel-StackTrace-1:2.04-16.fc39.noarch 291/3616 Installing : perl-I18N-Langinfo-0.22-502.fc40.x86_64 292/3616 Installing : perl-Encode-Locale-1.05-27.fc39.noarch 293/3616 Installing : perl-Math-Complex-1.62-502.fc40.noarch 294/3616 Installing : perl-Math-BigInt-1:2.0010.01-1.fc40.noarch 295/3616 Installing : perl-Module-Load-1:0.36-501.fc40.noarch 296/3616 Installing : perl-Opcode-1.64-502.fc40.x86_64 297/3616 Installing : perl-Safe-2.44-502.fc40.noarch 298/3616 Installing : perl-Sub-Install-0.929-3.fc39.noarch 299/3616 Installing : perl-Text-Balanced-2.06-500.fc39.noarch 300/3616 Installing : perl-Parse-RecDescent-1.967015-20.fc39.noarch 301/3616 Installing : perl-Text-Unidecode-1.30-22.fc39.noarch 302/3616 Installing : perl-XML-NamespaceSupport-1.12-21.fc40.noarch 303/3616 Installing : perl-XML-SAX-Base-1.09-21.fc40.noarch 304/3616 Installing : perl-Data-OptList-0.114-2.fc39.noarch 305/3616 Installing : perl-Data-Uniqid-0.12-31.fc39.noarch 306/3616 Installing : perl-JSON-4.10-3.fc39.noarch 307/3616 Installing : perl-Bit-Vector-7.4-31.fc39.x86_64 308/3616 Installing : perl-Date-Calc-6.4-26.fc40.noarch 309/3616 Installing : perl-File-Listing-6.16-1.fc39.noarch 310/3616 Installing : perl-Mail-Sendmail-0.80-18.fc39.noarch 311/3616 Installing : perl-List-SomeUtils-0.59-3.fc39.noarch 312/3616 Installing : perl-Params-Validate-1.31-5.fc39.x86_64 313/3616 Installing : perl-Module-CoreList-1:5.20231120-1.fc40.noarc 314/3616 Installing : perl-Module-Metadata-1.000038-3.fc39.noarch 315/3616 Installing : perl-Text-BibTeX-0.89-3.fc39.x86_64 316/3616 Installing : perl-Unicode-Collate-1.31-500.fc39.x86_64 317/3616 Installing : perl-Unicode-UCD-0.78-502.fc40.noarch 318/3616 Installing : perl-Lingua-Translit-0.29-4.fc39.noarch 319/3616 Installing : perl-Pod-Html-1.34-502.fc40.noarch 320/3616 Installing : perl-Business-ISSN-1.005-8.fc39.noarch 321/3616 Installing : perl-Class-Accessor-0.51-18.fc39.noarch 322/3616 Installing : perl-Class-Data-Inheritable-0.09-6.fc39.noarch 323/3616 Installing : perl-Exception-Class-1.45-8.fc39.noarch 324/3616 Installing : perl-Class-Inspector-1.36-14.fc39.noarch 325/3616 Installing : perl-File-ShareDir-1.118-9.fc39.noarch 326/3616 Installing : perl-Class-Method-Modifiers-2.15-2.fc39.noarch 327/3616 Installing : perl-Role-Tiny-2.002004-9.fc39.noarch 328/3616 Installing : perl-Class-Singleton-1.6-9.fc39.noarch 329/3616 Installing : perl-Compress-Raw-Bzip2-2.206-1.fc39.x86_64 330/3616 Installing : perl-IO-Compress-2.206-1.fc39.noarch 331/3616 Installing : perl-Net-HTTP-6.23-2.fc39.noarch 332/3616 Installing : perl-Convert-ASN1-0.34-1.fc40.noarch 333/3616 Installing : perl-Crypt-RC4-2.02-35.fc39.noarch 334/3616 Installing : perl-Digest-MD4-1.9-36.fc39.x86_64 335/3616 Installing : perl-Digest-Perl-MD5-1.9-29.fc39.noarch 336/3616 Installing : perl-Digest-SHA1-2.13-41.fc40.x86_64 337/3616 Installing : perl-DynaLoader-Functions-0.004-2.fc39.noarch 338/3616 Installing : perl-Devel-CallChecker-0.009-3.fc39.x86_64 339/3616 Installing : perl-Params-Classify-0.015-20.fc39.x86_64 340/3616 Installing : perl-DateTime-TimeZone-SystemV-0.010-19.fc39.n 341/3616 Installing : perl-DateTime-TimeZone-Tzfile-0.011-19.fc39.no 342/3616 Installing : perl-Email-Date-Format-1.008-2.fc39.noarch 343/3616 Installing : perl-English-1.11-502.fc40.noarch 344/3616 Installing : perl-ExtUtils-MM-Utils-2:7.70-501.fc39.noarch 345/3616 Installing : perl-File-Compare-1.100.700-502.fc40.noarch 346/3616 Installing : perl-File-Slurper-0.014-3.fc39.noarch 347/3616 Installing : perl-File-Which-1.27-9.fc39.noarch 348/3616 Installing : perl-HTML-Tagset-3.20-55.fc40.noarch 349/3616 Installing : perl-Hash-Util-FieldHash-1.26-502.fc40.x86_64 350/3616 Installing : perl-I18N-LangTags-0.45-502.fc40.noarch 351/3616 Installing : perl-Locale-Maketext-1.33-501.fc39.noarch 352/3616 Installing : perl-Locale-Maketext-Simple-1:0.21-502.fc40.no 353/3616 Installing : perl-Params-Check-1:0.38-500.fc39.noarch 354/3616 Installing : perl-Module-Load-Conditional-0.74-501.fc40.noa 355/3616 Installing : perl-IPC-Cmd-2:1.04-502.fc40.noarch 356/3616 Installing : perl-IO-HTML-1.004-11.fc39.noarch 357/3616 Installing : perl-HTTP-Message-6.45-1.fc40.noarch 358/3616 Installing : perl-HTML-Parser-3.81-3.fc39.x86_64 359/3616 Installing : perl-HTTP-Negotiate-6.01-36.fc39.noarch 360/3616 Installing : perltidy-20230912-1.fc40.noarch 361/3616 Installing : perl-HTTP-Cookies-6.10-10.fc39.noarch 362/3616 Installing : perl-IO-String-1.08-48.fc39.noarch 363/3616 Installing : perl-IO-stringy-2.113-12.fc39.noarch 364/3616 Installing : perl-OLE-Storage_Lite-0.22-2.fc39.noarch 365/3616 Installing : perl-IPC-Run3-0.048-29.fc39.noarch 366/3616 Installing : perl-IPC-SysV-2.09-502.fc40.x86_64 367/3616 Installing : perl-IPC-System-Simple-1.30-11.fc39.noarch 368/3616 Installing : perl-Jcode-2.07-41.fc39.noarch 369/3616 Installing : perl-List-UtilsBy-0.12-7.fc39.noarch 370/3616 Installing : perl-List-AllUtils-0.19-10.fc39.noarch 371/3616 Installing : perl-MIME-Charset-1.013.1-3.fc39.noarch 372/3616 Installing : perl-Unicode-LineBreak-2019.001-18.fc39.x86_64 373/3616 Installing : perl-MIME-Types-2.24-3.fc39.noarch 374/3616 Installing : perl-MIME-Lite-3.033-8.fc39.noarch 375/3616 Installing : perl-MRO-Compat-0.15-7.fc39.noarch 376/3616 Installing : perl-Mail-Sender-1:0.903-22.fc39.noarch 377/3616 Installing : perl-NTLM-1.09-36.fc39.noarch 378/3616 Installing : perl-Net-SMTP-SSL-1.04-22.fc40.noarch 379/3616 Installing : perl-MailTools-2.21-14.fc39.noarch 380/3616 Installing : perl-Number-Compare-0.03-36.fc39.noarch 381/3616 Installing : perl-Package-Generator-1.106-29.fc39.noarch 382/3616 Installing : perl-Sub-Exporter-0.990-1.fc39.noarch 383/3616 Installing : perl-Sub-Exporter-Progressive-0.001013-22.fc39 384/3616 Installing : perl-Devel-GlobalDestruction-0.14-22.fc39.noar 385/3616 Installing : perl-Package-Stash-XS-0.30-5.fc39.x86_64 386/3616 Installing : perl-Package-Stash-0.40-5.fc39.noarch 387/3616 Installing : perl-PadWalker-2.5-10.fc39.x86_64 388/3616 Installing : perl-Devel-Caller-2.07-3.fc39.x86_64 389/3616 Installing : perl-Devel-LexAlias-0.05-34.fc39.x86_64 390/3616 Installing : perl-Eval-Closure-0.14-21.fc39.noarch 391/3616 Installing : perl-Params-ValidationCompiler-0.31-3.fc39.noa 392/3616 Installing : perl-Ref-Util-XS-0.117-20.fc39.x86_64 393/3616 Installing : perl-Ref-Util-0.204-18.fc39.noarch 394/3616 Installing : perl-Regexp-Common-2017060201-22.fc39.noarch 395/3616 Installing : perl-Sort-Key-1.33-28.fc39.x86_64 396/3616 Installing : perl-Sub-Identify-0.14-24.fc39.x86_64 397/3616 Installing : perl-Sys-Syslog-0.36-501.fc39.x86_64 398/3616 Installing : perl-Text-Glob-0.11-21.fc39.noarch 399/3616 Installing : perl-File-Find-Rule-0.34-26.fc39.noarch 400/3616 Installing : perl-Data-Compare-1.29-2.fc39.noarch 401/3616 Installing : perl-Text-Roman-3.5-25.fc39.noarch 402/3616 Installing : perl-Tie-Cycle-1.227-9.fc39.noarch 403/3616 Installing : perl-Business-ISMN-1.203-2.fc39.noarch 404/3616 Installing : perl-Tie-RefHash-1.40-500.fc39.noarch 405/3616 Installing : perl-autodie-2.36-501.fc39.noarch 406/3616 Installing : perl-UNIVERSAL-isa-1.20171012-17.fc39.noarch 407/3616 Installing : perl-Text-CSV_XS-1.52-1.fc40.x86_64 408/3616 Installing : perl-Text-CSV-2.03-1.fc40.noarch 409/3616 Installing : perl-Variable-Magic-0.63-4.fc39.x86_64 410/3616 Installing : perl-B-Hooks-EndOfScope-0.26-7.fc39.noarch 411/3616 Installing : perl-namespace-clean-0.27-24.fc39.noarch 412/3616 Installing : perl-namespace-autoclean-0.29-16.fc39.noarch 413/3616 Installing : perl-WWW-RobotRules-6.02-37.fc39.noarch 414/3616 Installing : perl-LWP-Protocol-https-6.11-1.fc39.noarch 415/3616 Installing : perl-libwww-perl-6.72-1.fc39.noarch 416/3616 Installing : perl-XML-Parser-2.46-16.fc40.x86_64 417/3616 Installing : perl-Unicode-Map-0.112-61.fc39.x86_64 418/3616 Installing : perl-XML-SAX-1.02-13.fc39.noarch 419/3616 Running scriptlet: perl-XML-SAX-1.02-13.fc39.noarch 419/3616 Installing : perl-XML-LibXML-1:2.0209-2.fc39.x86_64 420/3616 Running scriptlet: perl-XML-LibXML-1:2.0209-2.fc39.x86_64 420/3616 Installing : perl-XML-LibXML-Simple-1.01-12.fc39.noarch 421/3616 Installing : perl-XML-LibXSLT-2.002.001-3.fc39.x86_64 422/3616 Installing : texlive-crossrefware-11:svn64754-77.fc39.noarc 423/3616 Installing : perl-XML-Writer-0.900-12.fc39.noarch 424/3616 Installing : perl-XString-0.005-10.fc39.x86_64 425/3616 Installing : perl-Specio-0.48-4.fc39.noarch 426/3616 Installing : perl-DateTime-Locale-1.40-1.fc40.noarch 427/3616 Installing : perl-DateTime-2:1.65-1.fc40.x86_64 428/3616 Installing : perl-DateTime-TimeZone-2.60-4.fc39.noarch 429/3616 Installing : perl-Log-Dispatch-2.71-2.fc39.noarch 430/3616 Installing : perl-Log-Dispatch-FileRotate-1.38-7.fc39.noarc 431/3616 Installing : perl-DateTime-Format-Strptime-1:1.79-8.fc39.no 432/3616 Installing : perl-DateTime-Format-Builder-0.8300-11.fc39.no 433/3616 Installing : perl-DateTime-Calendar-Julian-0.107-5.fc39.noa 434/3616 Installing : perl-autouse-1.11-502.fc40.noarch 435/3616 Installing : perl-Spreadsheet-WriteExcel-2.40-28.fc39.noarc 436/3616 Installing : perl-Spreadsheet-ParseExcel-0.6500-35.fc39.x86 437/3616 Installing : perl-autovivification-0.18-20.fc39.x86_64 438/3616 Installing : perl-deprecate-0.04-502.fc40.noarch 439/3616 Installing : perl-Text-Soundex-3.05-28.fc39.x86_64 440/3616 Installing : perl-LDAP-1:0.68-11.fc39.noarch 441/3616 Installing : perl-Log-Log4perl-1.57-3.fc39.noarch 442/3616 Installing : perl-meta-notation-5.38.0-502.fc40.noarch 443/3616 Installing : perl-sigtrap-1.10-502.fc40.noarch 444/3616 Installing : biber-2.19-3.fc39.noarch 445/3616 Installing : google-noto-fonts-common-20230801-4.fc40.noarc 446/3616 Installing : google-noto-sans-vf-fonts-20230801-4.fc40.noar 447/3616 Installing : default-fonts-core-sans-4.0-9.fc40.noarch 448/3616 Installing : cairo-1.18.0-1.fc40.x86_64 449/3616 Installing : harfbuzz-8.3.0-2.fc40.x86_64 450/3616 Installing : freetype-2.13.1-2.fc39.x86_64 451/3616 Installing : fontconfig-2.14.2-5.fc40.x86_64 452/3616 Running scriptlet: fontconfig-2.14.2-5.fc40.x86_64 452/3616 Installing : cairo-gobject-1.18.0-1.fc40.x86_64 453/3616 Installing : cairomm-1.14.5-1.fc40.x86_64 454/3616 Installing : libXft-2.3.8-4.fc40.x86_64 455/3616 Installing : pango-1.51.0-1.fc40.x86_64 456/3616 Installing : librsvg2-2.57.0-3.fc40.x86_64 457/3616 Installing : rsvg-pixbuf-loader-2.57.0-3.fc40.x86_64 458/3616 Installing : pangomm-2.46.3-3.fc39.x86_64 459/3616 Running scriptlet: tk-1:8.6.13-1.fc40.x86_64 460/3616 Installing : tk-1:8.6.13-1.fc40.x86_64 460/3616 Installing : libraqm-0.8.0-5.fc39.x86_64 461/3616 Installing : gdk-pixbuf2-modules-2.42.10-5.fc39.x86_64 462/3616 Installing : lasi-1.1.3-11.fc39.x86_64 463/3616 Installing : gd-2.3.3-12.fc39.x86_64 464/3616 Installing : mkfontscale-1.2.2-4.fc39.x86_64 465/3616 Installing : urw-base35-fonts-legacy-20200910-18.fc39.noarc 466/3616 Running scriptlet: urw-base35-fonts-legacy-20200910-18.fc39.noarc 466/3616 Installing : GraphicsMagick-1.3.40-3.fc39.x86_64 467/3616 Installing : GraphicsMagick-c++-1.3.40-3.fc39.x86_64 468/3616 Installing : mupdf-1.23.5-1.fc40.x86_64 469/3616 Installing : google-droid-sans-fonts-20200215-17.fc40.noarc 470/3616 Installing : glibc-headers-x86-2.38.9000-22.fc40.noarch 471/3616 Installing : libxcrypt-devel-4.4.36-2.fc39.x86_64 472/3616 Installing : glibc-devel-2.38.9000-22.fc40.x86_64 473/3616 Installing : gcc-13.2.1-5.fc40.x86_64 474/3616 Running scriptlet: gcc-13.2.1-5.fc40.x86_64 474/3616 Installing : perl-Filter-2:1.64-501.fc39.x86_64 475/3616 Installing : perl-encoding-4:3.00-501.fc40.x86_64 476/3616 Installing : perl-open-1.13-502.fc40.noarch 477/3616 Installing : perl-XML-XPath-1.48-4.fc39.noarch 478/3616 Installing : texlive-epstopdf-11:svn66461-77.fc39.noarch 479/3616 Installing : texlive-epstopdf-pkg-11:svn53546-69.fc39.noarc 480/3616 Installing : texlive-cm-11:svn57963-69.fc39.noarch 481/3616 Installing : texlive-etex-11:svn66203-69.fc39.noarch 482/3616 Installing : texlive-graphics-def-11:svn64487-69.fc39.noarc 483/3616 Installing : texlive-hyph-utf8-11:svn61719-69.fc39.noarch 484/3616 Installing : texlive-hyphen-base-11:svn66413-69.fc39.noarch 485/3616 Installing : texlive-knuth-lib-11:svn57963-69.fc39.noarch 486/3616 Installing : texlive-plain-11:svn57963-69.fc39.noarch 487/3616 Installing : texlive-tex-ini-files-11:svn40533-69.fc39.noar 488/3616 Installing : texlive-unicode-data-11:svn64423-69.fc39.noarc 489/3616 Installing : texlive-gsftopk-11:svn52851-77.fc39.x86_64 490/3616 Installing : texlive-luatex-11:svn66967-77.fc39.x86_64 491/3616 Installing : texlive-texlive.infra-11:svn66512-77.fc39.noar 492/3616 Installing : texlive-texlive-scripts-11:svn66584-77.fc39.no 493/3616 Installing : texlive-kpathsea-11:svn66209-77.fc39.x86_64 494/3616 Installing : texlive-latex-base-dev-11:svn66513-69.fc39.noa 495/3616 Installing : texlive-iftex-11:svn61910-69.fc39.noarch 496/3616 Installing : texlive-amsmath-11:svn63514-69.fc39.noarch 497/3616 Installing : texlive-amsfonts-11:svn61937-69.fc39.noarch 498/3616 Installing : texlive-kvoptions-11:svn63622-69.fc39.noarch 499/3616 Installing : texlive-fancyhdr-11:svn64977-69.fc39.noarch 500/3616 Installing : texlive-babel-11:svn65823-69.fc39.noarch 501/3616 Installing : texlive-url-11:svn32528.3.4-69.fc39.noarch 502/3616 Installing : texlive-setspace-11:svn65206-69.fc39.noarch 503/3616 Installing : texlive-xstring-11:svn65551-69.fc39.noarch 504/3616 Installing : texlive-booktabs-11:svn53402-69.fc39.noarch 505/3616 Installing : texlive-enumitem-11:svn51423-69.fc39.noarch 506/3616 Installing : texlive-fontaxes-11:svn55920-69.fc39.noarch 507/3616 Installing : texlive-natbib-11:svn20668.8.31b-69.fc39.noarc 508/3616 Installing : texlive-float-11:svn15878.1.3d-69.fc39.noarch 509/3616 Installing : texlive-ragged2e-11:svn66152-69.fc39.noarch 510/3616 Installing : texlive-titlesec-11:svn59845-69.fc39.noarch 511/3616 Installing : texlive-etex-pkg-11:svn41784-69.fc39.noarch 512/3616 Installing : texlive-etoolbox-11:svn56554-69.fc39.noarch 513/3616 Installing : texlive-fp-11:svn49719-69.fc39.noarch 514/3616 Installing : texlive-pdftexcmds-11:svn55777-69.fc39.noarch 515/3616 Installing : texlive-mweights-11:svn53520-69.fc39.noarch 516/3616 Installing : texlive-lastpage-11:svn66461-69.fc39.noarch 517/3616 Installing : texlive-amscls-11:svn55378-69.fc39.noarch 518/3616 Installing : texlive-ltxcmds-11:svn56421-69.fc39.noarch 519/3616 Installing : texlive-atbegshi-11:svn53051-69.fc39.noarch 520/3616 Installing : texlive-lm-11:svn65956-69.fc39.noarch 521/3616 Installing : texlive-ulem-11:svn53365-69.fc39.noarch 522/3616 Installing : texlive-relsize-11:svn30707.4.1-69.fc39.noarch 523/3616 Installing : texlive-zref-11:svn62977-69.fc39.noarch 524/3616 Installing : texlive-bookmark-11:svn56885-69.fc39.noarch 525/3616 Installing : texlive-footmisc-11:svn62524-69.fc39.noarch 526/3616 Installing : texlive-marginnote-11:svn48383-69.fc39.noarch 527/3616 Installing : texlive-paralist-11:svn43021-69.fc39.noarch 528/3616 Installing : texlive-tocloft-11:svn53364-69.fc39.noarch 529/3616 Installing : texlive-atveryend-11:svn53108-69.fc39.noarch 530/3616 Installing : texlive-soul-11:svn65908-69.fc39.noarch 531/3616 Installing : texlive-fancybox-11:svn18304.1.4-69.fc39.noarc 532/3616 Installing : texlive-letltxmacro-11:svn53022-69.fc39.noarch 533/3616 Installing : texlive-framed-11:svn26789.0.96-69.fc39.noarch 534/3616 Installing : texlive-textcase-11:svn63868-69.fc39.noarch 535/3616 Installing : texlive-changepage-11:svn15878.1.0c-69.fc39.no 536/3616 Installing : texlive-marvosym-11:svn29349.2.2a-69.fc39.noar 537/3616 Installing : texlive-multido-11:svn18302.1.42-69.fc39.noarc 538/3616 Installing : texlive-ifmtarg-11:svn47544-69.fc39.noarch 539/3616 Installing : texlive-multirow-11:svn58396-69.fc39.noarch 540/3616 Installing : texlive-wrapfig-11:svn61719-69.fc39.noarch 541/3616 Installing : texlive-units-11:svn42428-69.fc39.noarch 542/3616 Installing : texlive-alphalph-11:svn53087-69.fc39.noarch 543/3616 Installing : texlive-cite-11:svn36428.5.5-69.fc39.noarch 544/3616 Installing : texlive-comment-11:svn41927-69.fc39.noarch 545/3616 Installing : texlive-eurosym-11:svn17265.1.4_subrfix-69.fc3 546/3616 Installing : texlive-everyshi-11:svn57001-69.fc39.noarch 547/3616 Installing : texlive-infwarerr-11:svn53023-69.fc39.noarch 548/3616 Installing : texlive-kvsetkeys-11:svn64632-69.fc39.noarch 549/3616 Installing : texlive-needspace-11:svn29601.1.3d-69.fc39.noa 550/3616 Installing : texlive-tocbibind-11:svn20085.1.5k-69.fc39.noa 551/3616 Installing : texlive-txfonts-11:svn15878.0-69.fc39.noarch 552/3616 Installing : texlive-varwidth-11:svn24104.0.92-69.fc39.noar 553/3616 Installing : texlive-catoptions-11:svn35069.0.2.7h-69.fc39. 554/3616 Installing : texlive-forloop-11:svn15878.3.0-69.fc39.noarch 555/3616 Installing : texlive-nfssext-cfr-11:svn43640-69.fc39.noarch 556/3616 Installing : texlive-everypage-11:svn56694-69.fc39.noarch 557/3616 Installing : texlive-parskip-11:svn58358-69.fc39.noarch 558/3616 Installing : texlive-tex-gyre-11:svn65956-69.fc39.noarch 559/3616 Installing : texlive-cleveref-11:svn61719-69.fc39.noarch 560/3616 Installing : texlive-cmap-11:svn57640-69.fc39.noarch 561/3616 Installing : texlive-filecontents-11:svn52142-69.fc39.noarc 562/3616 Installing : texlive-hologo-11:svn61719-69.fc39.noarch 563/3616 Installing : texlive-etextools-11:svn20694.3.1415926-69.fc3 564/3616 Installing : texlive-ntheorem-11:svn27609.1.33-69.fc39.noar 565/3616 Installing : texlive-slantsc-11:svn25007.2.11-69.fc39.noarc 566/3616 Installing : texlive-auxhook-11:svn53173-69.fc39.noarch 567/3616 Installing : texlive-eepic-11:svn15878.1.1e-69.fc39.noarch 568/3616 Installing : texlive-etexcmds-11:svn53171-69.fc39.noarch 569/3616 Installing : texlive-catchfile-11:svn53084-69.fc39.noarch 570/3616 Installing : texlive-ifplatform-11:svn45533-69.fc39.noarch 571/3616 Installing : texlive-hypdoc-11:svn65678-69.fc39.noarch 572/3616 Installing : texlive-ifoddpage-11:svn64967-69.fc39.noarch 573/3616 Installing : texlive-pdfescape-11:svn53082-69.fc39.noarch 574/3616 Installing : texlive-picture-11:svn54867-69.fc39.noarch 575/3616 Installing : texlive-placeins-11:svn19848.2.2-69.fc39.noarc 576/3616 Installing : texlive-refcount-11:svn53164-69.fc39.noarch 577/3616 Installing : texlive-subfigure-11:svn15878.2.1.5-69.fc39.no 578/3616 Installing : texlive-svn-prov-11:svn64967-69.fc39.noarch 579/3616 Installing : texlive-transparent-11:svn64852-69.fc39.noarch 580/3616 Installing : texlive-trimspaces-11:svn15878.1.1-69.fc39.noa 581/3616 Installing : texlive-environ-11:svn56615-69.fc39.noarch 582/3616 Installing : texlive-fontawesome-11:svn48145-69.fc39.noarch 583/3616 Installing : texlive-accsupp-11:svn53052-69.fc39.noarch 584/3616 Installing : texlive-anyfontsize-11:svn17050.0-69.fc39.noar 585/3616 Installing : texlive-boxedminipage-11:svn54827-69.fc39.noar 586/3616 Installing : texlive-intcalc-11:svn53168-69.fc39.noarch 587/3616 Installing : texlive-latex-fonts-11:svn28888.0-69.fc39.noar 588/3616 Installing : texlive-mdwtools-11:svn15878.1.05.4-69.fc39.no 589/3616 Installing : texlive-pxfonts-11:svn15878.0-69.fc39.noarch 590/3616 Installing : texlive-everyhook-11:svn35675.1.2-69.fc39.noar 591/3616 Installing : texlive-mfirstuc-11:svn64743-69.fc39.noarch 592/3616 Installing : texlive-nowidow-11:svn24066.1.0-69.fc39.noarch 593/3616 Installing : texlive-fourier-11:svn61937-69.fc39.noarch 594/3616 Installing : texlive-tipa-11:svn29349.1.3-69.fc39.noarch 595/3616 Installing : texlive-upquote-11:svn26059.v1.3-69.fc39.noarc 596/3616 Installing : texlive-atenddvi-11:svn56922-69.fc39.noarch 597/3616 Installing : texlive-bbding-11:svn17186.1.01-69.fc39.noarch 598/3616 Installing : texlive-cancel-11:svn32508.2.2-69.fc39.noarch 599/3616 Installing : texlive-embedfile-11:svn65528-69.fc39.noarch 600/3616 Installing : texlive-endnotes-11:svn53319-69.fc39.noarch 601/3616 Installing : texlive-hyphenat-11:svn15878.2.3c-69.fc39.noar 602/3616 Installing : texlive-index-11:svn24099.4.1beta-69.fc39.noar 603/3616 Installing : texlive-l3backend-11:svn65573-69.fc39.noarch 604/3616 Installing : texlive-nomencl-11:svn61029-69.fc39.noarch 605/3616 Installing : texlive-preprint-11:svn30447.2011-69.fc39.noar 606/3616 Installing : texlive-sectsty-11:svn15878.2.0.2-69.fc39.noar 607/3616 Installing : texlive-silence-11:svn27028.1.5b-69.fc39.noarc 608/3616 Installing : texlive-stmaryrd-11:svn22027.0-69.fc39.noarch 609/3616 Installing : texlive-substr-11:svn16117.1.2-69.fc39.noarch 610/3616 Installing : texlive-truncate-11:svn18921.3.6-69.fc39.noarc 611/3616 Installing : texlive-ltxkeys-11:svn28332.0.0.3c-69.fc39.noa 612/3616 Installing : texlive-filemod-11:svn64967-69.fc39.noarch 613/3616 Installing : texlive-ltxnew-11:svn21586.1.3-69.fc39.noarch 614/3616 Installing : texlive-babelbib-11:svn57349-69.fc39.noarch 615/3616 Installing : texlive-mnsymbol-11:svn18651.1.4-69.fc39.noarc 616/3616 Installing : texlive-kpfonts-11:svn65583-69.fc39.noarch 617/3616 Installing : texlive-onlyamsmath-11:svn42927-69.fc39.noarch 618/3616 Installing : texlive-xmpincl-11:svn60593-69.fc39.noarch 619/3616 Installing : texlive-ae-11:svn15878.1.4-69.fc39.noarch 620/3616 Installing : texlive-algorithmicx-11:svn15878.0-69.fc39.noa 621/3616 Installing : texlive-tocvsec2-11:svn33146.1.3a-69.fc39.noar 622/3616 Installing : texlive-bigintcalc-11:svn53172-69.fc39.noarch 623/3616 Installing : texlive-chngcntr-11:svn47577-69.fc39.noarch 624/3616 Installing : texlive-collectbox-11:svn64967-69.fc39.noarch 625/3616 Installing : texlive-doublestroke-11:svn15878.1.111-69.fc39 626/3616 Installing : texlive-esvect-11:svn32098.1.3-69.fc39.noarch 627/3616 Installing : texlive-firstaid-11:svn64892-69.fc39.noarch 628/3616 Installing : texlive-gensymb-11:svn64740-69.fc39.noarch 629/3616 Installing : texlive-gettitlestring-11:svn53170-69.fc39.noa 630/3616 Installing : texlive-import-11:svn54683-69.fc39.noarch 631/3616 Installing : texlive-kastrup-11:svn15878.0-69.fc39.noarch 632/3616 Installing : texlive-lipsum-11:svn60561-69.fc39.noarch 633/3616 Installing : texlive-makecmds-11:svn15878.0-69.fc39.noarch 634/3616 Installing : texlive-pdflscape-11:svn64851-69.fc39.noarch 635/3616 Installing : texlive-precattl-11:svn63967-69.fc39.noarch 636/3616 Installing : texlive-stringenc-11:svn52982-69.fc39.noarch 637/3616 Installing : texlive-threeparttable-11:svn17383.0-69.fc39.n 638/3616 Installing : texlive-titling-11:svn15878.2.1d-69.fc39.noarc 639/3616 Installing : texlive-type1cm-11:svn21820.0-69.fc39.noarch 640/3616 Installing : texlive-vmargin-11:svn15878.2.5-69.fc39.noarch 641/3616 Installing : texlive-was-11:svn64691-69.fc39.noarch 642/3616 Installing : texlive-wasysym-11:svn54080-69.fc39.noarch 643/3616 Installing : texlive-xfor-11:svn15878.1.05-69.fc39.noarch 644/3616 Installing : texlive-dvips-11:svn66203-77.fc39.x86_64 645/3616 Installing : texlive-linegoal-11:svn21523.2.9-69.fc39.noarc 646/3616 Installing : texlive-noindentafter-11:svn59195-69.fc39.noar 647/3616 Installing : texlive-quoting-11:svn32818.v0.1c-69.fc39.noar 648/3616 Installing : texlive-memoir-11:svn65040-69.fc39.noarch 649/3616 Installing : texlive-dox-11:svn46011-69.fc39.noarch 650/3616 Installing : texlive-morefloats-11:svn37927.1.0h-69.fc39.no 651/3616 Installing : texlive-coolstr-11:svn15878.2.2-69.fc39.noarch 652/3616 Installing : texlive-coollist-11:svn63523-69.fc39.noarch 653/3616 Installing : texlive-siunits-11:svn59702-69.fc39.noarch 654/3616 Installing : texlive-bguq-11:svn27401.0.4-69.fc39.noarch 655/3616 Installing : texlive-cm-super-11:svn15878.0-69.fc39.noarch 656/3616 Installing : texlive-fifo-stack-11:svn33288.1.0-69.fc39.noa 657/3616 Installing : texlive-arrayjobx-11:svn18125.1.04-69.fc39.noa 658/3616 Installing : texlive-beton-11:svn15878.0-69.fc39.noarch 659/3616 Installing : texlive-cmbright-11:svn21107.8.1-69.fc39.noarc 660/3616 Installing : texlive-draftcopy-11:svn15878.2.16-69.fc39.noa 661/3616 Installing : texlive-emptypage-11:svn18064.1.2-69.fc39.noar 662/3616 Installing : texlive-epigraph-11:svn54857-69.fc39.noarch 663/3616 Installing : texlive-esint-11:svn52240-69.fc39.noarch 664/3616 Installing : texlive-euler-11:svn42428-69.fc39.noarch 665/3616 Installing : texlive-eulervm-11:svn15878.4.0-69.fc39.noarch 666/3616 Installing : texlive-everysel-11:svn57489-69.fc39.noarch 667/3616 Installing : texlive-filecontentsdef-11:svn52208-69.fc39.no 668/3616 Installing : texlive-fileinfo-11:svn28421.0.81a-69.fc39.noa 669/3616 Installing : texlive-fixmath-11:svn64648-69.fc39.noarch 670/3616 Installing : texlive-isomath-11:svn27654.0.6.1-69.fc39.noar 671/3616 Installing : texlive-fltpoint-11:svn56594-69.fc39.noarch 672/3616 Installing : texlive-fundus-calligra-11:svn26018.1.2-69.fc3 673/3616 Installing : texlive-graphics-cfg-11:svn41448-69.fc39.noarc 674/3616 Installing : texlive-graphics-11:svn66204-69.fc39.noarch 675/3616 Installing : texlive-tools-11:svn64892-69.fc39.noarch 676/3616 Installing : texlive-xkeyval-11:svn63616-69.fc39.noarch 677/3616 Installing : texlive-geometry-11:svn61719-69.fc39.noarch 678/3616 Installing : texlive-caption-11:svn66580-69.fc39.noarch 679/3616 Installing : texlive-microtype-11:svn66587-69.fc39.noarch 680/3616 Installing : texlive-xifthen-11:svn38929-69.fc39.noarch 681/3616 Installing : texlive-csquotes-11:svn64389-69.fc39.noarch 682/3616 Installing : texlive-mathtools-11:svn63767-69.fc39.noarch 683/3616 Installing : texlive-colortbl-11:svn64015-69.fc39.noarch 684/3616 Installing : texlive-xargs-11:svn15878.1.1-69.fc39.noarch 685/3616 Installing : texlive-textpos-11:svn63967-69.fc39.noarch 686/3616 Installing : texlive-carlisle-11:svn59577-69.fc39.noarch 687/3616 Installing : texlive-numprint-11:svn27498.1.39-69.fc39.noar 688/3616 Installing : texlive-bera-11:svn20031.0-69.fc39.noarch 689/3616 Installing : texlive-pict2e-11:svn56504-69.fc39.noarch 690/3616 Installing : texlive-draftwatermark-11:svn57099-69.fc39.noa 691/3616 Installing : texlive-subfig-11:svn15878.1.3-69.fc39.noarch 692/3616 Installing : texlive-bigfoot-11:svn38248.2.1-69.fc39.noarch 693/3616 Installing : texlive-ncctools-11:svn51810-69.fc39.noarch 694/3616 Installing : texlive-xunicode-11:svn30466.0.981-69.fc39.noa 695/3616 Installing : texlive-endfloat-11:svn57090-69.fc39.noarch 696/3616 Installing : texlive-translator-11:svn59412-69.fc39.noarch 697/3616 Installing : texlive-breakurl-11:svn29901.1.40-69.fc39.noar 698/3616 Installing : texlive-fmtcount-11:svn53912-69.fc39.noarch 699/3616 Installing : texlive-datetime-11:svn36650.2.60-69.fc39.noar 700/3616 Installing : texlive-moreverb-11:svn22126.2.3a-69.fc39.noar 701/3616 Installing : texlive-pbox-11:svn24807.1.2-69.fc39.noarch 702/3616 Installing : texlive-psfrag-11:svn15878.3.04-69.fc39.noarch 703/3616 Installing : texlive-sauerj-11:svn15878.0-69.fc39.noarch 704/3616 Installing : texlive-xypic-11:svn61719-69.fc39.noarch 705/3616 Installing : texlive-ifsym-11:svn24868.0-69.fc39.noarch 706/3616 Installing : texlive-makecell-11:svn15878.0.1e-69.fc39.noar 707/3616 Installing : texlive-sttools-11:svn60736-69.fc39.noarch 708/3616 Installing : texlive-totpages-11:svn15878.2.00-69.fc39.noar 709/3616 Installing : texlive-algorithm2e-11:svn44846-69.fc39.noarch 710/3616 Installing : texlive-acronym-11:svn54758-69.fc39.noarch 711/3616 Installing : texlive-iexec-11:svn64908-69.fc39.noarch 712/3616 Installing : texlive-apacite-11:svn54080-69.fc39.noarch 713/3616 Installing : texlive-etoc-11:svn66299-69.fc39.noarch 714/3616 Installing : texlive-isodate-11:svn16613.2.28-69.fc39.noarc 715/3616 Installing : texlive-lcg-11:svn31474.1.3-69.fc39.noarch 716/3616 Installing : texlive-opensans-11:svn54512-69.fc39.noarch 717/3616 Installing : texlive-floatrow-11:svn15878.0.3b-69.fc39.noar 718/3616 Installing : texlive-auto-pst-pdf-11:svn56596-69.fc39.noarc 719/3616 Installing : texlive-ccicons-11:svn54512-69.fc39.noarch 720/3616 Installing : texlive-blindtext-11:svn25039.2.0-69.fc39.noar 721/3616 Installing : texlive-newfile-11:svn15878.1.0c-69.fc39.noarc 722/3616 Installing : texlive-tabulary-11:svn34368.0.10-69.fc39.noar 723/3616 Installing : texlive-crop-11:svn55424-69.fc39.noarch 724/3616 Installing : texlive-envlab-11:svn61937-69.fc39.noarch 725/3616 Installing : texlive-fncychap-11:svn20710.v1.34-69.fc39.noa 726/3616 Installing : texlive-lettrine-11:svn64511-69.fc39.noarch 727/3616 Installing : texlive-logreq-11:svn53003-69.fc39.noarch 728/3616 Installing : texlive-paratype-11:svn32859.0-69.fc39.noarch 729/3616 Installing : texlive-shadethm-11:svn53350-69.fc39.noarch 730/3616 Installing : texlive-grffile-11:svn52756-69.fc39.noarch 731/3616 Installing : texlive-hycolor-11:svn53584-69.fc39.noarch 732/3616 Installing : texlive-ifnextok-11:svn23379.0.3-69.fc39.noarc 733/3616 Installing : texlive-iwona-11:svn19611.0.995b-69.fc39.noarc 734/3616 Installing : texlive-listofitems-11:svn51923-69.fc39.noarch 735/3616 Installing : texlive-stackengine-11:svn60019-69.fc39.noarch 736/3616 Installing : texlive-lua-alt-getopt-11:svn56414-69.fc39.noa 737/3616 Installing : texlive-mathabx-11:svn15878.0-69.fc39.noarch 738/3616 Installing : texlive-mciteplus-11:svn31648.1.2-69.fc39.noar 739/3616 Installing : texlive-moresize-11:svn17513.1.9-69.fc39.noarc 740/3616 Installing : texlive-mparhack-11:svn59066-69.fc39.noarch 741/3616 Installing : texlive-newfloat-11:svn52906-69.fc39.noarch 742/3616 Installing : texlive-palatino-11:svn61719-69.fc39.noarch 743/3616 Installing : texlive-pdfcol-11:svn64469-69.fc39.noarch 744/3616 Installing : texlive-pdfcolmk-11:svn52912-69.fc39.noarch 745/3616 Installing : texlive-xcolor-11:svn63563-69.fc39.noarch 746/3616 Installing : texlive-eso-pic-11:svn56658-69.fc39.noarch 747/3616 Installing : texlive-pagecolor-11:svn65843-69.fc39.noarch 748/3616 Installing : texlive-qrcode-11:svn36065.1.51-69.fc39.noarch 749/3616 Installing : texlive-pictex-11:svn59551-69.fc39.noarch 750/3616 Installing : texlive-pslatex-11:svn57434-69.fc39.noarch 751/3616 Installing : texlive-random-11:svn54723-69.fc39.noarch 752/3616 Installing : texlive-selinput-11:svn53098-69.fc39.noarch 753/3616 Installing : texlive-simplekv-11:svn64578-69.fc39.noarch 754/3616 Installing : texlive-splitindex-11:svn39766-77.fc39.noarch 755/3616 Installing : texlive-tex-11:svn66186-77.fc39.x86_64 756/3616 Installing : texlive-tex4ht-11:svn66530-77.fc39.x86_64 757/3616 Installing : texlive-undolabl-11:svn65846-69.fc39.noarch 758/3616 Installing : texlive-uniquecounter-11:svn53162-69.fc39.noar 759/3616 Installing : texlive-rerunfilecheck-11:svn63869-69.fc39.noa 760/3616 Installing : texlive-pageslts-11:svn39164-69.fc39.noarch 761/3616 Installing : texlive-xcomment-11:svn20031.1.3-69.fc39.noarc 762/3616 Installing : texlive-xint-11:svn63562-69.fc39.noarch 763/3616 Installing : texlive-xtab-11:svn23347.2.3f-69.fc39.noarch 764/3616 Installing : texlive-zapfding-11:svn61719-69.fc39.noarch 765/3616 Installing : texlive-wallpaper-11:svn15878.1.10-69.fc39.noa 766/3616 Installing : texlive-assoccnt-11:svn38497-69.fc39.noarch 767/3616 Installing : texlive-colorspace-11:svn50585-69.fc39.noarch 768/3616 Installing : texlive-ctable-11:svn38672-69.fc39.noarch 769/3616 Installing : texlive-ed-11:svn25231.1.8-69.fc39.noarch 770/3616 Installing : texlive-svg-11:svn57010-69.fc39.noarch 771/3616 Installing : texlive-achemso-11:svn65103-69.fc39.noarch 772/3616 Installing : texlive-xytree-11:svn15878.1.5-69.fc39.noarch 773/3616 Installing : texlive-fnumprint-11:svn29173.1.1a-69.fc39.noa 774/3616 Installing : texlive-dot2texi-11:svn26237.3.0-69.fc39.noarc 775/3616 Installing : texlive-cprotect-11:svn21209.1.0e-69.fc39.noar 776/3616 Installing : texlive-fancylabel-11:svn46736-69.fc39.noarch 777/3616 Installing : texlive-curve2e-11:svn65469-69.fc39.noarch 778/3616 Installing : texlive-diagbox-11:svn54080-69.fc39.noarch 779/3616 Installing : texlive-boondox-11:svn54512-69.fc39.noarch 780/3616 Installing : texlive-newtx-11:svn62369-69.fc39.noarch 781/3616 Installing : texlive-newpx-11:svn61806-69.fc39.noarch 782/3616 Installing : texlive-chessfss-11:svn19440.1.2a-69.fc39.noar 783/3616 Installing : texlive-crumbs-11:svn64602-69.fc39.noarch 784/3616 Installing : texlive-flowfram-11:svn35291.1.17-69.fc39.noar 785/3616 Installing : texlive-newtxtt-11:svn54512-69.fc39.noarch 786/3616 Installing : texlive-xcharter-11:svn63057-69.fc39.noarch 787/3616 Installing : texlive-akktex-11:svn26055.0.3.2-69.fc39.noarc 788/3616 Installing : texlive-dashbox-11:svn23425.1.14-69.fc39.noarc 789/3616 Installing : texlive-ellipsis-11:svn55418-69.fc39.noarch 790/3616 Installing : texlive-eqparbox-11:svn45215-69.fc39.noarch 791/3616 Installing : texlive-fancyref-11:svn15878.0.9c-69.fc39.noar 792/3616 Installing : texlive-hepunits-11:svn54758-69.fc39.noarch 793/3616 Installing : texlive-jurabib-11:svn15878.0.6-69.fc39.noarch 794/3616 Installing : texlive-ltabptch-11:svn17533.1.74d-69.fc39.noa 795/3616 Installing : texlive-tabu-11:svn61719-69.fc39.noarch 796/3616 Installing : texlive-totalcount-11:svn56214-69.fc39.noarch 797/3616 Installing : texlive-verbatimcopy-11:svn15878.0.06-69.fc39. 798/3616 Installing : texlive-xdoc-11:svn15878.prot2.5-69.fc39.noarc 799/3616 Installing : texlive-xpunctuate-11:svn26641.1.0-69.fc39.noa 800/3616 Installing : texlive-algorithms-11:svn42428-69.fc39.noarch 801/3616 Installing : texlive-cclicenses-11:svn15878.0-69.fc39.noarc 802/3616 Installing : texlive-combine-11:svn19361.0.7a-69.fc39.noarc 803/3616 Installing : texlive-droid-11:svn54512-69.fc39.noarch 804/3616 Installing : texlive-grid-11:svn61719-69.fc39.noarch 805/3616 Installing : texlive-inconsolata-11:svn54512-69.fc39.noarch 806/3616 Installing : texlive-overpic-11:svn53889-69.fc39.noarch 807/3616 Installing : texlive-pdfcprot-11:svn18735.1.7a-69.fc39.noar 808/3616 Installing : texlive-rotfloat-11:svn18292.1.2-69.fc39.noarc 809/3616 Installing : texlive-texshade-11:svn64242-69.fc39.noarch 810/3616 Installing : texlive-thmbox-11:svn15878.0-69.fc39.noarch 811/3616 Installing : texlive-tpslifonts-11:svn42428-69.fc39.noarch 812/3616 Installing : texlive-engrec-11:svn15878.1.1-69.fc39.noarch 813/3616 Installing : texlive-saveenv-11:svn65346-69.fc39.noarch 814/3616 Installing : texlive-bitset-11:svn53837-69.fc39.noarch 815/3616 Installing : texlive-ltxtools-11:svn24897.0.0.1a-69.fc39.no 816/3616 Installing : texlive-mathastext-11:svn64930-69.fc39.noarch 817/3616 Installing : texlive-hardwrap-11:svn21396.0.2-69.fc39.noarc 818/3616 Installing : texlive-newenviron-11:svn29331.1.0-69.fc39.noa 819/3616 Installing : texlive-hopatch-11:svn65491-69.fc39.noarch 820/3616 Installing : texlive-modroman-11:svn29803.1-69.fc39.noarch 821/3616 Installing : texlive-elocalloc-11:svn42712-69.fc39.noarch 822/3616 Installing : texlive-here-11:svn16135.0-69.fc39.noarch 823/3616 Installing : texlive-fink-11:svn24329.2.2.1-69.fc39.noarch 824/3616 Installing : texlive-extarrows-11:svn54400-69.fc39.noarch 825/3616 Installing : texlive-yhmath-11:svn54377-69.fc39.noarch 826/3616 Installing : texlive-bibtopic-11:svn15878.1.1a-69.fc39.noar 827/3616 Installing : texlive-fnbreak-11:svn25003.1.30-69.fc39.noarc 828/3616 Installing : texlive-harvard-11:svn15878.2.0.5-69.fc39.noar 829/3616 Installing : texlive-hfoldsty-11:svn29349.1.15-69.fc39.noar 830/3616 Installing : texlive-ifthenx-11:svn25819.0.1a-69.fc39.noarc 831/3616 Installing : texlive-ly1-11:svn63565-69.fc39.noarch 832/3616 Installing : texlive-mathdesign-11:svn31639.2.31-69.fc39.no 833/3616 Installing : texlive-arev-11:svn15878.0-69.fc39.noarch 834/3616 Installing : texlive-mathcomp-11:svn15878.0.1f-69.fc39.noar 835/3616 Installing : texlive-a0poster-11:svn54071-69.fc39.noarch 836/3616 Installing : texlive-accents-11:svn51497-69.fc39.noarch 837/3616 Installing : texlive-actuarialangle-11:svn51376-69.fc39.noa 838/3616 Installing : texlive-adobemapping-11:svn66552-69.fc39.noarc 839/3616 Installing : texlive-advdate-11:svn20538.0-69.fc39.noarch 840/3616 Installing : texlive-anysize-11:svn15878.0-69.fc39.noarch 841/3616 Installing : texlive-appendix-11:svn53718-69.fc39.noarch 842/3616 Installing : texlive-arydshln-11:svn50084-69.fc39.noarch 843/3616 Installing : texlive-avantgar-11:svn61983-69.fc39.noarch 844/3616 Installing : texlive-bbm-11:svn15878.0-69.fc39.noarch 845/3616 Installing : texlive-bbm-macros-11:svn17224.0-69.fc39.noarc 846/3616 Installing : texlive-beebe-11:svn66286-69.fc39.noarch 847/3616 Installing : texlive-biblatex-gb7714-2015-11:svn64967-69.fc 848/3616 Installing : texlive-bibtex-11:svn66186-77.fc39.x86_64 849/3616 Installing : texlive-bibunits-11:svn15878.2.2-69.fc39.noarc 850/3616 Installing : texlive-bookman-11:svn61719-69.fc39.noarch 851/3616 Installing : texlive-bophook-11:svn17062.0.02-69.fc39.noarc 852/3616 Installing : texlive-braket-11:svn17127.0-69.fc39.noarch 853/3616 Installing : texlive-calculator-11:svn64424-69.fc39.noarch 854/3616 Installing : texlive-cases-11:svn54682-69.fc39.noarch 855/3616 Installing : texlive-cbfonts-fd-11:svn54080-69.fc39.noarch 856/3616 Installing : texlive-cbfonts-11:svn54080-69.fc39.noarch 857/3616 Installing : texlive-ccaption-11:svn23443.3.2c-69.fc39.noar 858/3616 Installing : texlive-changebar-11:svn63259-69.fc39.noarch 859/3616 Installing : texlive-charter-11:svn15878.0-69.fc39.noarch 860/3616 Installing : texlive-chemcompounds-11:svn15878.0-69.fc39.no 861/3616 Installing : texlive-cmtiup-11:svn39728-69.fc39.noarch 862/3616 Installing : texlive-cookingsymbols-11:svn35929.1.1-69.fc39 863/3616 Installing : texlive-courier-11:svn61719-69.fc39.noarch 864/3616 Installing : texlive-crefthe-11:svn64498-69.fc39.noarch 865/3616 Installing : texlive-curves-11:svn45255-69.fc39.noarch 866/3616 Installing : texlive-datenumber-11:svn61761-69.fc39.noarch 867/3616 Installing : texlive-drac-11:svn15878.1-69.fc39.noarch 868/3616 Installing : texlive-ean-11:svn20851.0-69.fc39.noarch 869/3616 Installing : texlive-easylist-11:svn32661.1.3-69.fc39.noarc 870/3616 Installing : texlive-ec-11:svn25033.1.0-69.fc39.noarch 871/3616 Installing : texlive-euclideangeometry-11:svn60697-69.fc39. 872/3616 Installing : texlive-euenc-11:svn19795.0.1h-69.fc39.noarch 873/3616 Installing : texlive-figbib-11:svn19388.0-69.fc39.noarch 874/3616 Installing : texlive-finstrut-11:svn21719.0.5-69.fc39.noarc 875/3616 Installing : texlive-lineno-11:svn65586-69.fc39.noarch 876/3616 Installing : texlive-fixfoot-11:svn17131.0.3a-69.fc39.noarc 877/3616 Installing : texlive-fonts-tlwg-11:svn60817-69.fc39.noarch 878/3616 Installing : texlive-footnpag-11:svn15878.0-69.fc39.noarch 879/3616 Installing : texlive-forarray-11:svn15878.1.01-69.fc39.noar 880/3616 Installing : texlive-fpl-11:svn54512-69.fc39.noarch 881/3616 Installing : texlive-mathpazo-11:svn52663-69.fc39.noarch 882/3616 Installing : texlive-garamond-libre-11:svn64412-69.fc39.noa 883/3616 Installing : texlive-gb4e-11:svn19216.0-69.fc39.noarch 884/3616 Installing : texlive-ginpenc-11:svn24980.1.0-69.fc39.noarch 885/3616 Installing : texlive-gloss-11:svn15878.1.5.2-69.fc39.noarch 886/3616 Installing : texlive-glyphlist-11:svn54074-77.fc39.noarch 887/3616 Installing : texlive-gmiflink-11:svn15878.v0.97-69.fc39.noa 888/3616 Installing : texlive-greek-fontenc-11:svn66612-69.fc39.noar 889/3616 Installing : texlive-bpchem-11:svn45120-69.fc39.noarch 890/3616 Installing : texlive-grfext-11:svn53024-69.fc39.noarch 891/3616 Installing : texlive-helvetic-11:svn61719-69.fc39.noarch 892/3616 Installing : texlive-hobsub-11:svn52810-69.fc39.noarch 893/3616 Installing : texlive-hypernat-11:svn17358.1.0b-69.fc39.noar 894/3616 Installing : texlive-initials-11:svn54080-69.fc39.noarch 895/3616 Installing : texlive-inputenx-11:svn52986-69.fc39.noarch 896/3616 Installing : texlive-ipaex-11:svn61719-69.fc39.noarch 897/3616 Installing : texlive-japanese-otf-11:svn66091-69.fc39.noarc 898/3616 Installing : texlive-kurier-11:svn19612.0.995b-69.fc39.noar 899/3616 Installing : texlive-kvdefinekeys-11:svn53193-69.fc39.noarc 900/3616 Installing : texlive-hyperref-11:svn65758-69.fc39.noarch 901/3616 Installing : texlive-abntex2-11:svn49248-69.fc39.noarch 902/3616 Installing : texlive-ucs-11:svn64114-69.fc39.noarch 903/3616 Installing : texlive-attachfile-11:svn42099-69.fc39.noarch 904/3616 Installing : texlive-doi-11:svn48634-69.fc39.noarch 905/3616 Installing : texlive-struktex-11:svn47931-69.fc39.noarch 906/3616 Installing : texlive-labels-11:svn15878.13-69.fc39.noarch 907/3616 Installing : texlive-latex-firstaid-dev-11:svn65181-69.fc39 908/3616 Installing : texlive-latexconfig-11:svn53525-69.fc39.noarch 909/3616 Installing : texlive-layouts-11:svn42428-69.fc39.noarch 910/3616 Installing : texlive-lazylist-11:svn17691.1.0a-69.fc39.noar 911/3616 Installing : texlive-libertinus-fonts-11:svn57948-69.fc39.n 912/3616 Installing : texlive-libertinust1math-11:svn61751-69.fc39.n 913/3616 Installing : texlive-listingsutf8-11:svn53097-69.fc39.noarc 914/3616 Installing : texlive-lm-math-11:svn36915.1.959-69.fc39.noar 915/3616 Installing : texlive-lualibs-11:svn64615-69.fc39.noarch 916/3616 Installing : texlive-macroswap-11:svn31498.1.1-69.fc39.noar 917/3616 Installing : texlive-mailing-11:svn15878.0-69.fc39.noarch 918/3616 Installing : texlive-manfnt-font-11:svn45777-69.fc39.noarch 919/3616 Installing : texlive-marginfix-11:svn55064-69.fc39.noarch 920/3616 Installing : texlive-mflogo-11:svn42428-69.fc39.noarch 921/3616 Installing : texlive-modes-11:svn61719-69.fc39.noarch 922/3616 Installing : texlive-monofill-11:svn28140.0.2-69.fc39.noarc 923/3616 Installing : texlive-mptopdf-11:svn65952-77.fc39.noarch 924/3616 Installing : texlive-multibib-11:svn15878.1.4-69.fc39.noarc 925/3616 Installing : texlive-newverbs-11:svn64833-69.fc39.noarch 926/3616 Installing : texlive-nextpage-11:svn15878.1.1a-69.fc39.noar 927/3616 Installing : texlive-nonumonpart-11:svn22114.1-69.fc39.noar 928/3616 Installing : texlive-notoccite-11:svn18129.0-69.fc39.noarch 929/3616 Installing : texlive-minitoc-11:svn61719-69.fc39.noarch 930/3616 Installing : texlive-ntgclass-11:svn65522-69.fc39.noarch 931/3616 Installing : texlive-a4wide-11:svn20943.0-69.fc39.noarch 932/3616 Installing : texlive-optional-11:svn18131.2.2b-69.fc39.noar 933/3616 Installing : texlive-pagegrid-11:svn64470-69.fc39.noarch 934/3616 Installing : texlive-perltex-11:svn52162-77.fc39.noarch 935/3616 Installing : texlive-picinpar-11:svn65097-69.fc39.noarch 936/3616 Installing : texlive-ptex-base-11:svn64072-69.fc39.noarch 937/3616 Installing : texlive-ptex-fonts-11:svn64330-69.fc39.noarch 938/3616 Installing : texlive-readarray-11:svn60540-69.fc39.noarch 939/3616 Installing : texlive-robustcommand-11:svn15878.0.1-69.fc39. 940/3616 Installing : texlive-romanbar-11:svn25005.1.0f-69.fc39.noar 941/3616 Installing : texlive-rsfs-11:svn15878.0-69.fc39.noarch 942/3616 Installing : texlive-jknapltx-11:svn19440.0-69.fc39.noarch 943/3616 Installing : texlive-rtkinenc-11:svn20003.1.0-69.fc39.noarc 944/3616 Installing : texlive-schedule-11:svn51805-69.fc39.noarch 945/3616 Installing : texlive-seqsplit-11:svn15878.0.1-69.fc39.noarc 946/3616 Installing : texlive-shadow-11:svn20312.0-69.fc39.noarch 947/3616 Installing : texlive-shorttoc-11:svn15878.1.3-69.fc39.noarc 948/3616 Installing : texlive-stdclsdv-11:svn15878.1.1a-69.fc39.noar 949/3616 Installing : texlive-stix2-type1-11:svn57448-69.fc39.noarch 950/3616 Installing : texlive-stringstrings-11:svn57097-69.fc39.noar 951/3616 Installing : texlive-subdepth-11:svn15878.0.1-69.fc39.noarc 952/3616 Installing : texlive-hepparticles-11:svn35723.2.0-69.fc39.n 953/3616 Installing : texlive-hepnames-11:svn35722.2.0-69.fc39.noarc 954/3616 Installing : texlive-subfloat-11:svn29349.2.14-69.fc39.noar 955/3616 Installing : texlive-substitutefont-11:svn32066.0.1.4-69.fc 956/3616 Installing : texlive-supertabular-11:svn53658-69.fc39.noarc 957/3616 Installing : texlive-symbol-11:svn61719-69.fc39.noarch 958/3616 Installing : texlive-synttree-11:svn16252.1.4.2-69.fc39.noa 959/3616 Installing : texlive-tableof-11:svn59837-69.fc39.noarch 960/3616 Installing : texlive-tabto-ltx-11:svn54080-69.fc39.noarch 961/3616 Installing : texlive-times-11:svn61719-69.fc39.noarch 962/3616 Installing : texlive-toolbox-11:svn32260.5.1-69.fc39.noarch 963/3616 Installing : texlive-tracklang-11:svn65263-69.fc39.noarch 964/3616 Installing : texlive-trajan-11:svn15878.1.1-69.fc39.noarch 965/3616 Installing : texlive-ucharcat-11:svn38907-69.fc39.noarch 966/3616 Installing : texlive-underscore-11:svn18261.0-69.fc39.noarc 967/3616 Installing : texlive-uptex-fonts-11:svn65657-69.fc39.noarch 968/3616 Installing : texlive-ushort-11:svn32261.2.2-69.fc39.noarch 969/3616 Installing : texlive-hhtensor-11:svn54080-69.fc39.noarch 970/3616 Installing : texlive-utopia-11:svn15878.0-69.fc39.noarch 971/3616 Installing : texlive-psnfss-11:svn54694-69.fc39.noarch 972/3616 Installing : texlive-ltxmisc-11:svn21927.0-69.fc39.noarch 973/3616 Installing : texlive-versions-11:svn21921.0.55-69.fc39.noar 974/3616 Installing : texlive-warning-11:svn22028.0.01-69.fc39.noarc 975/3616 Installing : texlive-wasy-11:svn53533-69.fc39.noarch 976/3616 Installing : texlive-xits-11:svn55730-69.fc39.noarch 977/3616 Installing : texlive-yfonts-11:svn50755-69.fc39.noarch 978/3616 Installing : texlive-zref-clever-11:svn66021-69.fc39.noarch 979/3616 Installing : texlive-zref-vario-11:svn65453-69.fc39.noarch 980/3616 Installing : texlive-newspaper-11:svn15878.1.0-69.fc39.noar 981/3616 Installing : texlive-wasy-type1-11:svn53534-69.fc39.noarch 982/3616 Installing : texlive-verbasef-11:svn21922.1.1-69.fc39.noarc 983/3616 Installing : texlive-active-conf-11:svn15878.0.3a-69.fc39.n 984/3616 Installing : texlive-adforn-11:svn54512-69.fc39.noarch 985/3616 Installing : texlive-adfsymbols-11:svn54512-69.fc39.noarch 986/3616 Installing : texlive-apa-11:svn54080-69.fc39.noarch 987/3616 Installing : texlive-apa6e-11:svn23350.0.3-69.fc39.noarch 988/3616 Installing : texlive-cascadilla-11:svn25144.1.8.2-69.fc39.n 989/3616 Installing : texlive-dictsym-11:svn20031.0-69.fc39.noarch 990/3616 Installing : texlive-economic-11:svn32639.0-69.fc39.noarch 991/3616 Installing : texlive-elbioimp-11:svn21758.1.2-69.fc39.noarc 992/3616 Installing : texlive-elsarticle-11:svn56999-69.fc39.noarch 993/3616 Installing : texlive-exam-n-11:svn64674-69.fc39.noarch 994/3616 Installing : texlive-fcavtex-11:svn38074.1.1-69.fc39.noarch 995/3616 Installing : texlive-icsv-11:svn15878.0.2-69.fc39.noarch 996/3616 Installing : texlive-ieeepes-11:svn17359.4.0-69.fc39.noarch 997/3616 Installing : texlive-kerntest-11:svn15878.1.32-69.fc39.noar 998/3616 Installing : texlive-kluwer-11:svn54074-69.fc39.noarch 999/3616 Installing : texlive-leaflet-11:svn56878-69.fc39.noarch 1000/3616 Installing : texlive-lps-11:svn21322.0.7-69.fc39.noarch 1001/3616 Installing : texlive-nature-11:svn21819.1.0-69.fc39.noarch 1002/3616 Installing : texlive-nih-11:svn15878.0-69.fc39.noarch 1003/3616 Installing : texlive-onrannual-11:svn17474.1.1-69.fc39.noar 1004/3616 Installing : texlive-philosophersimprint-11:svn56954-69.fc3 1005/3616 Installing : texlive-powerdot-fuberlin-11:svn52922-69.fc39. 1006/3616 Installing : texlive-pracjourn-11:svn61719-69.fc39.noarch 1007/3616 Installing : texlive-principia-11:svn58927-69.fc39.noarch 1008/3616 Installing : texlive-rmpage-11:svn54080-69.fc39.noarch 1009/3616 Installing : texlive-sciposter-11:svn15878.1.18-69.fc39.noa 1010/3616 Installing : texlive-tui-11:svn27253.1.9-69.fc39.noarch 1011/3616 Installing : texlive-vpe-11:svn26039-77.fc39.noarch 1012/3616 Installing : texlive-wordlike-11:svn15878.1.2b-69.fc39.noar 1013/3616 Installing : texlive-varindex-11:svn32262.2.3-69.fc39.noarc 1014/3616 Installing : texlive-srbtiks-11:svn63308-69.fc39.noarch 1015/3616 Installing : texlive-romannum-11:svn15878.1.0b-69.fc39.noar 1016/3616 Installing : texlive-tcldoc-11:svn22018.2.40-69.fc39.noarch 1017/3616 Installing : texlive-enumitem-zref-11:svn21472.1.8-69.fc39. 1018/3616 Installing : texlive-semantic-11:svn15878.2.0-69.fc39.noarc 1019/3616 Installing : texlive-romanbarpagenumber-11:svn36236.1.0-69. 1020/3616 Installing : texlive-noitcrul-11:svn15878.0.2-69.fc39.noarc 1021/3616 Installing : texlive-verbatimbox-11:svn33197.3.13-69.fc39.n 1022/3616 Installing : texlive-minutes-11:svn42186-69.fc39.noarch 1023/3616 Installing : texlive-thumb-11:svn16549.1.0-69.fc39.noarch 1024/3616 Installing : texlive-uowthesis-11:svn19700.1.0a-69.fc39.noa 1025/3616 Installing : texlive-nicefilelist-11:svn65842-69.fc39.noarc 1026/3616 Installing : texlive-metafont-11:svn66186-77.fc39.x86_64 1027/3616 Installing : texlive-tugboat-11:svn66513-69.fc39.noarch 1028/3616 Installing : texlive-postcards-11:svn21641.0-69.fc39.noarch 1029/3616 Installing : texlive-arraysort-11:svn31576.1.0-69.fc39.noar 1030/3616 Installing : texlive-libertinus-otf-11:svn60023-69.fc39.noa 1031/3616 Installing : texlive-polytable-11:svn55837-69.fc39.noarch 1032/3616 Installing : texlive-plantslabels-11:svn29803.1.0-69.fc39.n 1033/3616 Installing : texlive-cmpj-11:svn58506-69.fc39.noarch 1034/3616 Installing : texlive-europecv-11:svn64037-69.fc39.noarch 1035/3616 Installing : texlive-afparticle-11:svn35900.1.3-69.fc39.noa 1036/3616 Installing : texlive-amsrefs-11:svn61937-69.fc39.noarch 1037/3616 Installing : texlive-aomart-11:svn63442-69.fc39.noarch 1038/3616 Installing : texlive-attachfile2-11:svn57959-77.fc39.noarch 1039/3616 Installing : texlive-bookest-11:svn15878.1.1-69.fc39.noarch 1040/3616 Installing : texlive-cdpbundl-11:svn61719-69.fc39.noarch 1041/3616 Installing : texlive-computational-complexity-11:svn44847-6 1042/3616 Installing : texlive-dithesis-11:svn34295.0.2-69.fc39.noarc 1043/3616 Installing : texlive-doclicense-11:svn63340-69.fc39.noarch 1044/3616 Installing : texlive-dvdcoll-11:svn15878.v1.1a-69.fc39.noar 1045/3616 Installing : texlive-europasscv-11:svn56829-69.fc39.noarch 1046/3616 Installing : texlive-footnotebackref-11:svn27034.1.0-69.fc3 1047/3616 Installing : texlive-gitver-11:svn63920-69.fc39.noarch 1048/3616 Installing : texlive-gsemthesis-11:svn56291-69.fc39.noarch 1049/3616 Installing : texlive-hecthese-11:svn60455-69.fc39.noarch 1050/3616 Installing : texlive-href-ul-11:svn64880-69.fc39.noarch 1051/3616 Installing : texlive-hrefhide-11:svn66189-69.fc39.noarch 1052/3616 Installing : texlive-koma-moderncvclassic-11:svn25025.v0.5- 1053/3616 Installing : texlive-nddiss-11:svn45107-69.fc39.noarch 1054/3616 Installing : texlive-opcit-11:svn15878.1.1-69.fc39.noarch 1055/3616 Installing : texlive-papertex-11:svn19230.1.2b-69.fc39.noar 1056/3616 Installing : texlive-pdfscreen-11:svn42428-69.fc39.noarch 1057/3616 Installing : texlive-pdfslide-11:svn15878.0-69.fc39.noarch 1058/3616 Installing : texlive-pdfwin-11:svn54074-69.fc39.noarch 1059/3616 Installing : texlive-rec-thy-11:svn63982-69.fc39.noarch 1060/3616 Installing : texlive-refenums-11:svn44131-69.fc39.noarch 1061/3616 Installing : texlive-resphilosophica-11:svn50935-69.fc39.no 1062/3616 Installing : texlive-sphdthesis-11:svn34374.1.0-69.fc39.noa 1063/3616 Installing : texlive-tabriz-thesis-11:svn51729-69.fc39.noar 1064/3616 Installing : texlive-tdclock-11:svn33043.v2.5-69.fc39.noarc 1065/3616 Installing : texlive-thesis-ekf-11:svn60228-69.fc39.noarch 1066/3616 Installing : texlive-umthesis-11:svn15878.0.2-69.fc39.noarc 1067/3616 Installing : texlive-cfr-initials-11:svn61719-69.fc39.noarc 1068/3616 Installing : texlive-textgreek-11:svn44192-69.fc39.noarch 1069/3616 Installing : texlive-thesis-titlepage-fhac-11:svn15878.0.1- 1070/3616 Installing : texlive-isodoc-11:svn59709-69.fc39.noarch 1071/3616 Installing : texlive-shipunov-11:svn52334-69.fc39.noarch 1072/3616 Installing : texlive-garuda-c90-11:svn60832-69.fc39.noarch 1073/3616 Installing : texlive-norasi-c90-11:svn60831-69.fc39.noarch 1074/3616 Installing : texlive-ascelike-11:svn29129.2.3-69.fc39.noarc 1075/3616 Installing : texlive-mftinc-11:svn15878.1.0a-69.fc39.noarch 1076/3616 Installing : texlive-mindflow-11:svn65236-69.fc39.noarch 1077/3616 Installing : texlive-minorrevision-11:svn32165.1.1-69.fc39. 1078/3616 Installing : texlive-revtex-11:svn56591-69.fc39.noarch 1079/3616 Installing : texlive-vertbars-11:svn49429-69.fc39.noarch 1080/3616 Installing : texlive-graphpaper-11:svn63116-69.fc39.noarch 1081/3616 Installing : texlive-ean13isbn-11:svn57514-69.fc39.noarch 1082/3616 Installing : texlive-calcage-11:svn27725.0.90-69.fc39.noarc 1083/3616 Installing : texlive-create-theorem-11:svn64104-69.fc39.noa 1084/3616 Installing : texlive-xpicture-11:svn28770.1.2a-69.fc39.noar 1085/3616 Installing : texlive-gbt7714-11:svn64633-69.fc39.noarch 1086/3616 Installing : texlive-cool-11:svn15878.1.35-69.fc39.noarch 1087/3616 Installing : texlive-ot-tableau-11:svn59318-69.fc39.noarch 1088/3616 Installing : texlive-actuarialsymbol-11:svn54080-69.fc39.no 1089/3616 Installing : texlive-typeface-11:svn27046.0.1-69.fc39.noarc 1090/3616 Installing : texlive-adfathesis-11:svn26048.2.42-69.fc39.no 1091/3616 Installing : texlive-storecmd-11:svn24431.0.0.2-69.fc39.noa 1092/3616 Installing : texlive-textopo-11:svn23796.1.5-69.fc39.noarch 1093/3616 Installing : texlive-ebsthesis-11:svn15878.1.0-69.fc39.noar 1094/3616 Installing : texlive-psfragx-11:svn26243.1.1-69.fc39.noarch 1095/3616 Installing : texlive-foreign-11:svn27819.2.7-69.fc39.noarch 1096/3616 Installing : texlive-eqlist-11:svn32257.2.1-69.fc39.noarch 1097/3616 Installing : texlive-uebungsblatt-11:svn15878.1.5.0-69.fc39 1098/3616 Installing : texlive-asmeconf-11:svn65413-69.fc39.noarch 1099/3616 Installing : texlive-asmejour-11:svn65405-69.fc39.noarch 1100/3616 Installing : texlive-newtxsf-11:svn59227-69.fc39.noarch 1101/3616 Installing : texlive-prtec-11:svn51919-69.fc39.noarch 1102/3616 Installing : texlive-beameraudience-11:svn23427.0.1-69.fc39 1103/3616 Installing : texlive-rsc-11:svn41923-69.fc39.noarch 1104/3616 Installing : texlive-bnumexpr-11:svn59244-69.fc39.noarch 1105/3616 Installing : texlive-papermas-11:svn23667.1.0h-69.fc39.noar 1106/3616 Installing : texlive-thumbs-11:svn33134.1.0q-69.fc39.noarch 1107/3616 Installing : texlive-turnthepage-11:svn29803.1.3a-69.fc39.n 1108/3616 Installing : texlive-make4ht-11:svn66130-77.fc39.noarch 1109/3616 Installing : texlive-nlctdoc-11:svn64708-69.fc39.noarch 1110/3616 Installing : texlive-amstex-11:svn66186-77.fc39.noarch 1111/3616 Installing : texlive-acroterm-11:svn61719-69.fc39.noarch 1112/3616 Installing : texlive-dijkstra-11:svn64580-69.fc39.noarch 1113/3616 Installing : texlive-esami-11:svn61596-69.fc39.noarch 1114/3616 Installing : texlive-ran_toks-11:svn59515-69.fc39.noarch 1115/3616 Installing : texlive-randtext-11:svn15878.0-69.fc39.noarch 1116/3616 Installing : texlive-mathspic-11:svn31957-77.fc39.noarch 1117/3616 Installing : texlive-pictex2-11:svn15878.0-69.fc39.noarch 1118/3616 Installing : texlive-fancytooltips-11:svn56291-69.fc39.noar 1119/3616 Installing : texlive-fgruler-11:svn63721-69.fc39.noarch 1120/3616 Installing : texlive-gitinfo2-11:svn38913-69.fc39.noarch 1121/3616 Installing : texlive-newlfm-11:svn15878.9.4-69.fc39.noarch 1122/3616 Installing : texlive-typogrid-11:svn24994.0.21-69.fc39.noar 1123/3616 Installing : texlive-calctab-11:svn15878.v0.6.1-69.fc39.noa 1124/3616 Installing : texlive-changelayout-11:svn16094.1.0-69.fc39.n 1125/3616 Installing : texlive-chs-physics-report-11:svn54512-69.fc39 1126/3616 Installing : texlive-getfiledate-11:svn16189.1.2-69.fc39.no 1127/3616 Installing : texlive-guitlogo-11:svn55741-69.fc39.noarch 1128/3616 Installing : texlive-knittingpattern-11:svn17205.0-69.fc39. 1129/3616 Installing : texlive-matc3mem-11:svn35773.1.1-69.fc39.noarc 1130/3616 Installing : texlive-menucard-11:svn55643-69.fc39.noarch 1131/3616 Installing : texlive-schooldocs-11:svn65650-69.fc39.noarch 1132/3616 Installing : texlive-soton-11:svn16215.0.1-69.fc39.noarch 1133/3616 Installing : texlive-tabfigures-11:svn25202.1.1-69.fc39.noa 1134/3616 Installing : texlive-to-be-determined-11:svn64882-69.fc39.n 1135/3616 Installing : texlive-xcolor-solarized-11:svn61719-69.fc39.n 1136/3616 Installing : texlive-xhfill-11:svn22575.1.01-69.fc39.noarch 1137/3616 Installing : texlive-yagusylo-11:svn29803.1.2-69.fc39.noarc 1138/3616 Installing : texlive-hpsdiss-11:svn15878.1.0-69.fc39.noarch 1139/3616 Installing : texlive-mathabx-type1-11:svn21129.0-69.fc39.no 1140/3616 Installing : texlive-meetingmins-11:svn31878.1.6-69.fc39.no 1141/3616 Installing : texlive-realhats-11:svn63595-69.fc39.noarch 1142/3616 Installing : texlive-tabstackengine-11:svn46848-69.fc39.noa 1143/3616 Installing : texlive-titlecaps-11:svn63020-69.fc39.noarch 1144/3616 Installing : texlive-biblatex-ms-11:svn66480-69.fc39.noarch 1145/3616 Installing : texlive-rterface-11:svn30084.0-69.fc39.noarch 1146/3616 Installing : texlive-mwe-11:svn64967-69.fc39.noarch 1147/3616 Installing : texlive-trivfloat-11:svn15878.1.3b-69.fc39.noa 1148/3616 Installing : texlive-acmconf-11:svn15878.1.3-69.fc39.noarch 1149/3616 Installing : texlive-tablists-11:svn15878.0.0e-69.fc39.noar 1150/3616 Installing : texlive-qcircuit-11:svn48400-69.fc39.noarch 1151/3616 Installing : texlive-qsymbols-11:svn15878.0-69.fc39.noarch 1152/3616 Installing : texlive-smflatex-11:svn58910-69.fc39.noarch 1153/3616 Installing : texlive-xyling-11:svn15878.1.1-69.fc39.noarch 1154/3616 Installing : texlive-sdrt-11:svn15878.1.0-69.fc39.noarch 1155/3616 Installing : texlive-tufte-latex-11:svn37649.3.5.2-69.fc39. 1156/3616 Installing : texlive-graphviz-11:svn31517.0.94-69.fc39.noar 1157/3616 Installing : texlive-dottex-11:svn15878.0.6-69.fc39.noarch 1158/3616 Installing : texlive-gnuplottex-11:svn54758-69.fc39.noarch 1159/3616 Installing : texlive-moreenum-11:svn24479.1.03-69.fc39.noar 1160/3616 Installing : texlive-fixmetodonotes-11:svn30168.0.2.2-69.fc 1161/3616 Installing : texlive-ncclatex-11:svn15878.1.5-69.fc39.noarc 1162/3616 Installing : texlive-har2nat-11:svn54080-69.fc39.noarch 1163/3616 Installing : texlive-nameauth-11:svn65738-69.fc39.noarch 1164/3616 Installing : texlive-askmaps-11:svn56730-69.fc39.noarch 1165/3616 Installing : texlive-bxeepic-11:svn30559.0.2-69.fc39.noarch 1166/3616 Installing : texlive-diagmac2-11:svn15878.2.1-69.fc39.noarc 1167/3616 Installing : texlive-gu-11:svn15878.0-69.fc39.noarch 1168/3616 Installing : texlive-qtree-11:svn15878.3.1b-69.fc39.noarch 1169/3616 Installing : texlive-syntrace-11:svn15878.1.1-69.fc39.noarc 1170/3616 Installing : texlive-steinmetz-11:svn15878.1.0-69.fc39.noar 1171/3616 Installing : texlive-tabularcalc-11:svn15878.0.2-69.fc39.no 1172/3616 Installing : texlive-flipbook-11:svn25584.0.2-69.fc39.noarc 1173/3616 Installing : texlive-vhistory-11:svn61719-69.fc39.noarch 1174/3616 Installing : texlive-autonum-11:svn36084.0.3.11-69.fc39.noa 1175/3616 Installing : texlive-gcard-11:svn15878.0-69.fc39.noarch 1176/3616 Installing : texlive-imtekda-11:svn17667.1.7-69.fc39.noarch 1177/3616 Installing : texlive-stubs-11:svn66204-69.fc39.noarch 1178/3616 Installing : texlive-stellenbosch-11:svn66379-69.fc39.noarc 1179/3616 Installing : texlive-extpfeil-11:svn16243.0.4-69.fc39.noarc 1180/3616 Installing : texlive-tablefootnote-11:svn32804.1.1c-69.fc39 1181/3616 Installing : texlive-turabian-formatting-11:svn58561-69.fc3 1182/3616 Installing : texlive-afthesis-11:svn15878.2.7-69.fc39.noarc 1183/3616 Installing : texlive-erdc-11:svn15878.1.1-69.fc39.noarch 1184/3616 Installing : texlive-estcpmm-11:svn17335.0.4-69.fc39.noarch 1185/3616 Installing : texlive-hvfloat-11:svn65671-69.fc39.noarch 1186/3616 Installing : texlive-sageep-11:svn15878.1.0-69.fc39.noarch 1187/3616 Installing : texlive-aiaa-11:svn15878.3.6-69.fc39.noarch 1188/3616 Installing : texlive-bizcard-11:svn15878.1.1-69.fc39.noarch 1189/3616 Installing : texlive-brandeis-dissertation-11:svn61215-69.f 1190/3616 Installing : texlive-calxxxx-yyyy-11:svn65426-69.fc39.noarc 1191/3616 Installing : texlive-contracard-11:svn50217-69.fc39.noarch 1192/3616 Installing : texlive-ekaia-11:svn49594-69.fc39.noarch 1193/3616 Installing : texlive-elteikthesis-11:svn63186-69.fc39.noarc 1194/3616 Installing : texlive-flacards-11:svn19440.0.1.1b-69.fc39.no 1195/3616 Installing : texlive-flashcards-11:svn62104-69.fc39.noarch 1196/3616 Installing : texlive-gaceta-11:svn15878.1.06-69.fc39.noarch 1197/3616 Installing : texlive-image-gallery-11:svn15878.v1.0j-69.fc3 1198/3616 Installing : texlive-pdfpagediff-11:svn37946.1.4-69.fc39.no 1199/3616 Installing : texlive-recipecard-11:svn15878.2.0-69.fc39.noa 1200/3616 Installing : texlive-sffms-11:svn15878.2.0-69.fc39.noarch 1201/3616 Installing : texlive-tikzposter-11:svn32732.2.0-69.fc39.noa 1202/3616 Installing : texlive-uiucredborder-11:svn29974.1.00-69.fc39 1203/3616 Installing : texlive-umich-thesis-11:svn15878.1.20-69.fc39. 1204/3616 Installing : texlive-uowthesistitlepage-11:svn54512-69.fc39 1205/3616 Installing : texlive-ut-thesis-11:svn65767-69.fc39.noarch 1206/3616 Installing : texlive-baskervald-11:svn19490.1.016-69.fc39.n 1207/3616 Installing : texlive-baskervaldx-11:svn57080-69.fc39.noarch 1208/3616 Installing : texlive-beamerposter-11:svn54512-69.fc39.noarc 1209/3616 Installing : texlive-berenisadf-11:svn32215.1.004-69.fc39.n 1210/3616 Installing : texlive-cfr-lm-11:svn36195.1.5-69.fc39.noarch 1211/3616 Installing : texlive-clicks-11:svn64602-69.fc39.noarch 1212/3616 Installing : texlive-dk-bib-11:svn15878.0.6-69.fc39.noarch 1213/3616 Installing : texlive-dutchcal-11:svn54080-69.fc39.noarch 1214/3616 Installing : texlive-electrum-11:svn19705.1.005_b-69.fc39.n 1215/3616 Installing : texlive-erewhon-11:svn63312-69.fc39.noarch 1216/3616 Installing : texlive-esstix-11:svn22426.1.0-69.fc39.noarch 1217/3616 Installing : texlive-etaremune-11:svn15878.v1.2-69.fc39.noa 1218/3616 Installing : texlive-extract-11:svn52117-69.fc39.noarch 1219/3616 Installing : texlive-fbb-11:svn55728-69.fc39.noarch 1220/3616 Installing : texlive-fdsymbol-11:svn61719-69.fc39.noarch 1221/3616 Installing : texlive-fitbox-11:svn50088-69.fc39.noarch 1222/3616 Installing : texlive-gender-11:svn36464.1.0-69.fc39.noarch 1223/3616 Installing : texlive-gentium-tug-11:svn63470-69.fc39.noarch 1224/3616 Installing : texlive-getmap-11:svn50589-77.fc39.noarch 1225/3616 Installing : texlive-gmp-11:svn21691.1.0-69.fc39.noarch 1226/3616 Installing : texlive-drm-11:svn38157.4.4-69.fc39.noarch 1227/3616 Installing : texlive-grid-system-11:svn32981.0.3.0-69.fc39. 1228/3616 Installing : texlive-heuristica-11:svn51362-69.fc39.noarch 1229/3616 Installing : texlive-inter-11:svn58892-69.fc39.noarch 1230/3616 Installing : texlive-issuulinks-11:svn25742.1.1-69.fc39.noa 1231/3616 Installing : texlive-jamtimes-11:svn20408.1.12-69.fc39.noar 1232/3616 Installing : texlive-keycommand-11:svn18042.3.1415-69.fc39. 1233/3616 Installing : texlive-longfigure-11:svn34302.1.0-69.fc39.noa 1234/3616 Installing : texlive-magra-11:svn57373-69.fc39.noarch 1235/3616 Installing : texlive-mathalpha-11:svn61089-69.fc39.noarch 1236/3616 Installing : texlive-mdsymbol-11:svn28399.0.5-69.fc39.noarc 1237/3616 Installing : texlive-mlist-11:svn15878.0.6a-69.fc39.noarch 1238/3616 Installing : texlive-multiaudience-11:svn60688-69.fc39.noar 1239/3616 Installing : texlive-oswald-11:svn60784-69.fc39.noarch 1240/3616 Installing : texlive-pagerange-11:svn16915.0.5-69.fc39.noar 1241/3616 Installing : texlive-probsoln-11:svn44783-69.fc39.noarch 1242/3616 Installing : texlive-pxtxalfa-11:svn60847-69.fc39.noarch 1243/3616 Installing : texlive-romande-11:svn19537.1.008_v7_sc-69.fc3 1244/3616 Installing : texlive-rsfso-11:svn60849-69.fc39.noarch 1245/3616 Installing : texlive-urwchancal-11:svn21701.1-69.fc39.noarc 1246/3616 Installing : texlive-utf8add-11:svn61074-69.fc39.noarch 1247/3616 Installing : texlive-venturisadf-11:svn19444.1.005-69.fc39. 1248/3616 Installing : texlive-xfakebold-11:svn55654-69.fc39.noarch 1249/3616 Installing : texlive-zlmtt-11:svn64076-69.fc39.noarch 1250/3616 Installing : texlive-12many-11:svn15878.0.3-69.fc39.noarch 1251/3616 Installing : texlive-aastex-11:svn58057-69.fc39.noarch 1252/3616 Installing : texlive-addlines-11:svn49326-69.fc39.noarch 1253/3616 Installing : texlive-adjmulticol-11:svn63320-69.fc39.noarch 1254/3616 Installing : texlive-answers-11:svn35032.2.16-69.fc39.noarc 1255/3616 Installing : texlive-ascii-font-11:svn29989.2.0-69.fc39.noa 1256/3616 Installing : texlive-avremu-11:svn35373.0.1-69.fc39.noarch 1257/3616 Installing : texlive-beamersubframe-11:svn23510.0.2-69.fc39 1258/3616 Installing : texlive-binomexp-11:svn15878.1.0-69.fc39.noarc 1259/3616 Installing : texlive-bosisio-11:svn16989.0-69.fc39.noarch 1260/3616 Installing : texlive-bytefield-11:svn60265-69.fc39.noarch 1261/3616 Installing : texlive-cachepic-11:svn26313-77.fc39.noarch 1262/3616 Installing : texlive-calculation-11:svn35973.1.0-69.fc39.no 1263/3616 Installing : texlive-catechis-11:svn59998-69.fc39.noarch 1264/3616 Installing : texlive-cellspace-11:svn61501-69.fc39.noarch 1265/3616 Installing : texlive-collcell-11:svn64967-69.fc39.noarch 1266/3616 Installing : texlive-colourchange-11:svn21741.1.22-69.fc39. 1267/3616 Installing : texlive-coverpage-11:svn63509-69.fc39.noarch 1268/3616 Installing : texlive-cweb-latex-11:svn28878.0-69.fc39.noarc 1269/3616 Installing : texlive-cyber-11:svn46776-69.fc39.noarch 1270/3616 Installing : texlive-dhua-11:svn24035.0.11-69.fc39.noarch 1271/3616 Installing : texlive-dlfltxb-11:svn17337.0-69.fc39.noarch 1272/3616 Installing : texlive-dyntree-11:svn15878.1.0-69.fc39.noarch 1273/3616 Installing : texlive-ebezier-11:svn15878.4-69.fc39.noarch 1274/3616 Installing : texlive-eemeir-11:svn15878.1.1b-69.fc39.noarch 1275/3616 Installing : texlive-egplot-11:svn20617.1.02a-69.fc39.noarc 1276/3616 Installing : texlive-eqell-11:svn22931.0-69.fc39.noarch 1277/3616 Installing : texlive-eqnarray-11:svn20641.1.3-69.fc39.noarc 1278/3616 Installing : texlive-esieecv-11:svn59638-69.fc39.noarch 1279/3616 Installing : texlive-esk-11:svn18115.1.0-69.fc39.noarch 1280/3616 Installing : texlive-examdesign-11:svn15878.1.02-69.fc39.no 1281/3616 Installing : texlive-fcolumn-11:svn61855-69.fc39.noarch 1282/3616 Installing : texlive-figsize-11:svn18784.0.1-69.fc39.noarch 1283/3616 Installing : texlive-flagderiv-11:svn15878.0.10-69.fc39.noa 1284/3616 Installing : texlive-fmp-11:svn15878.0-69.fc39.noarch 1285/3616 Installing : texlive-footnoterange-11:svn66149-69.fc39.noar 1286/3616 Installing : texlive-formular-11:svn15878.1.0a-69.fc39.noar 1287/3616 Installing : texlive-gastex-11:svn58505-69.fc39.noarch 1288/3616 Installing : texlive-he-she-11:svn41359-69.fc39.noarch 1289/3616 Installing : texlive-idxlayout-11:svn25821.0.4d-69.fc39.noa 1290/3616 Installing : texlive-interactiveworkbook-11:svn15878.0-69.f 1291/3616 Installing : texlive-invoice-11:svn48359-69.fc39.noarch 1292/3616 Installing : texlive-ktv-texdata-11:svn27369.05.34-69.fc39. 1293/3616 Installing : texlive-lapdf-11:svn23806.1.1-69.fc39.noarch 1294/3616 Installing : texlive-leading-11:svn15878.0.3-69.fc39.noarch 1295/3616 Installing : texlive-limap-11:svn44863-69.fc39.noarch 1296/3616 Installing : texlive-lineara-11:svn63169-69.fc39.noarch 1297/3616 Installing : texlive-listliketab-11:svn15878.0-69.fc39.noar 1298/3616 Installing : texlive-listofsymbols-11:svn16134.0.2-69.fc39. 1299/3616 Installing : texlive-logicproof-11:svn33254.0-69.fc39.noarc 1300/3616 Installing : texlive-logpap-11:svn15878.0.6-69.fc39.noarch 1301/3616 Installing : texlive-lpic-11:svn20843.0.8-69.fc39.noarch 1302/3616 Installing : texlive-ltablex-11:svn34923.1.1-69.fc39.noarch 1303/3616 Installing : texlive-maybemath-11:svn15878.0-69.fc39.noarch 1304/3616 Installing : texlive-menu-11:svn15878.0.994-69.fc39.noarch 1305/3616 Installing : texlive-miniplot-11:svn17483.0-69.fc39.noarch 1306/3616 Installing : texlive-mugsthesis-11:svn64259-69.fc39.noarch 1307/3616 Installing : texlive-niceframe-11:svn36086.1.1c-69.fc39.noa 1308/3616 Installing : texlive-nomentbl-11:svn16549.0.4-69.fc39.noarc 1309/3616 Installing : texlive-nox-11:svn30991.1.0-69.fc39.noarch 1310/3616 Installing : texlive-nrc-11:svn29027.2.01a-69.fc39.noarch 1311/3616 Installing : texlive-numberedblock-11:svn33109.1.10-69.fc39 1312/3616 Installing : texlive-objectz-11:svn61719-69.fc39.noarch 1313/3616 Installing : texlive-papercdcase-11:svn15878.0-69.fc39.noar 1314/3616 Installing : texlive-qcm-11:svn63833-69.fc39.noarch 1315/3616 Installing : texlive-qstest-11:svn15878.0-69.fc39.noarch 1316/3616 Installing : texlive-rccol-11:svn15878.1.2c-69.fc39.noarch 1317/3616 Installing : texlive-register-11:svn54485-69.fc39.noarch 1318/3616 Installing : texlive-repeatindex-11:svn24305.0.01-69.fc39.n 1319/3616 Installing : texlive-rotpages-11:svn18740.3.0-69.fc39.noarc 1320/3616 Installing : texlive-scalebar-11:svn15878.1.0-69.fc39.noarc 1321/3616 Installing : texlive-sectionbox-11:svn37749.1.01-69.fc39.no 1322/3616 Installing : texlive-semioneside-11:svn15878.v0.41-69.fc39. 1323/3616 Installing : texlive-simplecd-11:svn29260.1.4-69.fc39.noarc 1324/3616 Installing : texlive-songbook-11:svn18136.4.5-69.fc39.noarc 1325/3616 Installing : texlive-statex-11:svn20306.1.6-69.fc39.noarch 1326/3616 Installing : texlive-statex2-11:svn23961.2.1-69.fc39.noarch 1327/3616 Installing : texlive-syllogism-11:svn15878.1.2-69.fc39.noar 1328/3616 Installing : texlive-sympytexpackage-11:svn57090-69.fc39.no 1329/3616 Installing : texlive-tabularborder-11:svn17885.1.0a-69.fc39 1330/3616 Installing : texlive-tabularew-11:svn15878.0.1-69.fc39.noar 1331/3616 Installing : texlive-tagging-11:svn52064-69.fc39.noarch 1332/3616 Installing : texlive-termcal-11:svn22514.1.8-69.fc39.noarch 1333/3616 Installing : texlive-ticket-11:svn42280-69.fc39.noarch 1334/3616 Installing : texlive-unitsdef-11:svn15878.0.2-69.fc39.noarc 1335/3616 Installing : texlive-vwcol-11:svn36254.0.2-69.fc39.noarch 1336/3616 Installing : texlive-warpcol-11:svn15878.1.0c-69.fc39.noarc 1337/3616 Installing : texlive-williams-11:svn15878.0-69.fc39.noarch 1338/3616 Installing : texlive-xcite-11:svn53486-69.fc39.noarch 1339/3616 Installing : texlive-york-thesis-11:svn23348.3.6-69.fc39.no 1340/3616 Installing : texlive-autopdf-11:svn32377.1.1-69.fc39.noarch 1341/3616 Installing : texlive-axodraw2-11:svn58155-77.fc39.x86_64 1342/3616 Installing : texlive-biocon-11:svn15878.0-69.fc39.noarch 1343/3616 Installing : texlive-boites-11:svn32235.1.1-69.fc39.noarch 1344/3616 Installing : texlive-cantarell-11:svn54512-69.fc39.noarch 1345/3616 Installing : texlive-cd-cover-11:svn17121.1.0-69.fc39.noarc 1346/3616 Installing : texlive-cd-11:svn34452.1.4-69.fc39.noarch 1347/3616 Installing : texlive-chemcono-11:svn17119.1.3-69.fc39.noarc 1348/3616 Installing : texlive-clrscode3e-11:svn51137-69.fc39.noarch 1349/3616 Installing : texlive-cmll-11:svn17964.0-69.fc39.noarch 1350/3616 Installing : texlive-colordoc-11:svn18270.0-69.fc39.noarch 1351/3616 Installing : texlive-colorweb-11:svn31490.1.3-69.fc39.noarc 1352/3616 Installing : texlive-combinedgraphics-11:svn27198.0.2.2-69. 1353/3616 Installing : texlive-comfortaa-11:svn54512-69.fc39.noarch 1354/3616 Installing : texlive-constants-11:svn15878.1.0-69.fc39.noar 1355/3616 Installing : texlive-contour-11:svn18950.2.14-69.fc39.noarc 1356/3616 Installing : texlive-countriesofeurope-11:svn54512-69.fc39. 1357/3616 Installing : texlive-courier-scaled-11:svn24940.0-69.fc39.n 1358/3616 Installing : texlive-decorule-11:svn55230-69.fc39.noarch 1359/3616 Installing : texlive-dejavu-11:svn31771.2.34-69.fc39.noarch 1360/3616 Installing : texlive-dnaseq-11:svn17194.0.01-69.fc39.noarch 1361/3616 Installing : texlive-epsdice-11:svn15878.2.1-69.fc39.noarch 1362/3616 Installing : texlive-epspdfconversion-11:svn18703.0.61-69.f 1363/3616 Installing : texlive-errata-11:svn42428-69.fc39.noarch 1364/3616 Installing : texlive-exceltex-11:svn26313-77.fc39.noarch 1365/3616 Installing : texlive-exercise-11:svn35417.1.6-69.fc39.noarc 1366/3616 Installing : texlive-fbithesis-11:svn21340.1.2m-69.fc39.noa 1367/3616 Installing : texlive-feynmf-11:svn17259.1.08-69.fc39.noarch 1368/3616 Installing : texlive-hep-11:svn15878.1.0-69.fc39.noarch 1369/3616 Installing : texlive-hepthesis-11:svn46054-69.fc39.noarch 1370/3616 Installing : texlive-fithesis-11:svn64135-69.fc39.noarch 1371/3616 Installing : texlive-flabels-11:svn17272.1.0-69.fc39.noarch 1372/3616 Installing : texlive-flashmovie-11:svn25768.0.4-69.fc39.noa 1373/3616 Installing : texlive-fullminipage-11:svn34545.0.1.1-69.fc39 1374/3616 Installing : texlive-galois-11:svn15878.1.5-69.fc39.noarch 1375/3616 Installing : texlive-genmpage-11:svn15878.0.3.1-69.fc39.noa 1376/3616 Installing : texlive-gradientframe-11:svn21387.0.2-69.fc39. 1377/3616 Installing : texlive-graphbox-11:svn46360-69.fc39.noarch 1378/3616 Installing : texlive-graphicx-psmin-11:svn56931-69.fc39.noa 1379/3616 Installing : texlive-grfpaste-11:svn17354.0.2-69.fc39.noarc 1380/3616 Installing : texlive-harpoon-11:svn21327.1.0-69.fc39.noarch 1381/3616 Installing : texlive-hletter-11:svn30002.4.2-69.fc39.noarch 1382/3616 Installing : texlive-hyper-11:svn17357.4.2d-69.fc39.noarch 1383/3616 Installing : texlive-ionumbers-11:svn33457.0.3.3-69.fc39.no 1384/3616 Installing : texlive-isorot-11:svn15878.0-69.fc39.noarch 1385/3616 Installing : texlive-jpsj-11:svn66115-69.fc39.noarch 1386/3616 Installing : texlive-keystroke-11:svn17992.v1.6-69.fc39.noa 1387/3616 Installing : texlive-knitting-11:svn50782-69.fc39.noarch 1388/3616 Installing : texlive-lato-11:svn54512-69.fc39.noarch 1389/3616 Installing : texlive-lettre-11:svn54722-69.fc39.noarch 1390/3616 Installing : texlive-lhelp-11:svn23638.2.0-69.fc39.noarch 1391/3616 Installing : texlive-libgreek-11:svn65004-69.fc39.noarch 1392/3616 Installing : texlive-notes-11:svn42428-69.fc39.noarch 1393/3616 Installing : texlive-pagecont-11:svn15878.1.0-69.fc39.noarc 1394/3616 Installing : texlive-pax-11:svn63509-77.fc39.noarch 1395/3616 Installing : texlive-pdfmanagement-testphase-11:svn66532-69 1396/3616 Installing : texlive-petiteannonce-11:svn25915.1.0001-69.fc 1397/3616 Installing : texlive-pinlabel-11:svn24769.1.2-69.fc39.noarc 1398/3616 Installing : texlive-polynom-11:svn44832-69.fc39.noarch 1399/3616 Installing : texlive-polynomial-11:svn15878.1.0-69.fc39.noa 1400/3616 Installing : texlive-quotchap-11:svn56926-69.fc39.noarch 1401/3616 Installing : texlive-refstyle-11:svn20318.0.5-69.fc39.noarc 1402/3616 Installing : texlive-repltext-11:svn56433-69.fc39.noarch 1403/3616 Installing : texlive-rviewport-11:svn23739.v1.0-69.fc39.noa 1404/3616 Installing : texlive-shadowtext-11:svn26522.0.3-69.fc39.noa 1405/3616 Installing : texlive-spotcolor-11:svn15878.1.2-69.fc39.noar 1406/3616 Installing : texlive-statistik-11:svn20334.0.03-69.fc39.noa 1407/3616 Installing : texlive-suppose-11:svn59281-69.fc39.noarch 1408/3616 Installing : texlive-tex-label-11:svn16372.0-69.fc39.noarch 1409/3616 Installing : texlive-texdraw-11:svn64477-69.fc39.noarch 1410/3616 Installing : texlive-texlogos-11:svn19083.1.3.1-69.fc39.noa 1411/3616 Installing : texlive-totcount-11:svn21178.1.2-69.fc39.noarc 1412/3616 Installing : texlive-unamthesis-11:svn43639-69.fc39.noarch 1413/3616 Installing : texlive-usebib-11:svn25969.1.0a-69.fc39.noarch 1414/3616 Installing : texlive-zwpagelayout-11:svn63074-69.fc39.noarc 1415/3616 Installing : texlive-longnamefilelist-11:svn27889.0.2-69.fc 1416/3616 Installing : texlive-gfsartemisia-11:svn19469.1.0-69.fc39.n 1417/3616 Installing : texlive-esint-type1-11:svn15878.0-69.fc39.noar 1418/3616 Installing : texlive-rjlparshap-11:svn15878.1.0-69.fc39.noa 1419/3616 Installing : texlive-frege-11:svn27417.1.3-69.fc39.noarch 1420/3616 Installing : texlive-grundgesetze-11:svn58997-69.fc39.noarc 1421/3616 Installing : texlive-threeparttablex-11:svn34206.0.3-69.fc3 1422/3616 Installing : texlive-storebox-11:svn64967-69.fc39.noarch 1423/3616 Installing : texlive-algxpar-11:svn56006-69.fc39.noarch 1424/3616 Installing : texlive-aeguill-11:svn15878.0-69.fc39.noarch 1425/3616 Installing : texlive-latex-make-11:svn60874-69.fc39.noarch 1426/3616 Installing : texlive-xwatermark-11:svn61719-69.fc39.noarch 1427/3616 Installing : texlive-manuscript-11:svn36110.1.7-69.fc39.noa 1428/3616 Installing : texlive-fouriernc-11:svn29646.0-69.fc39.noarch 1429/3616 Installing : texlive-dozenal-11:svn47680-69.fc39.noarch 1430/3616 Installing : texlive-epigrafica-11:svn17210.1.01-69.fc39.no 1431/3616 Installing : texlive-gfsdidot-11:svn54080-69.fc39.noarch 1432/3616 Installing : texlive-pxgreeks-11:svn21838.1.0-69.fc39.noarc 1433/3616 Installing : texlive-regstats-11:svn25050.1.0h-69.fc39.noar 1434/3616 Installing : texlive-subfigmat-11:svn20308.1.0-69.fc39.noar 1435/3616 Installing : texlive-hypdestopt-11:svn56253-69.fc39.noarch 1436/3616 Installing : texlive-catchfilebetweentags-11:svn21476.1.1-6 1437/3616 Installing : texlive-mmap-11:svn15878.1.03-69.fc39.noarch 1438/3616 Installing : texlive-flippdf-11:svn56782-69.fc39.noarch 1439/3616 Installing : texlive-orientation-11:svn57390-69.fc39.noarch 1440/3616 Installing : texlive-libris-11:svn19409.1.007-69.fc39.noarc 1441/3616 Installing : texlive-keyval2e-11:svn23698.0.0.2-69.fc39.noa 1442/3616 Installing : texlive-skeycommand-11:svn24652.0.4-69.fc39.no 1443/3616 Installing : texlive-tagpair-11:svn42138-69.fc39.noarch 1444/3616 Installing : texlive-txfontsb-11:svn54512-69.fc39.noarch 1445/3616 Installing : texlive-txgreeks-11:svn21839.1.0-69.fc39.noarc 1446/3616 Installing : texlive-magicnum-11:svn52983-69.fc39.noarch 1447/3616 Installing : texlive-g-brief-11:svn50415-69.fc39.noarch 1448/3616 Installing : texlive-cell-11:svn42428-69.fc39.noarch 1449/3616 Installing : texlive-ptptex-11:svn19440.0.91-69.fc39.noarch 1450/3616 Installing : texlive-spie-11:svn15878.3.25-69.fc39.noarch 1451/3616 Installing : texlive-cuisine-11:svn34453.0.7-69.fc39.noarch 1452/3616 Installing : texlive-asyfig-11:svn17512.0.1c-69.fc39.noarch 1453/3616 Installing : texlive-dashrule-11:svn29579.1.3-69.fc39.noarc 1454/3616 Installing : texlive-pagenote-11:svn63708-69.fc39.noarch 1455/3616 Installing : texlive-mcaption-11:svn15878.3.0-69.fc39.noarc 1456/3616 Installing : texlive-pseudocode-11:svn54080-69.fc39.noarch 1457/3616 Installing : texlive-fullwidth-11:svn24684.0.1-69.fc39.noar 1458/3616 Installing : texlive-arcs-11:svn15878.1-69.fc39.noarch 1459/3616 Installing : texlive-metre-11:svn18489.1.0-69.fc39.noarch 1460/3616 Installing : texlive-dashundergaps-11:svn58150-69.fc39.noar 1461/3616 Installing : texlive-bxpdfver-11:svn63185-69.fc39.noarch 1462/3616 Installing : texlive-uaclasses-11:svn15878.0-69.fc39.noarch 1463/3616 Installing : texlive-facsimile-11:svn21328.1.0-69.fc39.noar 1464/3616 Installing : texlive-ijmart-11:svn30958.1.7-69.fc39.noarch 1465/3616 Installing : texlive-mathexam-11:svn15878.1.00-69.fc39.noar 1466/3616 Installing : texlive-keyreader-11:svn28195.0.5b-69.fc39.noa 1467/3616 Installing : texlive-pgfkeyx-11:svn26093.0.0.1-69.fc39.noar 1468/3616 Installing : texlive-colorwav-11:svn15878.1.0-69.fc39.noarc 1469/3616 Installing : texlive-euro-11:svn22191.1.1-69.fc39.noarch 1470/3616 Installing : texlive-spreadtab-11:svn50147-69.fc39.noarch 1471/3616 Installing : texlive-tengwarscript-11:svn34594.1.3.1-69.fc3 1472/3616 Installing : texlive-xprintlen-11:svn35928.1.0-69.fc39.noar 1473/3616 Installing : texlive-biblatex-multiple-dm-11:svn37081.1.0.1 1474/3616 Installing : texlive-codesection-11:svn34481.0.1-69.fc39.no 1475/3616 Installing : texlive-fixltxhyph-11:svn25832.0.4-69.fc39.noa 1476/3616 Installing : texlive-suftesi-11:svn60991-69.fc39.noarch 1477/3616 Installing : texlive-gitinfo-11:svn34049.1.0-69.fc39.noarch 1478/3616 Installing : texlive-handout-11:svn43962-69.fc39.noarch 1479/3616 Installing : texlive-locality-11:svn20422.0.2-69.fc39.noarc 1480/3616 Installing : texlive-lxfonts-11:svn32354.2.0b-69.fc39.noarc 1481/3616 Installing : texlive-seealso-11:svn43595-69.fc39.noarch 1482/3616 Installing : texlive-threadcol-11:svn28754.1.0-69.fc39.noar 1483/3616 Installing : texlive-emarks-11:svn24504.1.0-69.fc39.noarch 1484/3616 Installing : texlive-sidecap-11:svn65618-69.fc39.noarch 1485/3616 Installing : texlive-alg-11:svn15878.0-69.fc39.noarch 1486/3616 Installing : texlive-nmbib-11:svn37984.1.04-69.fc39.noarch 1487/3616 Installing : texlive-revtex4-11:svn56589-69.fc39.noarch 1488/3616 Installing : texlive-biblatex-true-citepages-omit-11:svn446 1489/3616 Installing : texlive-membranecomputing-11:svn64627-69.fc39. 1490/3616 Installing : texlive-muthesis-11:svn23861.0-69.fc39.noarch 1491/3616 Installing : texlive-uiucthesis-11:svn15878.2.25-69.fc39.no 1492/3616 Installing : texlive-chscite-11:svn28552.2.9999-69.fc39.noa 1493/3616 Installing : texlive-directory-11:svn15878.1.20-69.fc39.noa 1494/3616 Installing : texlive-doipubmed-11:svn15878.1.01-69.fc39.noa 1495/3616 Installing : texlive-iso-11:svn15878.2.4-69.fc39.noarch 1496/3616 Installing : texlive-uri-11:svn48602-69.fc39.noarch 1497/3616 Installing : texlive-beamer-rl-11:svn65725-69.fc39.noarch 1498/3616 Installing : texlive-pauldoc-11:svn16005.0.5-69.fc39.noarch 1499/3616 Installing : texlive-anonymouspro-11:svn51631-69.fc39.noarc 1500/3616 Installing : texlive-chet-11:svn45081-69.fc39.noarch 1501/3616 Installing : texlive-chkfloat-11:svn27473.0.1-69.fc39.noarc 1502/3616 Installing : texlive-counttexruns-11:svn27576.1.00a-69.fc39 1503/3616 Installing : texlive-dirtytalk-11:svn20520.1.0-69.fc39.noar 1504/3616 Installing : texlive-makebarcode-11:svn15878.1.0-69.fc39.no 1505/3616 Installing : texlive-modref-11:svn15878.1.0-69.fc39.noarch 1506/3616 Installing : texlive-concmath-11:svn17219.0-69.fc39.noarch 1507/3616 Installing : texlive-fundus-cyr-11:svn26019.0-69.fc39.noarc 1508/3616 Installing : texlive-multiobjective-11:svn15878.1.0-69.fc39 1509/3616 Installing : texlive-piff-11:svn21894.0-69.fc39.noarch 1510/3616 Installing : texlive-proba-11:svn15878.0-69.fc39.noarch 1511/3616 Installing : texlive-todo-11:svn17746.2.142-69.fc39.noarch 1512/3616 Installing : texlive-bigints-11:svn29803.0-69.fc39.noarch 1513/3616 Installing : texlive-commath-11:svn15878.0.3-69.fc39.noarch 1514/3616 Installing : texlive-digiconfigs-11:svn15878.0.5-69.fc39.no 1515/3616 Installing : texlive-functan-11:svn15878.0-69.fc39.noarch 1516/3616 Installing : texlive-gauss-11:svn32934.0-69.fc39.noarch 1517/3616 Installing : texlive-mattens-11:svn62326-69.fc39.noarch 1518/3616 Installing : texlive-sistyle-11:svn59682-69.fc39.noarch 1519/3616 Installing : texlive-cooltooltips-11:svn60201-69.fc39.noarc 1520/3616 Installing : texlive-datetime2-breton-11:svn52647-69.fc39.n 1521/3616 Installing : texlive-datetime2-bulgarian-11:svn47031-69.fc3 1522/3616 Installing : texlive-datetime2-catalan-11:svn47032-69.fc39. 1523/3616 Installing : texlive-datetime2-croatian-11:svn36682.1.0-69. 1524/3616 Installing : texlive-datetime2-czech-11:svn47033-69.fc39.no 1525/3616 Installing : texlive-datetime2-danish-11:svn47034-69.fc39.n 1526/3616 Installing : texlive-datetime2-esperanto-11:svn47356-69.fc3 1527/3616 Installing : texlive-datetime2-estonian-11:svn47565-69.fc39 1528/3616 Installing : texlive-datetime2-finnish-11:svn47047-69.fc39. 1529/3616 Installing : texlive-datetime2-french-11:svn56393-69.fc39.n 1530/3616 Installing : texlive-datetime2-galician-11:svn47631-69.fc39 1531/3616 Installing : texlive-datetime2-german-11:svn53125-69.fc39.n 1532/3616 Installing : texlive-datetime2-greek-11:svn47533-69.fc39.no 1533/3616 Installing : texlive-datetime2-icelandic-11:svn65213-69.fc3 1534/3616 Installing : texlive-datetime2-irish-11:svn47632-69.fc39.no 1535/3616 Installing : texlive-datetime2-italian-11:svn37146.1.3-69.f 1536/3616 Installing : texlive-datetime2-lsorbian-11:svn47749-69.fc39 1537/3616 Installing : texlive-datetime2-magyar-11:svn48266-69.fc39.n 1538/3616 Installing : texlive-datetime2-norsk-11:svn48267-69.fc39.no 1539/3616 Installing : texlive-datetime2-polish-11:svn48456-69.fc39.n 1540/3616 Installing : texlive-datetime2-portuges-11:svn48457-69.fc39 1541/3616 Installing : texlive-datetime2-romanian-11:svn56394-69.fc39 1542/3616 Installing : texlive-datetime2-russian-11:svn49345-69.fc39. 1543/3616 Installing : texlive-datetime2-samin-11:svn49346-69.fc39.no 1544/3616 Installing : texlive-datetime2-scottish-11:svn52101-69.fc39 1545/3616 Installing : texlive-datetime2-serbian-11:svn52893-69.fc39. 1546/3616 Installing : texlive-datetime2-slovak-11:svn52281-69.fc39.n 1547/3616 Installing : texlive-datetime2-slovene-11:svn52282-69.fc39. 1548/3616 Installing : texlive-datetime2-spanish-11:svn45785-69.fc39. 1549/3616 Installing : texlive-datetime2-swedish-11:svn36700.1.0-69.f 1550/3616 Installing : texlive-datetime2-turkish-11:svn52331-69.fc39. 1551/3616 Installing : texlive-datetime2-ukrainian-11:svn47552-69.fc3 1552/3616 Installing : texlive-datetime2-usorbian-11:svn52375-69.fc39 1553/3616 Installing : texlive-datetime2-welsh-11:svn52553-69.fc39.no 1554/3616 Installing : texlive-ecclesiastic-11:svn38172.0.3-69.fc39.n 1555/3616 Installing : texlive-luabibentry-11:svn55777-69.fc39.noarch 1556/3616 Installing : texlive-t-angles-11:svn15878.0-69.fc39.noarch 1557/3616 Installing : texlive-widetable-11:svn53409-69.fc39.noarch 1558/3616 Installing : texlive-allrunes-11:svn42221-69.fc39.noarch 1559/3616 Installing : texlive-alnumsec-11:svn15878.v0.03-69.fc39.noa 1560/3616 Installing : texlive-altfont-11:svn15878.1.1-69.fc39.noarch 1561/3616 Installing : texlive-assignment-11:svn20431.0-69.fc39.noarc 1562/3616 Installing : texlive-beamerthemenord-11:svn56180-69.fc39.no 1563/3616 Installing : texlive-boxhandler-11:svn28031.1.30-69.fc39.no 1564/3616 Installing : texlive-cbcoptic-11:svn16666.0.2-69.fc39.noarc 1565/3616 Installing : texlive-chapterfolder-11:svn15878.2.0.1-69.fc3 1566/3616 Installing : texlive-codedoc-11:svn17630.0.3-69.fc39.noarch 1567/3616 Installing : texlive-complexity-11:svn45322-69.fc39.noarch 1568/3616 Installing : texlive-coseoul-11:svn23862.1.1-69.fc39.noarch 1569/3616 Installing : texlive-ctib-11:svn15878.0-69.fc39.noarch 1570/3616 Installing : texlive-currvita-11:svn15878.0-69.fc39.noarch 1571/3616 Installing : texlive-dblfloatfix-11:svn28983.1.0a-69.fc39.n 1572/3616 Installing : texlive-dotarrow-11:svn15878.0.01a-69.fc39.noa 1573/3616 Installing : texlive-eco-11:svn29349.1.3-69.fc39.noarch 1574/3616 Installing : texlive-exam-11:svn64134-69.fc39.noarch 1575/3616 Installing : texlive-extsizes-11:svn17263.1.4a-69.fc39.noar 1576/3616 Installing : texlive-fonetika-11:svn21326.0-69.fc39.noarch 1577/3616 Installing : texlive-frcursive-11:svn24559.0-69.fc39.noarch 1578/3616 Installing : texlive-hvindex-11:svn46051-69.fc39.noarch 1579/3616 Installing : texlive-labbook-11:svn15878.0-69.fc39.noarch 1580/3616 Installing : texlive-mailmerge-11:svn15878.1.0-69.fc39.noar 1581/3616 Installing : texlive-msg-11:svn49578-69.fc39.noarch 1582/3616 Installing : texlive-multicap-11:svn15878.0-69.fc39.noarch 1583/3616 Installing : texlive-nonfloat-11:svn17598.1.0-69.fc39.noarc 1584/3616 Installing : texlive-nuc-11:svn22256.0.1-69.fc39.noarch 1585/3616 Installing : texlive-ocr-latex-11:svn15878.0-69.fc39.noarch 1586/3616 Installing : texlive-outlines-11:svn25192.1.1-69.fc39.noarc 1587/3616 Installing : texlive-plates-11:svn15878.0.1-69.fc39.noarch 1588/3616 Installing : texlive-srcltx-11:svn15878.1.6-69.fc39.noarch 1589/3616 Installing : texlive-stix-11:svn54512-69.fc39.noarch 1590/3616 Installing : texlive-tokenizer-11:svn15878.1.1.0-69.fc39.no 1591/3616 Installing : texlive-turnstile-11:svn64967-69.fc39.noarch 1592/3616 Installing : texlive-typehtml-11:svn17134.0-69.fc39.noarch 1593/3616 Installing : texlive-uassign-11:svn38459-69.fc39.noarch 1594/3616 Installing : texlive-ucdavisthesis-11:svn40772-69.fc39.noar 1595/3616 Installing : texlive-varsfromjobname-11:svn44154-69.fc39.no 1596/3616 Installing : texlive-yplan-11:svn34398-77.fc39.noarch 1597/3616 Installing : texlive-2up-11:svn55076-69.fc39.noarch 1598/3616 Installing : texlive-a5comb-11:svn17020.4-69.fc39.noarch 1599/3616 Installing : texlive-aaai-named-11:svn52470-69.fc39.noarch 1600/3616 Installing : texlive-abnt-11:svn55471-69.fc39.noarch 1601/3616 Installing : texlive-abntexto-11:svn65705-69.fc39.noarch 1602/3616 Installing : texlive-aboensis-11:svn62977-69.fc39.noarch 1603/3616 Installing : texlive-abraces-11:svn64967-69.fc39.noarch 1604/3616 Installing : texlive-abspos-11:svn64465-69.fc39.noarch 1605/3616 Installing : texlive-abstract-11:svn15878.1.2a-69.fc39.noar 1606/3616 Installing : texlive-academicons-11:svn62622-69.fc39.noarch 1607/3616 Installing : texlive-accessibility-11:svn55777-69.fc39.noar 1608/3616 Installing : texlive-addfont-11:svn58559-69.fc39.noarch 1609/3616 Installing : texlive-adrconv-11:svn46817-69.fc39.noarch 1610/3616 Installing : texlive-aguplus-11:svn17156.1.6b-69.fc39.noarc 1611/3616 Installing : texlive-aichej-11:svn15878.0-69.fc39.noarch 1612/3616 Installing : texlive-ajl-11:svn34016.0-69.fc39.noarch 1613/3616 Installing : texlive-akletter-11:svn15878.1.5i-69.fc39.noar 1614/3616 Installing : texlive-alfaslabone-11:svn57452-69.fc39.noarch 1615/3616 Installing : texlive-algolrevived-11:svn56864-69.fc39.noarc 1616/3616 Installing : texlive-aligned-overset-11:svn47290-69.fc39.no 1617/3616 Installing : texlive-almendra-11:svn64539-69.fc39.noarch 1618/3616 Installing : texlive-almfixed-11:svn35065.0.92-69.fc39.noar 1619/3616 Installing : texlive-alterqcm-11:svn59265-69.fc39.noarch 1620/3616 Installing : texlive-altsubsup-11:svn62738-69.fc39.noarch 1621/3616 Installing : texlive-amsaddr-11:svn64357-69.fc39.noarch 1622/3616 Installing : texlive-amscdx-11:svn51532-69.fc39.noarch 1623/3616 Installing : texlive-andika-11:svn64540-69.fc39.noarch 1624/3616 Installing : texlive-annotate-equations-11:svn65851-69.fc39 1625/3616 Installing : texlive-annotate-11:svn52824-69.fc39.noarch 1626/3616 Installing : texlive-anonchap-11:svn17049.1.1a-69.fc39.noar 1627/3616 Installing : texlive-anonymous-acm-11:svn55121-69.fc39.noar 1628/3616 Installing : texlive-antiqua-11:svn24266.001.003-69.fc39.no 1629/3616 Installing : texlive-antt-11:svn18651.2.08-69.fc39.noarch 1630/3616 Installing : texlive-aobs-tikz-11:svn32662.1.0-69.fc39.noar 1631/3616 Installing : texlive-apa7-11:svn63974-69.fc39.noarch 1632/3616 Installing : texlive-apalike-ejor-11:svn59667-69.fc39.noarc 1633/3616 Installing : texlive-apalike2-11:svn54080-69.fc39.noarch 1634/3616 Installing : texlive-appendixnumberbeamer-11:svn46317-69.fc 1635/3616 Installing : texlive-apptools-11:svn28400.1.0-69.fc39.noarc 1636/3616 Installing : texlive-arabicfront-11:svn51474-69.fc39.noarch 1637/3616 Installing : texlive-archaeologie-11:svn57090-69.fc39.noarc 1638/3616 Installing : texlive-archaic-11:svn38005.0-69.fc39.noarch 1639/3616 Installing : texlive-arimo-11:svn64548-69.fc39.noarch 1640/3616 Installing : texlive-arphic-11:svn15878.0-69.fc39.noarch 1641/3616 Installing : texlive-arraycols-11:svn61719-69.fc39.noarch 1642/3616 Installing : texlive-articleingud-11:svn38741-69.fc39.noarc 1643/3616 Installing : texlive-arvo-11:svn57213-69.fc39.noarch 1644/3616 Installing : texlive-asaetr-11:svn15878.1.0a-69.fc39.noarch 1645/3616 Installing : texlive-asana-math-11:svn59629-69.fc39.noarch 1646/3616 Installing : texlive-asapsym-11:svn40201-69.fc39.noarch 1647/3616 Installing : texlive-asciilist-11:svn49060-69.fc39.noarch 1648/3616 Installing : texlive-ascmac-11:svn53411-69.fc39.noarch 1649/3616 Installing : texlive-askinclude-11:svn54725-69.fc39.noarch 1650/3616 Installing : texlive-aspectratio-11:svn25243.2.0-69.fc39.no 1651/3616 Installing : texlive-astro-11:svn15878.2.20-69.fc39.noarch 1652/3616 Installing : texlive-atendofenv-11:svn62164-69.fc39.noarch 1653/3616 Installing : texlive-atkinson-11:svn64385-69.fc39.noarch 1654/3616 Installing : texlive-aucklandthesis-11:svn51323-69.fc39.noa 1655/3616 Installing : texlive-augie-11:svn61719-69.fc39.noarch 1656/3616 Installing : texlive-auncial-new-11:svn62977-69.fc39.noarch 1657/3616 Installing : texlive-aurical-11:svn15878.1.5-69.fc39.noarch 1658/3616 Installing : texlive-aurl-11:svn41853-69.fc39.noarch 1659/3616 Installing : texlive-authoraftertitle-11:svn55889-69.fc39.n 1660/3616 Installing : texlive-authorarchive-11:svn65777-69.fc39.noar 1661/3616 Installing : texlive-authordate-11:svn52564-69.fc39.noarch 1662/3616 Installing : texlive-authorindex-11:svn51757-77.fc39.noarch 1663/3616 Installing : texlive-autoarea-11:svn59552-69.fc39.noarch 1664/3616 Installing : texlive-autobreak-11:svn43337-69.fc39.noarch 1665/3616 Installing : texlive-autofancyhdr-11:svn54049-69.fc39.noarc 1666/3616 Installing : texlive-autopuncitems-11:svn63045-69.fc39.noar 1667/3616 Installing : texlive-axessibility-11:svn57105-69.fc39.noarc 1668/3616 Installing : texlive-b1encoding-11:svn21271.1.0-69.fc39.noa 1669/3616 Installing : texlive-babel-dutch-11:svn60362-69.fc39.noarch 1670/3616 Installing : texlive-babel-english-11:svn44495-69.fc39.noar 1671/3616 Installing : texlive-backnaur-11:svn54080-69.fc39.noarch 1672/3616 Installing : texlive-bangorexam-11:svn65140-69.fc39.noarch 1673/3616 Installing : texlive-barcodes-11:svn15878.0-69.fc39.noarch 1674/3616 Installing : texlive-basicarith-11:svn35460.1.1-69.fc39.noa 1675/3616 Installing : texlive-baskervillef-11:svn55475-69.fc39.noarc 1676/3616 Installing : texlive-bath-bst-11:svn63398-69.fc39.noarch 1677/3616 Installing : texlive-bbold-11:svn17187.1.01-69.fc39.noarch 1678/3616 Installing : texlive-bbold-type1-11:svn33143.0-69.fc39.noar 1679/3616 Installing : texlive-bboldx-11:svn65424-69.fc39.noarch 1680/3616 Installing : texlive-bchart-11:svn43928-69.fc39.noarch 1681/3616 Installing : texlive-beamer-fuberlin-11:svn63161-69.fc39.no 1682/3616 Installing : texlive-beamer-verona-11:svn39180-69.fc39.noar 1683/3616 Installing : texlive-beamerappendixnote-11:svn55732-69.fc39 1684/3616 Installing : texlive-beamerauxtheme-11:svn56087-69.fc39.noa 1685/3616 Installing : texlive-beamercolorthemeowl-11:svn40105-69.fc3 1686/3616 Installing : texlive-beamerdarkthemes-11:svn55117-69.fc39.n 1687/3616 Installing : texlive-beamerswitch-11:svn64182-69.fc39.noarc 1688/3616 Installing : texlive-beamertheme-arguelles-11:svn66358-69.f 1689/3616 Installing : texlive-beamertheme-cuerna-11:svn42161-69.fc39 1690/3616 Installing : texlive-beamertheme-detlevcm-11:svn39048-69.fc 1691/3616 Installing : texlive-beamertheme-epyt-11:svn41404-69.fc39.n 1692/3616 Installing : texlive-beamertheme-focus-11:svn62551-69.fc39. 1693/3616 Installing : texlive-beamertheme-light-11:svn49867-69.fc39. 1694/3616 Installing : texlive-beamertheme-npbt-11:svn54512-69.fc39.n 1695/3616 Installing : texlive-beamertheme-phnompenh-11:svn39100-69.f 1696/3616 Installing : texlive-beamertheme-pure-minimalistic-11:svn56 1697/3616 Installing : texlive-beamertheme-saintpetersburg-11:svn4587 1698/3616 Installing : texlive-beamertheme-simpledarkblue-11:svn60061 1699/3616 Installing : texlive-beamertheme-simpleplus-11:svn64770-69. 1700/3616 Installing : texlive-beamertheme-tcolorbox-11:svn64387-69.f 1701/3616 Installing : texlive-beamertheme-trigon-11:svn65985-69.fc39 1702/3616 Installing : texlive-beamertheme-upenn-bc-11:svn29937.1.0-6 1703/3616 Installing : texlive-beamerthemeamurmaple-11:svn65698-69.fc 1704/3616 Installing : texlive-beamerthemejltree-11:svn21977.1.1-69.f 1705/3616 Installing : texlive-beamerthemelalic-11:svn58777-69.fc39.n 1706/3616 Installing : texlive-bearwear-11:svn54826-69.fc39.noarch 1707/3616 Installing : texlive-begriff-11:svn15878.1.6-69.fc39.noarch 1708/3616 Installing : texlive-beilstein-11:svn56193-69.fc39.noarch 1709/3616 Installing : texlive-belleek-11:svn66115-69.fc39.noarch 1710/3616 Installing : texlive-besjournals-11:svn45662-69.fc39.noarch 1711/3616 Installing : texlive-bestpapers-11:svn38708-69.fc39.noarch 1712/3616 Installing : texlive-beuron-11:svn46374-69.fc39.noarch 1713/3616 Installing : texlive-bez123-11:svn15878.1.1b-69.fc39.noarch 1714/3616 Installing : texlive-bfh-ci-11:svn66461-69.fc39.noarch 1715/3616 Installing : texlive-bibarts-11:svn64579-69.fc39.noarch 1716/3616 Installing : texlive-bibexport-11:svn50677-77.fc39.noarch 1717/3616 Installing : texlive-bibhtml-11:svn31607.2.0.2-69.fc39.noar 1718/3616 Installing : texlive-biblatex-abnt-11:svn49179-69.fc39.noar 1719/3616 Installing : texlive-biblatex-ajc2020unofficial-11:svn54401 1720/3616 Installing : texlive-biblatex-anonymous-11:svn48548-69.fc39 1721/3616 Installing : texlive-biblatex-apa6-11:svn56209-69.fc39.noar 1722/3616 Installing : texlive-biblatex-archaeology-11:svn53281-69.fc 1723/3616 Installing : texlive-biblatex-arthistory-bonn-11:svn46637-6 1724/3616 Installing : texlive-biblatex-bath-11:svn63401-69.fc39.noar 1725/3616 Installing : texlive-biblatex-bookinarticle-11:svn40323-69. 1726/3616 Installing : texlive-biblatex-bookinother-11:svn54015-69.fc 1727/3616 Installing : texlive-biblatex-claves-11:svn43723-69.fc39.no 1728/3616 Installing : texlive-biblatex-cv-11:svn59433-69.fc39.noarch 1729/3616 Installing : texlive-biblatex-enc-11:svn44627-69.fc39.noarc 1730/3616 Installing : texlive-biblatex-ext-11:svn63638-69.fc39.noarc 1731/3616 Installing : texlive-biblatex-german-legal-11:svn66461-69.f 1732/3616 Installing : texlive-biblatex-ijsra-11:svn41634-69.fc39.noa 1733/3616 Installing : texlive-biblatex-iso690-11:svn62866-69.fc39.no 1734/3616 Installing : texlive-biblatex-jura2-11:svn64762-69.fc39.noa 1735/3616 Installing : texlive-biblatex-license-11:svn58437-69.fc39.n 1736/3616 Installing : texlive-biblatex-lncs-11:svn65280-69.fc39.noar 1737/3616 Installing : texlive-biblatex-lni-11:svn61719-69.fc39.noarc 1738/3616 Installing : texlive-biblatex-morenames-11:svn43049-69.fc39 1739/3616 Installing : texlive-biblatex-nottsclassic-11:svn41596-69.f 1740/3616 Installing : texlive-biblatex-oxref-11:svn65707-69.fc39.noa 1741/3616 Installing : texlive-biblatex-readbbl-11:svn61549-69.fc39.n 1742/3616 Installing : texlive-biblatex-sbl-11:svn63639-69.fc39.noarc 1743/3616 Installing : texlive-biblatex-shortfields-11:svn45858-69.fc 1744/3616 Installing : texlive-biblatex-socialscienceshuberlin-11:svn 1745/3616 Installing : texlive-biblatex-software-11:svn64030-69.fc39. 1746/3616 Installing : texlive-biblatex-spbasic-11:svn61439-69.fc39.n 1747/3616 Installing : texlive-biblatex-swiss-legal-11:svn64491-69.fc 1748/3616 Installing : texlive-biblatex-unified-11:svn64975-69.fc39.n 1749/3616 Installing : texlive-biblatex-vancouver-11:svn55339-69.fc39 1750/3616 Installing : texlive-biblatex2bibitem-11:svn61648-69.fc39.n 1751/3616 Installing : texlive-bibletext-11:svn45196-69.fc39.noarch 1752/3616 Installing : texlive-biblist-11:svn17116.0-69.fc39.noarch 1753/3616 Installing : texlive-bibtexperllibs-11:svn57137-69.fc39.noa 1754/3616 Installing : texlive-bilingualpages-11:svn59643-69.fc39.noa 1755/3616 Installing : texlive-binarytree-11:svn41777-69.fc39.noarch 1756/3616 Installing : texlive-biochemistry-colors-11:svn54512-69.fc3 1757/3616 Installing : texlive-biolett-bst-11:svn66115-69.fc39.noarch 1758/3616 Installing : texlive-bithesis-11:svn66622-69.fc39.noarch 1759/3616 Installing : texlive-bitpattern-11:svn39073-69.fc39.noarch 1760/3616 Installing : texlive-bitter-11:svn64541-69.fc39.noarch 1761/3616 Installing : texlive-bjfuthesis-11:svn59809-69.fc39.noarch 1762/3616 Installing : texlive-blacklettert1-11:svn15878.0-69.fc39.no 1763/3616 Installing : texlive-blkarray-11:svn36406.0.07-69.fc39.noar 1764/3616 Installing : texlive-blochsphere-11:svn38388-69.fc39.noarch 1765/3616 Installing : texlive-block-11:svn17209.0-69.fc39.noarch 1766/3616 Installing : texlive-bloques-11:svn22490.1.0-69.fc39.noarch 1767/3616 Installing : texlive-bmstu-iu8-11:svn61937-69.fc39.noarch 1768/3616 Installing : texlive-bmstu-11:svn65897-69.fc39.noarch 1769/3616 Installing : texlive-bodeplot-11:svn65074-69.fc39.noarch 1770/3616 Installing : texlive-boisik-11:svn15878.0.5-69.fc39.noarch 1771/3616 Installing : texlive-bold-extra-11:svn17076.0.1-69.fc39.noa 1772/3616 Installing : texlive-boldtensors-11:svn15878.0-69.fc39.noar 1773/3616 Installing : texlive-book-of-common-prayer-11:svn62240-69.f 1774/3616 Installing : texlive-bookdb-11:svn37536.0.2-69.fc39.noarch 1775/3616 Installing : texlive-bookhands-11:svn46480-69.fc39.noarch 1776/3616 Installing : texlive-booklet-11:svn15878.0.7b-69.fc39.noarc 1777/3616 Installing : texlive-bookshelf-11:svn55475-69.fc39.noarch 1778/3616 Installing : texlive-boolexpr-11:svn17830.3.14-69.fc39.noar 1779/3616 Installing : texlive-bracketkey-11:svn17129.1.0-69.fc39.noa 1780/3616 Installing : texlive-braids-11:svn64817-69.fc39.noarch 1781/3616 Installing : texlive-braille-11:svn20655.0-69.fc39.noarch 1782/3616 Installing : texlive-brandeis-problemset-11:svn50991-69.fc3 1783/3616 Installing : texlive-brandeis-thesis-11:svn59832-69.fc39.no 1784/3616 Installing : texlive-breakcites-11:svn21014-69.fc39.noarch 1785/3616 Installing : texlive-bropd-11:svn35383.1.2-69.fc39.noarch 1786/3616 Installing : texlive-brushscr-11:svn28363.0-69.fc39.noarch 1787/3616 Installing : texlive-bubblesort-11:svn56070-69.fc39.noarch 1788/3616 Installing : texlive-buctthesis-11:svn64004-69.fc39.noarch 1789/3616 Installing : texlive-bullcntr-11:svn15878.0.04-69.fc39.noar 1790/3616 Installing : texlive-bussproofs-extra-11:svn51299-69.fc39.n 1791/3616 Installing : texlive-bussproofs-11:svn54080-69.fc39.noarch 1792/3616 Installing : texlive-bxcalc-11:svn56431-69.fc39.noarch 1793/3616 Installing : texlive-bxdpx-beamer-11:svn41813-69.fc39.noarc 1794/3616 Installing : texlive-bxdvidriver-11:svn43219-69.fc39.noarch 1795/3616 Installing : texlive-bxenclose-11:svn40213-69.fc39.noarch 1796/3616 Installing : texlive-bxnewfont-11:svn44173-69.fc39.noarch 1797/3616 Installing : texlive-bxpapersize-11:svn63174-69.fc39.noarch 1798/3616 Installing : texlive-bxtexlogo-11:svn63231-69.fc39.noarch 1799/3616 Installing : texlive-byo-twemojis-11:svn58917-69.fc39.noarc 1800/3616 Installing : texlive-calligra-11:svn15878.0-69.fc39.noarch 1801/3616 Installing : texlive-calligra-type1-11:svn24302.001.000-69. 1802/3616 Installing : texlive-callouts-11:svn44899-69.fc39.noarch 1803/3616 Installing : texlive-calrsfs-11:svn17125.0-69.fc39.noarch 1804/3616 Installing : texlive-cals-11:svn43003-69.fc39.noarch 1805/3616 Installing : texlive-canoniclayout-11:svn64889-69.fc39.noar 1806/3616 Installing : texlive-capt-of-11:svn29803.0-69.fc39.noarch 1807/3616 Installing : texlive-captcont-11:svn15878.2.0-69.fc39.noarc 1808/3616 Installing : texlive-captdef-11:svn17353.0-69.fc39.noarch 1809/3616 Installing : texlive-carbohydrates-11:svn39000-69.fc39.noar 1810/3616 Installing : texlive-carolmin-ps-11:svn15878.0-69.fc39.noar 1811/3616 Installing : texlive-cascade-11:svn65757-69.fc39.noarch 1812/3616 Installing : texlive-cascadia-code-11:svn57478-69.fc39.noar 1813/3616 Installing : texlive-casyl-11:svn15878.2.0-69.fc39.noarch 1814/3616 Installing : texlive-catcodes-11:svn38859-69.fc39.noarch 1815/3616 Installing : texlive-nicetext-11:svn38914-69.fc39.noarch 1816/3616 Installing : texlive-causets-11:svn66359-69.fc39.noarch 1817/3616 Installing : texlive-ccfonts-11:svn61431-69.fc39.noarch 1818/3616 Installing : texlive-ccool-11:svn60600-69.fc39.noarch 1819/3616 Installing : texlive-cdcmd-11:svn60742-69.fc39.noarch 1820/3616 Installing : texlive-celtic-11:svn39797-69.fc39.noarch 1821/3616 Installing : texlive-censor-11:svn63126-69.fc39.noarch 1822/3616 Installing : texlive-centeredline-11:svn64672-69.fc39.noarc 1823/3616 Installing : texlive-centerlastline-11:svn56644-69.fc39.noa 1824/3616 Installing : texlive-cesenaexam-11:svn44960-69.fc39.noarch 1825/3616 Installing : texlive-chappg-11:svn15878.2.1b-69.fc39.noarch 1826/3616 Installing : texlive-charissil-11:svn64998-69.fc39.noarch 1827/3616 Installing : texlive-chbibref-11:svn17120.1.0-69.fc39.noarc 1828/3616 Installing : texlive-cheatsheet-11:svn45069-69.fc39.noarch 1829/3616 Installing : texlive-checkend-11:svn51475-69.fc39.noarch 1830/3616 Installing : texlive-chem-journal-11:svn15878.0-69.fc39.noa 1831/3616 Installing : texlive-chemarrow-11:svn17146.0.9-69.fc39.noar 1832/3616 Installing : texlive-chembst-11:svn15878.0.2.5-69.fc39.noar 1833/3616 Installing : texlive-chemobabel-11:svn64778-69.fc39.noarch 1834/3616 Installing : texlive-chemplants-11:svn60606-69.fc39.noarch 1835/3616 Installing : texlive-chemsec-11:svn46972-69.fc39.noarch 1836/3616 Installing : texlive-cherokee-11:svn21046.0-69.fc39.noarch 1837/3616 Installing : texlive-chicago-annote-11:svn15878.0-69.fc39.n 1838/3616 Installing : texlive-chicago-11:svn15878.0-69.fc39.noarch 1839/3616 Installing : texlive-chicagoa-11:svn52567-69.fc39.noarch 1840/3616 Installing : texlive-chifoot-11:svn57312-69.fc39.noarch 1841/3616 Installing : texlive-childdoc-11:svn49543-69.fc39.noarch 1842/3616 Installing : texlive-chivo-11:svn65029-69.fc39.noarch 1843/3616 Installing : texlive-chletter-11:svn20060.2.0-69.fc39.noarc 1844/3616 Installing : texlive-circ-11:svn62977-69.fc39.noarch 1845/3616 Installing : texlive-circledsteps-11:svn63255-69.fc39.noarc 1846/3616 Installing : texlive-circledtext-11:svn63166-69.fc39.noarch 1847/3616 Installing : texlive-circuit-macros-11:svn66549-69.fc39.noa 1848/3616 Installing : texlive-citeref-11:svn47407-69.fc39.noarch 1849/3616 Installing : texlive-cje-11:svn46721-69.fc39.noarch 1850/3616 Installing : texlive-cjkpunct-11:svn41119-69.fc39.noarch 1851/3616 Installing : texlive-clara-11:svn54512-69.fc39.noarch 1852/3616 Installing : texlive-classpack-11:svn55218-69.fc39.noarch 1853/3616 Installing : texlive-clefval-11:svn55985-69.fc39.noarch 1854/3616 Installing : texlive-clipboard-11:svn47747-69.fc39.noarch 1855/3616 Installing : texlive-clistmap-11:svn61811-69.fc39.noarch 1856/3616 Installing : texlive-clock-11:svn15878.0-69.fc39.noarch 1857/3616 Installing : texlive-clrdblpg-11:svn47511-69.fc39.noarch 1858/3616 Installing : texlive-clrscode-11:svn51136-69.fc39.noarch 1859/3616 Installing : texlive-clrstrip-11:svn60363-69.fc39.noarch 1860/3616 Installing : texlive-cm-lgc-11:svn28250.0.5-69.fc39.noarch 1861/3616 Installing : texlive-cm-mf-extra-bold-11:svn54512-69.fc39.n 1862/3616 Installing : texlive-cm-unicode-11:svn58661-69.fc39.noarch 1863/3616 Installing : texlive-cmathbb-11:svn56414-69.fc39.noarch 1864/3616 Installing : texlive-cmdstring-11:svn15878.1.1-69.fc39.noar 1865/3616 Installing : texlive-cmdtrack-11:svn28910-69.fc39.noarch 1866/3616 Installing : texlive-cmexb-11:svn54074-69.fc39.noarch 1867/3616 Installing : texlive-cmextra-11:svn57866-69.fc39.noarch 1868/3616 Installing : texlive-cmpica-11:svn15878.0-69.fc39.noarch 1869/3616 Installing : texlive-cmsd-11:svn18787.0-69.fc39.noarch 1870/3616 Installing : texlive-cmsrb-11:svn54706-69.fc39.noarch 1871/3616 Installing : texlive-cmupint-11:svn54735-69.fc39.noarch 1872/3616 Installing : texlive-cns-11:svn45677-69.fc39.noarch 1873/3616 Installing : texlive-cochineal-11:svn62063-69.fc39.noarch 1874/3616 Installing : texlive-codebox-11:svn61771-69.fc39.noarch 1875/3616 Installing : texlive-codehigh-11:svn65787-69.fc39.noarch 1876/3616 Installing : texlive-codepage-11:svn51502-69.fc39.noarch 1877/3616 Installing : texlive-coelacanth-11:svn64558-69.fc39.noarch 1878/3616 Installing : texlive-coffeestains-11:svn59703-69.fc39.noarc 1879/3616 Installing : texlive-collref-11:svn46358-69.fc39.noarch 1880/3616 Installing : texlive-colophon-11:svn47913-69.fc39.noarch 1881/3616 Installing : texlive-color-edits-11:svn56707-69.fc39.noarch 1882/3616 Installing : texlive-colorframed-11:svn64551-69.fc39.noarch 1883/3616 Installing : texlive-colorinfo-11:svn15878.0.3c-69.fc39.noa 1884/3616 Installing : texlive-coloring-11:svn41042-69.fc39.noarch 1885/3616 Installing : texlive-colorprofiles-11:svn49086-69.fc39.noar 1886/3616 Installing : texlive-combelow-11:svn18462.0.99f-69.fc39.noa 1887/3616 Installing : texlive-comma-11:svn18259.1.2-69.fc39.noarch 1888/3616 Installing : texlive-commado-11:svn38875-69.fc39.noarch 1889/3616 Installing : texlive-commedit-11:svn50116-69.fc39.noarch 1890/3616 Installing : texlive-commonunicode-11:svn62901-69.fc39.noar 1891/3616 Installing : texlive-commutative-diagrams-11:svn55526-69.fc 1892/3616 Installing : texlive-compactbib-11:svn15878.0-69.fc39.noarc 1893/3616 Installing : texlive-competences-11:svn47573-69.fc39.noarch 1894/3616 Installing : texlive-concmath-fonts-11:svn17218.0-69.fc39.n 1895/3616 Installing : texlive-concmath-otf-11:svn65683-69.fc39.noarc 1896/3616 Installing : texlive-concprog-11:svn18791.0-69.fc39.noarch 1897/3616 Installing : texlive-concrete-11:svn57963-69.fc39.noarch 1898/3616 Installing : texlive-conditext-11:svn55387-69.fc39.noarch 1899/3616 Installing : texlive-continue-11:svn49449-69.fc39.noarch 1900/3616 Installing : texlive-conv-xkv-11:svn43558-69.fc39.noarch 1901/3616 Installing : texlive-convbkmk-11:svn49252-77.fc39.noarch 1902/3616 Installing : texlive-cooking-11:svn15878.0.9b-69.fc39.noarc 1903/3616 Installing : texlive-coolfn-11:svn66221-69.fc39.noarch 1904/3616 Installing : texlive-coop-writing-11:svn61607-69.fc39.noarc 1905/3616 Installing : texlive-cooperhewitt-11:svn64967-69.fc39.noarc 1906/3616 Installing : texlive-coordsys-11:svn15878.1.4-69.fc39.noarc 1907/3616 Installing : texlive-cormorantgaramond-11:svn64411-69.fc39. 1908/3616 Installing : texlive-correctmathalign-11:svn44131-69.fc39.n 1909/3616 Installing : texlive-courierten-11:svn55436-69.fc39.noarch 1910/3616 Installing : texlive-courseoutline-11:svn15878.1.0-69.fc39. 1911/3616 Installing : texlive-coursepaper-11:svn15878.2.0-69.fc39.no 1912/3616 Installing : texlive-cprotectinside-11:svn63833-69.fc39.noa 1913/3616 Installing : texlive-cquthesis-11:svn55643-69.fc39.noarch 1914/3616 Installing : texlive-crimson-11:svn64559-69.fc39.noarch 1915/3616 Installing : texlive-crimsonpro-11:svn64565-69.fc39.noarch 1916/3616 Installing : texlive-crossreference-11:svn15878.0-69.fc39.n 1917/3616 Installing : texlive-crossreftools-11:svn55879-69.fc39.noar 1918/3616 Installing : texlive-cryst-11:svn15878.0-69.fc39.noarch 1919/3616 Installing : texlive-csassignments-11:svn63992-69.fc39.noar 1920/3616 Installing : texlive-css-colors-11:svn54512-69.fc39.noarch 1921/3616 Installing : texlive-csvmerge-11:svn51857-69.fc39.noarch 1922/3616 Installing : texlive-ctablestack-11:svn38514-69.fc39.noarch 1923/3616 Installing : texlive-luatexbase-11:svn52663-69.fc39.noarch 1924/3616 Installing : texlive-luacode-11:svn25193.1.2a-69.fc39.noarc 1925/3616 Installing : texlive-luainputenc-11:svn20491.0.973-69.fc39. 1926/3616 Installing : texlive-chextras-11:svn27118.1.01-69.fc39.noar 1927/3616 Installing : texlive-luamplib-11:svn61587-69.fc39.noarch 1928/3616 Installing : texlive-byrne-11:svn61943-69.fc39.noarch 1929/3616 Installing : texlive-cuprum-11:svn49909-69.fc39.noarch 1930/3616 Installing : texlive-currency-11:svn48990-69.fc39.noarch 1931/3616 Installing : texlive-custom-bib-11:svn24729.4.33-69.fc39.no 1932/3616 Installing : texlive-cutwin-11:svn60901-69.fc39.noarch 1933/3616 Installing : texlive-cv-11:svn15878.0-69.fc39.noarch 1934/3616 Installing : texlive-cvss-11:svn65169-69.fc39.noarch 1935/3616 Installing : texlive-cybercic-11:svn37659.2.1-69.fc39.noarc 1936/3616 Installing : texlive-cyklop-11:svn18651.0.915-69.fc39.noarc 1937/3616 Installing : texlive-dancers-11:svn13293.0-69.fc39.noarch 1938/3616 Installing : texlive-darkmode-11:svn64271-69.fc39.noarch 1939/3616 Installing : texlive-datax-11:svn61772-69.fc39.noarch 1940/3616 Installing : texlive-datestamp-11:svn61719-69.fc39.noarch 1941/3616 Installing : texlive-datetime2-bahasai-11:svn46287-69.fc39. 1942/3616 Installing : texlive-datetime2-basque-11:svn47064-69.fc39.n 1943/3616 Installing : texlive-datetime2-dutch-11:svn47355-69.fc39.no 1944/3616 Installing : texlive-datetime2-english-11:svn52479-69.fc39. 1945/3616 Installing : texlive-datetime2-hebrew-11:svn47534-69.fc39.n 1946/3616 Installing : texlive-datetime2-latin-11:svn47748-69.fc39.no 1947/3616 Installing : texlive-dbshow-11:svn61634-69.fc39.noarch 1948/3616 Installing : texlive-dccpaper-11:svn61763-69.fc39.noarch 1949/3616 Installing : texlive-dcpic-11:svn30206.5.0.0-69.fc39.noarch 1950/3616 Installing : texlive-decimal-11:svn23374.0-69.fc39.noarch 1951/3616 Installing : texlive-dehyph-11:svn48599-69.fc39.noarch 1952/3616 Installing : texlive-pdftex-11:svn66243-77.fc39.x86_64 1953/3616 Installing : texlive-pdfx-11:svn50338-69.fc39.noarch 1954/3616 Installing : texlive-pdfcrop-11:svn55435-77.fc39.noarch 1955/3616 Installing : texlive-dejavu-otf-11:svn45991-69.fc39.noarch 1956/3616 Installing : texlive-delim-11:svn23974.1.0-69.fc39.noarch 1957/3616 Installing : texlive-delimseasy-11:svn39589-69.fc39.noarch 1958/3616 Installing : texlive-delimset-11:svn49544-69.fc39.noarch 1959/3616 Installing : texlive-delimtxt-11:svn16549.0-69.fc39.noarch 1960/3616 Installing : texlive-democodetools-11:svn64314-69.fc39.noar 1961/3616 Installing : texlive-derivative-11:svn63850-69.fc39.noarch 1962/3616 Installing : texlive-diabetes-logbook-11:svn54810-69.fc39.n 1963/3616 Installing : texlive-diagnose-11:svn19387.0.2-69.fc39.noarc 1964/3616 Installing : texlive-dialogl-11:svn28946.0-69.fc39.noarch 1965/3616 Installing : texlive-dice-11:svn28501.0-69.fc39.noarch 1966/3616 Installing : texlive-dichokey-11:svn17192.0-69.fc39.noarch 1967/3616 Installing : texlive-dimnum-11:svn58774-69.fc39.noarch 1968/3616 Installing : texlive-din1505-11:svn19441.0-69.fc39.noarch 1969/3616 Installing : texlive-dinbrief-11:svn15878.0-69.fc39.noarch 1970/3616 Installing : texlive-dingbat-11:svn27918.1.0-69.fc39.noarch 1971/3616 Installing : texlive-dirtree-11:svn42428-69.fc39.noarch 1972/3616 Installing : texlive-ditaa-11:svn48932-69.fc39.noarch 1973/3616 Installing : texlive-docmfp-11:svn15878.1.2d-69.fc39.noarch 1974/3616 Installing : texlive-docmute-11:svn25741.1.4-69.fc39.noarch 1975/3616 Installing : texlive-documentation-11:svn34521.0.1-69.fc39. 1976/3616 Installing : texlive-docutils-11:svn56594-69.fc39.noarch 1977/3616 Installing : texlive-domitian-11:svn55286-69.fc39.noarch 1978/3616 Installing : texlive-dotlessi-11:svn51476-69.fc39.noarch 1979/3616 Installing : texlive-dotseqn-11:svn17195.1.1-69.fc39.noarch 1980/3616 Installing : texlive-doulossil-11:svn63255-69.fc39.noarch 1981/3616 Installing : texlive-dpcircling-11:svn54994-69.fc39.noarch 1982/3616 Installing : texlive-dpfloat-11:svn17196.0-69.fc39.noarch 1983/3616 Installing : texlive-dprogress-11:svn15878.0.1-69.fc39.noar 1984/3616 Installing : texlive-draftfigure-11:svn44854-69.fc39.noarch 1985/3616 Installing : texlive-dratex-11:svn15878.0-69.fc39.noarch 1986/3616 Installing : texlive-drawmatrix-11:svn44471-69.fc39.noarch 1987/3616 Installing : texlive-drs-11:svn19232.1.1b-69.fc39.noarch 1988/3616 Installing : texlive-dsserif-11:svn60898-69.fc39.noarch 1989/3616 Installing : texlive-dtxdescribe-11:svn65445-69.fc39.noarch 1990/3616 Installing : texlive-ducksay-11:svn64655-69.fc39.noarch 1991/3616 Installing : texlive-duckuments-11:svn52271-69.fc39.noarch 1992/3616 Installing : texlive-duerer-latex-11:svn15878.1.1-69.fc39.n 1993/3616 Installing : texlive-duerer-11:svn20741.0-69.fc39.noarch 1994/3616 Installing : texlive-duotenzor-11:svn18728.1.00-69.fc39.noa 1995/3616 Installing : texlive-dynamicnumber-11:svn38726-69.fc39.noar 1996/3616 Installing : texlive-easing-11:svn59975-69.fc39.noarch 1997/3616 Installing : texlive-easy-11:svn19440.0.99-69.fc39.noarch 1998/3616 Installing : texlive-easybook-11:svn64976-69.fc39.noarch 1999/3616 Installing : texlive-easyformat-11:svn44543-69.fc39.noarch 2000/3616 Installing : texlive-ebook-11:svn29466.0-69.fc39.noarch 2001/3616 Installing : texlive-ecc-11:svn15878.0-69.fc39.noarch 2002/3616 Installing : texlive-ecgdraw-11:svn41617-69.fc39.noarch 2003/3616 Installing : texlive-ecobiblatex-11:svn39233-69.fc39.noarch 2004/3616 Installing : texlive-econ-bst-11:svn61499-69.fc39.noarch 2005/3616 Installing : texlive-econlipsum-11:svn58390-69.fc39.noarch 2006/3616 Installing : texlive-econometrics-11:svn39396-69.fc39.noarc 2007/3616 Installing : texlive-ecothesis-11:svn48007-69.fc39.noarch 2008/3616 Installing : texlive-eczar-11:svn57716-69.fc39.noarch 2009/3616 Installing : texlive-edichokey-11:svn56223-69.fc39.noarch 2010/3616 Installing : texlive-edmargin-11:svn27599.1.2-69.fc39.noarc 2011/3616 Installing : texlive-egpeirce-11:svn66636-69.fc39.noarch 2012/3616 Installing : texlive-ehhline-11:svn54676-69.fc39.noarch 2013/3616 Installing : texlive-eiad-ltx-11:svn15878.1.0-69.fc39.noarc 2014/3616 Installing : texlive-eiad-11:svn15878.0-69.fc39.noarch 2015/3616 Installing : texlive-ektype-tanka-11:svn63255-69.fc39.noarc 2016/3616 Installing : texlive-ellipse-11:svn39025-69.fc39.noarch 2017/3616 Installing : texlive-elmath-11:svn15878.v1.2-69.fc39.noarch 2018/3616 Installing : texlive-els-cas-templates-11:svn62931-69.fc39. 2019/3616 Installing : texlive-eltex-11:svn15878.2.0-69.fc39.noarch 2020/3616 Installing : texlive-elvish-11:svn15878.0-69.fc39.noarch 2021/3616 Installing : texlive-emf-11:svn42023-69.fc39.noarch 2022/3616 Installing : texlive-emisa-11:svn60068-69.fc39.noarch 2023/3616 Installing : texlive-enctex-11:svn34957.0-69.fc39.noarch 2024/3616 Installing : texlive-endheads-11:svn43750-69.fc39.noarch 2025/3616 Installing : texlive-endnotes-hy-11:svn54758-69.fc39.noarch 2026/3616 Installing : texlive-endofproofwd-11:svn55643-69.fc39.noarc 2027/3616 Installing : texlive-engtlc-11:svn28571.3.2-69.fc39.noarch 2028/3616 Installing : texlive-envbig-11:svn15878.0-69.fc39.noarch 2029/3616 Installing : texlive-epiolmec-11:svn15878.0-69.fc39.noarch 2030/3616 Installing : texlive-epsf-11:svn21461.2.7.4-69.fc39.noarch 2031/3616 Installing : texlive-emulateapj-11:svn28469.0-69.fc39.noarc 2032/3616 Installing : texlive-epspdf-11:svn66115-77.fc39.noarch 2033/3616 Installing : texlive-eq-pin2corr-11:svn59477-69.fc39.noarch 2034/3616 Installing : texlive-eqnalign-11:svn43278-69.fc39.noarch 2035/3616 Installing : texlive-eqname-11:svn20678.0-69.fc39.noarch 2036/3616 Installing : texlive-eqnnumwarn-11:svn45511-69.fc39.noarch 2037/3616 Installing : texlive-erewhon-math-11:svn65684-69.fc39.noarc 2038/3616 Installing : texlive-esdiff-11:svn21385.1.2-69.fc39.noarch 2039/3616 Installing : texlive-esindex-11:svn52342-69.fc39.noarch 2040/3616 Installing : texlive-esrelation-11:svn37236.0-69.fc39.noarc 2041/3616 Installing : texlive-etbb-11:svn61872-69.fc39.noarch 2042/3616 Installing : texlive-etl-11:svn60998-69.fc39.noarch 2043/3616 Installing : texlive-etsvthor-11:svn48186-69.fc39.noarch 2044/3616 Installing : texlive-euflag-11:svn55265-69.fc39.noarch 2045/3616 Installing : texlive-eukdate-11:svn15878.1.04-69.fc39.noarc 2046/3616 Installing : texlive-euler-math-11:svn65685-69.fc39.noarch 2047/3616 Installing : texlive-eulerpx-11:svn63967-69.fc39.noarch 2048/3616 Installing : texlive-euro-ce-11:svn25714-69.fc39.noarch 2049/3616 Installing : texlive-euxm-11:svn54074-69.fc39.noarch 2050/3616 Installing : texlive-exam-lite-11:svn65754-69.fc39.noarch 2051/3616 Installing : texlive-exam-randomizechoices-11:svn61719-69.f 2052/3616 Installing : texlive-example-11:svn33398.0-69.fc39.noarch 2053/3616 Installing : texlive-examplep-11:svn55265-69.fc39.noarch 2054/3616 Installing : texlive-excludeonly-11:svn17262.1.0-69.fc39.no 2055/3616 Installing : texlive-exercisebank-11:svn50448-69.fc39.noarc 2056/3616 Installing : texlive-exercisepoints-11:svn49590-69.fc39.noa 2057/3616 Installing : texlive-exercises-11:svn55188-69.fc39.noarch 2058/3616 Installing : texlive-exesheet-11:svn65577-69.fc39.noarch 2059/3616 Installing : texlive-exframe-11:svn53911-69.fc39.noarch 2060/3616 Installing : texlive-exp-testopt-11:svn15878.0.3-69.fc39.no 2061/3616 Installing : texlive-expdlist-11:svn15878.2.4-69.fc39.noarc 2062/3616 Installing : texlive-expkv-bundle-11:svn65623-69.fc39.noarc 2063/3616 Installing : texlive-pxpic-11:svn65803-69.fc39.noarch 2064/3616 Installing : texlive-export-11:svn27206.1.8-69.fc39.noarch 2065/3616 Installing : texlive-facture-belge-simple-sans-tva-11:svn49 2066/3616 Installing : texlive-faktor-11:svn15878.0.1b-69.fc39.noarch 2067/3616 Installing : texlive-familytree-11:svn63739-69.fc39.noarch 2068/3616 Installing : texlive-fancyhandout-11:svn46411-69.fc39.noarc 2069/3616 Installing : texlive-fancynum-11:svn15878.0.92-69.fc39.noar 2070/3616 Installing : texlive-fancyqr-11:svn64182-69.fc39.noarch 2071/3616 Installing : texlive-fandol-11:svn37889.0.3-69.fc39.noarch 2072/3616 Installing : texlive-fascicules-11:svn54080-69.fc39.noarch 2073/3616 Installing : texlive-fbox-11:svn62126-69.fc39.noarch 2074/3616 Installing : texlive-fbs-11:svn15878.0-69.fc39.noarch 2075/3616 Installing : texlive-fenetrecas-11:svn66611-69.fc39.noarch 2076/3616 Installing : texlive-fetamont-11:svn43812-69.fc39.noarch 2077/3616 Installing : texlive-fetchcls-11:svn45245-69.fc39.noarch 2078/3616 Installing : texlive-fewerfloatpages-11:svn58058-69.fc39.no 2079/3616 Installing : texlive-feyn-11:svn63945-69.fc39.noarch 2080/3616 Installing : texlive-ffslides-11:svn38895-69.fc39.noarch 2081/3616 Installing : texlive-fge-11:svn37628.1.25-69.fc39.noarch 2082/3616 Installing : texlive-fig4latex-11:svn26313-77.fc39.noarch 2083/3616 Installing : texlive-figput-11:svn63957-69.fc39.noarch 2084/3616 Installing : texlive-filedate-11:svn29529.0-69.fc39.noarch 2085/3616 Installing : texlive-firamath-otf-11:svn65561-69.fc39.noarc 2086/3616 Installing : texlive-firamath-11:svn56672-69.fc39.noarch 2087/3616 Installing : texlive-fistrum-11:svn66461-69.fc39.noarch 2088/3616 Installing : texlive-fix2col-11:svn38770-69.fc39.noarch 2089/3616 Installing : texlive-fixcmex-11:svn51825-69.fc39.noarch 2090/3616 Installing : texlive-fixdif-11:svn66606-69.fc39.noarch 2091/3616 Installing : texlive-fjodor-11:svn53207-69.fc39.noarch 2092/3616 Installing : texlive-flexipage-11:svn64572-69.fc39.noarch 2093/3616 Installing : texlive-floatflt-11:svn25540.1.31-69.fc39.noar 2094/3616 Installing : texlive-fn2end-11:svn15878.1.1-69.fc39.noarch 2095/3616 Installing : texlive-fncylab-11:svn52090-69.fc39.noarch 2096/3616 Installing : texlive-fnpara-11:svn25607.0-69.fc39.noarch 2097/3616 Installing : texlive-fnspe-11:svn45360-69.fc39.noarch 2098/3616 Installing : texlive-foekfont-11:svn15878.0-69.fc39.noarch 2099/3616 Installing : texlive-foilhtml-11:svn61937-69.fc39.noarch 2100/3616 Installing : texlive-foliono-11:svn58877-69.fc39.noarch 2101/3616 Installing : texlive-fontawesome5-11:svn63207-69.fc39.noarc 2102/3616 Installing : texlive-fontmfizz-11:svn43546-69.fc39.noarch 2103/3616 Installing : texlive-fonts-churchslavonic-11:svn56350-69.fc 2104/3616 Installing : texlive-fontsetup-11:svn65439-69.fc39.noarch 2105/3616 Installing : texlive-fontsize-11:svn60161-69.fc39.noarch 2106/3616 Installing : texlive-fonttable-11:svn44799-69.fc39.noarch 2107/3616 Installing : texlive-footbib-11:svn17115.2.0.7-69.fc39.noar 2108/3616 Installing : texlive-footmisx-11:svn42621-69.fc39.noarch 2109/3616 Installing : texlive-footnotehyper-11:svn60374-69.fc39.noar 2110/3616 Installing : texlive-formal-grammar-11:svn61955-69.fc39.noa 2111/3616 Installing : texlive-formlett-11:svn21480.2.3-69.fc39.noarc 2112/3616 Installing : texlive-forms16be-11:svn51305-69.fc39.noarch 2113/3616 Installing : texlive-forum-11:svn64566-69.fc39.noarch 2114/3616 Installing : texlive-fouridx-11:svn32214.2.00-69.fc39.noarc 2115/3616 Installing : texlive-fragments-11:svn15878.0-69.fc39.noarch 2116/3616 Installing : texlive-frame-11:svn18312.1.0-69.fc39.noarch 2117/3616 Installing : texlive-francais-bst-11:svn38922-69.fc39.noarc 2118/3616 Installing : texlive-frankenstein-11:svn15878.0-69.fc39.noa 2119/3616 Installing : texlive-frederika2016-11:svn42157-69.fc39.noar 2120/3616 Installing : texlive-frimurer-11:svn56704-69.fc39.noarch 2121/3616 Installing : texlive-froufrou-11:svn59103-69.fc39.noarch 2122/3616 Installing : texlive-ftc-notebook-11:svn50043-69.fc39.noarc 2123/3616 Installing : texlive-ftcap-11:svn17275.1.4-69.fc39.noarch 2124/3616 Installing : texlive-ftnxtra-11:svn29652.0.1-69.fc39.noarch 2125/3616 Installing : texlive-fullblck-11:svn25434.1.03-69.fc39.noar 2126/3616 Installing : texlive-functional-11:svn65485-69.fc39.noarch 2127/3616 Installing : texlive-fundus-sueterlin-11:svn26030.1.2-69.fc 2128/3616 Installing : texlive-fwlw-11:svn29803.0-69.fc39.noarch 2129/3616 Installing : texlive-gammas-11:svn56403-69.fc39.noarch 2130/3616 Installing : texlive-garamond-math-11:svn61481-69.fc39.noar 2131/3616 Installing : texlive-gene-logic-11:svn15878.1.4-69.fc39.noa 2132/3616 Installing : texlive-genealogy-11:svn25112.0-69.fc39.noarch 2133/3616 Installing : texlive-geradwp-11:svn63134-69.fc39.noarch 2134/3616 Installing : texlive-getitems-11:svn39365-69.fc39.noarch 2135/3616 Installing : texlive-gfdl-11:svn65415-69.fc39.noarch 2136/3616 Installing : texlive-gfsbodoni-11:svn28484.1.01-69.fc39.noa 2137/3616 Installing : texlive-gfscomplutum-11:svn19469.1.0-69.fc39.n 2138/3616 Installing : texlive-gfsdidotclassic-11:svn52778-69.fc39.no 2139/3616 Installing : texlive-gfsneohellenic-11:svn63944-69.fc39.noa 2140/3616 Installing : texlive-gfsneohellenicmath-11:svn63928-69.fc39 2141/3616 Installing : texlive-gfssolomos-11:svn18651.1.0-69.fc39.noa 2142/3616 Installing : texlive-gillcm-11:svn19878.1.1-69.fc39.noarch 2143/3616 Installing : texlive-gindex-11:svn52311-69.fc39.noarch 2144/3616 Installing : texlive-gitfile-info-11:svn51928-69.fc39.noarc 2145/3616 Installing : texlive-gitlog-11:svn38932-69.fc39.noarch 2146/3616 Installing : texlive-gitstatus-11:svn64662-69.fc39.noarch 2147/3616 Installing : texlive-globalvals-11:svn49962-69.fc39.noarch 2148/3616 Installing : texlive-glossaries-danish-11:svn35665.1.0-69.f 2149/3616 Installing : texlive-glossaries-dutch-11:svn35685.1.1-69.fc 2150/3616 Installing : texlive-glossaries-english-11:svn35665.1.0-69. 2151/3616 Installing : texlive-glossaries-estonian-11:svn49928-69.fc3 2152/3616 Installing : texlive-glossaries-french-11:svn42873-69.fc39. 2153/3616 Installing : texlive-glossaries-german-11:svn35665.1.0-69.f 2154/3616 Installing : texlive-glossaries-irish-11:svn35665.1.0-69.fc 2155/3616 Installing : texlive-glossaries-italian-11:svn35665.1.0-69. 2156/3616 Installing : texlive-glossaries-magyar-11:svn35665.1.0-69.f 2157/3616 Installing : texlive-glossaries-nynorsk-11:svn55189-69.fc39 2158/3616 Installing : texlive-glossaries-polish-11:svn35665.1.0-69.f 2159/3616 Installing : texlive-glossaries-portuges-11:svn36064.1.1-69 2160/3616 Installing : texlive-glossaries-serbian-11:svn35665.1.0-69. 2161/3616 Installing : texlive-glossaries-slovene-11:svn51211-69.fc39 2162/3616 Installing : texlive-glossaries-spanish-11:svn35665.1.0-69. 2163/3616 Installing : texlive-gnu-freefont-11:svn29349.0-69.fc39.noa 2164/3616 Installing : texlive-gofonts-11:svn64358-69.fc39.noarch 2165/3616 Installing : texlive-gothic-11:svn49869-69.fc39.noarch 2166/3616 Installing : texlive-gotoh-11:svn44764-69.fc39.noarch 2167/3616 Installing : texlive-grabbox-11:svn65223-69.fc39.noarch 2168/3616 Installing : texlive-gradient-text-11:svn65567-69.fc39.noar 2169/3616 Installing : texlive-grading-scheme-11:svn62505-69.fc39.noa 2170/3616 Installing : texlive-gradstudentresume-11:svn38832-69.fc39. 2171/3616 Installing : texlive-grant-11:svn56852-69.fc39.noarch 2172/3616 Installing : texlive-graph35-11:svn65138-69.fc39.noarch 2173/3616 Installing : texlive-graphicscache-11:svn65318-69.fc39.noar 2174/3616 Installing : texlive-graphicxbox-11:svn32630.1.0-69.fc39.no 2175/3616 Installing : texlive-grayhints-11:svn49052-69.fc39.noarch 2176/3616 Installing : texlive-greek-inputenc-11:svn66634-69.fc39.noa 2177/3616 Installing : texlive-greenpoint-11:svn15878.0-69.fc39.noarc 2178/3616 Installing : texlive-gridset-11:svn53762-69.fc39.noarch 2179/3616 Installing : texlive-gridslides-11:svn54512-69.fc39.noarch 2180/3616 Installing : texlive-grotesq-11:svn35859.0-69.fc39.noarch 2181/3616 Installing : texlive-gtrlib-largetrees-11:svn49062-69.fc39. 2182/3616 Installing : texlive-gudea-11:svn57359-69.fc39.noarch 2183/3616 Installing : texlive-h2020proposal-11:svn38428-69.fc39.noar 2184/3616 Installing : texlive-hackthefootline-11:svn46494-69.fc39.no 2185/3616 Installing : texlive-hacm-11:svn27671.0.1-69.fc39.noarch 2186/3616 Installing : texlive-hagenberg-thesis-11:svn65819-69.fc39.n 2187/3616 Installing : texlive-halloweenmath-11:svn52602-69.fc39.noar 2188/3616 Installing : texlive-hamnosys-11:svn61941-69.fc39.noarch 2189/3616 Installing : texlive-handin-11:svn48255-69.fc39.noarch 2190/3616 Installing : texlive-handoutwithnotes-11:svn62140-69.fc39.n 2191/3616 Installing : texlive-hands-11:svn13293.0-69.fc39.noarch 2192/3616 Installing : texlive-hang-11:svn43280-69.fc39.noarch 2193/3616 Installing : texlive-hanging-11:svn15878.1.2b-69.fc39.noarc 2194/3616 Installing : texlive-harnon-cv-11:svn26543.1.0-69.fc39.noar 2195/3616 Installing : texlive-harvmac-11:svn15878.0-69.fc39.noarch 2196/3616 Installing : texlive-hep-acronym-11:svn64890-69.fc39.noarch 2197/3616 Installing : texlive-hep-bibliography-11:svn64888-69.fc39.n 2198/3616 Installing : texlive-hep-float-11:svn64904-69.fc39.noarch 2199/3616 Installing : texlive-hep-math-11:svn64905-69.fc39.noarch 2200/3616 Installing : texlive-hep-paper-11:svn64917-69.fc39.noarch 2201/3616 Installing : texlive-hep-reference-11:svn64853-69.fc39.noar 2202/3616 Installing : texlive-hep-text-11:svn64906-69.fc39.noarch 2203/3616 Installing : texlive-hep-title-11:svn64907-69.fc39.noarch 2204/3616 Installing : texlive-hereapplies-11:svn65251-69.fc39.noarch 2205/3616 Installing : texlive-hfbright-11:svn29349.0-69.fc39.noarch 2206/3616 Installing : texlive-hfutexam-11:svn66550-69.fc39.noarch 2207/3616 Installing : texlive-hfutthesis-11:svn64025-69.fc39.noarch 2208/3616 Installing : texlive-hideanswer-11:svn63852-69.fc39.noarch 2209/3616 Installing : texlive-hindmadurai-11:svn57360-69.fc39.noarch 2210/3616 Installing : texlive-histogr-11:svn15878.1.01-69.fc39.noarc 2211/3616 Installing : texlive-historische-zeitschrift-11:svn42635-69 2212/3616 Installing : texlive-hitec-11:svn15878.0.0_beta_-69.fc39.no 2213/3616 Installing : texlive-hithesis-11:svn64005-69.fc39.noarch 2214/3616 Installing : texlive-hitreport-11:svn58357-69.fc39.noarch 2215/3616 Installing : texlive-hitszbeamer-11:svn54381-69.fc39.noarch 2216/3616 Installing : texlive-hitszthesis-11:svn61073-69.fc39.noarch 2217/3616 Installing : texlive-hu-berlin-bundle-11:svn57580-69.fc39.n 2218/3616 Installing : texlive-hustthesis-11:svn42547-69.fc39.noarch 2219/3616 Installing : texlive-hvextern-11:svn65670-69.fc39.noarch 2220/3616 Installing : texlive-hvlogos-11:svn63261-69.fc39.noarch 2221/3616 Installing : texlive-hvpygmentex-11:svn62405-69.fc39.noarch 2222/3616 Installing : texlive-hvqrurl-11:svn59256-69.fc39.noarch 2223/3616 Installing : texlive-hwemoji-11:svn65001-69.fc39.noarch 2224/3616 Installing : texlive-hyperbar-11:svn48147-69.fc39.noarch 2225/3616 Installing : texlive-hyphen-polish-11:svn58609-69.fc39.noar 2226/3616 Running scriptlet: texlive-hyphen-polish-11:svn58609-69.fc39.noar 2226/3616 Installing : texlive-hyphenex-11:svn57387-69.fc39.noarch 2227/3616 Installing : texlive-ibarra-11:svn64567-69.fc39.noarch 2228/3616 Installing : texlive-ibrackets-11:svn65383-69.fc39.noarch 2229/3616 Installing : texlive-icite-11:svn54512-69.fc39.noarch 2230/3616 Installing : texlive-identkey-11:svn61719-69.fc39.noarch 2231/3616 Installing : texlive-ieeeconf-11:svn59665-69.fc39.noarch 2232/3616 Installing : texlive-ieeetran-11:svn59672-69.fc39.noarch 2233/3616 Installing : texlive-ifallfalse-11:svn60027-69.fc39.noarch 2234/3616 Installing : texlive-iffont-11:svn38823-69.fc39.noarch 2235/3616 Installing : texlive-iitem-11:svn29613.1.0-69.fc39.noarch 2236/3616 Installing : texlive-ijqc-11:svn15878.1.2-69.fc39.noarch 2237/3616 Installing : texlive-ijsra-11:svn44886-69.fc39.noarch 2238/3616 Installing : texlive-imac-11:svn17347.0-69.fc39.noarch 2239/3616 Installing : texlive-imfellenglish-11:svn64568-69.fc39.noar 2240/3616 Installing : texlive-inkpaper-11:svn54080-69.fc39.noarch 2241/3616 Installing : texlive-inline-images-11:svn61719-69.fc39.noar 2242/3616 Installing : texlive-inlinebib-11:svn22018.0-69.fc39.noarch 2243/3616 Installing : texlive-inlinedef-11:svn15878.1.0-69.fc39.noar 2244/3616 Installing : texlive-inlinelabel-11:svn63853-69.fc39.noarch 2245/3616 Installing : texlive-inputtrc-11:svn28019.0.3-69.fc39.noarc 2246/3616 Installing : texlive-inriafonts-11:svn54512-69.fc39.noarch 2247/3616 Installing : texlive-intopdf-11:svn63987-69.fc39.noarch 2248/3616 Installing : texlive-inversepath-11:svn15878.0.2-69.fc39.no 2249/3616 Installing : texlive-invoice-class-11:svn49749-69.fc39.noar 2250/3616 Installing : texlive-invoice2-11:svn46364-69.fc39.noarch 2251/3616 Installing : texlive-iodhbwm-11:svn57773-69.fc39.noarch 2252/3616 Installing : texlive-iopart-num-11:svn15878.2.1-69.fc39.noa 2253/3616 Installing : texlive-ipaex-type1-11:svn47700-69.fc39.noarch 2254/3616 Installing : texlive-is-bst-11:svn52623-69.fc39.noarch 2255/3616 Installing : texlive-iscram-11:svn45801-69.fc39.noarch 2256/3616 Installing : texlive-iso10303-11:svn15878.1.5-69.fc39.noarc 2257/3616 Installing : texlive-isonums-11:svn17362.1.0-69.fc39.noarch 2258/3616 Installing : texlive-isopt-11:svn45509-69.fc39.noarch 2259/3616 Installing : texlive-isotope-11:svn23711.v0.3-69.fc39.noarc 2260/3616 Installing : texlive-itnumpar-11:svn15878.1.0-69.fc39.noarc 2261/3616 Installing : texlive-iwhdp-11:svn37552.0.50-69.fc39.noarch 2262/3616 Installing : texlive-jablantile-11:svn16364.0-69.fc39.noarc 2263/3616 Installing : texlive-jacow-11:svn63060-69.fc39.noarch 2264/3616 Installing : texlive-jbact-11:svn52717-69.fc39.noarch 2265/3616 Installing : texlive-jkmath-11:svn47109-69.fc39.noarch 2266/3616 Installing : texlive-jmb-11:svn52718-69.fc39.noarch 2267/3616 Installing : texlive-jmsdelim-11:svn62630-69.fc39.noarch 2268/3616 Installing : texlive-jneurosci-11:svn17346.1.00-69.fc39.noa 2269/3616 Installing : texlive-jnuexam-11:svn56867-69.fc39.noarch 2270/3616 Installing : texlive-jobname-suffix-11:svn64797-69.fc39.noa 2271/3616 Installing : texlive-josefin-11:svn64569-69.fc39.noarch 2272/3616 Installing : texlive-jourcl-11:svn65290-69.fc39.noarch 2273/3616 Installing : texlive-junicode-11:svn61719-69.fc39.noarch 2274/3616 Installing : texlive-jvlisting-11:svn24638.0.7-69.fc39.noar 2275/3616 Installing : texlive-kalendarium-11:svn48744-69.fc39.noarch 2276/3616 Installing : texlive-karnaugh-11:svn21338.0-69.fc39.noarch 2277/3616 Installing : texlive-kblocks-11:svn57617-69.fc39.noarch 2278/3616 Installing : texlive-keyfloat-11:svn65446-69.fc39.noarch 2279/3616 Installing : texlive-keyindex-11:svn50828-69.fc39.noarch 2280/3616 Installing : texlive-keyparse-11:svn60277-69.fc39.noarch 2281/3616 Installing : texlive-keyvaltable-11:svn65416-69.fc39.noarch 2282/3616 Installing : texlive-kfupm-math-exam-11:svn63977-69.fc39.no 2283/3616 Installing : texlive-kinematikz-11:svn61392-69.fc39.noarch 2284/3616 Installing : texlive-kix-11:svn21606.0-69.fc39.noarch 2285/3616 Installing : texlive-kixfont-11:svn18488.0-69.fc39.noarch 2286/3616 Installing : texlive-knowledge-11:svn61991-69.fc39.noarch 2287/3616 Installing : texlive-knuth-local-11:svn57963-69.fc39.noarch 2288/3616 Installing : texlive-koma-script-sfs-11:svn26137.1.0-69.fc3 2289/3616 Installing : texlive-komacv-rg-11:svn49064-69.fc39.noarch 2290/3616 Installing : texlive-ksfh_nat-11:svn24825.1.1-69.fc39.noarc 2291/3616 Installing : texlive-ksp-thesis-11:svn39080-69.fc39.noarch 2292/3616 Installing : texlive-ku-template-11:svn45935-69.fc39.noarch 2293/3616 Installing : texlive-l3build-11:svn66471-77.fc39.noarch 2294/3616 Installing : texlive-labels4easylist-11:svn51124-69.fc39.no 2295/3616 Installing : texlive-labelschanged-11:svn46040-69.fc39.noar 2296/3616 Installing : texlive-ladder-11:svn44394-69.fc39.noarch 2297/3616 Installing : texlive-lambda-lists-11:svn31402.0-69.fc39.noa 2298/3616 Installing : texlive-lambdax-11:svn60278-69.fc39.noarch 2299/3616 Installing : texlive-langsci-avm-11:svn66016-69.fc39.noarch 2300/3616 Installing : texlive-langsci-11:svn65793-69.fc39.noarch 2301/3616 Installing : texlive-lastpackage-11:svn34481.0.1-69.fc39.no 2302/3616 Installing : texlive-latex-lab-11:svn64892-69.fc39.noarch 2303/3616 Installing : texlive-latex-uni8-11:svn49729-69.fc39.noarch 2304/3616 Installing : texlive-latexbug-11:svn63596-69.fc39.noarch 2305/3616 Installing : texlive-latexcolors-11:svn49888-69.fc39.noarch 2306/3616 Installing : texlive-latexgit-11:svn54811-69.fc39.noarch 2307/3616 Installing : texlive-lccaps-11:svn46432-69.fc39.noarch 2308/3616 Installing : texlive-lcd-11:svn16549.0.3-69.fc39.noarch 2309/3616 Installing : texlive-lectures-11:svn53642-69.fc39.noarch 2310/3616 Installing : texlive-leftidx-11:svn15878.0-69.fc39.noarch 2311/3616 Installing : texlive-leipzig-11:svn52450-69.fc39.noarch 2312/3616 Installing : texlive-letterswitharrows-11:svn59993-69.fc39. 2313/3616 Installing : texlive-lewis-11:svn15878.0.1-69.fc39.noarch 2314/3616 Installing : texlive-lexend-11:svn57564-69.fc39.noarch 2315/3616 Installing : texlive-lfb-11:svn15878.1.0-69.fc39.noarch 2316/3616 Installing : texlive-libertinegc-11:svn44616-69.fc39.noarch 2317/3616 Installing : texlive-libertinus-11:svn61719-69.fc39.noarch 2318/3616 Installing : texlive-libertinus-type1-11:svn64958-69.fc39.n 2319/3616 Installing : texlive-librebodoni-11:svn64431-69.fc39.noarch 2320/3616 Installing : texlive-librefranklin-11:svn64441-69.fc39.noar 2321/3616 Installing : texlive-lie-hasse-11:svn61719-69.fc39.noarch 2322/3616 Installing : texlive-liftarm-11:svn62981-69.fc39.noarch 2323/3616 Installing : texlive-limecv-11:svn61199-69.fc39.noarch 2324/3616 Installing : texlive-linguisticspro-11:svn64858-69.fc39.noa 2325/3616 Installing : texlive-linop-11:svn41304-69.fc39.noarch 2326/3616 Installing : texlive-lion-msc-11:svn55415-69.fc39.noarch 2327/3616 Installing : texlive-lisp-on-tex-11:svn38722-69.fc39.noarch 2328/3616 Installing : texlive-listbib-11:svn29349-77.fc39.noarch 2329/3616 Installing : texlive-listing-11:svn17373.1.2-69.fc39.noarch 2330/3616 Installing : texlive-lkproof-11:svn20021.3.1-69.fc39.noarch 2331/3616 Installing : texlive-llncs-11:svn64299-69.fc39.noarch 2332/3616 Installing : texlive-llncsconf-11:svn63136-69.fc39.noarch 2333/3616 Installing : texlive-lmake-11:svn25552.1.0-69.fc39.noarch 2334/3616 Installing : texlive-lni-11:svn58061-69.fc39.noarch 2335/3616 Installing : texlive-logbox-11:svn24499.1.0-69.fc39.noarch 2336/3616 Installing : texlive-logical-markup-utils-11:svn15878.0-69. 2337/3616 Installing : texlive-logix-11:svn63688-69.fc39.noarch 2338/3616 Installing : texlive-longfbox-11:svn39028-69.fc39.noarch 2339/3616 Installing : texlive-lpform-11:svn36918.0-69.fc39.noarch 2340/3616 Installing : texlive-lplfitch-11:svn31077.0.9-69.fc39.noarc 2341/3616 Installing : texlive-lroundrect-11:svn39804-69.fc39.noarch 2342/3616 Installing : texlive-lstbayes-11:svn48160-69.fc39.noarch 2343/3616 Installing : texlive-lstfiracode-11:svn49503-69.fc39.noarch 2344/3616 Installing : texlive-lt3rawobjects-11:svn65230-69.fc39.noar 2345/3616 Installing : texlive-ltb2bib-11:svn43746-69.fc39.noarch 2346/3616 Installing : texlive-ltxguidex-11:svn50992-69.fc39.noarch 2347/3616 Installing : texlive-lua-physical-11:svn59138-69.fc39.noarc 2348/3616 Installing : texlive-lua-uca-11:svn61023-69.fc39.noarch 2349/3616 Installing : texlive-lua-uni-algos-11:svn62204-69.fc39.noar 2350/3616 Installing : texlive-luaotfload-11:svn64616-77.fc39.noarch 2351/3616 Installing : texlive-lua-widow-control-11:svn65084-69.fc39. 2352/3616 Installing : texlive-luabidi-11:svn54512-69.fc39.noarch 2353/3616 Installing : texlive-luacolor-11:svn57829-69.fc39.noarch 2354/3616 Installing : texlive-luahbtex-11:svn66186-77.fc39.x86_64 2355/3616 Installing : texlive-luamesh-11:svn63875-69.fc39.noarch 2356/3616 Installing : texlive-luaxml-11:svn60709-69.fc39.noarch 2357/3616 Installing : texlive-lwarp-11:svn66259-77.fc39.noarch 2358/3616 Installing : texlive-macrolist-11:svn60139-69.fc39.noarch 2359/3616 Installing : texlive-magaz-11:svn24694.0.4-69.fc39.noarch 2360/3616 Installing : texlive-magicwatermark-11:svn63656-69.fc39.noa 2361/3616 Installing : texlive-makebase-11:svn41012-69.fc39.noarch 2362/3616 Installing : texlive-makebox-11:svn15878.0.1-69.fc39.noarch 2363/3616 Installing : texlive-makecirc-11:svn15878.0-69.fc39.noarch 2364/3616 Installing : texlive-makecookbook-11:svn49311-69.fc39.noarc 2365/3616 Installing : texlive-makedtx-11:svn46702-77.fc39.noarch 2366/3616 Installing : texlive-makeglos-11:svn15878.0-69.fc39.noarch 2367/3616 Installing : texlive-makeindex-11:svn62517-77.fc39.x86_64 2368/3616 Installing : texlive-makelabels-11:svn60255-69.fc39.noarch 2369/3616 Installing : texlive-maker-11:svn44823-69.fc39.noarch 2370/3616 Installing : texlive-makerobust-11:svn52811-69.fc39.noarch 2371/3616 Installing : texlive-manfnt-11:svn54684-69.fc39.noarch 2372/3616 Installing : texlive-manyind-11:svn49874-69.fc39.noarch 2373/3616 Installing : texlive-marcellus-11:svn64451-69.fc39.noarch 2374/3616 Installing : texlive-margbib-11:svn15878.1.0c-69.fc39.noarc 2375/3616 Installing : texlive-marginfit-11:svn48281-69.fc39.noarch 2376/3616 Installing : texlive-markdown-11:svn66257-69.fc39.noarch 2377/3616 Installing : texlive-matc3-11:svn29845.1.0.1-69.fc39.noarch 2378/3616 Installing : texlive-mathcommand-11:svn59512-69.fc39.noarch 2379/3616 Installing : texlive-mathfam256-11:svn53519-69.fc39.noarch 2380/3616 Installing : texlive-mathfixs-11:svn49547-69.fc39.noarch 2381/3616 Installing : texlive-mathfont-11:svn65205-69.fc39.noarch 2382/3616 Installing : texlive-mathlig-11:svn54244-69.fc39.noarch 2383/3616 Installing : texlive-mathpartir-11:svn39864-69.fc39.noarch 2384/3616 Installing : texlive-mathpunctspace-11:svn46754-69.fc39.noa 2385/3616 Installing : texlive-mathsemantics-11:svn63241-69.fc39.noar 2386/3616 Installing : texlive-matrix-skeleton-11:svn65013-69.fc39.no 2387/3616 Installing : texlive-mceinleger-11:svn15878.0-69.fc39.noarc 2388/3616 Installing : texlive-mcite-11:svn18173.1.6-69.fc39.noarch 2389/3616 Installing : texlive-mdputu-11:svn20298.1.2-69.fc39.noarch 2390/3616 Installing : texlive-mecaso-11:svn60346-69.fc39.noarch 2391/3616 Installing : texlive-media4svg-11:svn64686-69.fc39.noarch 2392/3616 Installing : texlive-memexsupp-11:svn15878.0.1-69.fc39.noar 2393/3616 Installing : texlive-memory-11:svn30452.1.2-69.fc39.noarch 2394/3616 Installing : texlive-memorygraphs-11:svn49631-69.fc39.noarc 2395/3616 Installing : texlive-mercatormap-11:svn56060-69.fc39.noarch 2396/3616 Installing : texlive-messagepassing-11:svn63116-69.fc39.noa 2397/3616 Installing : texlive-metanorma-11:svn55010-69.fc39.noarch 2398/3616 Installing : texlive-metastr-11:svn56246-69.fc39.noarch 2399/3616 Installing : texlive-method-11:svn17485.2.0b-69.fc39.noarch 2400/3616 Installing : texlive-mflogo-font-11:svn54512-69.fc39.noarch 2401/3616 Installing : texlive-mfnfss-11:svn46036-69.fc39.noarch 2402/3616 Installing : texlive-mfware-11:svn66186-77.fc39.x86_64 2403/3616 Installing : texlive-mgltex-11:svn63255-69.fc39.noarch 2404/3616 Installing : texlive-mhequ-11:svn64978-69.fc39.noarch 2405/3616 Installing : texlive-mi-solns-11:svn49651-69.fc39.noarch 2406/3616 Installing : texlive-miama-11:svn54512-69.fc39.noarch 2407/3616 Installing : texlive-midpage-11:svn17484.1.1a-69.fc39.noarc 2408/3616 Installing : texlive-miller-11:svn18789.1.2-69.fc39.noarch 2409/3616 Installing : texlive-milsymb-11:svn54361-69.fc39.noarch 2410/3616 Installing : texlive-minidocument-11:svn43752-69.fc39.noarc 2411/3616 Installing : texlive-minifp-11:svn32559.0.96-69.fc39.noarch 2412/3616 Installing : texlive-minipage-marginpar-11:svn15878.v0.2-69 2413/3616 Installing : texlive-mismath-11:svn66391-69.fc39.noarch 2414/3616 Installing : texlive-missaali-11:svn61719-69.fc39.noarch 2415/3616 Installing : texlive-mkpic-11:svn33700-77.fc39.noarch 2416/3616 Installing : texlive-mla-paper-11:svn54080-69.fc39.noarch 2417/3616 Installing : texlive-mlacls-11:svn60508-69.fc39.noarch 2418/3616 Installing : texlive-mleftright-11:svn53021-69.fc39.noarch 2419/3616 Installing : texlive-mlmodern-11:svn57458-69.fc39.noarch 2420/3616 Installing : texlive-mluexercise-11:svn56927-69.fc39.noarch 2421/3616 Installing : texlive-mnras-11:svn55729-69.fc39.noarch 2422/3616 Installing : texlive-modeles-factures-belges-assocs-11:svn5 2423/3616 Installing : texlive-modernposter-11:svn47269-69.fc39.noarc 2424/3616 Installing : texlive-modular-11:svn44142-69.fc39.noarch 2425/3616 Installing : texlive-montserrat-11:svn54512-69.fc39.noarch 2426/3616 Installing : texlive-moodle-11:svn65672-69.fc39.noarch 2427/3616 Installing : texlive-movie15-11:svn26473-69.fc39.noarch 2428/3616 Installing : texlive-mpfonts-11:svn54512-69.fc39.noarch 2429/3616 Installing : texlive-mpostinl-11:svn49559-69.fc39.noarch 2430/3616 Installing : texlive-mslapa-11:svn54080-69.fc39.noarch 2431/3616 Installing : texlive-mtgreek-11:svn17967.1.1+-69.fc39.noarc 2432/3616 Installing : texlive-mucproc-11:svn43445-69.fc39.noarch 2433/3616 Installing : texlive-muling-11:svn61719-69.fc39.noarch 2434/3616 Installing : texlive-multenum-11:svn21775.0-69.fc39.noarch 2435/3616 Installing : texlive-multibbl-11:svn15878.v1.1-69.fc39.noar 2436/3616 Installing : texlive-multibibliography-11:svn30939-77.fc39. 2437/3616 Installing : texlive-multidef-11:svn40637-69.fc39.noarch 2438/3616 Installing : texlive-multienv-11:svn64967-69.fc39.noarch 2439/3616 Installing : texlive-multiexpand-11:svn45943-69.fc39.noarch 2440/3616 Installing : texlive-multifootnote-11:svn63456-69.fc39.noar 2441/3616 Installing : texlive-multilang-11:svn49065-69.fc39.noarch 2442/3616 Installing : texlive-multiple-choice-11:svn63722-69.fc39.no 2443/3616 Installing : texlive-munich-11:svn15878.0-69.fc39.noarch 2444/3616 Installing : texlive-mversion-11:svn29370.1.0.1-69.fc39.noa 2445/3616 Installing : texlive-mylatex-11:svn56751-69.fc39.noarch 2446/3616 Installing : texlive-mylatexformat-11:svn21392.3.4-69.fc39. 2447/3616 Installing : texlive-mynsfc-11:svn60280-69.fc39.noarch 2448/3616 Installing : texlive-nag-11:svn24741.0.7-69.fc39.noarch 2449/3616 Installing : texlive-namedtensor-11:svn65346-69.fc39.noarch 2450/3616 Installing : texlive-namespc-11:svn15878.0-69.fc39.noarch 2451/3616 Installing : texlive-nar-11:svn38100.3.19-69.fc39.noarch 2452/3616 Installing : texlive-natded-11:svn32693.0.1-69.fc39.noarch 2453/3616 Installing : texlive-nath-11:svn15878.0-69.fc39.noarch 2454/3616 Installing : texlive-navydocs-11:svn41643-69.fc39.noarch 2455/3616 Installing : texlive-nchairx-11:svn60196-69.fc39.noarch 2456/3616 Installing : texlive-ncntrsbk-11:svn61719-69.fc39.noarch 2457/3616 Installing : texlive-ndsu-thesis-2022-11:svn63881-69.fc39.n 2458/3616 Installing : texlive-ndsu-thesis-11:svn46639-69.fc39.noarch 2459/3616 Installing : texlive-nestquot-11:svn27323.0-69.fc39.noarch 2460/3616 Installing : texlive-newcastle-bst-11:svn62856-69.fc39.noar 2461/3616 Installing : texlive-newcomputermodern-11:svn66327-69.fc39. 2462/3616 Installing : texlive-newunicodechar-11:svn47382-69.fc39.noa 2463/3616 Installing : texlive-newvbtm-11:svn23996.1.1-69.fc39.noarch 2464/3616 Installing : texlive-niceframe-type1-11:svn44671-69.fc39.no 2465/3616 Installing : texlive-nidanfloat-11:svn48295-69.fc39.noarch 2466/3616 Installing : texlive-nihbiosketch-11:svn54191-69.fc39.noarc 2467/3616 Installing : texlive-nimbus15-11:svn58839-69.fc39.noarch 2468/3616 Installing : texlive-njustthesis-11:svn62451-69.fc39.noarch 2469/3616 Installing : texlive-njuthesis-11:svn65546-69.fc39.noarch 2470/3616 Installing : texlive-njuvisual-11:svn65261-69.fc39.noarch 2471/3616 Installing : texlive-nkarta-11:svn16437.0.2-69.fc39.noarch 2472/3616 Installing : texlive-nndraw-11:svn59674-69.fc39.noarch 2473/3616 Installing : texlive-noconflict-11:svn30140.1.0-69.fc39.noa 2474/3616 Installing : texlive-nolbreaks-11:svn26786.1.2-69.fc39.noar 2475/3616 Installing : texlive-nopageno-11:svn18128.0-69.fc39.noarch 2476/3616 Installing : texlive-normalcolor-11:svn40125-69.fc39.noarch 2477/3616 Installing : texlive-notespages-11:svn41906-69.fc39.noarch 2478/3616 Installing : texlive-notestex-11:svn45396-69.fc39.noarch 2479/3616 Installing : texlive-notex-bst-11:svn42361-69.fc39.noarch 2480/3616 Installing : texlive-noto-emoji-11:svn62950-69.fc39.noarch 2481/3616 Installing : texlive-noto-11:svn64351-69.fc39.noarch 2482/3616 Installing : texlive-notomath-11:svn58726-69.fc39.noarch 2483/3616 Installing : texlive-novel-11:svn66628-69.fc39.noarch 2484/3616 Installing : texlive-nth-11:svn54252-69.fc39.noarch 2485/3616 Installing : texlive-nucleardata-11:svn47307-69.fc39.noarch 2486/3616 Installing : texlive-numerica-plus-11:svn61289-69.fc39.noar 2487/3616 Installing : texlive-numerica-tables-11:svn61288-69.fc39.no 2488/3616 Installing : texlive-numname-11:svn18130.0-69.fc39.noarch 2489/3616 Installing : texlive-nunito-11:svn57429-69.fc39.noarch 2490/3616 Installing : texlive-nwafuthesis-11:svn63438-69.fc39.noarch 2491/3616 Installing : texlive-nwejm-11:svn64462-69.fc39.noarch 2492/3616 Installing : texlive-obnov-11:svn33355.0.11-69.fc39.noarch 2493/3616 Installing : texlive-ocherokee-11:svn25689.0-69.fc39.noarch 2494/3616 Installing : texlive-ocr-b-outline-11:svn20969.0-69.fc39.no 2495/3616 Installing : texlive-ocr-b-11:svn20852.0-69.fc39.noarch 2496/3616 Installing : texlive-octavo-11:svn15878.1.2-69.fc39.noarch 2497/3616 Installing : texlive-ogham-11:svn24876.0-69.fc39.noarch 2498/3616 Installing : texlive-oinuit-11:svn28668.0-69.fc39.noarch 2499/3616 Installing : texlive-old-arrows-11:svn42872-69.fc39.noarch 2500/3616 Installing : texlive-oldlatin-11:svn17932.1.00-69.fc39.noar 2501/3616 Installing : texlive-oldstandard-11:svn64464-69.fc39.noarch 2502/3616 Installing : texlive-oldstyle-11:svn15878.0.2-69.fc39.noarc 2503/3616 Installing : texlive-opencolor-11:svn66363-69.fc39.noarch 2504/3616 Installing : texlive-opteng-11:svn27331.1.0-69.fc39.noarch 2505/3616 Installing : texlive-optidef-11:svn50941-69.fc39.noarch 2506/3616 Installing : texlive-options-11:svn39030-69.fc39.noarch 2507/3616 Installing : texlive-orkhun-11:svn15878.0-69.fc39.noarch 2508/3616 Installing : texlive-oubraces-11:svn21833.0-69.fc39.noarch 2509/3616 Installing : texlive-oup-authoring-template-11:svn64491-69. 2510/3616 Installing : texlive-outilsgeomtikz-11:svn66461-69.fc39.noa 2511/3616 Installing : texlive-outline-11:svn18360.0-69.fc39.noarch 2512/3616 Installing : texlive-outliner-11:svn21095.0.94-69.fc39.noar 2513/3616 Installing : texlive-outlining-11:svn45601-69.fc39.noarch 2514/3616 Installing : texlive-overarrows-11:svn65853-69.fc39.noarch 2515/3616 Installing : texlive-pacioli-11:svn24947.0-69.fc39.noarch 2516/3616 Installing : texlive-padcount-11:svn47621-69.fc39.noarch 2517/3616 Installing : texlive-pagelayout-11:svn66392-77.fc39.x86_64 2518/3616 Installing : texlive-pagella-otf-11:svn64705-69.fc39.noarch 2519/3616 Installing : texlive-pagesel-11:svn56105-69.fc39.noarch 2520/3616 Installing : texlive-palette-11:svn60119-69.fc39.noarch 2521/3616 Installing : texlive-pangram-11:svn66300-69.fc39.noarch 2522/3616 Installing : texlive-paper-11:svn34521.1.0l-69.fc39.noarch 2523/3616 Installing : texlive-paracol-11:svn49560-69.fc39.noarch 2524/3616 Installing : texlive-parades-11:svn40042-69.fc39.noarch 2525/3616 Installing : texlive-parallel-11:svn15878.0-69.fc39.noarch 2526/3616 Installing : texlive-parnotes-11:svn51720-69.fc39.noarch 2527/3616 Installing : texlive-parselines-11:svn21475.1.4-69.fc39.noa 2528/3616 Installing : texlive-pascaltriangle-11:svn61774-69.fc39.noa 2529/3616 Installing : texlive-patchcmd-11:svn41379-69.fc39.noarch 2530/3616 Installing : texlive-path-11:svn22045.3.05-69.fc39.noarch 2531/3616 Installing : texlive-pawpict-11:svn21629.1.0-69.fc39.noarch 2532/3616 Installing : texlive-pb-diagram-11:svn15878.5.0-69.fc39.noa 2533/3616 Installing : texlive-pdf14-11:svn17583.0.1-69.fc39.noarch 2534/3616 Installing : texlive-pdfcolfoot-11:svn65512-69.fc39.noarch 2535/3616 Installing : texlive-pdfprivacy-11:svn45985-69.fc39.noarch 2536/3616 Installing : texlive-pdfreview-11:svn50100-69.fc39.noarch 2537/3616 Installing : texlive-pdfsync-11:svn20373.0-69.fc39.noarch 2538/3616 Installing : texlive-penrose-11:svn57508-69.fc39.noarch 2539/3616 Installing : texlive-perception-11:svn48861-69.fc39.noarch 2540/3616 Installing : texlive-permute-11:svn15878.0-69.fc39.noarch 2541/3616 Installing : texlive-petri-nets-11:svn39165-77.fc39.noarch 2542/3616 Installing : texlive-pfdicons-11:svn60089-69.fc39.noarch 2543/3616 Installing : texlive-pgf-interference-11:svn61562-69.fc39.n 2544/3616 Installing : texlive-pgf-periodictable-11:svn66010-69.fc39. 2545/3616 Installing : texlive-pgf-spectra-11:svn66011-69.fc39.noarch 2546/3616 Installing : texlive-pgfmath-xfp-11:svn59268-69.fc39.noarch 2547/3616 Installing : texlive-pgfmorepages-11:svn54770-69.fc39.noarc 2548/3616 Installing : texlive-pgfornament-11:svn55326-69.fc39.noarch 2549/3616 Installing : texlive-phaistos-11:svn18651.1.0-69.fc39.noarc 2550/3616 Installing : texlive-phfcc-11:svn60731-69.fc39.noarch 2551/3616 Installing : texlive-phfextendedabstract-11:svn60732-69.fc3 2552/3616 Installing : texlive-phffullpagefigure-11:svn41857-69.fc39. 2553/3616 Installing : texlive-phfnote-11:svn60733-69.fc39.noarch 2554/3616 Installing : texlive-phfparen-11:svn41859-69.fc39.noarch 2555/3616 Installing : texlive-phfqit-11:svn60734-69.fc39.noarch 2556/3616 Installing : texlive-phfquotetext-11:svn41869-69.fc39.noarc 2557/3616 Installing : texlive-phfsvnwatermark-11:svn41870-69.fc39.no 2558/3616 Installing : texlive-phfthm-11:svn60735-69.fc39.noarch 2559/3616 Installing : texlive-phonenumbers-11:svn63774-69.fc39.noarc 2560/3616 Installing : texlive-phonetic-11:svn56468-69.fc39.noarch 2561/3616 Installing : texlive-photo-11:svn18739.0-69.fc39.noarch 2562/3616 Installing : texlive-physconst-11:svn58727-69.fc39.noarch 2563/3616 Installing : texlive-physics2-11:svn66115-69.fc39.noarch 2564/3616 Installing : texlive-physunits-11:svn58728-69.fc39.noarch 2565/3616 Installing : texlive-pigpen-11:svn15878.0.2-69.fc39.noarch 2566/3616 Installing : texlive-pinoutikz-11:svn55966-69.fc39.noarch 2567/3616 Installing : texlive-pittetd-11:svn15878.1.618-69.fc39.noar 2568/3616 Installing : texlive-pixelarttikz-11:svn65649-69.fc39.noarc 2569/3616 Installing : texlive-pl-11:svn58661-69.fc39.noarch 2570/3616 Installing : texlive-polski-11:svn60322-69.fc39.noarch 2571/3616 Installing : texlive-plainpkg-11:svn27765.0.4a-69.fc39.noar 2572/3616 Installing : texlive-dowith-11:svn38860-69.fc39.noarch 2573/3616 Installing : texlive-langcode-11:svn27764.0.2-69.fc39.noarc 2574/3616 Installing : texlive-morehype-11:svn38815-69.fc39.noarch 2575/3616 Installing : texlive-plainyr-11:svn52783-69.fc39.noarch 2576/3616 Installing : texlive-plex-otf-11:svn47562-69.fc39.noarch 2577/3616 Installing : texlive-plex-11:svn64496-69.fc39.noarch 2578/3616 Installing : texlive-plimsoll-11:svn56605-69.fc39.noarch 2579/3616 Installing : texlive-plweb-11:svn15878.3.0-69.fc39.noarch 2580/3616 Installing : texlive-pm-isomath-11:svn60368-69.fc39.noarch 2581/3616 Installing : texlive-pmboxdraw-11:svn53046-69.fc39.noarch 2582/3616 Installing : texlive-pmgraph-11:svn15878.1.0-69.fc39.noarch 2583/3616 Installing : texlive-pnas2009-11:svn16287.1.0-69.fc39.noarc 2584/3616 Installing : texlive-poiretone-11:svn64856-69.fc39.noarch 2585/3616 Installing : texlive-polexpr-11:svn63337-69.fc39.noarch 2586/3616 Installing : texlive-poltawski-11:svn20075.1.101-69.fc39.no 2587/3616 Installing : texlive-postage-11:svn55920-69.fc39.noarch 2588/3616 Installing : texlive-poster-mac-11:svn18305.1.1-69.fc39.noa 2589/3616 Installing : texlive-postnotes-11:svn66019-69.fc39.noarch 2590/3616 Installing : texlive-powerdot-tuliplab-11:svn47963-69.fc39. 2591/3616 Installing : texlive-practicalreports-11:svn52312-69.fc39.n 2592/3616 Installing : texlive-prettyref-11:svn15878.3.0-69.fc39.noar 2593/3616 Installing : texlive-prftree-11:svn54080-69.fc39.noarch 2594/3616 Installing : texlive-printlen-11:svn19847.1.1a-69.fc39.noar 2595/3616 Installing : texlive-prociagssymp-11:svn63242-69.fc39.noarc 2596/3616 Installing : texlive-prodint-11:svn21893.0-69.fc39.noarch 2597/3616 Installing : texlive-program-11:svn44214-69.fc39.noarch 2598/3616 Installing : texlive-progress-11:svn19519.1.10-69.fc39.noar 2599/3616 Installing : texlive-proof-at-the-end-11:svn64188-69.fc39.n 2600/3616 Installing : texlive-prooftrees-11:svn52221-69.fc39.noarch 2601/3616 Installing : texlive-protex-11:svn41633-69.fc39.noarch 2602/3616 Installing : texlive-protocol-11:svn25562.1.13-69.fc39.noar 2603/3616 Installing : texlive-pspicture-11:svn15878.0-69.fc39.noarch 2604/3616 Installing : texlive-pst-math-11:svn64732-69.fc39.noarch 2605/3616 Installing : texlive-fontspec-11:svn63386-69.fc39.noarch 2606/3616 Installing : texlive-l3packages-11:svn65722-69.fc39.noarch 2607/3616 Installing : texlive-xpatch-11:svn54563-69.fc39.noarch 2608/3616 Installing : texlive-l3kernel-11:svn66094-69.fc39.noarch 2609/3616 Installing : texlive-currfile-11:svn64673-69.fc39.noarch 2610/3616 Installing : texlive-filehook-11:svn64822-69.fc39.noarch 2611/3616 Installing : texlive-pgf-11:svn65553-69.fc39.noarch 2612/3616 Installing : texlive-ms-11:svn57473-69.fc39.noarch 2613/3616 Installing : texlive-koma-script-11:svn64685-69.fc39.noarch 2614/3616 Installing : texlive-polyglossia-11:svn65792-69.fc39.noarch 2615/3616 Installing : texlive-biblatex-11:svn66403-69.fc39.noarch 2616/3616 Installing : texlive-bidi-11:svn65572-69.fc39.noarch 2617/3616 Installing : texlive-showexpl-11:svn57414-69.fc39.noarch 2618/3616 Installing : texlive-listings-11:svn66222-69.fc39.noarch 2619/3616 Installing : texlive-fancyvrb-11:svn65585-69.fc39.noarch 2620/3616 Installing : texlive-pst-3d-11:svn17257.1.10-69.fc39.noarch 2621/3616 Installing : texlive-pst-coil-11:svn62977-69.fc39.noarch 2622/3616 Installing : texlive-pst-eps-11:svn15878.1.0-69.fc39.noarch 2623/3616 Installing : texlive-pst-fill-11:svn60671-69.fc39.noarch 2624/3616 Installing : texlive-pst-grad-11:svn15878.1.06-69.fc39.noar 2625/3616 Installing : texlive-pst-node-11:svn61838-69.fc39.noarch 2626/3616 Installing : texlive-pst-ovl-11:svn54963-69.fc39.noarch 2627/3616 Installing : texlive-pst-plot-11:svn65346-69.fc39.noarch 2628/3616 Installing : texlive-pst-text-11:svn49542-69.fc39.noarch 2629/3616 Installing : texlive-pst-tools-11:svn60621-69.fc39.noarch 2630/3616 Installing : texlive-pst-tree-11:svn60421-69.fc39.noarch 2631/3616 Installing : texlive-pstricks-add-11:svn65067-69.fc39.noarc 2632/3616 Installing : texlive-pstricks-11:svn65346-69.fc39.noarch 2633/3616 Installing : texlive-pgfopts-11:svn56615-69.fc39.noarch 2634/3616 Installing : texlive-tcolorbox-11:svn66620-69.fc39.noarch 2635/3616 Installing : texlive-adjustbox-11:svn64967-69.fc39.noarch 2636/3616 Installing : texlive-datatool-11:svn52663-69.fc39.noarch 2637/3616 Installing : texlive-glossaries-11:svn64919-77.fc39.noarch 2638/3616 Installing : texlive-siunitx-11:svn66627-69.fc39.noarch 2639/3616 Installing : texlive-libertine-11:svn64359-69.fc39.noarch 2640/3616 Installing : texlive-imakeidx-11:svn42287-69.fc39.noarch 2641/3616 Installing : texlive-datetime2-11:svn63102-69.fc39.noarch 2642/3616 Installing : texlive-tikzpagenodes-11:svn64967-69.fc39.noar 2643/3616 Installing : texlive-chemgreek-11:svn53437-69.fc39.noarch 2644/3616 Installing : texlive-morewrites-11:svn49531-69.fc39.noarch 2645/3616 Installing : texlive-metalogo-11:svn18611.0.12-69.fc39.noar 2646/3616 Installing : texlive-seminar-11:svn59801-69.fc39.noarch 2647/3616 Installing : texlive-fvextra-11:svn65158-69.fc39.noarch 2648/3616 Installing : texlive-todonotes-11:svn65699-69.fc39.noarch 2649/3616 Installing : texlive-ebgaramond-11:svn66604-69.fc39.noarch 2650/3616 Installing : texlive-pst-slpe-11:svn24391.1.31-69.fc39.noar 2651/3616 Installing : texlive-pgfplots-11:svn61719-69.fc39.noarch 2652/3616 Installing : texlive-biblatex-dw-11:svn66579-69.fc39.noarch 2653/3616 Installing : texlive-svninfo-11:svn62157-69.fc39.noarch 2654/3616 Installing : texlive-makeshape-11:svn28973.2.1-69.fc39.noar 2655/3616 Installing : texlive-skeyval-11:svn30560.1.3-69.fc39.noarch 2656/3616 Installing : texlive-tkz-base-11:svn66115-69.fc39.noarch 2657/3616 Installing : texlive-breqn-11:svn60881-69.fc39.noarch 2658/3616 Installing : texlive-latex-11:svn65161-77.fc39.noarch 2659/3616 Installing : texlive-xcjk2uni-11:svn54958-69.fc39.noarch 2660/3616 Installing : texlive-sourcecodepro-11:svn54512-69.fc39.noar 2661/3616 Installing : texlive-tkz-euclide-11:svn65724-69.fc39.noarch 2662/3616 Installing : texlive-tkz-fct-11:svn61949-69.fc39.noarch 2663/3616 Installing : texlive-ebgaramond-maths-11:svn52168-69.fc39.n 2664/3616 Installing : texlive-texpower-11:svn29349.0.2-69.fc39.noarc 2665/3616 Installing : texlive-circuitikz-11:svn65785-69.fc39.noarch 2666/3616 Installing : texlive-glossaries-extra-11:svn64973-69.fc39.n 2667/3616 Installing : texlive-ocg-p-11:svn28803.0.4-69.fc39.noarch 2668/3616 Installing : texlive-gincltex-11:svn64967-69.fc39.noarch 2669/3616 Installing : texlive-standalone-11:svn64677-69.fc39.noarch 2670/3616 Installing : texlive-menukeys-11:svn64314-69.fc39.noarch 2671/3616 Installing : texlive-realboxes-11:svn64967-69.fc39.noarch 2672/3616 Installing : texlive-idxcmds-11:svn54554-69.fc39.noarch 2673/3616 Installing : texlive-doctools-11:svn34474.0.1-69.fc39.noarc 2674/3616 Installing : texlive-ydoc-11:svn64887-69.fc39.noarch 2675/3616 Installing : texlive-chemformula-11:svn61719-69.fc39.noarch 2676/3616 Installing : texlive-interfaces-11:svn21474.3.1-69.fc39.noa 2677/3616 Installing : texlive-prelim2e-11:svn57000-69.fc39.noarch 2678/3616 Installing : texlive-classicthesis-11:svn48041-69.fc39.noar 2679/3616 Installing : texlive-rcsinfo-11:svn15878.1.11-69.fc39.noarc 2680/3616 Installing : texlive-background-11:svn42428-69.fc39.noarch 2681/3616 Installing : texlive-efbox-11:svn33236.1.0-69.fc39.noarch 2682/3616 Installing : texlive-fancytabs-11:svn41549-69.fc39.noarch 2683/3616 Installing : texlive-media9-11:svn64047-69.fc39.noarch 2684/3616 Installing : texlive-ocgx2-11:svn65292-69.fc39.noarch 2685/3616 Installing : texlive-nicematrix-11:svn66461-69.fc39.noarch 2686/3616 Installing : texlive-overlays-11:svn57866-69.fc39.noarch 2687/3616 Installing : texlive-pgf-blur-11:svn54512-69.fc39.noarch 2688/3616 Installing : texlive-superiors-11:svn51909-69.fc39.noarch 2689/3616 Installing : texlive-svn-multi-11:svn64967-77.fc39.noarch 2690/3616 Installing : texlive-tkz-graph-11:svn57484-69.fc39.noarch 2691/3616 Installing : texlive-tkz-tab-11:svn66115-69.fc39.noarch 2692/3616 Installing : texlive-urcls-11:svn49903-69.fc39.noarch 2693/3616 Installing : texlive-lualatex-math-11:svn61464-69.fc39.noar 2694/3616 Installing : texlive-unicode-math-11:svn61719-69.fc39.noarc 2695/3616 Installing : texlive-oberdiek-11:svn65521-77.fc39.noarch 2696/3616 Installing : texlive-pdfpages-11:svn65319-69.fc39.noarch 2697/3616 Installing : texlive-mhchem-11:svn61456-69.fc39.noarch 2698/3616 Installing : texlive-hyperxmp-11:svn65980-77.fc39.x86_64 2699/3616 Installing : texlive-soulpos-11:svn60772-69.fc39.noarch 2700/3616 Installing : texlive-pdfcomment-11:svn49047-69.fc39.noarch 2701/3616 Installing : texlive-sidenotes-11:svn54524-69.fc39.noarch 2702/3616 Installing : texlive-sansmathaccent-11:svn53628-69.fc39.noa 2703/3616 Installing : texlive-beamer-11:svn65984-69.fc39.noarch 2704/3616 Installing : texlive-embrac-11:svn57814-69.fc39.noarch 2705/3616 Installing : texlive-kantlipsum-11:svn51727-69.fc39.noarch 2706/3616 Installing : texlive-mdframed-11:svn31075.1.9b-69.fc39.noar 2707/3616 Installing : texlive-thmtools-11:svn65863-69.fc39.noarch 2708/3616 Installing : texlive-fnpct-11:svn62248-69.fc39.noarch 2709/3616 Installing : texlive-translations-11:svn61896-69.fc39.noarc 2710/3616 Installing : texlive-cnltx-11:svn55265-69.fc39.noarch 2711/3616 Installing : texlive-cntformats-11:svn34668.0.7-69.fc39.noa 2712/3616 Installing : texlive-withargs-11:svn52641-69.fc39.noarch 2713/3616 Installing : texlive-lt3graph-11:svn45913-69.fc39.noarch 2714/3616 Installing : texlive-tasks-11:svn61541-69.fc39.noarch 2715/3616 Installing : texlive-elements-11:svn61792-69.fc39.noarch 2716/3616 Installing : texlive-enotez-11:svn61490-69.fc39.noarch 2717/3616 Installing : texlive-ghsystem-11:svn53822-69.fc39.noarch 2718/3616 Installing : texlive-chemmacros-11:svn62655-69.fc39.noarch 2719/3616 Installing : texlive-l3experimental-11:svn65621-69.fc39.noa 2720/3616 Installing : texlive-regexpatch-11:svn58668-69.fc39.noarch 2721/3616 Installing : texlive-skmath-11:svn52411-69.fc39.noarch 2722/3616 Installing : texlive-zhnumber-11:svn66115-69.fc39.noarch 2723/3616 Installing : texlive-projlib-11:svn65475-69.fc39.noarch 2724/3616 Installing : texlive-colorist-11:svn66434-69.fc39.noarch 2725/3616 Installing : texlive-minimalist-11:svn66434-69.fc39.noarch 2726/3616 Installing : texlive-einfart-11:svn65475-69.fc39.noarch 2727/3616 Installing : texlive-subfiles-11:svn56977-69.fc39.noarch 2728/3616 Installing : texlive-leftindex-11:svn56182-69.fc39.noarch 2729/3616 Installing : texlive-semtex-11:svn56530-69.fc39.noarch 2730/3616 Installing : texlive-fira-11:svn64422-69.fc39.noarch 2731/3616 Installing : texlive-roboto-11:svn64350-69.fc39.noarch 2732/3616 Installing : texlive-tuda-ci-11:svn65254-69.fc39.noarch 2733/3616 Installing : texlive-beamertheme-metropolis-11:svn43031-69. 2734/3616 Installing : texlive-semantex-11:svn65679-69.fc39.noarch 2735/3616 Installing : texlive-tikz-lake-fig-11:svn55288-69.fc39.noar 2736/3616 Installing : texlive-jwjournal-11:svn65480-69.fc39.noarch 2737/3616 Installing : texlive-simplivre-11:svn65475-69.fc39.noarch 2738/3616 Installing : texlive-beaulivre-11:svn65475-69.fc39.noarch 2739/3616 Installing : texlive-lebhart-11:svn65475-69.fc39.noarch 2740/3616 Installing : texlive-skrapport-11:svn52412-69.fc39.noarch 2741/3616 Installing : texlive-substances-11:svn40989-69.fc39.noarch 2742/3616 Installing : texlive-skeldoc-11:svn57922-69.fc39.noarch 2743/3616 Installing : texlive-bohr-11:svn62977-69.fc39.noarch 2744/3616 Installing : texlive-exsheets-11:svn52227-69.fc39.noarch 2745/3616 Installing : texlive-pkgloader-11:svn47486-69.fc39.noarch 2746/3616 Installing : texlive-acro-11:svn62925-69.fc39.noarch 2747/3616 Installing : texlive-changelog-11:svn65861-69.fc39.noarch 2748/3616 Installing : texlive-chemnum-11:svn57490-69.fc39.noarch 2749/3616 Installing : texlive-cooking-units-11:svn65241-69.fc39.noar 2750/3616 Installing : texlive-listlbls-11:svn34893.1.03-69.fc39.noar 2751/3616 Installing : texlive-xsim-11:svn61988-69.fc39.noarch 2752/3616 Installing : texlive-denisbdoc-11:svn66137-69.fc39.noarch 2753/3616 Installing : texlive-fei-11:svn65352-69.fc39.noarch 2754/3616 Installing : texlive-gzt-11:svn63591-69.fc39.noarch 2755/3616 Installing : texlive-upmethodology-11:svn64613-69.fc39.noar 2756/3616 Installing : texlive-latexdemo-11:svn55265-69.fc39.noarch 2757/3616 Installing : texlive-mandi-11:svn61764-69.fc39.noarch 2758/3616 Installing : texlive-photobook-11:svn66629-69.fc39.noarch 2759/3616 Installing : texlive-proposal-11:svn40538-69.fc39.noarch 2760/3616 Installing : texlive-shdoc-11:svn41991-69.fc39.noarch 2761/3616 Installing : texlive-yb-book-11:svn64586-69.fc39.noarch 2762/3616 Installing : texlive-beamerthemenirma-11:svn20765.0.1-69.fc 2763/3616 Installing : texlive-skb-11:svn22781.0.52-69.fc39.noarch 2764/3616 Installing : texlive-stex-11:svn64383-69.fc39.noarch 2765/3616 Installing : texlive-mnotes-11:svn63406-69.fc39.noarch 2766/3616 Installing : texlive-dataref-11:svn62942-69.fc39.noarch 2767/3616 Installing : texlive-fixme-11:svn63708-69.fc39.noarch 2768/3616 Installing : texlive-luatodonotes-11:svn53825-69.fc39.noarc 2769/3616 Installing : texlive-pdfpc-11:svn63866-69.fc39.noarch 2770/3616 Installing : texlive-uestcthesis-11:svn36371.1.1.0-69.fc39. 2771/3616 Installing : texlive-chemexec-11:svn21632.1.0-69.fc39.noarc 2772/3616 Installing : texlive-uothesis-11:svn25355.2.5.6-69.fc39.noa 2773/3616 Installing : texlive-bewerbung-11:svn61632-69.fc39.noarch 2774/3616 Installing : texlive-confproc-11:svn29349.0.8-69.fc39.noarc 2775/3616 Installing : texlive-lectureslides-11:svn62292-69.fc39.noar 2776/3616 Installing : texlive-pdfoverlay-11:svn64210-69.fc39.noarch 2777/3616 Installing : texlive-tudscr-11:svn64085-69.fc39.noarch 2778/3616 Installing : texlive-unswcover-11:svn66115-69.fc39.noarch 2779/3616 Installing : texlive-animate-11:svn64401-69.fc39.noarch 2780/3616 Installing : texlive-dtk-11:svn65315-69.fc39.noarch 2781/3616 Installing : texlive-easy-todo-11:svn32677.0-69.fc39.noarch 2782/3616 Installing : texlive-fcltxdoc-11:svn24500.1.0-69.fc39.noarc 2783/3616 Installing : texlive-hypdvips-11:svn53197-69.fc39.noarch 2784/3616 Installing : texlive-ltxdockit-11:svn21869.1.2d-69.fc39.noa 2785/3616 Installing : texlive-mcmthesis-11:svn57333-69.fc39.noarch 2786/3616 Installing : texlive-numspell-11:svn61132-69.fc39.noarch 2787/3616 Installing : texlive-pecha-11:svn15878.0.1-69.fc39.noarch 2788/3616 Installing : texlive-pseudo-11:svn66638-69.fc39.noarch 2789/3616 Installing : texlive-rutitlepage-11:svn62143-69.fc39.noarch 2790/3616 Installing : texlive-sduthesis-11:svn41401-69.fc39.noarch 2791/3616 Installing : texlive-templatetools-11:svn34495.0-69.fc39.no 2792/3616 Installing : texlive-xcookybooky-11:svn36435.1.5-69.fc39.no 2793/3616 Installing : texlive-yathesis-11:svn66146-69.fc39.noarch 2794/3616 Installing : texlive-kpfonts-otf-11:svn65560-69.fc39.noarch 2795/3616 Installing : texlive-luatexja-11:svn65790-69.fc39.noarch 2796/3616 Installing : texlive-ulthese-11:svn60217-69.fc39.noarch 2797/3616 Installing : texlive-sesamanuel-11:svn36613.0.6-69.fc39.noa 2798/3616 Installing : texlive-tkz-berge-11:svn57485-69.fc39.noarch 2799/3616 Installing : texlive-docshots-11:svn65141-69.fc39.noarch 2800/3616 Installing : texlive-elpres-11:svn60209-69.fc39.noarch 2801/3616 Installing : texlive-decision-table-11:svn60673-69.fc39.noa 2802/3616 Installing : texlive-scrjrnl-11:svn27810.0.1-69.fc39.noarch 2803/3616 Installing : texlive-medstarbeamer-11:svn38828-69.fc39.noar 2804/3616 Installing : texlive-dateiliste-11:svn27974.0.6-69.fc39.noa 2805/3616 Installing : texlive-arsclassica-11:svn45656-69.fc39.noarch 2806/3616 Installing : texlive-cv4tw-11:svn34577.0.2-69.fc39.noarch 2807/3616 Installing : texlive-ocgx-11:svn54512-69.fc39.noarch 2808/3616 Installing : texlive-bib2gls-11:svn65104-77.fc39.noarch 2809/3616 Installing : texlive-ifmslide-11:svn20727.0.47-69.fc39.noar 2810/3616 Installing : texlive-nl-interval-11:svn58328-69.fc39.noarch 2811/3616 Installing : texlive-perfectcut-11:svn54080-69.fc39.noarch 2812/3616 Installing : texlive-rmathbr-11:svn57173-69.fc39.noarch 2813/3616 Installing : texlive-loops-11:svn30704.1.3-69.fc39.noarch 2814/3616 Installing : texlive-paresse-11:svn59228-69.fc39.noarch 2815/3616 Installing : texlive-flowchart-11:svn36572.3.3-69.fc39.noar 2816/3616 Installing : texlive-tikz-opm-11:svn32769.0.1.1-69.fc39.noa 2817/3616 Installing : texlive-biblatex-juradiss-11:svn56502-69.fc39. 2818/3616 Installing : texlive-uni-wtal-ger-11:svn31541.0.2-69.fc39.n 2819/3616 Installing : texlive-tikz-dimline-11:svn35805.1.0-69.fc39.n 2820/3616 Installing : texlive-ha-prosper-11:svn59651-69.fc39.noarch 2821/3616 Installing : texlive-prosper-11:svn33033.1.0h-69.fc39.noarc 2822/3616 Installing : texlive-moderncv-11:svn62128-69.fc39.noarch 2823/3616 Installing : texlive-easyreview-11:svn38352.1.0-69.fc39.noa 2824/3616 Installing : texlive-luatextra-11:svn20747.1.0.1-69.fc39.no 2825/3616 Installing : texlive-lua-check-hyphen-11:svn47527-69.fc39.n 2826/3616 Installing : texlive-metalogox-11:svn65448-69.fc39.noarch 2827/3616 Installing : texlive-cntperchap-11:svn37572.0.3-69.fc39.noa 2828/3616 Installing : texlive-modiagram-11:svn56886-69.fc39.noarch 2829/3616 Installing : texlive-gridpapers-11:svn58723-69.fc39.noarch 2830/3616 Installing : texlive-ppt-slides-11:svn65194-69.fc39.noarch 2831/3616 Installing : texlive-datetime2-en-fulltext-11:svn36705.1.0- 2832/3616 Installing : texlive-datetime2-it-fulltext-11:svn54779-69.f 2833/3616 Installing : texlive-mensa-tex-11:svn45997-69.fc39.noarch 2834/3616 Installing : texlive-acmart-11:svn64967-69.fc39.noarch 2835/3616 Installing : texlive-chemstyle-11:svn31096.2.0m-69.fc39.noa 2836/3616 Installing : texlive-endiagram-11:svn34486.0.1d-69.fc39.noa 2837/3616 Installing : texlive-sankey-11:svn61874-69.fc39.noarch 2838/3616 Installing : texlive-tikz-palattice-11:svn43442-69.fc39.noa 2839/3616 Installing : texlive-verifiche-11:svn64425-69.fc39.noarch 2840/3616 Installing : texlive-glosmathtools-11:svn55920-69.fc39.noar 2841/3616 Installing : texlive-glossaries-finnish-11:svn54080-69.fc39 2842/3616 Installing : texlive-ryethesis-11:svn33945.1.36-69.fc39.noa 2843/3616 Installing : texlive-skills-11:svn56734-69.fc39.noarch 2844/3616 Installing : texlive-testidx-11:svn60966-69.fc39.noarch 2845/3616 Installing : texlive-bankstatement-11:svn38857-69.fc39.noar 2846/3616 Installing : texlive-jslectureplanner-11:svn57095-69.fc39.n 2847/3616 Installing : texlive-properties-11:svn15878.0.2-69.fc39.noa 2848/3616 Installing : texlive-tikz-network-11:svn51884-69.fc39.noarc 2849/3616 Installing : texlive-easyfig-11:svn64967-69.fc39.noarch 2850/3616 Installing : texlive-parsa-11:svn54840-69.fc39.noarch 2851/3616 Installing : texlive-debate-11:svn64846-69.fc39.noarch 2852/3616 Installing : texlive-genealogytree-11:svn66513-69.fc39.noar 2853/3616 Installing : texlive-jumplines-11:svn37553.0.2-69.fc39.noar 2854/3616 Installing : texlive-jupynotex-11:svn56715-69.fc39.noarch 2855/3616 Installing : texlive-recipebook-11:svn37026.0-69.fc39.noarc 2856/3616 Installing : texlive-tikzpackets-11:svn55827-69.fc39.noarch 2857/3616 Installing : texlive-bibcop-11:svn65816-77.fc39.noarch 2858/3616 Installing : texlive-dynkin-diagrams-11:svn58758-69.fc39.no 2859/3616 Installing : texlive-eolang-11:svn66274-69.fc39.noarch 2860/3616 Installing : texlive-forest-11:svn57398-69.fc39.noarch 2861/3616 Installing : texlive-naive-ebnf-11:svn66017-69.fc39.noarch 2862/3616 Installing : texlive-snotez-11:svn61992-69.fc39.noarch 2863/3616 Installing : texlive-stealcaps-11:svn64967-69.fc39.noarch 2864/3616 Installing : texlive-tikz-timing-11:svn64967-69.fc39.noarch 2865/3616 Installing : texlive-ytableau-11:svn59580-69.fc39.noarch 2866/3616 Installing : texlive-bardiag-11:svn22013.0.4a-69.fc39.noarc 2867/3616 Installing : texlive-bhcexam-11:svn64093-69.fc39.noarch 2868/3616 Installing : texlive-colortab-11:svn22155.1.0-69.fc39.noarc 2869/3616 Installing : texlive-ejpecp-11:svn60950-69.fc39.noarch 2870/3616 Installing : texlive-jlabels-11:svn24858.0-69.fc39.noarch 2871/3616 Installing : texlive-lsc-11:svn15878.0-69.fc39.noarch 2872/3616 Installing : texlive-msc-11:svn63291-69.fc39.noarch 2873/3616 Installing : texlive-numericplots-11:svn31729.2.0.2-69.fc39 2874/3616 Installing : texlive-ppr-prv-11:svn15878.0.13c-69.fc39.noar 2875/3616 Installing : texlive-pst-blur-11:svn15878.2.0-69.fc39.noarc 2876/3616 Installing : texlive-powerdot-11:svn59272-69.fc39.noarch 2877/3616 Installing : texlive-sfg-11:svn20209.0.91-69.fc39.noarch 2878/3616 Installing : texlive-skak-11:svn61719-69.fc39.noarch 2879/3616 Installing : texlive-texmate-11:svn15878.2-69.fc39.noarch 2880/3616 Installing : texlive-swimgraf-11:svn25446.0-69.fc39.noarch 2881/3616 Installing : texlive-synproof-11:svn15878.1.0-69.fc39.noarc 2882/3616 Installing : texlive-tableaux-11:svn42413-69.fc39.noarch 2883/3616 Installing : texlive-xymtex-11:svn32182.5.06-69.fc39.noarch 2884/3616 Installing : texlive-randbild-11:svn15878.0.2-69.fc39.noarc 2885/3616 Installing : texlive-apxproof-11:svn64715-69.fc39.noarch 2886/3616 Installing : texlive-asypictureb-11:svn33490.0.3-69.fc39.no 2887/3616 Installing : texlive-engpron-11:svn16558.2-69.fc39.noarch 2888/3616 Installing : texlive-exsol-11:svn48977-69.fc39.noarch 2889/3616 Installing : texlive-nostarch-11:svn15878.1.3-69.fc39.noarc 2890/3616 Installing : texlive-tablor-11:svn31855.4.07_g-69.fc39.noar 2891/3616 Installing : texlive-texments-11:svn15878.0.2.0-69.fc39.noa 2892/3616 Installing : texlive-verbments-11:svn23670.1.2-69.fc39.noar 2893/3616 Installing : texlive-bashful-11:svn25597.0.93-69.fc39.noarc 2894/3616 Installing : texlive-embedall-11:svn51177-69.fc39.noarch 2895/3616 Installing : texlive-highlightlatex-11:svn58392-69.fc39.noa 2896/3616 Installing : texlive-lstaddons-11:svn64967-69.fc39.noarch 2897/3616 Installing : texlive-matlab-prettifier-11:svn34323.0.3-69.f 2898/3616 Installing : texlive-pbsheet-11:svn24830.0.1-69.fc39.noarch 2899/3616 Installing : texlive-sasnrdisplay-11:svn63255-69.fc39.noarc 2900/3616 Installing : texlive-sclang-prettifier-11:svn35087.0.1-69.f 2901/3616 Installing : texlive-vdmlisting-11:svn56905-69.fc39.noarch 2902/3616 Installing : texlive-crbox-11:svn29803.0.1-69.fc39.noarch 2903/3616 Installing : texlive-apa6-11:svn53406-69.fc39.noarch 2904/3616 Installing : texlive-bangorcsthesis-11:svn61770-69.fc39.noa 2905/3616 Installing : texlive-biblatex-apa-11:svn66605-69.fc39.noarc 2906/3616 Installing : texlive-biblatex-bwl-11:svn26556.0.02-69.fc39. 2907/3616 Installing : texlive-biblatex-caspervector-11:svn64866-69.f 2908/3616 Installing : texlive-biblatex-chem-11:svn57904-69.fc39.noar 2909/3616 Installing : texlive-biblatex-chicago-11:svn65037-69.fc39.n 2910/3616 Installing : texlive-biblatex-fiwi-11:svn45876-69.fc39.noar 2911/3616 Installing : texlive-biblatex-gost-11:svn59135-69.fc39.noar 2912/3616 Installing : texlive-biblatex-historian-11:svn19787.0.4-69. 2913/3616 Installing : texlive-biblatex-ieee-11:svn61243-69.fc39.noar 2914/3616 Installing : texlive-biblatex-luh-ipw-11:svn32180.0.3-69.fc 2915/3616 Installing : texlive-biblatex-manuscripts-philology-11:svn5 2916/3616 Installing : texlive-biblatex-mla-11:svn62138-69.fc39.noarc 2917/3616 Installing : texlive-biblatex-musuos-11:svn24097.1.0-69.fc3 2918/3616 Installing : texlive-biblatex-nature-11:svn57262-69.fc39.no 2919/3616 Installing : texlive-biblatex-nejm-11:svn49839-69.fc39.noar 2920/3616 Installing : texlive-biblatex-philosophy-11:svn64414-69.fc3 2921/3616 Installing : texlive-biblatex-phys-11:svn55643-69.fc39.noar 2922/3616 Installing : texlive-biblatex-publist-11:svn66613-69.fc39.n 2923/3616 Installing : texlive-biblatex-realauthor-11:svn45865-69.fc3 2924/3616 Installing : texlive-biblatex-science-11:svn48945-69.fc39.n 2925/3616 Installing : texlive-biblatex-subseries-11:svn43330-69.fc39 2926/3616 Installing : texlive-biblatex-trad-11:svn58169-69.fc39.noar 2927/3616 Installing : texlive-cleanthesis-11:svn51472-69.fc39.noarch 2928/3616 Installing : texlive-gcite-11:svn15878.1.0.1-69.fc39.noarch 2929/3616 Installing : texlive-geschichtsfrkl-11:svn42121-69.fc39.noa 2930/3616 Installing : texlive-oscola-11:svn54328-69.fc39.noarch 2931/3616 Installing : texlive-savetrees-11:svn40525-69.fc39.noarch 2932/3616 Installing : texlive-semproc-11:svn37568.0.1-69.fc39.noarch 2933/3616 Installing : texlive-uni-wtal-lin-11:svn31409.0.2-69.fc39.n 2934/3616 Installing : texlive-br-lex-11:svn44939-69.fc39.noarch 2935/3616 Installing : texlive-toptesi-11:svn56276-69.fc39.noarch 2936/3616 Installing : texlive-wsemclassic-11:svn31532.1.0.1-69.fc39. 2937/3616 Installing : texlive-bgteubner-11:svn54080-69.fc39.noarch 2938/3616 Installing : texlive-bibtopicprefix-11:svn15878.1.10-69.fc3 2939/3616 Installing : texlive-blowup-11:svn64466-69.fc39.noarch 2940/3616 Installing : texlive-coolthms-11:svn29062.1.2-69.fc39.noarc 2941/3616 Installing : texlive-hc-11:svn15878.0-69.fc39.noarch 2942/3616 Installing : texlive-komacv-11:svn57721-69.fc39.noarch 2943/3616 Installing : texlive-mentis-11:svn15878.1.5-69.fc39.noarch 2944/3616 Installing : texlive-qrbill-11:svn66301-69.fc39.noarch 2945/3616 Installing : texlive-scrlayer-fancyhdr-11:svn63844-69.fc39. 2946/3616 Installing : texlive-sr-vorl-11:svn59333-69.fc39.noarch 2947/3616 Installing : texlive-stdpage-11:svn15878.0.6-69.fc39.noarch 2948/3616 Installing : texlive-volumes-11:svn15878.1.0-69.fc39.noarch 2949/3616 Installing : texlive-adigraph-11:svn49862-69.fc39.noarch 2950/3616 Installing : texlive-alertmessage-11:svn38055.1.1-69.fc39.n 2951/3616 Installing : texlive-algobox-11:svn52204-69.fc39.noarch 2952/3616 Installing : texlive-algpseudocodex-11:svn65860-69.fc39.noa 2953/3616 Installing : texlive-blox-11:svn57949-69.fc39.noarch 2954/3616 Installing : texlive-bodegraph-11:svn61719-69.fc39.noarch 2955/3616 Installing : texlive-bondgraph-11:svn21670.1.0-69.fc39.noar 2956/3616 Installing : texlive-bondgraphs-11:svn36605.1.0.1-69.fc39.n 2957/3616 Installing : texlive-bookcover-11:svn65394-69.fc39.noarch 2958/3616 Installing : texlive-cartonaugh-11:svn59938-69.fc39.noarch 2959/3616 Installing : texlive-chemfig-11:svn65912-69.fc39.noarch 2960/3616 Installing : texlive-chemschemex-11:svn46723-69.fc39.noarch 2961/3616 Installing : texlive-chronology-11:svn37934.1.1.1-69.fc39.n 2962/3616 Installing : texlive-codeanatomy-11:svn65648-69.fc39.noarch 2963/3616 Installing : texlive-copyrightbox-11:svn24829.0.1-69.fc39.n 2964/3616 Installing : texlive-cryptocode-11:svn60249-69.fc39.noarch 2965/3616 Installing : texlive-csvsimple-11:svn64450-69.fc39.noarch 2966/3616 Installing : texlive-drawstack-11:svn28582.0-69.fc39.noarch 2967/3616 Installing : texlive-dynblocks-11:svn35193.0.2b-69.fc39.noa 2968/3616 Installing : texlive-easyfloats-11:svn57204-69.fc39.noarch 2969/3616 Installing : texlive-ecv-11:svn24928.0.3-69.fc39.noarch 2970/3616 Installing : texlive-epigraph-keys-11:svn61719-69.fc39.noar 2971/3616 Installing : texlive-factura-11:svn61697-69.fc39.noarch 2972/3616 Installing : texlive-fancypar-11:svn58895-69.fc39.noarch 2973/3616 Installing : texlive-fancyslides-11:svn36263.1.0-69.fc39.no 2974/3616 Installing : texlive-fast-diagram-11:svn29264.1.1-69.fc39.n 2975/3616 Installing : texlive-figchild-11:svn62945-69.fc39.noarch 2976/3616 Installing : texlive-grafcet-11:svn22509.1.3.5-69.fc39.noar 2977/3616 Installing : texlive-harveyballs-11:svn32003.1.1-69.fc39.no 2978/3616 Installing : texlive-hf-tikz-11:svn34733.0.3a-69.fc39.noarc 2979/3616 Installing : texlive-hobete-11:svn27036.0-69.fc39.noarch 2980/3616 Installing : texlive-incgraph-11:svn60810-69.fc39.noarch 2981/3616 Installing : texlive-interval-11:svn50265-69.fc39.noarch 2982/3616 Installing : texlive-istgame-11:svn62946-69.fc39.noarch 2983/3616 Installing : texlive-karnaugh-map-11:svn61614-69.fc39.noarc 2984/3616 Installing : texlive-karnaughmap-11:svn36989.2.0-69.fc39.no 2985/3616 Installing : texlive-kdgdocs-11:svn24498.1.0-69.fc39.noarch 2986/3616 Installing : texlive-kdpcover-11:svn65150-69.fc39.noarch 2987/3616 Installing : texlive-kvmap-11:svn56361-69.fc39.noarch 2988/3616 Installing : texlive-luasseq-11:svn65511-69.fc39.noarch 2989/3616 Installing : texlive-mcexam-11:svn60481-69.fc39.noarch 2990/3616 Installing : texlive-moderntimeline-11:svn55518-69.fc39.noa 2991/3616 Installing : texlive-msu-thesis-11:svn65462-69.fc39.noarch 2992/3616 Installing : texlive-mycv-11:svn26807.1.5.6-69.fc39.noarch 2993/3616 Installing : texlive-neuralnetwork-11:svn31500.1.0-69.fc39. 2994/3616 Installing : texlive-oplotsymbl-11:svn44951-69.fc39.noarch 2995/3616 Installing : texlive-orcidlink-11:svn59560-69.fc39.noarch 2996/3616 Installing : texlive-pas-cours-11:svn55859-69.fc39.noarch 2997/3616 Installing : texlive-pas-cv-11:svn32263.2.01-69.fc39.noarch 2998/3616 Installing : texlive-pas-tableur-11:svn39542-69.fc39.noarch 2999/3616 Installing : texlive-pdfmarginpar-11:svn23492.0.92-69.fc39. 3000/3616 Installing : texlive-pgf-soroban-11:svn32269.1.1-69.fc39.no 3001/3616 Installing : texlive-pgfgantt-11:svn52662-69.fc39.noarch 3002/3616 Installing : texlive-pgfmolbio-11:svn35152.0.21-69.fc39.noa 3003/3616 Installing : texlive-pixelart-11:svn66012-69.fc39.noarch 3004/3616 Installing : texlive-prerex-11:svn54512-69.fc39.noarch 3005/3616 Installing : texlive-pressrelease-11:svn35147.1.0-69.fc39.n 3006/3616 Installing : texlive-productbox-11:svn20886.1.1-69.fc39.noa 3007/3616 Installing : texlive-progressbar-11:svn33822.v1.0b_4-69.fc3 3008/3616 Installing : texlive-proofread-11:svn61719-69.fc39.noarch 3009/3616 Installing : texlive-quiz2socrative-11:svn52276-69.fc39.noa 3010/3616 Installing : texlive-randomwalk-11:svn49513-69.fc39.noarch 3011/3616 Installing : texlive-reflectgraphics-11:svn40612-69.fc39.no 3012/3616 Installing : texlive-reotex-11:svn34924.1.1-69.fc39.noarch 3013/3616 Installing : texlive-ribbonproofs-11:svn31137.1.0-69.fc39.n 3014/3616 Installing : texlive-sa-tikz-11:svn32815.0.7a-69.fc39.noarc 3015/3616 Installing : texlive-scanpages-11:svn42633-69.fc39.noarch 3016/3616 Installing : texlive-schemabloc-11:svn58212-69.fc39.noarch 3017/3616 Installing : texlive-scratch3-11:svn61921-69.fc39.noarch 3018/3616 Installing : texlive-scratchx-11:svn44906-69.fc39.noarch 3019/3616 Installing : texlive-sdaps-11:svn65345-69.fc39.noarch 3020/3616 Installing : texlive-semesterplanner-11:svn56841-69.fc39.no 3021/3616 Installing : texlive-setdeck-11:svn40613-69.fc39.noarch 3022/3616 Installing : texlive-smartdiagram-11:svn42781-69.fc39.noarc 3023/3616 Installing : texlive-sparklines-11:svn42821-69.fc39.noarch 3024/3616 Installing : texlive-spath3-11:svn64818-69.fc39.noarch 3025/3616 Installing : texlive-spot-11:svn22408.1.1-69.fc39.noarch 3026/3616 Installing : texlive-sseq-11:svn31585.2.01-69.fc39.noarch 3027/3616 Installing : texlive-talk-11:svn42428-69.fc39.noarch 3028/3616 Installing : texlive-thumby-11:svn16736.0.1-69.fc39.noarch 3029/3616 Installing : texlive-ticollege-11:svn36306.1.0-69.fc39.noar 3030/3616 Installing : texlive-tikz-3dplot-11:svn25087.0-69.fc39.noar 3031/3616 Installing : texlive-tikz-among-us-11:svn60880-69.fc39.noar 3032/3616 Installing : texlive-tikz-cd-11:svn59133-69.fc39.noarch 3033/3616 Installing : texlive-tikz-dependency-11:svn54512-69.fc39.no 3034/3616 Installing : texlive-tikz-inet-11:svn15878.0.1-69.fc39.noar 3035/3616 Installing : texlive-tikz-kalender-11:svn52890-69.fc39.noar 3036/3616 Installing : texlive-tikz-mirror-lens-11:svn65500-69.fc39.n 3037/3616 Installing : texlive-tikz-optics-11:svn62977-69.fc39.noarch 3038/3616 Installing : texlive-tikz-planets-11:svn55002-69.fc39.noarc 3039/3616 Installing : texlive-tikz-qtree-11:svn26108.1.2-69.fc39.noa 3040/3616 Installing : texlive-tikzinclude-11:svn28715.1.0-69.fc39.no 3041/3616 Installing : texlive-tikzorbital-11:svn36439.0-69.fc39.noar 3042/3616 Installing : texlive-tikzpfeile-11:svn25777.1.0-69.fc39.noa 3043/3616 Installing : texlive-tikzscale-11:svn30637.0.2.6-69.fc39.no 3044/3616 Installing : texlive-tikzsymbols-11:svn61300-69.fc39.noarch 3045/3616 Installing : texlive-timing-diagrams-11:svn31491.0-69.fc39. 3046/3616 Installing : texlive-tkz-orm-11:svn61719-69.fc39.noarch 3047/3616 Installing : texlive-tqft-11:svn44455-69.fc39.noarch 3048/3616 Installing : texlive-tzplot-11:svn64537-69.fc39.noarch 3049/3616 Installing : texlive-ulqda-11:svn26313-77.fc39.noarch 3050/3616 Installing : texlive-utfsym-11:svn63076-69.fc39.noarch 3051/3616 Installing : texlive-venndiagram-11:svn47952-69.fc39.noarch 3052/3616 Installing : texlive-vgrid-11:svn32457.0.1-69.fc39.noarch 3053/3616 Installing : texlive-witharrows-11:svn65841-69.fc39.noarch 3054/3616 Installing : texlive-worldflags-11:svn59171-69.fc39.noarch 3055/3616 Installing : texlive-xmuthesis-11:svn56614-69.fc39.noarch 3056/3616 Installing : texlive-citation-style-language-11:svn65878-77 3057/3616 Installing : texlive-curve-11:svn20745.1.16-69.fc39.noarch 3058/3616 Installing : texlive-jmlr-11:svn61957-69.fc39.noarch 3059/3616 Installing : texlive-pythonimmediate-11:svn66461-69.fc39.no 3060/3616 Installing : texlive-cellprops-11:svn57599-69.fc39.noarch 3061/3616 Installing : texlive-citeall-11:svn45975-69.fc39.noarch 3062/3616 Installing : texlive-classics-11:svn53671-69.fc39.noarch 3063/3616 Installing : texlive-conteq-11:svn37868.0.1.1-69.fc39.noarc 3064/3616 Installing : texlive-copyedit-11:svn37928.1.6-69.fc39.noarc 3065/3616 Installing : texlive-diffcoeff-11:svn65676-69.fc39.noarch 3066/3616 Installing : texlive-download-11:svn52257-69.fc39.noarch 3067/3616 Installing : texlive-ebproof-11:svn57544-69.fc39.noarch 3068/3616 Installing : texlive-erw-l3-11:svn61799-69.fc39.noarch 3069/3616 Installing : texlive-gatherenum-11:svn52209-69.fc39.noarch 3070/3616 Installing : texlive-gs1-11:svn59620-69.fc39.noarch 3071/3616 Installing : texlive-gtl-11:svn49527-69.fc39.noarch 3072/3616 Installing : texlive-unravel-11:svn59175-69.fc39.noarch 3073/3616 Installing : texlive-hobby-11:svn44474-69.fc39.noarch 3074/3616 Installing : texlive-lengthconvert-11:svn55064-69.fc39.noar 3075/3616 Installing : texlive-minibox-11:svn30914.0.2a-69.fc39.noarc 3076/3616 Installing : texlive-multicolrule-11:svn56366-69.fc39.noarc 3077/3616 Installing : texlive-notes2bib-11:svn52231-69.fc39.noarch 3078/3616 Installing : texlive-numerica-11:svn61283-69.fc39.noarch 3079/3616 Installing : texlive-pbalance-11:svn64002-69.fc39.noarch 3080/3616 Installing : texlive-prettytok-11:svn63842-69.fc39.noarch 3081/3616 Installing : texlive-pstool-11:svn46393-69.fc39.noarch 3082/3616 Installing : texlive-scontents-11:svn62902-69.fc39.noarch 3083/3616 Installing : texlive-simplebnf-11:svn65485-69.fc39.noarch 3084/3616 Installing : texlive-topiclongtable-11:svn54758-69.fc39.noa 3085/3616 Installing : texlive-xpeek-11:svn61719-69.fc39.noarch 3086/3616 Installing : texlive-biblatex-opcit-booktitle-11:svn48983-6 3087/3616 Installing : texlive-biblatex-source-division-11:svn45379-6 3088/3616 Installing : texlive-indextools-11:svn38931-69.fc39.noarch 3089/3616 Installing : texlive-association-matrix-11:svn64845-69.fc39 3090/3616 Installing : texlive-comicneue-11:svn54891-69.fc39.noarch 3091/3616 Installing : texlive-concepts-11:svn29020.0.0.5_r1-69.fc39. 3092/3616 Installing : texlive-elzcards-11:svn51894-69.fc39.noarch 3093/3616 Installing : texlive-eqexpl-11:svn63629-69.fc39.noarch 3094/3616 Installing : texlive-longdivision-11:svn59979-69.fc39.noarc 3095/3616 Installing : texlive-ninecolors-11:svn62006-69.fc39.noarch 3096/3616 Installing : texlive-physics-11:svn28590.1.3-69.fc39.noarch 3097/3616 Installing : texlive-swfigure-11:svn63255-69.fc39.noarch 3098/3616 Installing : texlive-tipauni-11:svn65817-69.fc39.noarch 3099/3616 Installing : texlive-tucv-11:svn20680.1.0-69.fc39.noarch 3100/3616 Installing : texlive-underoverlap-11:svn29019.0.0.1_r1-69.f 3101/3616 Installing : texlive-unitconv-11:svn55060-69.fc39.noarch 3102/3616 Installing : texlive-wtref-11:svn55558-69.fc39.noarch 3103/3616 Installing : texlive-accanthis-11:svn64844-69.fc39.noarch 3104/3616 Installing : texlive-alegreya-11:svn64384-69.fc39.noarch 3105/3616 Installing : texlive-cabin-11:svn65358-69.fc39.noarch 3106/3616 Installing : texlive-caladea-11:svn64549-69.fc39.noarch 3107/3616 Installing : texlive-carlito-11:svn64624-69.fc39.noarch 3108/3616 Installing : texlive-cinzel-11:svn64550-69.fc39.noarch 3109/3616 Installing : texlive-clearsans-11:svn64400-69.fc39.noarch 3110/3616 Installing : texlive-dantelogo-11:svn38599-69.fc39.noarch 3111/3616 Installing : texlive-gillius-11:svn64865-69.fc39.noarch 3112/3616 Installing : texlive-helmholtz-ellis-ji-notation-11:svn5521 3113/3616 Installing : texlive-librebaskerville-11:svn64421-69.fc39.n 3114/3616 Installing : texlive-librecaslon-11:svn64432-69.fc39.noarch 3115/3616 Installing : texlive-lobster2-11:svn64442-69.fc39.noarch 3116/3616 Installing : texlive-mathspec-11:svn42773-69.fc39.noarch 3117/3616 Installing : texlive-merriweather-11:svn64452-69.fc39.noarc 3118/3616 Installing : texlive-mintspirit-11:svn64461-69.fc39.noarch 3119/3616 Installing : texlive-overlock-11:svn64495-69.fc39.noarch 3120/3616 Installing : texlive-playfair-11:svn64857-69.fc39.noarch 3121/3616 Installing : texlive-quattrocento-11:svn64372-69.fc39.noarc 3122/3616 Installing : texlive-raleway-11:svn42629-69.fc39.noarch 3123/3616 Installing : texlive-realscripts-11:svn56594-69.fc39.noarch 3124/3616 Installing : texlive-xltxtra-11:svn56594-69.fc39.noarch 3125/3616 Installing : texlive-gmutils-11:svn24287.v0.996-69.fc39.noa 3126/3616 Installing : texlive-gmverb-11:svn24288.v0.98-69.fc39.noarc 3127/3616 Installing : texlive-gmdoc-11:svn21292.0.993-69.fc39.noarch 3128/3616 Installing : texlive-gmdoc-enhance-11:svn15878.v0.2-69.fc39 3129/3616 Installing : texlive-beamer2thesis-11:svn27539.2.2-69.fc39. 3130/3616 Installing : texlive-sapthesis-11:svn63810-69.fc39.noarch 3131/3616 Installing : texlive-sourcesanspro-11:svn54892-69.fc39.noar 3132/3616 Installing : texlive-sourceserifpro-11:svn54512-69.fc39.noa 3133/3616 Installing : texlive-universalis-11:svn64505-69.fc39.noarch 3134/3616 Installing : texlive-pstring-11:svn42857-69.fc39.noarch 3135/3616 Installing : texlive-ptolemaicastronomy-11:svn50810-69.fc39 3136/3616 Installing : texlive-punk-latex-11:svn27389.1.1-69.fc39.noa 3137/3616 Installing : texlive-punk-11:svn27388.0-69.fc39.noarch 3138/3616 Installing : texlive-punknova-11:svn24649.1.003-69.fc39.noa 3139/3616 Installing : texlive-pxpgfmark-11:svn30212.0.2-69.fc39.noar 3140/3616 Installing : texlive-python-11:svn60162-69.fc39.noarch 3141/3616 Installing : texlive-pythonhighlight-11:svn43191-69.fc39.no 3142/3616 Installing : texlive-qsharp-11:svn49722-69.fc39.noarch 3143/3616 Installing : texlive-qualitype-11:svn54512-69.fc39.noarch 3144/3616 Installing : texlive-quantikz-11:svn54911-69.fc39.noarch 3145/3616 Installing : texlive-quantumarticle-11:svn65242-69.fc39.noa 3146/3616 Installing : texlive-quicktype-11:svn42183-69.fc39.noarch 3147/3616 Installing : texlive-quotmark-11:svn15878.1.0-69.fc39.noarc 3148/3616 Installing : texlive-rank-2-roots-11:svn61719-69.fc39.noarc 3149/3616 Installing : texlive-rbt-mathnotes-11:svn61193-69.fc39.noar 3150/3616 Installing : texlive-rcs-multi-11:svn64967-69.fc39.noarch 3151/3616 Installing : texlive-rcs-11:svn15878.0-69.fc39.noarch 3152/3616 Installing : texlive-readablecv-11:svn61719-69.fc39.noarch 3153/3616 Installing : texlive-recipe-11:svn54080-69.fc39.noarch 3154/3616 Installing : texlive-rectopma-11:svn19980.0-69.fc39.noarch 3155/3616 Installing : texlive-recycle-11:svn15878.0-69.fc39.noarch 3156/3616 Installing : texlive-refcheck-11:svn29128.1.9.1-69.fc39.noa 3157/3616 Installing : texlive-refman-11:svn15878.2.0e-69.fc39.noarch 3158/3616 Installing : texlive-regcount-11:svn19979.1.0-69.fc39.noarc 3159/3616 Installing : texlive-relenc-11:svn22050.0-69.fc39.noarch 3160/3616 Installing : texlive-rescansync-11:svn63856-69.fc39.noarch 3161/3616 Installing : texlive-resmes-11:svn65375-69.fc39.noarch 3162/3616 Installing : texlive-resolsysteme-11:svn66192-69.fc39.noarc 3163/3616 Installing : texlive-rest-api-11:svn57068-69.fc39.noarch 3164/3616 Installing : texlive-returntogrid-11:svn48485-69.fc39.noarc 3165/3616 Installing : texlive-revquantum-11:svn43505-69.fc39.noarch 3166/3616 Installing : texlive-revtex4-1-11:svn56590-69.fc39.noarch 3167/3616 Installing : texlive-rgltxdoc-11:svn53858-69.fc39.noarch 3168/3616 Installing : texlive-rlepsf-11:svn19082.0-69.fc39.noarch 3169/3616 Installing : texlive-robotarm-11:svn63116-69.fc39.noarch 3170/3616 Installing : texlive-robustindex-11:svn49877-69.fc39.noarch 3171/3616 Installing : texlive-romanneg-11:svn20087.0-69.fc39.noarch 3172/3616 Installing : texlive-rosario-11:svn51688-69.fc39.noarch 3173/3616 Installing : texlive-roundbox-11:svn29675.0.2-69.fc39.noarc 3174/3616 Installing : texlive-rulerbox-11:svn50984-69.fc39.noarch 3175/3616 Installing : texlive-rulercompass-11:svn32392.1-69.fc39.noa 3176/3616 Installing : texlive-runcode-11:svn65588-69.fc39.noarch 3177/3616 Installing : texlive-rvwrite-11:svn19614.1.2-69.fc39.noarch 3178/3616 Installing : texlive-ryersonsgsthesis-11:svn50119-69.fc39.n 3179/3616 Installing : texlive-sacsymb-11:svn65768-69.fc39.noarch 3180/3616 Installing : texlive-sanitize-umlaut-11:svn63770-69.fc39.no 3181/3616 Installing : texlive-sansmath-11:svn17997.1.1-69.fc39.noarc 3182/3616 Installing : texlive-sansmathfonts-11:svn64661-69.fc39.noar 3183/3616 Installing : texlive-sauter-11:svn13293.2.4-69.fc39.noarch 3184/3616 Installing : texlive-sauterfonts-11:svn15878.0-69.fc39.noar 3185/3616 Installing : texlive-savefnmark-11:svn15878.1.0-69.fc39.noa 3186/3616 Installing : texlive-savesym-11:svn31565.1.2-69.fc39.noarch 3187/3616 Installing : texlive-scale-11:svn15878.1.1.2-69.fc39.noarch 3188/3616 Installing : texlive-scalerel-11:svn42809-69.fc39.noarch 3189/3616 Installing : texlive-schola-otf-11:svn64734-69.fc39.noarch 3190/3616 Installing : texlive-scholax-11:svn61836-69.fc39.noarch 3191/3616 Installing : texlive-schulschriften-11:svn59388-69.fc39.noa 3192/3616 Installing : texlive-scientific-thesis-cover-11:svn47923-69 3193/3616 Installing : texlive-scrambledenvs-11:svn60615-69.fc39.noar 3194/3616 Installing : texlive-scratch-11:svn50073-69.fc39.noarch 3195/3616 Installing : texlive-scripture-11:svn65493-69.fc39.noarch 3196/3616 Installing : texlive-scrlttr2copy-11:svn56733-69.fc39.noarc 3197/3616 Installing : texlive-scsnowman-11:svn66115-69.fc39.noarch 3198/3616 Installing : texlive-se2thesis-11:svn65645-69.fc39.noarch 3199/3616 Installing : texlive-secdot-11:svn20208.1.0-69.fc39.noarch 3200/3616 Installing : texlive-secnum-11:svn61813-69.fc39.noarch 3201/3616 Installing : texlive-section-11:svn20180.0-69.fc39.noarch 3202/3616 Installing : texlive-sectionbreak-11:svn50339-69.fc39.noarc 3203/3616 Installing : texlive-selectp-11:svn20185.1.0-69.fc39.noarch 3204/3616 Installing : texlive-semantic-markup-11:svn53607-69.fc39.no 3205/3616 Installing : texlive-semaphor-11:svn18651.0-69.fc39.noarch 3206/3616 Installing : texlive-sepfootnotes-11:svn41732-69.fc39.noarc 3207/3616 Installing : texlive-sepnum-11:svn20186.2.0-69.fc39.noarch 3208/3616 Installing : texlive-sesstime-11:svn49750-69.fc39.noarch 3209/3616 Installing : texlive-seu-ml-assign-11:svn62933-69.fc39.noar 3210/3616 Installing : texlive-seuthesis-11:svn33042.2.1.2-69.fc39.no 3211/3616 Installing : texlive-seuthesix-11:svn40088-69.fc39.noarch 3212/3616 Installing : texlive-sf298-11:svn41653-69.fc39.noarch 3213/3616 Installing : texlive-sfmath-11:svn15878.0.8-69.fc39.noarch 3214/3616 Installing : texlive-shapepar-11:svn30708.2.2-69.fc39.noarc 3215/3616 Installing : texlive-shobhika-11:svn50555-69.fc39.noarch 3216/3616 Installing : texlive-shortmathj-11:svn54407-69.fc39.noarch 3217/3616 Installing : texlive-show2e-11:svn15878.1.0-69.fc39.noarch 3218/3616 Installing : texlive-showcharinbox-11:svn29803.0.1-69.fc39. 3219/3616 Installing : texlive-showdim-11:svn28918.1.2-69.fc39.noarch 3220/3616 Installing : texlive-showlabels-11:svn63940-69.fc39.noarch 3221/3616 Installing : texlive-showtags-11:svn20336.1.05-69.fc39.noar 3222/3616 Installing : texlive-shuffle-11:svn15878.1.0-69.fc39.noarch 3223/3616 Installing : texlive-sidenotesplus-11:svn63867-69.fc39.noar 3224/3616 Installing : texlive-signchart-11:svn39707-69.fc39.noarch 3225/3616 Installing : texlive-sillypage-11:svn66349-69.fc39.noarch 3226/3616 Installing : texlive-simplecv-11:svn35537.1.6a-69.fc39.noar 3227/3616 Installing : texlive-simpleicons-11:svn66617-69.fc39.noarch 3228/3616 Installing : texlive-simpleinvoice-11:svn45673-69.fc39.noar 3229/3616 Installing : texlive-simplenodes-11:svn62888-69.fc39.noarch 3230/3616 Installing : texlive-simpleoptics-11:svn62977-69.fc39.noarc 3231/3616 Installing : texlive-simpler-wick-11:svn39074-69.fc39.noarc 3232/3616 Installing : texlive-simples-matrices-11:svn63802-69.fc39.n 3233/3616 Installing : texlive-simplewick-11:svn15878.1.2a-69.fc39.no 3234/3616 Installing : texlive-sitem-11:svn22136.1.0-69.fc39.noarch 3235/3616 Installing : texlive-skull-11:svn51907-69.fc39.noarch 3236/3616 Installing : texlive-smalltableof-11:svn20333.0-69.fc39.noa 3237/3616 Installing : texlive-smart-eqn-11:svn61719-69.fc39.noarch 3238/3616 Installing : texlive-smartref-11:svn20311.1.9-69.fc39.noarc 3239/3616 Installing : texlive-smartunits-11:svn39592-69.fc39.noarch 3240/3616 Installing : texlive-snapshot-11:svn56735-69.fc39.noarch 3241/3616 Installing : texlive-snaptodo-11:svn61155-69.fc39.noarch 3242/3616 Installing : texlive-sort-by-letters-11:svn27128.0-69.fc39. 3243/3616 Installing : texlive-spacingtricks-11:svn66393-69.fc39.noar 3244/3616 Installing : texlive-spalign-11:svn42225-69.fc39.noarch 3245/3616 Installing : texlive-spark-otf-11:svn62481-69.fc39.noarch 3246/3616 Installing : texlive-spbmark-11:svn64706-69.fc39.noarch 3247/3616 Installing : texlive-spectral-11:svn64528-69.fc39.noarch 3248/3616 Installing : texlive-spectralsequences-11:svn65667-69.fc39. 3249/3616 Installing : texlive-sphack-11:svn20842.0-69.fc39.noarch 3250/3616 Installing : texlive-splitbib-11:svn15878.1.17-69.fc39.noar 3251/3616 Installing : texlive-spverbatim-11:svn15878.v1.0-69.fc39.no 3252/3616 Installing : texlive-srbook-mem-11:svn45818-69.fc39.noarch 3253/3616 Installing : texlive-srdp-mathematik-11:svn65293-69.fc39.no 3254/3616 Installing : texlive-sslides-11:svn32293.0-69.fc39.noarch 3255/3616 Installing : texlive-stack-11:svn15878.1.00-69.fc39.noarch 3256/3616 Installing : texlive-stanli-11:svn54512-69.fc39.noarch 3257/3616 Installing : texlive-starfont-11:svn19982.1.2-69.fc39.noarc 3258/3616 Installing : texlive-statistics-11:svn52212-69.fc39.noarch 3259/3616 Installing : texlive-statmath-11:svn46925-69.fc39.noarch 3260/3616 Installing : texlive-staves-11:svn15878.0-69.fc39.noarch 3261/3616 Installing : texlive-step-11:svn57307-69.fc39.noarch 3262/3616 Installing : texlive-stepgreek-11:svn57074-69.fc39.noarch 3263/3616 Installing : texlive-stickstoo-11:svn60793-69.fc39.noarch 3264/3616 Installing : texlive-stix2-otf-11:svn58735-69.fc39.noarch 3265/3616 Installing : texlive-strands-11:svn59906-69.fc39.noarch 3266/3616 Installing : texlive-structmech-11:svn58985-69.fc39.noarch 3267/3616 Installing : texlive-studenthandouts-11:svn43516-69.fc39.no 3268/3616 Installing : texlive-styledcmd-11:svn65262-69.fc39.noarch 3269/3616 Installing : texlive-subdocs-11:svn51480-69.fc39.noarch 3270/3616 Installing : texlive-subeqn-11:svn15878.2.0b-69.fc39.noarch 3271/3616 Installing : texlive-subeqnarray-11:svn15878.2.1c-69.fc39.n 3272/3616 Installing : texlive-subsupscripts-11:svn16080.1.0-69.fc39. 3273/3616 Installing : texlive-subtext-11:svn51273-69.fc39.noarch 3274/3616 Installing : texlive-sugconf-11:svn58752-69.fc39.noarch 3275/3616 Installing : texlive-susy-11:svn19440.0-69.fc39.noarch 3276/3616 Installing : texlive-svgcolor-11:svn15878.1.0-69.fc39.noarc 3277/3616 Installing : texlive-svn-11:svn15878.43-69.fc39.noarch 3278/3616 Installing : texlive-svrsymbols-11:svn50019-69.fc39.noarch 3279/3616 Installing : texlive-swungdash-11:svn64204-69.fc39.noarch 3280/3616 Installing : texlive-symbats3-11:svn63833-69.fc39.noarch 3281/3616 Installing : texlive-syntax-11:svn15878.0-69.fc39.noarch 3282/3616 Installing : texlive-syntaxdi-11:svn56685-69.fc39.noarch 3283/3616 Installing : texlive-tabbing-11:svn59715-69.fc39.noarch 3284/3616 Installing : texlive-table-fct-11:svn41849-69.fc39.noarch 3285/3616 Installing : texlive-tabls-11:svn17255.3.5-69.fc39.noarch 3286/3616 Installing : texlive-tablvar-11:svn51543-69.fc39.noarch 3287/3616 Installing : texlive-tabularray-11:svn66276-69.fc39.noarch 3288/3616 Installing : texlive-tagpdf-11:svn66461-69.fc39.noarch 3289/3616 Installing : texlive-tamefloats-11:svn27345.v0.42-69.fc39.n 3290/3616 Installing : texlive-tapir-11:svn20484.0.2-69.fc39.noarch 3291/3616 Installing : texlive-technics-11:svn29349.1.0-69.fc39.noarc 3292/3616 Installing : texlive-technion-thesis-template-11:svn49889-6 3293/3616 Installing : texlive-ted-11:svn15878.1.06-69.fc39.noarch 3294/3616 Installing : texlive-tempora-11:svn39596-69.fc39.noarch 3295/3616 Installing : texlive-tensind-11:svn51481-69.fc39.noarch 3296/3616 Installing : texlive-tensor-11:svn15878.2.1-69.fc39.noarch 3297/3616 Installing : texlive-termes-otf-11:svn64733-69.fc39.noarch 3298/3616 Installing : texlive-termlist-11:svn18923.1.1-69.fc39.noarc 3299/3616 Installing : texlive-termsim-11:svn61414-69.fc39.noarch 3300/3616 Installing : texlive-testhyphens-11:svn38928-69.fc39.noarch 3301/3616 Installing : texlive-tex-ewd-11:svn15878.0-69.fc39.noarch 3302/3616 Installing : texlive-tex-gyre-math-11:svn41264-69.fc39.noar 3303/3616 Installing : texlive-tex-locale-11:svn48500-69.fc39.noarch 3304/3616 Installing : texlive-texilikechaps-11:svn28553.1.0a-69.fc39 3305/3616 Installing : texlive-texilikecover-11:svn15878.0.1-69.fc39. 3306/3616 Installing : texlive-texlive-en-11:svn66572-77.fc39.noarch 3307/3616 Installing : texlive-texlive-msg-translations-11:svn66593-6 3308/3616 Installing : texlive-texsurgery-11:svn59885-69.fc39.noarch 3309/3616 Installing : texlive-textcsc-11:svn64935-69.fc39.noarch 3310/3616 Installing : texlive-textfit-11:svn20591.5-69.fc39.noarch 3311/3616 Installing : texlive-textmerg-11:svn20677.2.01-69.fc39.noar 3312/3616 Installing : texlive-textualicomma-11:svn48474-69.fc39.noar 3313/3616 Installing : texlive-texvc-11:svn46844-69.fc39.noarch 3314/3616 Installing : texlive-tfrupee-11:svn20770.1.02-69.fc39.noarc 3315/3616 Installing : texlive-theanodidot-11:svn64518-69.fc39.noarch 3316/3616 Installing : texlive-theanomodern-11:svn64520-69.fc39.noarc 3317/3616 Installing : texlive-theanooldstyle-11:svn64519-69.fc39.noa 3318/3616 Installing : texlive-theoremref-11:svn54512-69.fc39.noarch 3319/3616 Installing : texlive-thermodynamics-11:svn63188-69.fc39.noa 3320/3616 Installing : texlive-thesis-gwu-11:svn54287-69.fc39.noarch 3321/3616 Installing : texlive-thesis-qom-11:svn63524-69.fc39.noarch 3322/3616 Installing : texlive-thinsp-11:svn39669-69.fc39.noarch 3323/3616 Installing : texlive-thuaslogos-11:svn51347-69.fc39.noarch 3324/3616 Installing : texlive-thubeamer-11:svn61071-69.fc39.noarch 3325/3616 Installing : texlive-thucoursework-11:svn56435-69.fc39.noar 3326/3616 Installing : texlive-tidyres-11:svn65789-69.fc39.noarch 3327/3616 Installing : texlive-tikz-bagua-11:svn64103-69.fc39.noarch 3328/3616 Installing : texlive-tikz-bayesnet-11:svn38295.0.1-69.fc39. 3329/3616 Installing : texlive-tikz-bbox-11:svn57444-69.fc39.noarch 3330/3616 Installing : texlive-tikz-ext-11:svn64920-69.fc39.noarch 3331/3616 Installing : texlive-tikz-feynhand-11:svn51915-69.fc39.noar 3332/3616 Installing : texlive-tikz-feynman-11:svn56615-69.fc39.noarc 3333/3616 Installing : texlive-tikz-imagelabels-11:svn51490-69.fc39.n 3334/3616 Installing : texlive-tikz-karnaugh-11:svn62040-69.fc39.noar 3335/3616 Installing : texlive-tikz-ladder-11:svn62992-69.fc39.noarch 3336/3616 Installing : texlive-tikz-layers-11:svn46660-69.fc39.noarch 3337/3616 Installing : texlive-tikz-nef-11:svn55920-69.fc39.noarch 3338/3616 Installing : texlive-tikz-page-11:svn42039-69.fc39.noarch 3339/3616 Installing : texlive-tikz-relay-11:svn64072-69.fc39.noarch 3340/3616 Installing : texlive-tikz-sfc-11:svn49424-69.fc39.noarch 3341/3616 Installing : texlive-tikz-swigs-11:svn59889-69.fc39.noarch 3342/3616 Installing : texlive-tikz-trackschematic-11:svn63480-69.fc3 3343/3616 Installing : texlive-tikz-truchet-11:svn50020-69.fc39.noarc 3344/3616 Installing : texlive-tikzbricks-11:svn63952-69.fc39.noarch 3345/3616 Installing : texlive-tikzcodeblocks-11:svn54758-69.fc39.noa 3346/3616 Installing : texlive-tikzducks-11:svn55713-69.fc39.noarch 3347/3616 Installing : texlive-tikzfill-11:svn63947-69.fc39.noarch 3348/3616 Installing : texlive-tikzlings-11:svn63628-69.fc39.noarch 3349/3616 Installing : texlive-tikzmark-11:svn64819-69.fc39.noarch 3350/3616 Installing : texlive-tikzmarmots-11:svn54080-69.fc39.noarch 3351/3616 Installing : texlive-tikzpeople-11:svn43978-69.fc39.noarch 3352/3616 Installing : texlive-tikzpingus-11:svn64199-69.fc39.noarch 3353/3616 Installing : texlive-tikztosvg-11:svn60289-77.fc39.x86_64 3354/3616 Installing : texlive-tikzviolinplots-11:svn65687-69.fc39.no 3355/3616 Installing : texlive-tile-graphic-11:svn55325-69.fc39.noarc 3356/3616 Installing : texlive-timbreicmc-11:svn49740-69.fc39.noarch 3357/3616 Installing : texlive-tinos-11:svn64504-69.fc39.noarch 3358/3616 Installing : texlive-tiscreen-11:svn62602-69.fc39.noarch 3359/3616 Installing : texlive-titlefoot-11:svn15878.0-69.fc39.noarch 3360/3616 Installing : texlive-titlepic-11:svn43497-69.fc39.noarch 3361/3616 Installing : texlive-titleref-11:svn18729.3.1-69.fc39.noarc 3362/3616 Installing : texlive-tkz-doc-11:svn66115-69.fc39.noarch 3363/3616 Installing : texlive-tkzexample-11:svn63908-69.fc39.noarch 3364/3616 Installing : texlive-tlc-article-11:svn51431-69.fc39.noarch 3365/3616 Installing : texlive-tocdata-11:svn65451-69.fc39.noarch 3366/3616 Installing : texlive-tokcycle-11:svn60320-69.fc39.noarch 3367/3616 Installing : texlive-tonevalue-11:svn60058-69.fc39.noarch 3368/3616 Installing : texlive-topfloat-11:svn19084.0-69.fc39.noarch 3369/3616 Installing : texlive-topletter-11:svn48182-69.fc39.noarch 3370/3616 Installing : texlive-tramlines-11:svn65692-69.fc39.noarch 3371/3616 Installing : texlive-tree-dvips-11:svn21751.91-69.fc39.noar 3372/3616 Installing : texlive-linguex-11:svn30815.4.3-69.fc39.noarch 3373/3616 Installing : texlive-philex-11:svn36396.1.3-69.fc39.noarch 3374/3616 Installing : texlive-trfsigns-11:svn15878.1.01-69.fc39.noar 3375/3616 Installing : texlive-trsym-11:svn18732.1.0-69.fc39.noarch 3376/3616 Installing : texlive-tsemlines-11:svn23440.1.0-69.fc39.noar 3377/3616 Installing : texlive-ttfutils-11:svn66186-77.fc39.x86_64 3378/3616 Installing : texlive-tugboat-plain-11:svn63386-69.fc39.noar 3379/3616 Installing : texlive-turabian-11:svn36298.0.1.0-69.fc39.noa 3380/3616 Installing : texlive-twemoji-colr-11:svn64854-69.fc39.noarc 3381/3616 Installing : texlive-twemojis-11:svn62930-69.fc39.noarch 3382/3616 Installing : texlive-twoinone-11:svn17024.0-69.fc39.noarch 3383/3616 Installing : texlive-twoup-11:svn15878.1.3-69.fc39.noarch 3384/3616 Installing : texlive-txuprcal-11:svn43327-69.fc39.noarch 3385/3616 Installing : texlive-typed-checklist-11:svn63445-69.fc39.no 3386/3616 Installing : texlive-typicons-11:svn37623.2.0.7-69.fc39.noa 3387/3616 Installing : texlive-typoaid-11:svn44238-69.fc39.noarch 3388/3616 Installing : texlive-uafthesis-11:svn57349-69.fc39.noarch 3389/3616 Installing : texlive-ucalgmthesis-11:svn66602-69.fc39.noarc 3390/3616 Installing : texlive-ucbthesis-11:svn51690-69.fc39.noarch 3391/3616 Installing : texlive-ucsmonograph-11:svn52698-69.fc39.noarc 3392/3616 Installing : texlive-ucthesis-11:svn15878.3.2-69.fc39.noarc 3393/3616 Installing : texlive-udes-genie-these-11:svn65039-69.fc39.n 3394/3616 Installing : texlive-ufrgscca-11:svn65661-69.fc39.noarch 3395/3616 Installing : texlive-uhc-11:svn16791.0-69.fc39.noarch 3396/3616 Installing : texlive-uhhassignment-11:svn44026-69.fc39.noar 3397/3616 Installing : texlive-ukbill-11:svn65485-69.fc39.noarch 3398/3616 Installing : texlive-umbclegislation-11:svn41348-69.fc39.no 3399/3616 Installing : texlive-umoline-11:svn19085.0-69.fc39.noarch 3400/3616 Installing : texlive-umtypewriter-11:svn64443-69.fc39.noarc 3401/3616 Installing : texlive-unam-thesis-11:svn51207-69.fc39.noarch 3402/3616 Installing : texlive-unbtex-11:svn64634-69.fc39.noarch 3403/3616 Installing : texlive-underlin-11:svn15878.1.01-69.fc39.noar 3404/3616 Installing : texlive-uni-titlepage-11:svn64306-69.fc39.noar 3405/3616 Installing : texlive-unicodefonttable-11:svn65009-69.fc39.n 3406/3616 Installing : texlive-unifith-11:svn60698-69.fc39.noarch 3407/3616 Installing : texlive-unigrazpub-11:svn64797-69.fc39.noarch 3408/3616 Installing : texlive-unisc-11:svn63178-69.fc39.noarch 3409/3616 Installing : texlive-unitn-bimrep-11:svn45581-69.fc39.noarc 3410/3616 Installing : texlive-universa-11:svn51984-69.fc39.noarch 3411/3616 Installing : texlive-univie-ling-11:svn65651-69.fc39.noarch 3412/3616 Installing : texlive-unizgklasa-11:svn51647-69.fc39.noarch 3413/3616 Installing : texlive-uol-physics-report-11:svn65761-69.fc39 3414/3616 Installing : texlive-uppunctlm-11:svn42334-69.fc39.noarch 3415/3616 Installing : texlive-uptex-base-11:svn65802-69.fc39.noarch 3416/3616 Installing : texlive-uptex-11:svn66381-77.fc39.x86_64 3417/3616 Installing : texlive-urlbst-11:svn65694-77.fc39.noarch 3418/3616 Installing : texlive-uspace-11:svn63123-69.fc39.noarch 3419/3616 Installing : texlive-uspatent-11:svn27744.1.0-69.fc39.noarc 3420/3616 Installing : texlive-utexasthesis-11:svn48648-69.fc39.noarc 3421/3616 Installing : texlive-uwa-colours-11:svn60443-69.fc39.noarch 3422/3616 Installing : texlive-uwa-letterhead-11:svn64491-69.fc39.noa 3423/3616 Installing : texlive-uwa-pcf-11:svn64491-69.fc39.noarch 3424/3616 Installing : texlive-uwa-pif-11:svn64491-69.fc39.noarch 3425/3616 Installing : texlive-uwthesis-11:svn15878.6.13-69.fc39.noar 3426/3616 Installing : texlive-vak-11:svn23431.0-69.fc39.noarch 3427/3616 Installing : texlive-vancouver-11:svn59192-69.fc39.noarch 3428/3616 Installing : texlive-variablelm-11:svn60014-69.fc39.noarch 3429/3616 Installing : texlive-vcell-11:svn59039-69.fc39.noarch 3430/3616 Installing : texlive-venn-11:svn15878.0-69.fc39.noarch 3431/3616 Installing : texlive-verbdef-11:svn17177.0.2-69.fc39.noarch 3432/3616 Installing : texlive-verse-11:svn34017.2.4b-69.fc39.noarch 3433/3616 Installing : texlive-musuos-11:svn24857.1.1d-69.fc39.noarch 3434/3616 Installing : texlive-version-11:svn21920.2.0-69.fc39.noarch 3435/3616 Installing : texlive-versonotes-11:svn55777-69.fc39.noarch 3436/3616 Installing : texlive-vruler-11:svn21598.2.3-69.fc39.noarch 3437/3616 Installing : texlive-vtable-11:svn51126-69.fc39.noarch 3438/3616 Installing : texlive-wadalab-11:svn42428-69.fc39.noarch 3439/3616 Installing : texlive-cjk-11:svn60865-69.fc39.noarch 3440/3616 Installing : texlive-pkuthss-11:svn64869-69.fc39.noarch 3441/3616 Installing : texlive-thuthesis-11:svn64628-69.fc39.noarch 3442/3616 Installing : texlive-wallcalendar-11:svn45568-69.fc39.noarc 3443/3616 Installing : texlive-wheelchart-11:svn64373-69.fc39.noarch 3444/3616 Installing : texlive-widows-and-orphans-11:svn66532-69.fc39 3445/3616 Installing : texlive-willowtreebook-11:svn60638-69.fc39.noa 3446/3616 Installing : texlive-windycity-11:svn61223-69.fc39.noarch 3447/3616 Installing : texlive-wordcount-11:svn46165-77.fc39.noarch 3448/3616 Installing : texlive-worksheet-11:svn48423-69.fc39.noarch 3449/3616 Installing : texlive-wrapfig2-11:svn66115-69.fc39.noarch 3450/3616 Installing : texlive-wrapstuff-11:svn64058-69.fc39.noarch 3451/3616 Installing : texlive-writeongrid-11:svn66603-69.fc39.noarch 3452/3616 Installing : texlive-wsuipa-11:svn25469.0-69.fc39.noarch 3453/3616 Installing : texlive-xassoccnt-11:svn61112-69.fc39.noarch 3454/3616 Installing : texlive-xbmks-11:svn53448-69.fc39.noarch 3455/3616 Installing : texlive-xcharter-math-11:svn65686-69.fc39.noar 3456/3616 Installing : texlive-xcntperchap-11:svn54080-69.fc39.noarch 3457/3616 Installing : texlive-xcolor-material-11:svn42289-69.fc39.no 3458/3616 Installing : texlive-xcpdftips-11:svn50449-69.fc39.noarch 3459/3616 Installing : texlive-xduthesis-11:svn63116-69.fc39.noarch 3460/3616 Installing : texlive-xduts-11:svn66472-69.fc39.noarch 3461/3616 Installing : texlive-xdvi-11:svn62387-77.fc39.x86_64 3462/3616 Installing : texlive-xellipsis-11:svn47546-69.fc39.noarch 3463/3616 Installing : texlive-xetexconfig-11:svn45845-69.fc39.noarch 3464/3616 Installing : texlive-xistercian-11:svn61366-69.fc39.noarch 3465/3616 Installing : texlive-xkcdcolors-11:svn54512-69.fc39.noarch 3466/3616 Installing : texlive-xltabular-11:svn56855-69.fc39.noarch 3467/3616 Installing : texlive-xnewcommand-11:svn15878.1.2-69.fc39.no 3468/3616 Installing : texlive-xoptarg-11:svn15878.1.0-69.fc39.noarch 3469/3616 Installing : texlive-xsavebox-11:svn64049-69.fc39.noarch 3470/3616 Installing : texlive-xurl-11:svn61553-69.fc39.noarch 3471/3616 Installing : texlive-yafoot-11:svn48568-69.fc39.noarch 3472/3616 Installing : texlive-yaletter-11:svn42830-69.fc39.noarch 3473/3616 Installing : texlive-yazd-thesis-11:svn61719-69.fc39.noarch 3474/3616 Installing : texlive-ycbook-11:svn46201-69.fc39.noarch 3475/3616 Installing : texlive-yfonts-otf-11:svn65030-69.fc39.noarch 3476/3616 Installing : texlive-yfonts-t1-11:svn36013-69.fc39.noarch 3477/3616 Installing : texlive-yinit-otf-11:svn40207-69.fc39.noarch 3478/3616 Installing : texlive-youngtab-11:svn56500-69.fc39.noarch 3479/3616 Installing : texlive-yquant-11:svn65933-69.fc39.noarch 3480/3616 Installing : texlive-zapfchan-11:svn61719-69.fc39.noarch 3481/3616 Installing : texlive-zebra-goodies-11:svn51554-69.fc39.noar 3482/3616 Installing : texlive-zed-csp-11:svn17258.0-69.fc39.noarch 3483/3616 Installing : texlive-zennote-11:svn65549-69.fc39.noarch 3484/3616 Installing : texlive-zhmetrics-11:svn22207.r206-69.fc39.noa 3485/3616 Installing : texlive-zhmetrics-uptex-11:svn40728-69.fc39.no 3486/3616 Installing : texlive-ziffer-11:svn32279.2.1-69.fc39.noarch 3487/3616 Installing : texlive-zootaxa-bst-11:svn50619-69.fc39.noarch 3488/3616 Installing : texlive-zref-check-11:svn63845-69.fc39.noarch 3489/3616 Installing : texlive-zwgetfdate-11:svn15878.0-69.fc39.noarc 3490/3616 Installing : texlive-zx-calculus-11:svn60838-69.fc39.noarch 3491/3616 Installing : fftw-libs-double-3.3.10-7.fc39.x86_64 3492/3616 Installing : emacs-filesystem-1:29.1-14.fc40.noarch 3493/3616 Installing : desktop-file-utils-0.26-9.fc39.x86_64 3494/3616 Installing : xdg-utils-1.1.3-15.fc40.noarch 3495/3616 Installing : R-core-4.3.2-2.fc40.x86_64 3496/3616 Installing : R-yaml-2.3.5-4.fc39.x86_64 3497/3616 Installing : R-evaluate-0.23-1.fc40.noarch 3498/3616 Installing : R-highr-0.10-5.fc39.noarch 3499/3616 Installing : R-knitr-1.45-1.fc40.noarch 3500/3616 Installing : R-xfun-0.41-1.fc40.x86_64 3501/3616 Installing : texlive-includernw-11:svn47557-69.fc39.noarch 3502/3616 Installing : double-conversion-3.1.5-9.fc39.x86_64 3503/3616 Installing : lib2geom-1.3-2.fc40.x86_64 3504/3616 Installing : dbus-common-1:1.14.10-1.fc40.noarch 3505/3616 Running scriptlet: dbus-common-1:1.14.10-1.fc40.noarch 3505/3616 Running scriptlet: dbus-broker-33-2.fc39.x86_64 3506/3616 Installing : dbus-broker-33-2.fc39.x86_64 3506/3616 Running scriptlet: dbus-broker-33-2.fc39.x86_64 3506/3616 Installing : dbus-1:1.14.10-1.fc40.x86_64 3507/3616 Installing : at-spi2-core-2.50.0-1.fc40.x86_64 3508/3616 Installing : atk-2.50.0-1.fc40.x86_64 3509/3616 Installing : atkmm-2.28.3-3.fc39.x86_64 3510/3616 Installing : at-spi2-atk-2.50.0-1.fc40.x86_64 3511/3616 Installing : cdparanoia-libs-10.2-42.fc39.x86_64 3512/3616 Installing : boost-system-1.81.0-10.fc40.x86_64 3513/3616 Installing : boost-atomic-1.81.0-10.fc40.x86_64 3514/3616 Installing : boost-filesystem-1.81.0-10.fc40.x86_64 3515/3616 Installing : annobin-docs-12.31-1.fc40.noarch 3516/3616 Installing : alsa-lib-1.2.10-3.fc40.x86_64 3517/3616 Installing : adwaita-cursor-theme-45.0-1.fc40.noarch 3518/3616 Installing : adwaita-icon-theme-45.0-1.fc40.noarch 3519/3616 Installing : gtk3-3.24.38-3.fc39.x86_64 3520/3616 Installing : gspell-1.12.2-1.fc39.x86_64 3521/3616 Installing : gtkmm3.0-3.24.8-1.fc40.x86_64 3522/3616 Installing : adobe-mappings-pdf-20190401-5.fc39.noarch 3523/3616 Installing : libgs-10.02.1-4.fc40.x86_64 3524/3616 Installing : ghostscript-tools-fonts-10.02.1-4.fc40.x86_64 3525/3616 Installing : ghostscript-tools-printing-10.02.1-4.fc40.x86_ 3526/3616 Installing : ghostscript-10.02.1-4.fc40.x86_64 3527/3616 Installing : latexmk-4.81-1.fc40.noarch 3528/3616 Installing : texlive-thumbpdf-11:svn62518-77.fc39.noarch 3529/3616 Installing : texlive-collection-latexrecommended-11:svn6551 3530/3616 Installing : texlive-collection-latex-11:svn63515-69.fc39.n 3531/3616 Installing : texlive-collection-basic-11:svn59159-69.fc39.n 3532/3616 Installing : texlive-dvipdfmx-11:svn66203-77.fc39.x86_64 3533/3616 Installing : texlive-xetex-11:svn66203-77.fc39.x86_64 3534/3616 Installing : texlive-pgf-umlcd-11:svn63386-69.fc39.noarch 3535/3616 Installing : texlive-pgf-umlsd-11:svn55342-69.fc39.noarch 3536/3616 Installing : texlive-schule-11:svn60210-69.fc39.noarch 3537/3616 Installing : texlive-collection-fontsrecommended-11:svn5407 3538/3616 Installing : tex-preview-13.2-2.fc39.noarch 3539/3616 Installing : texlive-pgf-pie-11:svn63603-69.fc39.noarch 3540/3616 Installing : texlive-ptex-11:svn66186-77.fc39.x86_64 3541/3616 Installing : texlive-platex-11:svn66186-69.fc39.noarch 3542/3616 Installing : texlive-platex-tools-11:svn66185-69.fc39.noarc 3543/3616 Installing : texlive-uplatex-11:svn66186-69.fc39.noarch 3544/3616 Installing : texlive-xpinyin-11:svn66115-69.fc39.noarch 3545/3616 Installing : texlive-xecjk-11:svn64059-69.fc39.noarch 3546/3616 Installing : texlive-ctex-11:svn66115-69.fc39.noarch 3547/3616 Installing : texlive-resumecls-11:svn54815-69.fc39.noarch 3548/3616 Installing : texlive-shtthesis-11:svn62441-69.fc39.noarch 3549/3616 Installing : texlive-dvisvgm-11:svn66532-77.fc39.x86_64 3550/3616 Installing : python-pip-wheel-23.2.1-1.fc40.noarch 3551/3616 Installing : python-unversioned-command-3.12.0-2.fc40.noarc 3552/3616 Installing : python3-3.12.0-2.fc40.x86_64 3553/3616 Installing : python3-libs-3.12.0-2.fc40.x86_64 3554/3616 Installing : python3-packaging-23.2-2.fc40.noarch 3555/3616 Installing : gstreamer1-1.22.7-2.fc40.x86_64 3556/3616 Installing : python3-cssselect-1.1.0-6.fc39.noarch 3557/3616 Installing : python3-gobject-base-3.46.0-1.fc40.x86_64 3558/3616 Installing : python3-gobject-base-noarch-3.46.0-1.fc40.noar 3559/3616 Installing : python3-idna-3.4-5.fc40.noarch 3560/3616 Installing : python3-lxml-4.9.3-3.fc40.x86_64 3561/3616 Installing : python3-numpy-1:1.26.0-2.fc40.x86_64 3562/3616 Installing : python3-urllib3-1.26.18-1.fc40.noarch 3563/3616 Installing : gstreamer1-plugins-base-1.22.7-1.fc40.x86_64 3564/3616 Installing : fontforge-20230101-8.fc40.x86_64 3565/3616 Installing : texlive-aesupp-11:svn58253-69.fc39.noarch 3566/3616 Installing : python3-olefile-0.46-21.fc40.noarch 3567/3616 Installing : python3-pillow-10.1.0-1.fc40.x86_64 3568/3616 Installing : python3-pygments-2.16.1-1.fc40.noarch 3569/3616 Installing : texlive-minted-11:svn65252-69.fc39.noarch 3570/3616 Installing : texlive-ffcode-11:svn65170-69.fc39.noarch 3571/3616 Installing : texlive-huawei-11:svn65264-69.fc39.noarch 3572/3616 Installing : texlive-skdoc-11:svn56950-69.fc39.noarch 3573/3616 Installing : python3-pyparsing-3.0.9-11.fc40.noarch 3574/3616 Installing : crypto-policies-scripts-20231113-1.gitb402e82. 3575/3616 Installing : nss-sysinit-3.94.0-2.fc40.x86_64 3576/3616 Installing : nss-3.94.0-2.fc40.x86_64 3577/3616 Running scriptlet: nss-3.94.0-2.fc40.x86_64 3577/3616 Installing : poppler-23.08.0-1.fc39.x86_64 3578/3616 Installing : poppler-glib-23.08.0-1.fc39.x86_64 3579/3616 Installing : graphviz-9.0.0-3.fc40.x86_64 3580/3616 Running scriptlet: graphviz-9.0.0-3.fc40.x86_64 3580/3616 Installing : ImageMagick-libs-1:7.1.1.15-1.fc40.x86_64 3581/3616 Installing : ImageMagick-1:7.1.1.15-1.fc40.x86_64 3582/3616 Installing : texlive-graphicxpsd-11:svn57341-69.fc39.noarch 3583/3616 Installing : pdfpc-4.6.0-3.fc40.x86_64 3584/3616 Installing : texlive-pdfpc-movie-11:svn48245-69.fc39.noarch 3585/3616 Installing : python3-appdirs-1.4.4-11.fc39.noarch 3586/3616 Installing : python3-charset-normalizer-3.3.2-1.fc40.noarch 3587/3616 Installing : python3-requests-2.31.0-1.fc40.noarch 3588/3616 Installing : python3-pyserial-3.5-6.fc39.noarch 3589/3616 Installing : python3-six-1.16.0-12.fc39.noarch 3590/3616 Installing : python3-scour-0.38.2-6.fc40.noarch 3591/3616 Installing : python3-inkex-1.3.0-1.fc40.noarch 3592/3616 Installing : inkscape-1.3-7.fc40.x86_64 3593/3616 Installing : texlive-xput-11:svn65325-69.fc39.noarch 3594/3616 Installing : texlive-pygmentex-11:svn64131-77.fc39.noarch 3595/3616 Installing : texlive-pythontex-11:svn59514-77.fc39.noarch 3596/3616 Installing : texlive-puyotikz-11:svn57254-69.fc39.noarch 3597/3616 Installing : texlive-collection-pictures-11:svn66636-69.fc3 3598/3616 Installing : texlive-webquiz-11:svn58808-77.fc39.noarch 3599/3616 Installing : texlive-changes-11:svn59950-69.fc39.noarch 3600/3616 Installing : texlive-collection-latexextra-11:svn66548-69.f 3601/3616 Installing : texlive-collection-fontsextra-11:svn64952-69.f 3602/3616 Installing : texlive-collection-publishers-11:svn66330-69.f 3603/3616 Installing : texlive-collection-mathscience-11:svn65753-69. 3604/3616 Installing : texlive-collection-bibtexextra-11:svn65257-69. 3605/3616 Installing : annobin-plugin-gcc-12.31-1.fc40.x86_64 3606/3616 Running scriptlet: annobin-plugin-gcc-12.31-1.fc40.x86_64 3606/3616 Installing : gcc-c++-13.2.1-5.fc40.x86_64 3607/3616 Installing : gcc-plugin-annobin-13.2.1-5.fc40.x86_64 3608/3616 Running scriptlet: gcc-plugin-annobin-13.2.1-5.fc40.x86_64 3608/3616 Installing : readline-devel-8.2-4.fc39.x86_64 3609/3616 Installing : yosyshq-abc-0.35-1.20231108git896e5e7.fc40.x86 3610/3616 Installing : tcl-devel-1:8.6.13-1.fc40.x86_64 3611/3616 Installing : bison-3.8.2-6.fc40.x86_64 3612/3616 Installing : flex-2.6.4-14.fc40.x86_64 3613/3616 Installing : txt2man-1.7.1-5.fc39.noarch 3614/3616 Installing : libffi-devel-3.4.4-4.fc39.x86_64 3615/3616 Installing : iverilog-12.0-2.fc39.x86_64 3616/3616 Running scriptlet: texlive-base-11:20230311-77.fc39.x86_64 3616/3616 Running scriptlet: urw-base35-bookman-fonts-20200910-18.fc39.noar 3616/3616 Running scriptlet: urw-base35-c059-fonts-20200910-18.fc39.noarch 3616/3616 Running scriptlet: urw-base35-d050000l-fonts-20200910-18.fc39.noa 3616/3616 Running scriptlet: urw-base35-gothic-fonts-20200910-18.fc39.noarc 3616/3616 Running scriptlet: urw-base35-nimbus-mono-ps-fonts-20200910-18.fc 3616/3616 Running scriptlet: urw-base35-nimbus-roman-fonts-20200910-18.fc39 3616/3616 Running scriptlet: urw-base35-nimbus-sans-fonts-20200910-18.fc39. 3616/3616 Running scriptlet: urw-base35-p052-fonts-20200910-18.fc39.noarch 3616/3616 Running scriptlet: urw-base35-standard-symbols-ps-fonts-20200910- 3616/3616 Running scriptlet: urw-base35-z003-fonts-20200910-18.fc39.noarch 3616/3616 Running scriptlet: fontconfig-2.14.2-5.fc40.x86_64 3616/3616 Running scriptlet: crypto-policies-scripts-20231113-1.gitb402e82. 3616/3616 Running scriptlet: nss-3.94.0-2.fc40.x86_64 3616/3616 Running scriptlet: iverilog-12.0-2.fc39.x86_64 3616/3616 Installed: GraphicsMagick-1.3.40-3.fc39.x86_64 GraphicsMagick-c++-1.3.40-3.fc39.x86_64 ImageMagick-1:7.1.1.15-1.fc40.x86_64 ImageMagick-libs-1:7.1.1.15-1.fc40.x86_64 LibRaw-0.21.1-6.fc40.x86_64 R-core-4.3.2-2.fc40.x86_64 R-evaluate-0.23-1.fc40.noarch R-highr-0.10-5.fc39.noarch R-knitr-1.45-1.fc40.noarch R-xfun-0.41-1.fc40.x86_64 R-yaml-2.3.5-4.fc39.x86_64 abattis-cantarell-vf-fonts-0.301-10.fc39.noarch adobe-mappings-cmap-20230622-1.fc39.noarch adobe-mappings-cmap-deprecated-20230622-1.fc39.noarch adobe-mappings-pdf-20190401-5.fc39.noarch adwaita-cursor-theme-45.0-1.fc40.noarch adwaita-icon-theme-45.0-1.fc40.noarch alsa-lib-1.2.10-3.fc40.x86_64 annobin-docs-12.31-1.fc40.noarch annobin-plugin-gcc-12.31-1.fc40.x86_64 at-spi2-atk-2.50.0-1.fc40.x86_64 at-spi2-core-2.50.0-1.fc40.x86_64 atk-2.50.0-1.fc40.x86_64 atkmm-2.28.3-3.fc39.x86_64 avahi-libs-0.8-24.fc39.x86_64 biber-2.19-3.fc39.noarch bison-3.8.2-6.fc40.x86_64 boost-atomic-1.81.0-10.fc40.x86_64 boost-filesystem-1.81.0-10.fc40.x86_64 boost-system-1.81.0-10.fc40.x86_64 cairo-1.18.0-1.fc40.x86_64 cairo-gobject-1.18.0-1.fc40.x86_64 cairomm-1.14.5-1.fc40.x86_64 cdparanoia-libs-10.2-42.fc39.x86_64 colord-libs-1.4.6-6.fc40.x86_64 cpp-13.2.1-5.fc40.x86_64 crypto-policies-scripts-20231113-1.gitb402e82.fc40.noarch cups-libs-1:2.4.7-3.fc40.x86_64 dbus-1:1.14.10-1.fc40.x86_64 dbus-broker-33-2.fc39.x86_64 dbus-common-1:1.14.10-1.fc40.noarch dbus-libs-1:1.14.10-1.fc40.x86_64 default-fonts-core-sans-4.0-9.fc40.noarch desktop-file-utils-0.26-9.fc39.x86_64 double-conversion-3.1.5-9.fc39.x86_64 emacs-filesystem-1:29.1-14.fc40.noarch enchant2-2.6.2-1.fc40.x86_64 expat-2.5.0-3.fc39.x86_64 fftw-libs-double-3.3.10-7.fc39.x86_64 flex-2.6.4-14.fc40.x86_64 flexiblas-3.3.1-5.fc39.x86_64 flexiblas-netlib-3.3.1-5.fc39.x86_64 flexiblas-openblas-openmp-3.3.1-5.fc39.x86_64 fontconfig-2.14.2-5.fc40.x86_64 fontforge-20230101-8.fc40.x86_64 fonts-filesystem-1:2.0.5-12.fc39.noarch freetype-2.13.1-2.fc39.x86_64 fribidi-1.0.13-2.fc39.x86_64 gc-8.2.2-4.fc39.x86_64 gcc-13.2.1-5.fc40.x86_64 gcc-c++-13.2.1-5.fc40.x86_64 gcc-plugin-annobin-13.2.1-5.fc40.x86_64 gd-2.3.3-12.fc39.x86_64 gdk-pixbuf2-2.42.10-5.fc39.x86_64 gdk-pixbuf2-modules-2.42.10-5.fc39.x86_64 ghostscript-10.02.1-4.fc40.x86_64 ghostscript-tools-fonts-10.02.1-4.fc40.x86_64 ghostscript-tools-printing-10.02.1-4.fc40.x86_64 giflib-5.2.1-17.fc40.x86_64 glib2-2.78.1-1.fc40.x86_64 glibc-devel-2.38.9000-22.fc40.x86_64 glibc-headers-x86-2.38.9000-22.fc40.noarch glibmm2.4-2.66.6-2.fc39.x86_64 gnutls-3.8.1-3.fc40.x86_64 gobject-introspection-1.78.1-1.fc40.x86_64 google-droid-sans-fonts-20200215-17.fc40.noarch google-noto-fonts-common-20230801-4.fc40.noarch google-noto-sans-vf-fonts-20230801-4.fc40.noarch graphene-1.10.6-6.fc39.x86_64 graphite2-1.3.14-13.fc40.x86_64 graphviz-9.0.0-3.fc40.x86_64 groff-base-1.23.0-3.fc40.x86_64 gsl-2.7.1-5.fc39.x86_64 gspell-1.12.2-1.fc39.x86_64 gstreamer1-1.22.7-2.fc40.x86_64 gstreamer1-plugins-base-1.22.7-1.fc40.x86_64 gtk-update-icon-cache-3.24.38-3.fc39.x86_64 gtk3-3.24.38-3.fc39.x86_64 gtkmm3.0-3.24.8-1.fc40.x86_64 gts-0.7.6-46.20121130.fc39.x86_64 guile22-2.2.7-9.fc39.x86_64 gumbo-parser-1:0.10.1-29.fc39.x86_64 harfbuzz-8.3.0-2.fc40.x86_64 hicolor-icon-theme-0.17-16.fc39.noarch highway-1.0.7-1.fc40.x86_64 hunspell-1.7.2-5.fc39.x86_64 hunspell-en-US-0.20201207-7.fc39.noarch hunspell-filesystem-1.7.2-5.fc39.x86_64 hwdata-0.376-1.fc40.noarch imath-3.1.9-3.fc40.x86_64 inkscape-1.3-7.fc40.x86_64 iso-codes-4.15.0-2.fc39.noarch iverilog-12.0-2.fc39.x86_64 jasper-libs-3.0.6-4.fc39.x86_64 jbig2dec-libs-0.20-1.fc40.x86_64 jbigkit-libs-2.1-26.fc39.x86_64 json-glib-1.8.0-1.fc40.x86_64 kernel-headers-6.7.0-0.rc2.git0.1.fc40.x86_64 lasi-1.1.3-11.fc39.x86_64 latexmk-4.81-1.fc40.noarch lcms2-2.15-2.fc39.x86_64 leptonica-1.83.1-2.fc39.x86_64 lib2geom-1.3-2.fc40.x86_64 libICE-1.1.1-1.fc40.x86_64 libRmath-4.3.2-2.fc40.x86_64 libSM-1.2.4-1.fc40.x86_64 libX11-1.8.7-1.fc40.x86_64 libX11-common-1.8.7-1.fc40.noarch libX11-xcb-1.8.7-1.fc40.x86_64 libXau-1.0.11-4.fc40.x86_64 libXaw-1.0.15-1.fc40.x86_64 libXcomposite-0.4.6-1.fc40.x86_64 libXcursor-1.2.1-5.fc40.x86_64 libXdamage-1.1.6-1.fc40.x86_64 libXext-1.3.5-4.fc40.x86_64 libXfixes-6.0.1-1.fc40.x86_64 libXft-2.3.8-4.fc40.x86_64 libXi-1.8.1-3.fc40.x86_64 libXinerama-1.1.5-4.fc40.x86_64 libXmu-1.1.4-4.fc40.x86_64 libXpm-3.5.17-1.fc40.x86_64 libXrandr-1.5.4-1.fc40.x86_64 libXrender-0.9.11-4.fc40.x86_64 libXt-1.3.0-1.fc40.x86_64 libXtst-1.2.4-4.fc40.x86_64 libXv-1.0.12-1.fc40.x86_64 libXxf86vm-1.1.5-4.fc40.x86_64 libaom-3.7.0-1.fc40.x86_64 libavif-0.11.1-11.fc39.x86_64 libb2-0.98.1-9.fc39.x86_64 libcloudproviders-0.3.5-1.fc40.x86_64 libdatrie-0.2.13-7.fc39.x86_64 libdav1d-1.2.1-2.fc39.x86_64 libdrm-2.4.117-1.fc40.x86_64 libepoxy-1.5.10-4.fc39.x86_64 libffi-devel-3.4.4-4.fc39.x86_64 libfontenc-1.1.7-1.fc40.x86_64 libgee-0.20.6-3.fc39.x86_64 libgfortran-13.2.1-5.fc40.x86_64 libglvnd-1:1.7.0-1.fc40.x86_64 libglvnd-egl-1:1.7.0-1.fc40.x86_64 libglvnd-glx-1:1.7.0-1.fc40.x86_64 libgs-10.02.1-4.fc40.x86_64 libgudev-238-2.fc39.x86_64 libgusb-0.4.7-1.fc40.x86_64 libheif-1.16.2-2.fc40.x86_64 libicu-73.2-2.fc39.x86_64 libijs-0.35-19.fc39.x86_64 libimagequant-4.0.3-1.fc40.x86_64 libjpeg-turbo-2.1.4-3.fc39.x86_64 libjxl-1:0.8.2-3.fc40.x86_64 liblerc-4.0.0-4.fc39.x86_64 liblqr-1-0.4.2-22.fc39.x86_64 libmarkdown-2.2.7-5.fc39.x86_64 libmpc-1.3.1-3.fc39.x86_64 libogg-2:1.3.5-6.fc39.x86_64 libpaper-1:2.1.1-1.fc39.x86_64 libpciaccess-0.16-9.fc39.x86_64 libpng-2:1.6.40-1.fc40.x86_64 libquadmath-13.2.1-5.fc40.x86_64 libraqm-0.8.0-5.fc39.x86_64 librevenge-0.0.5-5.fc39.x86_64 librsvg2-2.57.0-3.fc40.x86_64 libsigc++20-2.12.1-1.fc40.x86_64 libsoup3-3.4.4-1.fc40.x86_64 libspiro-20221101-4.fc39.x86_64 libstdc++-devel-13.2.1-5.fc40.x86_64 libstemmer-2.2.0-7.fc39.x86_64 libthai-0.1.29-6.fc39.x86_64 libtheora-1:1.1.1-34.fc39.x86_64 libtiff-4.5.0-3.fc40.x86_64 libtool-ltdl-2.4.7-8.fc40.x86_64 libtracker-sparql-3.6.0-1.fc40.x86_64 libunwind-1.7.2-1.fc40.x86_64 libusb1-1.0.26-4.fc40.x86_64 libvisual-1:0.4.1-2.fc39.x86_64 libvmaf-2.3.0-6.fc39.x86_64 libvorbis-1:1.3.7-8.fc39.x86_64 libwayland-client-1.22.0-2.fc39.x86_64 libwayland-cursor-1.22.0-2.fc39.x86_64 libwayland-egl-1.22.0-2.fc39.x86_64 libwayland-server-1.22.0-2.fc39.x86_64 libwebp-1.3.2-2.fc40.x86_64 libwmf-lite-0.2.13-2.fc39.x86_64 libwpd-0.10.3-17.fc39.x86_64 libwpg-0.3.3-16.fc39.x86_64 libxcb-1.16-2.fc40.x86_64 libxcrypt-devel-4.4.36-2.fc39.x86_64 libxkbcommon-1.6.0-1.fc40.x86_64 libxshmfence-1.3.2-1.fc40.x86_64 libxslt-1.1.39-1.fc40.x86_64 libzip-1.10.1-1.fc40.x86_64 m4-1.4.19-7.fc40.x86_64 mailcap-2.1.54-2.fc39.noarch make-1:4.4.1-2.fc39.x86_64 mesa-libEGL-23.3.0~rc2-3.fc40.x86_64 mesa-libGL-23.3.0~rc2-3.fc40.x86_64 mesa-libgbm-23.3.0~rc2-3.fc40.x86_64 mesa-libglapi-23.3.0~rc2-3.fc40.x86_64 mkfontscale-1.2.2-4.fc39.x86_64 mpdecimal-2.5.1-7.fc39.x86_64 mupdf-1.23.5-1.fc40.x86_64 ncurses-6.4-8.20231001.fc40.x86_64 ncurses-c++-libs-6.4-8.20231001.fc40.x86_64 ncurses-devel-6.4-8.20231001.fc40.x86_64 netpbm-11.02.00-2.fc39.x86_64 nettle-3.9.1-2.fc39.x86_64 nspr-4.35.0-15.fc40.x86_64 nss-3.94.0-2.fc40.x86_64 nss-softokn-3.94.0-2.fc40.x86_64 nss-softokn-freebl-3.94.0-2.fc40.x86_64 nss-sysinit-3.94.0-2.fc40.x86_64 nss-util-3.94.0-2.fc40.x86_64 oldstandard-sfd-fonts-2.0.2-34.fc39.noarch openblas-0.3.24-1.fc40.x86_64 openblas-openmp-0.3.24-1.fc40.x86_64 openexr-libs-3.1.10-2.fc39.x86_64 openjpeg2-2.5.0-5.fc40.x86_64 opus-1.4-1.fc40.x86_64 orc-0.4.33-3.fc39.x86_64 pango-1.51.0-1.fc40.x86_64 pangomm-2.46.3-3.fc39.x86_64 pdfpc-4.6.0-3.fc40.x86_64 perl-Authen-SASL-2.1700-1.fc40.noarch perl-AutoLoader-5.74-502.fc40.noarch perl-B-1.88-502.fc40.x86_64 perl-B-Hooks-EndOfScope-0.26-7.fc39.noarch perl-BibTeX-Parser-1.04-1.fc40.noarch perl-Bit-Vector-7.4-31.fc39.x86_64 perl-Business-ISBN-3.008-2.fc39.noarch perl-Business-ISBN-Data-20231118.001-1.fc40.noarch perl-Business-ISMN-1.203-2.fc39.noarch perl-Business-ISSN-1.005-8.fc39.noarch perl-Carp-1.54-500.fc39.noarch perl-Carp-Clan-6.08-16.fc40.noarch perl-Class-Accessor-0.51-18.fc39.noarch perl-Class-Data-Inheritable-0.09-6.fc39.noarch perl-Class-Inspector-1.36-14.fc39.noarch perl-Class-Method-Modifiers-2.15-2.fc39.noarch perl-Class-Singleton-1.6-9.fc39.noarch perl-Class-Struct-0.68-502.fc40.noarch perl-Clone-0.46-4.fc39.x86_64 perl-Compress-Raw-Bzip2-2.206-1.fc39.x86_64 perl-Compress-Raw-Zlib-2.206-1.fc39.x86_64 perl-Convert-ASN1-0.34-1.fc40.noarch perl-Crypt-RC4-2.02-35.fc39.noarch perl-Data-Compare-1.29-2.fc39.noarch perl-Data-Dump-1.25-8.fc39.noarch perl-Data-Dumper-2.188-501.fc39.x86_64 perl-Data-OptList-0.114-2.fc39.noarch perl-Data-Uniqid-0.12-31.fc39.noarch perl-Date-Calc-6.4-26.fc40.noarch perl-Date-ISO8601-0.005-18.fc39.noarch perl-Date-Manip-6.92-2.fc39.noarch perl-DateTime-2:1.65-1.fc40.x86_64 perl-DateTime-Calendar-Julian-0.107-5.fc39.noarch perl-DateTime-Format-Builder-0.8300-11.fc39.noarch perl-DateTime-Format-Strptime-1:1.79-8.fc39.noarch perl-DateTime-Locale-1.40-1.fc40.noarch perl-DateTime-TimeZone-2.60-4.fc39.noarch perl-DateTime-TimeZone-SystemV-0.010-19.fc39.noarch perl-DateTime-TimeZone-Tzfile-0.011-19.fc39.noarch perl-Devel-CallChecker-0.009-3.fc39.x86_64 perl-Devel-Caller-2.07-3.fc39.x86_64 perl-Devel-GlobalDestruction-0.14-22.fc39.noarch perl-Devel-LexAlias-0.05-34.fc39.x86_64 perl-Devel-StackTrace-1:2.04-16.fc39.noarch perl-Digest-1.20-500.fc39.noarch perl-Digest-HMAC-1.04-8.fc39.noarch perl-Digest-MD4-1.9-36.fc39.x86_64 perl-Digest-MD5-2.58-501.fc40.x86_64 perl-Digest-Perl-MD5-1.9-29.fc39.noarch perl-Digest-SHA-1:6.04-501.fc39.x86_64 perl-Digest-SHA1-2.13-41.fc40.x86_64 perl-Dist-CheckConflicts-0.11-28.fc39.noarch perl-DynaLoader-1.54-502.fc40.x86_64 perl-DynaLoader-Functions-0.004-2.fc39.noarch perl-Email-Date-Format-1.008-2.fc39.noarch perl-Encode-4:3.20-501.fc40.x86_64 perl-Encode-Locale-1.05-27.fc39.noarch perl-English-1.11-502.fc40.noarch perl-Errno-1.37-502.fc40.x86_64 perl-Eval-Closure-0.14-21.fc39.noarch perl-Exception-Class-1.45-8.fc39.noarch perl-Exporter-5.77-500.fc39.noarch perl-ExtUtils-MM-Utils-2:7.70-501.fc39.noarch perl-Fcntl-1.15-502.fc40.x86_64 perl-File-Basename-2.86-502.fc40.noarch perl-File-Compare-1.100.700-502.fc40.noarch perl-File-Copy-2.41-502.fc40.noarch perl-File-Find-1.43-502.fc40.noarch perl-File-Find-Rule-0.34-26.fc39.noarch perl-File-Listing-6.16-1.fc39.noarch perl-File-Path-2.18-501.fc40.noarch perl-File-ShareDir-1.118-9.fc39.noarch perl-File-Slurper-0.014-3.fc39.noarch perl-File-Temp-1:0.231.100-501.fc40.noarch perl-File-Which-1.27-9.fc39.noarch perl-File-stat-1.13-502.fc40.noarch perl-FileHandle-2.05-502.fc40.noarch perl-Filter-2:1.64-501.fc39.x86_64 perl-GSSAPI-0.28-43.fc39.x86_64 perl-Getopt-Long-1:2.57-1.fc40.noarch perl-Getopt-Std-1.13-502.fc40.noarch perl-HTML-Parser-3.81-3.fc39.x86_64 perl-HTML-Tagset-3.20-55.fc40.noarch perl-HTTP-Cookies-6.10-10.fc39.noarch perl-HTTP-Date-6.06-2.fc39.noarch perl-HTTP-Message-6.45-1.fc40.noarch perl-HTTP-Negotiate-6.01-36.fc39.noarch perl-HTTP-Tiny-0.088-3.fc39.noarch perl-Hash-Util-FieldHash-1.26-502.fc40.x86_64 perl-I18N-LangTags-0.45-502.fc40.noarch perl-I18N-Langinfo-0.22-502.fc40.x86_64 perl-IO-1.52-502.fc40.x86_64 perl-IO-Compress-2.206-1.fc39.noarch perl-IO-HTML-1.004-11.fc39.noarch perl-IO-Socket-IP-0.42-1.fc39.noarch perl-IO-Socket-SSL-2.084-1.fc40.noarch perl-IO-String-1.08-48.fc39.noarch perl-IO-stringy-2.113-12.fc39.noarch perl-IPC-Cmd-2:1.04-502.fc40.noarch perl-IPC-Open3-1.22-502.fc40.noarch perl-IPC-Run3-0.048-29.fc39.noarch perl-IPC-SysV-2.09-502.fc40.x86_64 perl-IPC-System-Simple-1.30-11.fc39.noarch perl-JSON-4.10-3.fc39.noarch perl-Jcode-2.07-41.fc39.noarch perl-LDAP-1:0.68-11.fc39.noarch perl-LWP-MediaTypes-6.04-15.fc39.noarch perl-LWP-Protocol-https-6.11-1.fc39.noarch perl-LaTeX-ToUnicode-0.54-1.fc40.noarch perl-Lingua-Translit-0.29-4.fc39.noarch perl-List-AllUtils-0.19-10.fc39.noarch perl-List-SomeUtils-0.59-3.fc39.noarch perl-List-UtilsBy-0.12-7.fc39.noarch perl-Locale-Maketext-1.33-501.fc39.noarch perl-Locale-Maketext-Simple-1:0.21-502.fc40.noarch perl-Log-Dispatch-2.71-2.fc39.noarch perl-Log-Dispatch-FileRotate-1.38-7.fc39.noarch perl-Log-Log4perl-1.57-3.fc39.noarch perl-MIME-Base64-3.16-501.fc40.x86_64 perl-MIME-Charset-1.013.1-3.fc39.noarch perl-MIME-Lite-3.033-8.fc39.noarch perl-MIME-Types-2.24-3.fc39.noarch perl-MRO-Compat-0.15-7.fc39.noarch perl-Mail-Sender-1:0.903-22.fc39.noarch perl-Mail-Sendmail-0.80-18.fc39.noarch perl-MailTools-2.21-14.fc39.noarch perl-Math-BigInt-1:2.0010.01-1.fc40.noarch perl-Math-Complex-1.62-502.fc40.noarch perl-Module-CoreList-1:5.20231120-1.fc40.noarch perl-Module-Implementation-0.09-37.fc39.noarch perl-Module-Load-1:0.36-501.fc40.noarch perl-Module-Load-Conditional-0.74-501.fc40.noarch perl-Module-Metadata-1.000038-3.fc39.noarch perl-Module-Runtime-0.016-20.fc39.noarch perl-Mozilla-CA-20230821-1.fc40.noarch perl-NTLM-1.09-36.fc39.noarch perl-Net-HTTP-6.23-2.fc39.noarch perl-Net-SMTP-SSL-1.04-22.fc40.noarch perl-Net-SSLeay-1.92-10.fc39.x86_64 perl-Number-Compare-0.03-36.fc39.noarch perl-OLE-Storage_Lite-0.22-2.fc39.noarch perl-Opcode-1.64-502.fc40.x86_64 perl-POSIX-2.13-502.fc40.x86_64 perl-Package-Generator-1.106-29.fc39.noarch perl-Package-Stash-0.40-5.fc39.noarch perl-Package-Stash-XS-0.30-5.fc39.x86_64 perl-PadWalker-2.5-10.fc39.x86_64 perl-Params-Check-1:0.38-500.fc39.noarch perl-Params-Classify-0.015-20.fc39.x86_64 perl-Params-Util-1.102-12.fc39.x86_64 perl-Params-Validate-1.31-5.fc39.x86_64 perl-Params-ValidationCompiler-0.31-3.fc39.noarch perl-Parse-RecDescent-1.967015-20.fc39.noarch perl-PathTools-3.89-500.fc39.x86_64 perl-Pod-Escapes-1:1.07-501.fc40.noarch perl-Pod-Html-1.34-502.fc40.noarch perl-Pod-Perldoc-3.28.01-501.fc39.noarch perl-Pod-Simple-1:3.45-4.fc39.noarch perl-Pod-Usage-4:2.03-500.fc39.noarch perl-Ref-Util-0.204-18.fc39.noarch perl-Ref-Util-XS-0.117-20.fc39.x86_64 perl-Regexp-Common-2017060201-22.fc39.noarch perl-Role-Tiny-2.002004-9.fc39.noarch perl-Safe-2.44-502.fc40.noarch perl-Scalar-List-Utils-5:1.63-500.fc39.x86_64 perl-SelectSaver-1.02-502.fc40.noarch perl-Socket-4:2.037-3.fc39.x86_64 perl-Sort-Key-1.33-28.fc39.x86_64 perl-Specio-0.48-4.fc39.noarch perl-Spreadsheet-ParseExcel-0.6500-35.fc39.x86_64 perl-Spreadsheet-WriteExcel-2.40-28.fc39.noarch perl-Storable-1:3.32-500.fc39.x86_64 perl-Sub-Exporter-0.990-1.fc39.noarch perl-Sub-Exporter-Progressive-0.001013-22.fc39.noarch perl-Sub-Identify-0.14-24.fc39.x86_64 perl-Sub-Install-0.929-3.fc39.noarch perl-Symbol-1.09-502.fc40.noarch perl-Sys-Hostname-1.25-502.fc40.x86_64 perl-Sys-Syslog-0.36-501.fc39.x86_64 perl-Term-ANSIColor-5.01-501.fc39.noarch perl-Term-Cap-1.18-500.fc39.noarch perl-Text-Balanced-2.06-500.fc39.noarch perl-Text-BibTeX-0.89-3.fc39.x86_64 perl-Text-CSV-2.03-1.fc40.noarch perl-Text-CSV_XS-1.52-1.fc40.x86_64 perl-Text-Glob-0.11-21.fc39.noarch perl-Text-ParseWords-3.31-500.fc39.noarch perl-Text-Roman-3.5-25.fc39.noarch perl-Text-Soundex-3.05-28.fc39.x86_64 perl-Text-Tabs+Wrap-2023.0511-3.fc39.noarch perl-Text-Unidecode-1.30-22.fc39.noarch perl-Tie-4.6-502.fc40.noarch perl-Tie-Cycle-1.227-9.fc39.noarch perl-Tie-RefHash-1.40-500.fc39.noarch perl-Time-HiRes-4:1.9775-500.fc39.x86_64 perl-Time-Local-2:1.350-3.fc39.noarch perl-TimeDate-1:2.33-11.fc39.noarch perl-Try-Tiny-0.31-6.fc39.noarch perl-UNIVERSAL-isa-1.20171012-17.fc39.noarch perl-URI-5.21-1.fc40.noarch perl-Unicode-Collate-1.31-500.fc39.x86_64 perl-Unicode-LineBreak-2019.001-18.fc39.x86_64 perl-Unicode-Map-0.112-61.fc39.x86_64 perl-Unicode-Normalize-1.32-500.fc39.x86_64 perl-Unicode-UCD-0.78-502.fc40.noarch perl-Variable-Magic-0.63-4.fc39.x86_64 perl-WWW-RobotRules-6.02-37.fc39.noarch perl-XML-LibXML-1:2.0209-2.fc39.x86_64 perl-XML-LibXML-Simple-1.01-12.fc39.noarch perl-XML-LibXSLT-2.002.001-3.fc39.x86_64 perl-XML-NamespaceSupport-1.12-21.fc40.noarch perl-XML-Parser-2.46-16.fc40.x86_64 perl-XML-SAX-1.02-13.fc39.noarch perl-XML-SAX-Base-1.09-21.fc40.noarch perl-XML-Writer-0.900-12.fc39.noarch perl-XML-XPath-1.48-4.fc39.noarch perl-XString-0.005-10.fc39.x86_64 perl-autodie-2.36-501.fc39.noarch perl-autouse-1.11-502.fc40.noarch perl-autovivification-0.18-20.fc39.x86_64 perl-base-2.27-502.fc40.noarch perl-constant-1.33-501.fc39.noarch perl-deprecate-0.04-502.fc40.noarch perl-encoding-4:3.00-501.fc40.x86_64 perl-if-0.61.000-502.fc40.noarch perl-interpreter-4:5.38.0-502.fc40.x86_64 perl-libnet-3.15-501.fc39.noarch perl-libs-4:5.38.0-502.fc40.x86_64 perl-libwww-perl-6.72-1.fc39.noarch perl-locale-1.10-502.fc40.noarch perl-meta-notation-5.38.0-502.fc40.noarch perl-mro-1.28-502.fc40.x86_64 perl-namespace-autoclean-0.29-16.fc39.noarch perl-namespace-clean-0.27-24.fc39.noarch perl-open-1.13-502.fc40.noarch perl-overload-1.37-502.fc40.noarch perl-overloading-0.02-502.fc40.noarch perl-parent-1:0.241-500.fc39.noarch perl-podlators-1:5.01-500.fc39.noarch perl-sigtrap-1.10-502.fc40.noarch perl-subs-1.04-502.fc40.noarch perl-vars-1.05-502.fc40.noarch perl-version-8:0.99.30-1.fc40.x86_64 perltidy-20230912-1.fc40.noarch pixman-0.42.2-2.fc39.x86_64 poppler-23.08.0-1.fc39.x86_64 poppler-data-0.4.11-5.fc39.noarch poppler-glib-23.08.0-1.fc39.x86_64 potrace-1.16-10.fc39.x86_64 python-pip-wheel-23.2.1-1.fc40.noarch python-unversioned-command-3.12.0-2.fc40.noarch python3-3.12.0-2.fc40.x86_64 python3-appdirs-1.4.4-11.fc39.noarch python3-charset-normalizer-3.3.2-1.fc40.noarch python3-cssselect-1.1.0-6.fc39.noarch python3-gobject-base-3.46.0-1.fc40.x86_64 python3-gobject-base-noarch-3.46.0-1.fc40.noarch python3-idna-3.4-5.fc40.noarch python3-inkex-1.3.0-1.fc40.noarch python3-libs-3.12.0-2.fc40.x86_64 python3-lxml-4.9.3-3.fc40.x86_64 python3-numpy-1:1.26.0-2.fc40.x86_64 python3-olefile-0.46-21.fc40.noarch python3-packaging-23.2-2.fc40.noarch python3-pillow-10.1.0-1.fc40.x86_64 python3-pygments-2.16.1-1.fc40.noarch python3-pyparsing-3.0.9-11.fc40.noarch python3-pyserial-3.5-6.fc39.noarch python3-requests-2.31.0-1.fc40.noarch python3-scour-0.38.2-6.fc40.noarch python3-six-1.16.0-12.fc39.noarch python3-urllib3-1.26.18-1.fc40.noarch rav1e-libs-0.6.6-3.fc40.x86_64 readline-devel-8.2-4.fc39.x86_64 rsvg-pixbuf-loader-2.57.0-3.fc40.x86_64 ruby-3.2.2-183.fc40.x86_64 ruby-libs-3.2.2-183.fc40.x86_64 rubypick-1.1.1-19.fc39.noarch shared-mime-info-2.3-1.fc40.x86_64 sombok-2.4.0-20.fc39.x86_64 svt-av1-libs-1.4.1-3.fc39.x86_64 tcl-1:8.6.13-1.fc40.x86_64 tcl-devel-1:8.6.13-1.fc40.x86_64 teckit-2.5.12-1.fc40.x86_64 tesseract-5.3.3-1.fc40.x86_64 tesseract-langpack-eng-4.1.0-6.fc39.noarch tesseract-tessdata-doc-4.1.0-6.fc39.noarch tex-preview-13.2-2.fc39.noarch texlive-12many-11:svn15878.0.3-69.fc39.noarch texlive-2up-11:svn55076-69.fc39.noarch texlive-a0poster-11:svn54071-69.fc39.noarch texlive-a4wide-11:svn20943.0-69.fc39.noarch texlive-a5comb-11:svn17020.4-69.fc39.noarch texlive-aaai-named-11:svn52470-69.fc39.noarch texlive-aastex-11:svn58057-69.fc39.noarch texlive-abnt-11:svn55471-69.fc39.noarch texlive-abntex2-11:svn49248-69.fc39.noarch texlive-abntexto-11:svn65705-69.fc39.noarch texlive-aboensis-11:svn62977-69.fc39.noarch texlive-abraces-11:svn64967-69.fc39.noarch texlive-abspos-11:svn64465-69.fc39.noarch texlive-abstract-11:svn15878.1.2a-69.fc39.noarch texlive-academicons-11:svn62622-69.fc39.noarch texlive-accanthis-11:svn64844-69.fc39.noarch texlive-accents-11:svn51497-69.fc39.noarch texlive-accessibility-11:svn55777-69.fc39.noarch texlive-accsupp-11:svn53052-69.fc39.noarch texlive-achemso-11:svn65103-69.fc39.noarch texlive-acmart-11:svn64967-69.fc39.noarch texlive-acmconf-11:svn15878.1.3-69.fc39.noarch texlive-acro-11:svn62925-69.fc39.noarch texlive-acronym-11:svn54758-69.fc39.noarch texlive-acroterm-11:svn61719-69.fc39.noarch texlive-active-conf-11:svn15878.0.3a-69.fc39.noarch texlive-actuarialangle-11:svn51376-69.fc39.noarch texlive-actuarialsymbol-11:svn54080-69.fc39.noarch texlive-addfont-11:svn58559-69.fc39.noarch texlive-addlines-11:svn49326-69.fc39.noarch texlive-adfathesis-11:svn26048.2.42-69.fc39.noarch texlive-adforn-11:svn54512-69.fc39.noarch texlive-adfsymbols-11:svn54512-69.fc39.noarch texlive-adigraph-11:svn49862-69.fc39.noarch texlive-adjmulticol-11:svn63320-69.fc39.noarch texlive-adjustbox-11:svn64967-69.fc39.noarch texlive-adobemapping-11:svn66552-69.fc39.noarch texlive-adrconv-11:svn46817-69.fc39.noarch texlive-advdate-11:svn20538.0-69.fc39.noarch texlive-ae-11:svn15878.1.4-69.fc39.noarch texlive-aeguill-11:svn15878.0-69.fc39.noarch texlive-aesupp-11:svn58253-69.fc39.noarch texlive-afparticle-11:svn35900.1.3-69.fc39.noarch texlive-afthesis-11:svn15878.2.7-69.fc39.noarch texlive-aguplus-11:svn17156.1.6b-69.fc39.noarch texlive-aiaa-11:svn15878.3.6-69.fc39.noarch texlive-aichej-11:svn15878.0-69.fc39.noarch texlive-ajl-11:svn34016.0-69.fc39.noarch texlive-akktex-11:svn26055.0.3.2-69.fc39.noarch texlive-akletter-11:svn15878.1.5i-69.fc39.noarch texlive-alegreya-11:svn64384-69.fc39.noarch texlive-alertmessage-11:svn38055.1.1-69.fc39.noarch texlive-alfaslabone-11:svn57452-69.fc39.noarch texlive-alg-11:svn15878.0-69.fc39.noarch texlive-algobox-11:svn52204-69.fc39.noarch texlive-algolrevived-11:svn56864-69.fc39.noarch texlive-algorithm2e-11:svn44846-69.fc39.noarch texlive-algorithmicx-11:svn15878.0-69.fc39.noarch texlive-algorithms-11:svn42428-69.fc39.noarch texlive-algpseudocodex-11:svn65860-69.fc39.noarch texlive-algxpar-11:svn56006-69.fc39.noarch texlive-aligned-overset-11:svn47290-69.fc39.noarch texlive-allrunes-11:svn42221-69.fc39.noarch texlive-almendra-11:svn64539-69.fc39.noarch texlive-almfixed-11:svn35065.0.92-69.fc39.noarch texlive-alnumsec-11:svn15878.v0.03-69.fc39.noarch texlive-alphalph-11:svn53087-69.fc39.noarch texlive-alterqcm-11:svn59265-69.fc39.noarch texlive-altfont-11:svn15878.1.1-69.fc39.noarch texlive-altsubsup-11:svn62738-69.fc39.noarch texlive-amsaddr-11:svn64357-69.fc39.noarch texlive-amscdx-11:svn51532-69.fc39.noarch texlive-amscls-11:svn55378-69.fc39.noarch texlive-amsfonts-11:svn61937-69.fc39.noarch texlive-amsmath-11:svn63514-69.fc39.noarch texlive-amsrefs-11:svn61937-69.fc39.noarch texlive-amstex-11:svn66186-77.fc39.noarch texlive-andika-11:svn64540-69.fc39.noarch texlive-animate-11:svn64401-69.fc39.noarch texlive-annotate-11:svn52824-69.fc39.noarch texlive-annotate-equations-11:svn65851-69.fc39.noarch texlive-anonchap-11:svn17049.1.1a-69.fc39.noarch texlive-anonymous-acm-11:svn55121-69.fc39.noarch texlive-anonymouspro-11:svn51631-69.fc39.noarch texlive-answers-11:svn35032.2.16-69.fc39.noarch texlive-antiqua-11:svn24266.001.003-69.fc39.noarch texlive-antt-11:svn18651.2.08-69.fc39.noarch texlive-anufinalexam-doc-11:svn26053.0-69.fc39.noarch texlive-anyfontsize-11:svn17050.0-69.fc39.noarch texlive-anysize-11:svn15878.0-69.fc39.noarch texlive-aobs-tikz-11:svn32662.1.0-69.fc39.noarch texlive-aomart-11:svn63442-69.fc39.noarch texlive-apa-11:svn54080-69.fc39.noarch texlive-apa6-11:svn53406-69.fc39.noarch texlive-apa6e-11:svn23350.0.3-69.fc39.noarch texlive-apa7-11:svn63974-69.fc39.noarch texlive-apacite-11:svn54080-69.fc39.noarch texlive-apalike-ejor-11:svn59667-69.fc39.noarch texlive-apalike2-11:svn54080-69.fc39.noarch texlive-appendix-11:svn53718-69.fc39.noarch texlive-appendixnumberbeamer-11:svn46317-69.fc39.noarch texlive-apptools-11:svn28400.1.0-69.fc39.noarch texlive-apxproof-11:svn64715-69.fc39.noarch texlive-arabicfront-11:svn51474-69.fc39.noarch texlive-archaeologie-11:svn57090-69.fc39.noarch texlive-archaic-11:svn38005.0-69.fc39.noarch texlive-arcs-11:svn15878.1-69.fc39.noarch texlive-arev-11:svn15878.0-69.fc39.noarch texlive-arimo-11:svn64548-69.fc39.noarch texlive-arphic-11:svn15878.0-69.fc39.noarch texlive-arraycols-11:svn61719-69.fc39.noarch texlive-arrayjobx-11:svn18125.1.04-69.fc39.noarch texlive-arraysort-11:svn31576.1.0-69.fc39.noarch texlive-arsclassica-11:svn45656-69.fc39.noarch texlive-articleingud-11:svn38741-69.fc39.noarch texlive-arvo-11:svn57213-69.fc39.noarch texlive-arydshln-11:svn50084-69.fc39.noarch texlive-asaetr-11:svn15878.1.0a-69.fc39.noarch texlive-asana-math-11:svn59629-69.fc39.noarch texlive-asapsym-11:svn40201-69.fc39.noarch texlive-ascelike-11:svn29129.2.3-69.fc39.noarch texlive-ascii-font-11:svn29989.2.0-69.fc39.noarch texlive-asciilist-11:svn49060-69.fc39.noarch texlive-ascmac-11:svn53411-69.fc39.noarch texlive-askinclude-11:svn54725-69.fc39.noarch texlive-askmaps-11:svn56730-69.fc39.noarch texlive-asmeconf-11:svn65413-69.fc39.noarch texlive-asmejour-11:svn65405-69.fc39.noarch texlive-aspectratio-11:svn25243.2.0-69.fc39.noarch texlive-assignment-11:svn20431.0-69.fc39.noarch texlive-assoccnt-11:svn38497-69.fc39.noarch texlive-association-matrix-11:svn64845-69.fc39.noarch texlive-astro-11:svn15878.2.20-69.fc39.noarch texlive-asyfig-11:svn17512.0.1c-69.fc39.noarch texlive-asypictureb-11:svn33490.0.3-69.fc39.noarch texlive-atbegshi-11:svn53051-69.fc39.noarch texlive-atenddvi-11:svn56922-69.fc39.noarch texlive-atendofenv-11:svn62164-69.fc39.noarch texlive-atkinson-11:svn64385-69.fc39.noarch texlive-attachfile-11:svn42099-69.fc39.noarch texlive-attachfile2-11:svn57959-77.fc39.noarch texlive-atveryend-11:svn53108-69.fc39.noarch texlive-aucklandthesis-11:svn51323-69.fc39.noarch texlive-augie-11:svn61719-69.fc39.noarch texlive-auncial-new-11:svn62977-69.fc39.noarch texlive-aurical-11:svn15878.1.5-69.fc39.noarch texlive-aurl-11:svn41853-69.fc39.noarch texlive-authoraftertitle-11:svn55889-69.fc39.noarch texlive-authorarchive-11:svn65777-69.fc39.noarch texlive-authordate-11:svn52564-69.fc39.noarch texlive-authorindex-11:svn51757-77.fc39.noarch texlive-auto-pst-pdf-11:svn56596-69.fc39.noarch texlive-autoarea-11:svn59552-69.fc39.noarch texlive-autobreak-11:svn43337-69.fc39.noarch texlive-autofancyhdr-11:svn54049-69.fc39.noarch texlive-autonum-11:svn36084.0.3.11-69.fc39.noarch texlive-autopdf-11:svn32377.1.1-69.fc39.noarch texlive-autopuncitems-11:svn63045-69.fc39.noarch texlive-auxhook-11:svn53173-69.fc39.noarch texlive-avantgar-11:svn61983-69.fc39.noarch texlive-avremu-11:svn35373.0.1-69.fc39.noarch texlive-axessibility-11:svn57105-69.fc39.noarch texlive-axodraw2-11:svn58155-77.fc39.x86_64 texlive-b1encoding-11:svn21271.1.0-69.fc39.noarch texlive-babel-11:svn65823-69.fc39.noarch texlive-babel-dutch-11:svn60362-69.fc39.noarch texlive-babel-english-11:svn44495-69.fc39.noarch texlive-babelbib-11:svn57349-69.fc39.noarch texlive-background-11:svn42428-69.fc39.noarch texlive-backnaur-11:svn54080-69.fc39.noarch texlive-bangorcsthesis-11:svn61770-69.fc39.noarch texlive-bangorexam-11:svn65140-69.fc39.noarch texlive-bankstatement-11:svn38857-69.fc39.noarch texlive-barcodes-11:svn15878.0-69.fc39.noarch texlive-bardiag-11:svn22013.0.4a-69.fc39.noarch texlive-base-11:20230311-77.fc39.x86_64 texlive-bashful-11:svn25597.0.93-69.fc39.noarch texlive-basicarith-11:svn35460.1.1-69.fc39.noarch texlive-baskervald-11:svn19490.1.016-69.fc39.noarch texlive-baskervaldx-11:svn57080-69.fc39.noarch texlive-baskervillef-11:svn55475-69.fc39.noarch texlive-bath-bst-11:svn63398-69.fc39.noarch texlive-bbding-11:svn17186.1.01-69.fc39.noarch texlive-bbm-11:svn15878.0-69.fc39.noarch texlive-bbm-macros-11:svn17224.0-69.fc39.noarch texlive-bbold-11:svn17187.1.01-69.fc39.noarch texlive-bbold-type1-11:svn33143.0-69.fc39.noarch texlive-bboldx-11:svn65424-69.fc39.noarch texlive-bchart-11:svn43928-69.fc39.noarch texlive-beamer-11:svn65984-69.fc39.noarch texlive-beamer-fuberlin-11:svn63161-69.fc39.noarch texlive-beamer-rl-11:svn65725-69.fc39.noarch texlive-beamer-verona-11:svn39180-69.fc39.noarch texlive-beamer2thesis-11:svn27539.2.2-69.fc39.noarch texlive-beamerappendixnote-11:svn55732-69.fc39.noarch texlive-beameraudience-11:svn23427.0.1-69.fc39.noarch texlive-beamerauxtheme-11:svn56087-69.fc39.noarch texlive-beamercolorthemeowl-11:svn40105-69.fc39.noarch texlive-beamerdarkthemes-11:svn55117-69.fc39.noarch texlive-beamerposter-11:svn54512-69.fc39.noarch texlive-beamersubframe-11:svn23510.0.2-69.fc39.noarch texlive-beamerswitch-11:svn64182-69.fc39.noarch texlive-beamertheme-arguelles-11:svn66358-69.fc39.noarch texlive-beamertheme-cuerna-11:svn42161-69.fc39.noarch texlive-beamertheme-detlevcm-11:svn39048-69.fc39.noarch texlive-beamertheme-epyt-11:svn41404-69.fc39.noarch texlive-beamertheme-focus-11:svn62551-69.fc39.noarch texlive-beamertheme-light-11:svn49867-69.fc39.noarch texlive-beamertheme-metropolis-11:svn43031-69.fc39.noarch texlive-beamertheme-npbt-11:svn54512-69.fc39.noarch texlive-beamertheme-phnompenh-11:svn39100-69.fc39.noarch texlive-beamertheme-pure-minimalistic-11:svn56934-69.fc39.noarch texlive-beamertheme-saintpetersburg-11:svn45877-69.fc39.noarch texlive-beamertheme-simpledarkblue-11:svn60061-69.fc39.noarch texlive-beamertheme-simpleplus-11:svn64770-69.fc39.noarch texlive-beamertheme-tcolorbox-11:svn64387-69.fc39.noarch texlive-beamertheme-trigon-11:svn65985-69.fc39.noarch texlive-beamertheme-upenn-bc-11:svn29937.1.0-69.fc39.noarch texlive-beamerthemeamurmaple-11:svn65698-69.fc39.noarch texlive-beamerthemejltree-11:svn21977.1.1-69.fc39.noarch texlive-beamerthemelalic-11:svn58777-69.fc39.noarch texlive-beamerthemenirma-11:svn20765.0.1-69.fc39.noarch texlive-beamerthemenord-11:svn56180-69.fc39.noarch texlive-bearwear-11:svn54826-69.fc39.noarch texlive-beaulivre-11:svn65475-69.fc39.noarch texlive-beebe-11:svn66286-69.fc39.noarch texlive-begriff-11:svn15878.1.6-69.fc39.noarch texlive-beilstein-11:svn56193-69.fc39.noarch texlive-belleek-11:svn66115-69.fc39.noarch texlive-bera-11:svn20031.0-69.fc39.noarch texlive-berenisadf-11:svn32215.1.004-69.fc39.noarch texlive-besjournals-11:svn45662-69.fc39.noarch texlive-bestpapers-11:svn38708-69.fc39.noarch texlive-beton-11:svn15878.0-69.fc39.noarch texlive-beuron-11:svn46374-69.fc39.noarch texlive-bewerbung-11:svn61632-69.fc39.noarch texlive-bez123-11:svn15878.1.1b-69.fc39.noarch texlive-bfh-ci-11:svn66461-69.fc39.noarch texlive-bgteubner-11:svn54080-69.fc39.noarch texlive-bguq-11:svn27401.0.4-69.fc39.noarch texlive-bhcexam-11:svn64093-69.fc39.noarch texlive-bib2gls-11:svn65104-77.fc39.noarch texlive-bibarts-11:svn64579-69.fc39.noarch texlive-bibcop-11:svn65816-77.fc39.noarch texlive-bibexport-11:svn50677-77.fc39.noarch texlive-bibhtml-11:svn31607.2.0.2-69.fc39.noarch texlive-biblatex-11:svn66403-69.fc39.noarch texlive-biblatex-abnt-11:svn49179-69.fc39.noarch texlive-biblatex-ajc2020unofficial-11:svn54401-69.fc39.noarch texlive-biblatex-anonymous-11:svn48548-69.fc39.noarch texlive-biblatex-apa-11:svn66605-69.fc39.noarch texlive-biblatex-apa6-11:svn56209-69.fc39.noarch texlive-biblatex-archaeology-11:svn53281-69.fc39.noarch texlive-biblatex-arthistory-bonn-11:svn46637-69.fc39.noarch texlive-biblatex-bath-11:svn63401-69.fc39.noarch texlive-biblatex-bookinarticle-11:svn40323-69.fc39.noarch texlive-biblatex-bookinother-11:svn54015-69.fc39.noarch texlive-biblatex-bwl-11:svn26556.0.02-69.fc39.noarch texlive-biblatex-caspervector-11:svn64866-69.fc39.noarch texlive-biblatex-chem-11:svn57904-69.fc39.noarch texlive-biblatex-chicago-11:svn65037-69.fc39.noarch texlive-biblatex-claves-11:svn43723-69.fc39.noarch texlive-biblatex-cv-11:svn59433-69.fc39.noarch texlive-biblatex-dw-11:svn66579-69.fc39.noarch texlive-biblatex-enc-11:svn44627-69.fc39.noarch texlive-biblatex-ext-11:svn63638-69.fc39.noarch texlive-biblatex-fiwi-11:svn45876-69.fc39.noarch texlive-biblatex-gb7714-2015-11:svn64967-69.fc39.noarch texlive-biblatex-german-legal-11:svn66461-69.fc39.noarch texlive-biblatex-gost-11:svn59135-69.fc39.noarch texlive-biblatex-historian-11:svn19787.0.4-69.fc39.noarch texlive-biblatex-ieee-11:svn61243-69.fc39.noarch texlive-biblatex-ijsra-11:svn41634-69.fc39.noarch texlive-biblatex-iso690-11:svn62866-69.fc39.noarch texlive-biblatex-jura2-11:svn64762-69.fc39.noarch texlive-biblatex-juradiss-11:svn56502-69.fc39.noarch texlive-biblatex-license-11:svn58437-69.fc39.noarch texlive-biblatex-lncs-11:svn65280-69.fc39.noarch texlive-biblatex-lni-11:svn61719-69.fc39.noarch texlive-biblatex-luh-ipw-11:svn32180.0.3-69.fc39.noarch texlive-biblatex-manuscripts-philology-11:svn53344-69.fc39.noarch texlive-biblatex-mla-11:svn62138-69.fc39.noarch texlive-biblatex-morenames-11:svn43049-69.fc39.noarch texlive-biblatex-ms-11:svn66480-69.fc39.noarch texlive-biblatex-multiple-dm-11:svn37081.1.0.1-69.fc39.noarch texlive-biblatex-musuos-11:svn24097.1.0-69.fc39.noarch texlive-biblatex-nature-11:svn57262-69.fc39.noarch texlive-biblatex-nejm-11:svn49839-69.fc39.noarch texlive-biblatex-nottsclassic-11:svn41596-69.fc39.noarch texlive-biblatex-opcit-booktitle-11:svn48983-69.fc39.noarch texlive-biblatex-oxref-11:svn65707-69.fc39.noarch texlive-biblatex-philosophy-11:svn64414-69.fc39.noarch texlive-biblatex-phys-11:svn55643-69.fc39.noarch texlive-biblatex-publist-11:svn66613-69.fc39.noarch texlive-biblatex-readbbl-11:svn61549-69.fc39.noarch texlive-biblatex-realauthor-11:svn45865-69.fc39.noarch texlive-biblatex-sbl-11:svn63639-69.fc39.noarch texlive-biblatex-science-11:svn48945-69.fc39.noarch texlive-biblatex-shortfields-11:svn45858-69.fc39.noarch texlive-biblatex-socialscienceshuberlin-11:svn47839-69.fc39.noarch texlive-biblatex-software-11:svn64030-69.fc39.noarch texlive-biblatex-source-division-11:svn45379-69.fc39.noarch texlive-biblatex-spbasic-11:svn61439-69.fc39.noarch texlive-biblatex-subseries-11:svn43330-69.fc39.noarch texlive-biblatex-swiss-legal-11:svn64491-69.fc39.noarch texlive-biblatex-trad-11:svn58169-69.fc39.noarch texlive-biblatex-true-citepages-omit-11:svn44653-69.fc39.noarch texlive-biblatex-unified-11:svn64975-69.fc39.noarch texlive-biblatex-vancouver-11:svn55339-69.fc39.noarch texlive-biblatex2bibitem-11:svn61648-69.fc39.noarch texlive-bibletext-11:svn45196-69.fc39.noarch texlive-biblist-11:svn17116.0-69.fc39.noarch texlive-bibtex-11:svn66186-77.fc39.x86_64 texlive-bibtexperllibs-11:svn57137-69.fc39.noarch texlive-bibtopic-11:svn15878.1.1a-69.fc39.noarch texlive-bibtopicprefix-11:svn15878.1.10-69.fc39.noarch texlive-bibunits-11:svn15878.2.2-69.fc39.noarch texlive-bidi-11:svn65572-69.fc39.noarch texlive-bigfoot-11:svn38248.2.1-69.fc39.noarch texlive-bigintcalc-11:svn53172-69.fc39.noarch texlive-bigints-11:svn29803.0-69.fc39.noarch texlive-bilingualpages-11:svn59643-69.fc39.noarch texlive-binarytree-11:svn41777-69.fc39.noarch texlive-binomexp-11:svn15878.1.0-69.fc39.noarch texlive-biochemistry-colors-11:svn54512-69.fc39.noarch texlive-biocon-11:svn15878.0-69.fc39.noarch texlive-biolett-bst-11:svn66115-69.fc39.noarch texlive-bithesis-11:svn66622-69.fc39.noarch texlive-bitpattern-11:svn39073-69.fc39.noarch texlive-bitset-11:svn53837-69.fc39.noarch texlive-bitter-11:svn64541-69.fc39.noarch texlive-bizcard-11:svn15878.1.1-69.fc39.noarch texlive-bjfuthesis-11:svn59809-69.fc39.noarch texlive-blacklettert1-11:svn15878.0-69.fc39.noarch texlive-blindtext-11:svn25039.2.0-69.fc39.noarch texlive-blkarray-11:svn36406.0.07-69.fc39.noarch texlive-blochsphere-11:svn38388-69.fc39.noarch texlive-block-11:svn17209.0-69.fc39.noarch texlive-bloques-11:svn22490.1.0-69.fc39.noarch texlive-blowup-11:svn64466-69.fc39.noarch texlive-blox-11:svn57949-69.fc39.noarch texlive-bmstu-11:svn65897-69.fc39.noarch texlive-bmstu-iu8-11:svn61937-69.fc39.noarch texlive-bnumexpr-11:svn59244-69.fc39.noarch texlive-bodegraph-11:svn61719-69.fc39.noarch texlive-bodeplot-11:svn65074-69.fc39.noarch texlive-bohr-11:svn62977-69.fc39.noarch texlive-boisik-11:svn15878.0.5-69.fc39.noarch texlive-boites-11:svn32235.1.1-69.fc39.noarch texlive-bold-extra-11:svn17076.0.1-69.fc39.noarch texlive-boldtensors-11:svn15878.0-69.fc39.noarch texlive-bondgraph-11:svn21670.1.0-69.fc39.noarch texlive-bondgraphs-11:svn36605.1.0.1-69.fc39.noarch texlive-book-of-common-prayer-11:svn62240-69.fc39.noarch texlive-bookcover-11:svn65394-69.fc39.noarch texlive-bookdb-11:svn37536.0.2-69.fc39.noarch texlive-bookest-11:svn15878.1.1-69.fc39.noarch texlive-bookhands-11:svn46480-69.fc39.noarch texlive-booklet-11:svn15878.0.7b-69.fc39.noarch texlive-bookman-11:svn61719-69.fc39.noarch texlive-bookmark-11:svn56885-69.fc39.noarch texlive-bookshelf-11:svn55475-69.fc39.noarch texlive-booktabs-11:svn53402-69.fc39.noarch texlive-boolexpr-11:svn17830.3.14-69.fc39.noarch texlive-boondox-11:svn54512-69.fc39.noarch texlive-bophook-11:svn17062.0.02-69.fc39.noarch texlive-bosisio-11:svn16989.0-69.fc39.noarch texlive-boxedminipage-11:svn54827-69.fc39.noarch texlive-boxhandler-11:svn28031.1.30-69.fc39.noarch texlive-bpchem-11:svn45120-69.fc39.noarch texlive-br-lex-11:svn44939-69.fc39.noarch texlive-bracketkey-11:svn17129.1.0-69.fc39.noarch texlive-braids-11:svn64817-69.fc39.noarch texlive-braille-11:svn20655.0-69.fc39.noarch texlive-braket-11:svn17127.0-69.fc39.noarch texlive-brandeis-dissertation-11:svn61215-69.fc39.noarch texlive-brandeis-problemset-11:svn50991-69.fc39.noarch texlive-brandeis-thesis-11:svn59832-69.fc39.noarch texlive-breakcites-11:svn21014-69.fc39.noarch texlive-breakurl-11:svn29901.1.40-69.fc39.noarch texlive-breqn-11:svn60881-69.fc39.noarch texlive-bropd-11:svn35383.1.2-69.fc39.noarch texlive-brushscr-11:svn28363.0-69.fc39.noarch texlive-bubblesort-11:svn56070-69.fc39.noarch texlive-buctthesis-11:svn64004-69.fc39.noarch texlive-bullcntr-11:svn15878.0.04-69.fc39.noarch texlive-bussproofs-11:svn54080-69.fc39.noarch texlive-bussproofs-extra-11:svn51299-69.fc39.noarch texlive-bxcalc-11:svn56431-69.fc39.noarch texlive-bxdpx-beamer-11:svn41813-69.fc39.noarch texlive-bxdvidriver-11:svn43219-69.fc39.noarch texlive-bxeepic-11:svn30559.0.2-69.fc39.noarch texlive-bxenclose-11:svn40213-69.fc39.noarch texlive-bxnewfont-11:svn44173-69.fc39.noarch texlive-bxpapersize-11:svn63174-69.fc39.noarch texlive-bxpdfver-11:svn63185-69.fc39.noarch texlive-bxtexlogo-11:svn63231-69.fc39.noarch texlive-byo-twemojis-11:svn58917-69.fc39.noarch texlive-byrne-11:svn61943-69.fc39.noarch texlive-bytefield-11:svn60265-69.fc39.noarch texlive-cabin-11:svn65358-69.fc39.noarch texlive-cachepic-11:svn26313-77.fc39.noarch texlive-caladea-11:svn64549-69.fc39.noarch texlive-calcage-11:svn27725.0.90-69.fc39.noarch texlive-calctab-11:svn15878.v0.6.1-69.fc39.noarch texlive-calculation-11:svn35973.1.0-69.fc39.noarch texlive-calculator-11:svn64424-69.fc39.noarch texlive-calligra-11:svn15878.0-69.fc39.noarch texlive-calligra-type1-11:svn24302.001.000-69.fc39.noarch texlive-callouts-11:svn44899-69.fc39.noarch texlive-calrsfs-11:svn17125.0-69.fc39.noarch texlive-cals-11:svn43003-69.fc39.noarch texlive-calxxxx-yyyy-11:svn65426-69.fc39.noarch texlive-cancel-11:svn32508.2.2-69.fc39.noarch texlive-canoniclayout-11:svn64889-69.fc39.noarch texlive-cantarell-11:svn54512-69.fc39.noarch texlive-capt-of-11:svn29803.0-69.fc39.noarch texlive-captcont-11:svn15878.2.0-69.fc39.noarch texlive-captdef-11:svn17353.0-69.fc39.noarch texlive-caption-11:svn66580-69.fc39.noarch texlive-carbohydrates-11:svn39000-69.fc39.noarch texlive-carlisle-11:svn59577-69.fc39.noarch texlive-carlito-11:svn64624-69.fc39.noarch texlive-carolmin-ps-11:svn15878.0-69.fc39.noarch texlive-cartonaugh-11:svn59938-69.fc39.noarch texlive-cascade-11:svn65757-69.fc39.noarch texlive-cascadia-code-11:svn57478-69.fc39.noarch texlive-cascadilla-11:svn25144.1.8.2-69.fc39.noarch texlive-cases-11:svn54682-69.fc39.noarch texlive-casyl-11:svn15878.2.0-69.fc39.noarch texlive-catchfile-11:svn53084-69.fc39.noarch texlive-catchfilebetweentags-11:svn21476.1.1-69.fc39.noarch texlive-catcodes-11:svn38859-69.fc39.noarch texlive-catechis-11:svn59998-69.fc39.noarch texlive-catoptions-11:svn35069.0.2.7h-69.fc39.noarch texlive-causets-11:svn66359-69.fc39.noarch texlive-cbcoptic-11:svn16666.0.2-69.fc39.noarch texlive-cbfonts-11:svn54080-69.fc39.noarch texlive-cbfonts-fd-11:svn54080-69.fc39.noarch texlive-ccaption-11:svn23443.3.2c-69.fc39.noarch texlive-ccfonts-11:svn61431-69.fc39.noarch texlive-ccicons-11:svn54512-69.fc39.noarch texlive-cclicenses-11:svn15878.0-69.fc39.noarch texlive-ccool-11:svn60600-69.fc39.noarch texlive-cd-11:svn34452.1.4-69.fc39.noarch texlive-cd-cover-11:svn17121.1.0-69.fc39.noarch texlive-cdcmd-11:svn60742-69.fc39.noarch texlive-cdpbundl-11:svn61719-69.fc39.noarch texlive-cell-11:svn42428-69.fc39.noarch texlive-cellprops-11:svn57599-69.fc39.noarch texlive-cellspace-11:svn61501-69.fc39.noarch texlive-celtic-11:svn39797-69.fc39.noarch texlive-censor-11:svn63126-69.fc39.noarch texlive-centeredline-11:svn64672-69.fc39.noarch texlive-centerlastline-11:svn56644-69.fc39.noarch texlive-cesenaexam-11:svn44960-69.fc39.noarch texlive-cfr-initials-11:svn61719-69.fc39.noarch texlive-cfr-lm-11:svn36195.1.5-69.fc39.noarch texlive-changebar-11:svn63259-69.fc39.noarch texlive-changelayout-11:svn16094.1.0-69.fc39.noarch texlive-changelog-11:svn65861-69.fc39.noarch texlive-changepage-11:svn15878.1.0c-69.fc39.noarch texlive-changes-11:svn59950-69.fc39.noarch texlive-chappg-11:svn15878.2.1b-69.fc39.noarch texlive-chapterfolder-11:svn15878.2.0.1-69.fc39.noarch texlive-charissil-11:svn64998-69.fc39.noarch texlive-charter-11:svn15878.0-69.fc39.noarch texlive-chbibref-11:svn17120.1.0-69.fc39.noarch texlive-cheatsheet-11:svn45069-69.fc39.noarch texlive-checkend-11:svn51475-69.fc39.noarch texlive-chem-journal-11:svn15878.0-69.fc39.noarch texlive-chemarrow-11:svn17146.0.9-69.fc39.noarch texlive-chembst-11:svn15878.0.2.5-69.fc39.noarch texlive-chemcompounds-11:svn15878.0-69.fc39.noarch texlive-chemcono-11:svn17119.1.3-69.fc39.noarch texlive-chemexec-11:svn21632.1.0-69.fc39.noarch texlive-chemfig-11:svn65912-69.fc39.noarch texlive-chemformula-11:svn61719-69.fc39.noarch texlive-chemgreek-11:svn53437-69.fc39.noarch texlive-chemmacros-11:svn62655-69.fc39.noarch texlive-chemnum-11:svn57490-69.fc39.noarch texlive-chemobabel-11:svn64778-69.fc39.noarch texlive-chemplants-11:svn60606-69.fc39.noarch texlive-chemschemex-11:svn46723-69.fc39.noarch texlive-chemsec-11:svn46972-69.fc39.noarch texlive-chemstyle-11:svn31096.2.0m-69.fc39.noarch texlive-cherokee-11:svn21046.0-69.fc39.noarch texlive-chessfss-11:svn19440.1.2a-69.fc39.noarch texlive-chet-11:svn45081-69.fc39.noarch texlive-chextras-11:svn27118.1.01-69.fc39.noarch texlive-chicago-11:svn15878.0-69.fc39.noarch texlive-chicago-annote-11:svn15878.0-69.fc39.noarch texlive-chicagoa-11:svn52567-69.fc39.noarch texlive-chifoot-11:svn57312-69.fc39.noarch texlive-childdoc-11:svn49543-69.fc39.noarch texlive-chivo-11:svn65029-69.fc39.noarch texlive-chkfloat-11:svn27473.0.1-69.fc39.noarch texlive-chletter-11:svn20060.2.0-69.fc39.noarch texlive-chngcntr-11:svn47577-69.fc39.noarch texlive-chronology-11:svn37934.1.1.1-69.fc39.noarch texlive-chs-physics-report-11:svn54512-69.fc39.noarch texlive-chscite-11:svn28552.2.9999-69.fc39.noarch texlive-cinzel-11:svn64550-69.fc39.noarch texlive-circ-11:svn62977-69.fc39.noarch texlive-circledsteps-11:svn63255-69.fc39.noarch texlive-circledtext-11:svn63166-69.fc39.noarch texlive-circuit-macros-11:svn66549-69.fc39.noarch texlive-circuitikz-11:svn65785-69.fc39.noarch texlive-citation-style-language-11:svn65878-77.fc39.noarch texlive-cite-11:svn36428.5.5-69.fc39.noarch texlive-citeall-11:svn45975-69.fc39.noarch texlive-citeref-11:svn47407-69.fc39.noarch texlive-cje-11:svn46721-69.fc39.noarch texlive-cjk-11:svn60865-69.fc39.noarch texlive-cjkpunct-11:svn41119-69.fc39.noarch texlive-clara-11:svn54512-69.fc39.noarch texlive-classics-11:svn53671-69.fc39.noarch texlive-classicthesis-11:svn48041-69.fc39.noarch texlive-classpack-11:svn55218-69.fc39.noarch texlive-cleanthesis-11:svn51472-69.fc39.noarch texlive-clearsans-11:svn64400-69.fc39.noarch texlive-clefval-11:svn55985-69.fc39.noarch texlive-cleveref-11:svn61719-69.fc39.noarch texlive-clicks-11:svn64602-69.fc39.noarch texlive-clipboard-11:svn47747-69.fc39.noarch texlive-clistmap-11:svn61811-69.fc39.noarch texlive-clock-11:svn15878.0-69.fc39.noarch texlive-clrdblpg-11:svn47511-69.fc39.noarch texlive-clrscode-11:svn51136-69.fc39.noarch texlive-clrscode3e-11:svn51137-69.fc39.noarch texlive-clrstrip-11:svn60363-69.fc39.noarch texlive-cm-11:svn57963-69.fc39.noarch texlive-cm-lgc-11:svn28250.0.5-69.fc39.noarch texlive-cm-mf-extra-bold-11:svn54512-69.fc39.noarch texlive-cm-super-11:svn15878.0-69.fc39.noarch texlive-cm-unicode-11:svn58661-69.fc39.noarch texlive-cmap-11:svn57640-69.fc39.noarch texlive-cmathbb-11:svn56414-69.fc39.noarch texlive-cmbright-11:svn21107.8.1-69.fc39.noarch texlive-cmdstring-11:svn15878.1.1-69.fc39.noarch texlive-cmdtrack-11:svn28910-69.fc39.noarch texlive-cmexb-11:svn54074-69.fc39.noarch texlive-cmextra-11:svn57866-69.fc39.noarch texlive-cmll-11:svn17964.0-69.fc39.noarch texlive-cmpica-11:svn15878.0-69.fc39.noarch texlive-cmpj-11:svn58506-69.fc39.noarch texlive-cmsd-11:svn18787.0-69.fc39.noarch texlive-cmsrb-11:svn54706-69.fc39.noarch texlive-cmtiup-11:svn39728-69.fc39.noarch texlive-cmupint-11:svn54735-69.fc39.noarch texlive-cnltx-11:svn55265-69.fc39.noarch texlive-cns-11:svn45677-69.fc39.noarch texlive-cntformats-11:svn34668.0.7-69.fc39.noarch texlive-cntperchap-11:svn37572.0.3-69.fc39.noarch texlive-cochineal-11:svn62063-69.fc39.noarch texlive-codeanatomy-11:svn65648-69.fc39.noarch texlive-codebox-11:svn61771-69.fc39.noarch texlive-codedoc-11:svn17630.0.3-69.fc39.noarch texlive-codehigh-11:svn65787-69.fc39.noarch texlive-codepage-11:svn51502-69.fc39.noarch texlive-codesection-11:svn34481.0.1-69.fc39.noarch texlive-coelacanth-11:svn64558-69.fc39.noarch texlive-coffeestains-11:svn59703-69.fc39.noarch texlive-collcell-11:svn64967-69.fc39.noarch texlive-collectbox-11:svn64967-69.fc39.noarch texlive-collection-basic-11:svn59159-69.fc39.noarch texlive-collection-bibtexextra-11:svn65257-69.fc39.noarch texlive-collection-fontsextra-11:svn64952-69.fc39.noarch texlive-collection-fontsrecommended-11:svn54074-69.fc39.noarch texlive-collection-latex-11:svn63515-69.fc39.noarch texlive-collection-latexextra-11:svn66548-69.fc39.noarch texlive-collection-latexrecommended-11:svn65512-69.fc39.noarch texlive-collection-mathscience-11:svn65753-69.fc39.noarch texlive-collection-pictures-11:svn66636-69.fc39.noarch texlive-collection-publishers-11:svn66330-69.fc39.noarch texlive-collref-11:svn46358-69.fc39.noarch texlive-colophon-11:svn47913-69.fc39.noarch texlive-color-edits-11:svn56707-69.fc39.noarch texlive-colordoc-11:svn18270.0-69.fc39.noarch texlive-colorframed-11:svn64551-69.fc39.noarch texlive-colorinfo-11:svn15878.0.3c-69.fc39.noarch texlive-coloring-11:svn41042-69.fc39.noarch texlive-colorist-11:svn66434-69.fc39.noarch texlive-colorprofiles-11:svn49086-69.fc39.noarch texlive-colorspace-11:svn50585-69.fc39.noarch texlive-colortab-11:svn22155.1.0-69.fc39.noarch texlive-colortbl-11:svn64015-69.fc39.noarch texlive-colorwav-11:svn15878.1.0-69.fc39.noarch texlive-colorweb-11:svn31490.1.3-69.fc39.noarch texlive-colourchange-11:svn21741.1.22-69.fc39.noarch texlive-combelow-11:svn18462.0.99f-69.fc39.noarch texlive-combine-11:svn19361.0.7a-69.fc39.noarch texlive-combinedgraphics-11:svn27198.0.2.2-69.fc39.noarch texlive-comfortaa-11:svn54512-69.fc39.noarch texlive-comicneue-11:svn54891-69.fc39.noarch texlive-comma-11:svn18259.1.2-69.fc39.noarch texlive-commado-11:svn38875-69.fc39.noarch texlive-commath-11:svn15878.0.3-69.fc39.noarch texlive-commedit-11:svn50116-69.fc39.noarch texlive-comment-11:svn41927-69.fc39.noarch texlive-commonunicode-11:svn62901-69.fc39.noarch texlive-commutative-diagrams-11:svn55526-69.fc39.noarch texlive-compactbib-11:svn15878.0-69.fc39.noarch texlive-competences-11:svn47573-69.fc39.noarch texlive-complexity-11:svn45322-69.fc39.noarch texlive-computational-complexity-11:svn44847-69.fc39.noarch texlive-concepts-11:svn29020.0.0.5_r1-69.fc39.noarch texlive-concmath-11:svn17219.0-69.fc39.noarch texlive-concmath-fonts-11:svn17218.0-69.fc39.noarch texlive-concmath-otf-11:svn65683-69.fc39.noarch texlive-concprog-11:svn18791.0-69.fc39.noarch texlive-concrete-11:svn57963-69.fc39.noarch texlive-conditext-11:svn55387-69.fc39.noarch texlive-confproc-11:svn29349.0.8-69.fc39.noarch texlive-constants-11:svn15878.1.0-69.fc39.noarch texlive-conteq-11:svn37868.0.1.1-69.fc39.noarch texlive-continue-11:svn49449-69.fc39.noarch texlive-contour-11:svn18950.2.14-69.fc39.noarch texlive-contracard-11:svn50217-69.fc39.noarch texlive-conv-xkv-11:svn43558-69.fc39.noarch texlive-convbkmk-11:svn49252-77.fc39.noarch texlive-cooking-11:svn15878.0.9b-69.fc39.noarch texlive-cooking-units-11:svn65241-69.fc39.noarch texlive-cookingsymbols-11:svn35929.1.1-69.fc39.noarch texlive-cool-11:svn15878.1.35-69.fc39.noarch texlive-coolfn-11:svn66221-69.fc39.noarch texlive-coollist-11:svn63523-69.fc39.noarch texlive-coolstr-11:svn15878.2.2-69.fc39.noarch texlive-coolthms-11:svn29062.1.2-69.fc39.noarch texlive-cooltooltips-11:svn60201-69.fc39.noarch texlive-coop-writing-11:svn61607-69.fc39.noarch texlive-cooperhewitt-11:svn64967-69.fc39.noarch texlive-coordsys-11:svn15878.1.4-69.fc39.noarch texlive-copyedit-11:svn37928.1.6-69.fc39.noarch texlive-copyrightbox-11:svn24829.0.1-69.fc39.noarch texlive-cormorantgaramond-11:svn64411-69.fc39.noarch texlive-correctmathalign-11:svn44131-69.fc39.noarch texlive-coseoul-11:svn23862.1.1-69.fc39.noarch texlive-countriesofeurope-11:svn54512-69.fc39.noarch texlive-counttexruns-11:svn27576.1.00a-69.fc39.noarch texlive-courier-11:svn61719-69.fc39.noarch texlive-courier-scaled-11:svn24940.0-69.fc39.noarch texlive-courierten-11:svn55436-69.fc39.noarch texlive-courseoutline-11:svn15878.1.0-69.fc39.noarch texlive-coursepaper-11:svn15878.2.0-69.fc39.noarch texlive-coverpage-11:svn63509-69.fc39.noarch texlive-cprotect-11:svn21209.1.0e-69.fc39.noarch texlive-cprotectinside-11:svn63833-69.fc39.noarch texlive-cquthesis-11:svn55643-69.fc39.noarch texlive-crbox-11:svn29803.0.1-69.fc39.noarch texlive-create-theorem-11:svn64104-69.fc39.noarch texlive-crefthe-11:svn64498-69.fc39.noarch texlive-crimson-11:svn64559-69.fc39.noarch texlive-crimsonpro-11:svn64565-69.fc39.noarch texlive-crop-11:svn55424-69.fc39.noarch texlive-crossreference-11:svn15878.0-69.fc39.noarch texlive-crossreftools-11:svn55879-69.fc39.noarch texlive-crossrefware-11:svn64754-77.fc39.noarch texlive-crumbs-11:svn64602-69.fc39.noarch texlive-cryptocode-11:svn60249-69.fc39.noarch texlive-cryst-11:svn15878.0-69.fc39.noarch texlive-csassignments-11:svn63992-69.fc39.noarch texlive-csquotes-11:svn64389-69.fc39.noarch texlive-css-colors-11:svn54512-69.fc39.noarch texlive-csvmerge-11:svn51857-69.fc39.noarch texlive-csvsimple-11:svn64450-69.fc39.noarch texlive-ctable-11:svn38672-69.fc39.noarch texlive-ctablestack-11:svn38514-69.fc39.noarch texlive-ctex-11:svn66115-69.fc39.noarch texlive-ctib-11:svn15878.0-69.fc39.noarch texlive-cuisine-11:svn34453.0.7-69.fc39.noarch texlive-cuprum-11:svn49909-69.fc39.noarch texlive-currency-11:svn48990-69.fc39.noarch texlive-currfile-11:svn64673-69.fc39.noarch texlive-currvita-11:svn15878.0-69.fc39.noarch texlive-curve-11:svn20745.1.16-69.fc39.noarch texlive-curve2e-11:svn65469-69.fc39.noarch texlive-curves-11:svn45255-69.fc39.noarch texlive-custom-bib-11:svn24729.4.33-69.fc39.noarch texlive-cutwin-11:svn60901-69.fc39.noarch texlive-cv-11:svn15878.0-69.fc39.noarch texlive-cv4tw-11:svn34577.0.2-69.fc39.noarch texlive-cvss-11:svn65169-69.fc39.noarch texlive-cweb-latex-11:svn28878.0-69.fc39.noarch texlive-cyber-11:svn46776-69.fc39.noarch texlive-cybercic-11:svn37659.2.1-69.fc39.noarch texlive-cyklop-11:svn18651.0.915-69.fc39.noarch texlive-dancers-11:svn13293.0-69.fc39.noarch texlive-dantelogo-11:svn38599-69.fc39.noarch texlive-darkmode-11:svn64271-69.fc39.noarch texlive-dashbox-11:svn23425.1.14-69.fc39.noarch texlive-dashrule-11:svn29579.1.3-69.fc39.noarch texlive-dashundergaps-11:svn58150-69.fc39.noarch texlive-dataref-11:svn62942-69.fc39.noarch texlive-datatool-11:svn52663-69.fc39.noarch texlive-datax-11:svn61772-69.fc39.noarch texlive-dateiliste-11:svn27974.0.6-69.fc39.noarch texlive-datenumber-11:svn61761-69.fc39.noarch texlive-datestamp-11:svn61719-69.fc39.noarch texlive-datetime-11:svn36650.2.60-69.fc39.noarch texlive-datetime2-11:svn63102-69.fc39.noarch texlive-datetime2-bahasai-11:svn46287-69.fc39.noarch texlive-datetime2-basque-11:svn47064-69.fc39.noarch texlive-datetime2-breton-11:svn52647-69.fc39.noarch texlive-datetime2-bulgarian-11:svn47031-69.fc39.noarch texlive-datetime2-catalan-11:svn47032-69.fc39.noarch texlive-datetime2-croatian-11:svn36682.1.0-69.fc39.noarch texlive-datetime2-czech-11:svn47033-69.fc39.noarch texlive-datetime2-danish-11:svn47034-69.fc39.noarch texlive-datetime2-dutch-11:svn47355-69.fc39.noarch texlive-datetime2-en-fulltext-11:svn36705.1.0-69.fc39.noarch texlive-datetime2-english-11:svn52479-69.fc39.noarch texlive-datetime2-esperanto-11:svn47356-69.fc39.noarch texlive-datetime2-estonian-11:svn47565-69.fc39.noarch texlive-datetime2-finnish-11:svn47047-69.fc39.noarch texlive-datetime2-french-11:svn56393-69.fc39.noarch texlive-datetime2-galician-11:svn47631-69.fc39.noarch texlive-datetime2-german-11:svn53125-69.fc39.noarch texlive-datetime2-greek-11:svn47533-69.fc39.noarch texlive-datetime2-hebrew-11:svn47534-69.fc39.noarch texlive-datetime2-icelandic-11:svn65213-69.fc39.noarch texlive-datetime2-irish-11:svn47632-69.fc39.noarch texlive-datetime2-it-fulltext-11:svn54779-69.fc39.noarch texlive-datetime2-italian-11:svn37146.1.3-69.fc39.noarch texlive-datetime2-latin-11:svn47748-69.fc39.noarch texlive-datetime2-lsorbian-11:svn47749-69.fc39.noarch texlive-datetime2-magyar-11:svn48266-69.fc39.noarch texlive-datetime2-norsk-11:svn48267-69.fc39.noarch texlive-datetime2-polish-11:svn48456-69.fc39.noarch texlive-datetime2-portuges-11:svn48457-69.fc39.noarch texlive-datetime2-romanian-11:svn56394-69.fc39.noarch texlive-datetime2-russian-11:svn49345-69.fc39.noarch texlive-datetime2-samin-11:svn49346-69.fc39.noarch texlive-datetime2-scottish-11:svn52101-69.fc39.noarch texlive-datetime2-serbian-11:svn52893-69.fc39.noarch texlive-datetime2-slovak-11:svn52281-69.fc39.noarch texlive-datetime2-slovene-11:svn52282-69.fc39.noarch texlive-datetime2-spanish-11:svn45785-69.fc39.noarch texlive-datetime2-swedish-11:svn36700.1.0-69.fc39.noarch texlive-datetime2-turkish-11:svn52331-69.fc39.noarch texlive-datetime2-ukrainian-11:svn47552-69.fc39.noarch texlive-datetime2-usorbian-11:svn52375-69.fc39.noarch texlive-datetime2-welsh-11:svn52553-69.fc39.noarch texlive-dblfloatfix-11:svn28983.1.0a-69.fc39.noarch texlive-dbshow-11:svn61634-69.fc39.noarch texlive-dccpaper-11:svn61763-69.fc39.noarch texlive-dcpic-11:svn30206.5.0.0-69.fc39.noarch texlive-debate-11:svn64846-69.fc39.noarch texlive-decimal-11:svn23374.0-69.fc39.noarch texlive-decision-table-11:svn60673-69.fc39.noarch texlive-decorule-11:svn55230-69.fc39.noarch texlive-dehyph-11:svn48599-69.fc39.noarch texlive-dejavu-11:svn31771.2.34-69.fc39.noarch texlive-dejavu-otf-11:svn45991-69.fc39.noarch texlive-delim-11:svn23974.1.0-69.fc39.noarch texlive-delimseasy-11:svn39589-69.fc39.noarch texlive-delimset-11:svn49544-69.fc39.noarch texlive-delimtxt-11:svn16549.0-69.fc39.noarch texlive-democodetools-11:svn64314-69.fc39.noarch texlive-denisbdoc-11:svn66137-69.fc39.noarch texlive-derivative-11:svn63850-69.fc39.noarch texlive-dhua-11:svn24035.0.11-69.fc39.noarch texlive-diabetes-logbook-11:svn54810-69.fc39.noarch texlive-diagbox-11:svn54080-69.fc39.noarch texlive-diagmac2-11:svn15878.2.1-69.fc39.noarch texlive-diagnose-11:svn19387.0.2-69.fc39.noarch texlive-dialogl-11:svn28946.0-69.fc39.noarch texlive-dice-11:svn28501.0-69.fc39.noarch texlive-dichokey-11:svn17192.0-69.fc39.noarch texlive-dictsym-11:svn20031.0-69.fc39.noarch texlive-diffcoeff-11:svn65676-69.fc39.noarch texlive-digiconfigs-11:svn15878.0.5-69.fc39.noarch texlive-dijkstra-11:svn64580-69.fc39.noarch texlive-dimnum-11:svn58774-69.fc39.noarch texlive-din1505-11:svn19441.0-69.fc39.noarch texlive-dinbrief-11:svn15878.0-69.fc39.noarch texlive-dingbat-11:svn27918.1.0-69.fc39.noarch texlive-directory-11:svn15878.1.20-69.fc39.noarch texlive-dirtree-11:svn42428-69.fc39.noarch texlive-dirtytalk-11:svn20520.1.0-69.fc39.noarch texlive-ditaa-11:svn48932-69.fc39.noarch texlive-dithesis-11:svn34295.0.2-69.fc39.noarch texlive-dk-bib-11:svn15878.0.6-69.fc39.noarch texlive-dlfltxb-11:svn17337.0-69.fc39.noarch texlive-dnaseq-11:svn17194.0.01-69.fc39.noarch texlive-doc-pictex-doc-11:svn24927.0-69.fc39.noarch texlive-doclicense-11:svn63340-69.fc39.noarch texlive-docmfp-11:svn15878.1.2d-69.fc39.noarch texlive-docmute-11:svn25741.1.4-69.fc39.noarch texlive-docshots-11:svn65141-69.fc39.noarch texlive-doctools-11:svn34474.0.1-69.fc39.noarch texlive-documentation-11:svn34521.0.1-69.fc39.noarch texlive-docutils-11:svn56594-69.fc39.noarch texlive-doi-11:svn48634-69.fc39.noarch texlive-doipubmed-11:svn15878.1.01-69.fc39.noarch texlive-domitian-11:svn55286-69.fc39.noarch texlive-dot2texi-11:svn26237.3.0-69.fc39.noarch texlive-dotarrow-11:svn15878.0.01a-69.fc39.noarch texlive-dotlessi-11:svn51476-69.fc39.noarch texlive-dotseqn-11:svn17195.1.1-69.fc39.noarch texlive-dottex-11:svn15878.0.6-69.fc39.noarch texlive-doublestroke-11:svn15878.1.111-69.fc39.noarch texlive-doulossil-11:svn63255-69.fc39.noarch texlive-dowith-11:svn38860-69.fc39.noarch texlive-download-11:svn52257-69.fc39.noarch texlive-dox-11:svn46011-69.fc39.noarch texlive-dozenal-11:svn47680-69.fc39.noarch texlive-dpcircling-11:svn54994-69.fc39.noarch texlive-dpfloat-11:svn17196.0-69.fc39.noarch texlive-dprogress-11:svn15878.0.1-69.fc39.noarch texlive-drac-11:svn15878.1-69.fc39.noarch texlive-draftcopy-11:svn15878.2.16-69.fc39.noarch texlive-draftfigure-11:svn44854-69.fc39.noarch texlive-draftwatermark-11:svn57099-69.fc39.noarch texlive-dratex-11:svn15878.0-69.fc39.noarch texlive-drawmatrix-11:svn44471-69.fc39.noarch texlive-drawstack-11:svn28582.0-69.fc39.noarch texlive-drm-11:svn38157.4.4-69.fc39.noarch texlive-droid-11:svn54512-69.fc39.noarch texlive-drs-11:svn19232.1.1b-69.fc39.noarch texlive-dsserif-11:svn60898-69.fc39.noarch texlive-dtk-11:svn65315-69.fc39.noarch texlive-dtxdescribe-11:svn65445-69.fc39.noarch texlive-dtxgallery-doc-11:svn49504-69.fc39.noarch texlive-ducksay-11:svn64655-69.fc39.noarch texlive-duckuments-11:svn52271-69.fc39.noarch texlive-duerer-11:svn20741.0-69.fc39.noarch texlive-duerer-latex-11:svn15878.1.1-69.fc39.noarch texlive-duotenzor-11:svn18728.1.00-69.fc39.noarch texlive-dutchcal-11:svn54080-69.fc39.noarch texlive-dvdcoll-11:svn15878.v1.1a-69.fc39.noarch texlive-dvipdfmx-11:svn66203-77.fc39.x86_64 texlive-dvips-11:svn66203-77.fc39.x86_64 texlive-dvisvgm-11:svn66532-77.fc39.x86_64 texlive-dynamicnumber-11:svn38726-69.fc39.noarch texlive-dynblocks-11:svn35193.0.2b-69.fc39.noarch texlive-dynkin-diagrams-11:svn58758-69.fc39.noarch texlive-dyntree-11:svn15878.1.0-69.fc39.noarch texlive-ean-11:svn20851.0-69.fc39.noarch texlive-ean13isbn-11:svn57514-69.fc39.noarch texlive-easing-11:svn59975-69.fc39.noarch texlive-easy-11:svn19440.0.99-69.fc39.noarch texlive-easy-todo-11:svn32677.0-69.fc39.noarch texlive-easybook-11:svn64976-69.fc39.noarch texlive-easyfig-11:svn64967-69.fc39.noarch texlive-easyfloats-11:svn57204-69.fc39.noarch texlive-easyformat-11:svn44543-69.fc39.noarch texlive-easylist-11:svn32661.1.3-69.fc39.noarch texlive-easyreview-11:svn38352.1.0-69.fc39.noarch texlive-ebezier-11:svn15878.4-69.fc39.noarch texlive-ebgaramond-11:svn66604-69.fc39.noarch texlive-ebgaramond-maths-11:svn52168-69.fc39.noarch texlive-ebook-11:svn29466.0-69.fc39.noarch texlive-ebproof-11:svn57544-69.fc39.noarch texlive-ebsthesis-11:svn15878.1.0-69.fc39.noarch texlive-ec-11:svn25033.1.0-69.fc39.noarch texlive-ecc-11:svn15878.0-69.fc39.noarch texlive-ecclesiastic-11:svn38172.0.3-69.fc39.noarch texlive-ecgdraw-11:svn41617-69.fc39.noarch texlive-eco-11:svn29349.1.3-69.fc39.noarch texlive-ecobiblatex-11:svn39233-69.fc39.noarch texlive-econ-bst-11:svn61499-69.fc39.noarch texlive-econlipsum-11:svn58390-69.fc39.noarch texlive-econometrics-11:svn39396-69.fc39.noarch texlive-economic-11:svn32639.0-69.fc39.noarch texlive-ecothesis-11:svn48007-69.fc39.noarch texlive-ecv-11:svn24928.0.3-69.fc39.noarch texlive-eczar-11:svn57716-69.fc39.noarch texlive-ed-11:svn25231.1.8-69.fc39.noarch texlive-edichokey-11:svn56223-69.fc39.noarch texlive-edmargin-11:svn27599.1.2-69.fc39.noarch texlive-eemeir-11:svn15878.1.1b-69.fc39.noarch texlive-eepic-11:svn15878.1.1e-69.fc39.noarch texlive-efbox-11:svn33236.1.0-69.fc39.noarch texlive-egpeirce-11:svn66636-69.fc39.noarch texlive-egplot-11:svn20617.1.02a-69.fc39.noarch texlive-ehhline-11:svn54676-69.fc39.noarch texlive-eiad-11:svn15878.0-69.fc39.noarch texlive-eiad-ltx-11:svn15878.1.0-69.fc39.noarch texlive-einfart-11:svn65475-69.fc39.noarch texlive-ejpecp-11:svn60950-69.fc39.noarch texlive-ekaia-11:svn49594-69.fc39.noarch texlive-ektype-tanka-11:svn63255-69.fc39.noarch texlive-elbioimp-11:svn21758.1.2-69.fc39.noarch texlive-electrum-11:svn19705.1.005_b-69.fc39.noarch texlive-elements-11:svn61792-69.fc39.noarch texlive-ellipse-11:svn39025-69.fc39.noarch texlive-ellipsis-11:svn55418-69.fc39.noarch texlive-elmath-11:svn15878.v1.2-69.fc39.noarch texlive-elocalloc-11:svn42712-69.fc39.noarch texlive-elpres-11:svn60209-69.fc39.noarch texlive-els-cas-templates-11:svn62931-69.fc39.noarch texlive-elsarticle-11:svn56999-69.fc39.noarch texlive-elteikthesis-11:svn63186-69.fc39.noarch texlive-eltex-11:svn15878.2.0-69.fc39.noarch texlive-elvish-11:svn15878.0-69.fc39.noarch texlive-elzcards-11:svn51894-69.fc39.noarch texlive-emarks-11:svn24504.1.0-69.fc39.noarch texlive-embedall-11:svn51177-69.fc39.noarch texlive-embedfile-11:svn65528-69.fc39.noarch texlive-embrac-11:svn57814-69.fc39.noarch texlive-emf-11:svn42023-69.fc39.noarch texlive-emisa-11:svn60068-69.fc39.noarch texlive-emptypage-11:svn18064.1.2-69.fc39.noarch texlive-emulateapj-11:svn28469.0-69.fc39.noarch texlive-enctex-11:svn34957.0-69.fc39.noarch texlive-endfloat-11:svn57090-69.fc39.noarch texlive-endheads-11:svn43750-69.fc39.noarch texlive-endiagram-11:svn34486.0.1d-69.fc39.noarch texlive-endnotes-11:svn53319-69.fc39.noarch texlive-endnotes-hy-11:svn54758-69.fc39.noarch texlive-endofproofwd-11:svn55643-69.fc39.noarch texlive-engpron-11:svn16558.2-69.fc39.noarch texlive-engrec-11:svn15878.1.1-69.fc39.noarch texlive-engtlc-11:svn28571.3.2-69.fc39.noarch texlive-enotez-11:svn61490-69.fc39.noarch texlive-enumitem-11:svn51423-69.fc39.noarch texlive-enumitem-zref-11:svn21472.1.8-69.fc39.noarch texlive-envbig-11:svn15878.0-69.fc39.noarch texlive-environ-11:svn56615-69.fc39.noarch texlive-envlab-11:svn61937-69.fc39.noarch texlive-eolang-11:svn66274-69.fc39.noarch texlive-epigrafica-11:svn17210.1.01-69.fc39.noarch texlive-epigraph-11:svn54857-69.fc39.noarch texlive-epigraph-keys-11:svn61719-69.fc39.noarch texlive-epiolmec-11:svn15878.0-69.fc39.noarch texlive-epsdice-11:svn15878.2.1-69.fc39.noarch texlive-epsf-11:svn21461.2.7.4-69.fc39.noarch texlive-epspdf-11:svn66115-77.fc39.noarch texlive-epspdfconversion-11:svn18703.0.61-69.fc39.noarch texlive-epstopdf-11:svn66461-77.fc39.noarch texlive-epstopdf-pkg-11:svn53546-69.fc39.noarch texlive-eq-pin2corr-11:svn59477-69.fc39.noarch texlive-eqell-11:svn22931.0-69.fc39.noarch texlive-eqexpl-11:svn63629-69.fc39.noarch texlive-eqlist-11:svn32257.2.1-69.fc39.noarch texlive-eqnalign-11:svn43278-69.fc39.noarch texlive-eqname-11:svn20678.0-69.fc39.noarch texlive-eqnarray-11:svn20641.1.3-69.fc39.noarch texlive-eqnnumwarn-11:svn45511-69.fc39.noarch texlive-eqparbox-11:svn45215-69.fc39.noarch texlive-erdc-11:svn15878.1.1-69.fc39.noarch texlive-erewhon-11:svn63312-69.fc39.noarch texlive-erewhon-math-11:svn65684-69.fc39.noarch texlive-errata-11:svn42428-69.fc39.noarch texlive-erw-l3-11:svn61799-69.fc39.noarch texlive-esami-11:svn61596-69.fc39.noarch texlive-esdiff-11:svn21385.1.2-69.fc39.noarch texlive-esieecv-11:svn59638-69.fc39.noarch texlive-esindex-11:svn52342-69.fc39.noarch texlive-esint-11:svn52240-69.fc39.noarch texlive-esint-type1-11:svn15878.0-69.fc39.noarch texlive-esk-11:svn18115.1.0-69.fc39.noarch texlive-eso-pic-11:svn56658-69.fc39.noarch texlive-esrelation-11:svn37236.0-69.fc39.noarch texlive-esstix-11:svn22426.1.0-69.fc39.noarch texlive-estcpmm-11:svn17335.0.4-69.fc39.noarch texlive-esvect-11:svn32098.1.3-69.fc39.noarch texlive-etaremune-11:svn15878.v1.2-69.fc39.noarch texlive-etbb-11:svn61872-69.fc39.noarch texlive-etex-11:svn66203-69.fc39.noarch texlive-etex-pkg-11:svn41784-69.fc39.noarch texlive-etexcmds-11:svn53171-69.fc39.noarch texlive-etextools-11:svn20694.3.1415926-69.fc39.noarch texlive-etl-11:svn60998-69.fc39.noarch texlive-etoc-11:svn66299-69.fc39.noarch texlive-etoolbox-11:svn56554-69.fc39.noarch texlive-etsvthor-11:svn48186-69.fc39.noarch texlive-euclideangeometry-11:svn60697-69.fc39.noarch texlive-euenc-11:svn19795.0.1h-69.fc39.noarch texlive-euflag-11:svn55265-69.fc39.noarch texlive-eukdate-11:svn15878.1.04-69.fc39.noarch texlive-euler-11:svn42428-69.fc39.noarch texlive-euler-math-11:svn65685-69.fc39.noarch texlive-eulerpx-11:svn63967-69.fc39.noarch texlive-eulervm-11:svn15878.4.0-69.fc39.noarch texlive-euro-11:svn22191.1.1-69.fc39.noarch texlive-euro-ce-11:svn25714-69.fc39.noarch texlive-europasscv-11:svn56829-69.fc39.noarch texlive-europecv-11:svn64037-69.fc39.noarch texlive-eurosym-11:svn17265.1.4_subrfix-69.fc39.noarch texlive-euxm-11:svn54074-69.fc39.noarch texlive-everyhook-11:svn35675.1.2-69.fc39.noarch texlive-everypage-11:svn56694-69.fc39.noarch texlive-everysel-11:svn57489-69.fc39.noarch texlive-everyshi-11:svn57001-69.fc39.noarch texlive-exam-11:svn64134-69.fc39.noarch texlive-exam-lite-11:svn65754-69.fc39.noarch texlive-exam-n-11:svn64674-69.fc39.noarch texlive-exam-randomizechoices-11:svn61719-69.fc39.noarch texlive-examdesign-11:svn15878.1.02-69.fc39.noarch texlive-example-11:svn33398.0-69.fc39.noarch texlive-examplep-11:svn55265-69.fc39.noarch texlive-exceltex-11:svn26313-77.fc39.noarch texlive-excludeonly-11:svn17262.1.0-69.fc39.noarch texlive-exercise-11:svn35417.1.6-69.fc39.noarch texlive-exercisebank-11:svn50448-69.fc39.noarch texlive-exercisepoints-11:svn49590-69.fc39.noarch texlive-exercises-11:svn55188-69.fc39.noarch texlive-exesheet-11:svn65577-69.fc39.noarch texlive-exframe-11:svn53911-69.fc39.noarch texlive-exp-testopt-11:svn15878.0.3-69.fc39.noarch texlive-expdlist-11:svn15878.2.4-69.fc39.noarch texlive-expkv-bundle-11:svn65623-69.fc39.noarch texlive-export-11:svn27206.1.8-69.fc39.noarch texlive-exsheets-11:svn52227-69.fc39.noarch texlive-exsol-11:svn48977-69.fc39.noarch texlive-extarrows-11:svn54400-69.fc39.noarch texlive-extpfeil-11:svn16243.0.4-69.fc39.noarch texlive-extract-11:svn52117-69.fc39.noarch texlive-extsizes-11:svn17263.1.4a-69.fc39.noarch texlive-facsimile-11:svn21328.1.0-69.fc39.noarch texlive-factura-11:svn61697-69.fc39.noarch texlive-facture-belge-simple-sans-tva-11:svn49004-69.fc39.noarch texlive-faktor-11:svn15878.0.1b-69.fc39.noarch texlive-familytree-11:svn63739-69.fc39.noarch texlive-fancybox-11:svn18304.1.4-69.fc39.noarch texlive-fancyhandout-11:svn46411-69.fc39.noarch texlive-fancyhdr-11:svn64977-69.fc39.noarch texlive-fancylabel-11:svn46736-69.fc39.noarch texlive-fancynum-11:svn15878.0.92-69.fc39.noarch texlive-fancypar-11:svn58895-69.fc39.noarch texlive-fancyqr-11:svn64182-69.fc39.noarch texlive-fancyref-11:svn15878.0.9c-69.fc39.noarch texlive-fancyslides-11:svn36263.1.0-69.fc39.noarch texlive-fancytabs-11:svn41549-69.fc39.noarch texlive-fancytooltips-11:svn56291-69.fc39.noarch texlive-fancyvrb-11:svn65585-69.fc39.noarch texlive-fandol-11:svn37889.0.3-69.fc39.noarch texlive-fascicules-11:svn54080-69.fc39.noarch texlive-fast-diagram-11:svn29264.1.1-69.fc39.noarch texlive-fbb-11:svn55728-69.fc39.noarch texlive-fbithesis-11:svn21340.1.2m-69.fc39.noarch texlive-fbox-11:svn62126-69.fc39.noarch texlive-fbs-11:svn15878.0-69.fc39.noarch texlive-fcavtex-11:svn38074.1.1-69.fc39.noarch texlive-fcltxdoc-11:svn24500.1.0-69.fc39.noarch texlive-fcolumn-11:svn61855-69.fc39.noarch texlive-fdsymbol-11:svn61719-69.fc39.noarch texlive-fei-11:svn65352-69.fc39.noarch texlive-fenetrecas-11:svn66611-69.fc39.noarch texlive-fetamont-11:svn43812-69.fc39.noarch texlive-fetchcls-11:svn45245-69.fc39.noarch texlive-fewerfloatpages-11:svn58058-69.fc39.noarch texlive-feyn-11:svn63945-69.fc39.noarch texlive-feynmf-11:svn17259.1.08-69.fc39.noarch texlive-ffcode-11:svn65170-69.fc39.noarch texlive-ffslides-11:svn38895-69.fc39.noarch texlive-fge-11:svn37628.1.25-69.fc39.noarch texlive-fgruler-11:svn63721-69.fc39.noarch texlive-fifo-stack-11:svn33288.1.0-69.fc39.noarch texlive-fig4latex-11:svn26313-77.fc39.noarch texlive-figbib-11:svn19388.0-69.fc39.noarch texlive-figchild-11:svn62945-69.fc39.noarch texlive-figput-11:svn63957-69.fc39.noarch texlive-figsize-11:svn18784.0.1-69.fc39.noarch texlive-filecontents-11:svn52142-69.fc39.noarch texlive-filecontentsdef-11:svn52208-69.fc39.noarch texlive-filedate-11:svn29529.0-69.fc39.noarch texlive-filehook-11:svn64822-69.fc39.noarch texlive-fileinfo-11:svn28421.0.81a-69.fc39.noarch texlive-filemod-11:svn64967-69.fc39.noarch texlive-fink-11:svn24329.2.2.1-69.fc39.noarch texlive-finstrut-11:svn21719.0.5-69.fc39.noarch texlive-fira-11:svn64422-69.fc39.noarch texlive-firamath-11:svn56672-69.fc39.noarch texlive-firamath-otf-11:svn65561-69.fc39.noarch texlive-firstaid-11:svn64892-69.fc39.noarch texlive-fistrum-11:svn66461-69.fc39.noarch texlive-fitbox-11:svn50088-69.fc39.noarch texlive-fithesis-11:svn64135-69.fc39.noarch texlive-fix2col-11:svn38770-69.fc39.noarch texlive-fixcmex-11:svn51825-69.fc39.noarch texlive-fixdif-11:svn66606-69.fc39.noarch texlive-fixfoot-11:svn17131.0.3a-69.fc39.noarch texlive-fixltxhyph-11:svn25832.0.4-69.fc39.noarch texlive-fixmath-11:svn64648-69.fc39.noarch texlive-fixme-11:svn63708-69.fc39.noarch texlive-fixmetodonotes-11:svn30168.0.2.2-69.fc39.noarch texlive-fjodor-11:svn53207-69.fc39.noarch texlive-flabels-11:svn17272.1.0-69.fc39.noarch texlive-flacards-11:svn19440.0.1.1b-69.fc39.noarch texlive-flagderiv-11:svn15878.0.10-69.fc39.noarch texlive-flashcards-11:svn62104-69.fc39.noarch texlive-flashmovie-11:svn25768.0.4-69.fc39.noarch texlive-flexipage-11:svn64572-69.fc39.noarch texlive-flipbook-11:svn25584.0.2-69.fc39.noarch texlive-flippdf-11:svn56782-69.fc39.noarch texlive-float-11:svn15878.1.3d-69.fc39.noarch texlive-floatflt-11:svn25540.1.31-69.fc39.noarch texlive-floatrow-11:svn15878.0.3b-69.fc39.noarch texlive-flowchart-11:svn36572.3.3-69.fc39.noarch texlive-flowfram-11:svn35291.1.17-69.fc39.noarch texlive-fltpoint-11:svn56594-69.fc39.noarch texlive-fmp-11:svn15878.0-69.fc39.noarch texlive-fmtcount-11:svn53912-69.fc39.noarch texlive-fn2end-11:svn15878.1.1-69.fc39.noarch texlive-fnbreak-11:svn25003.1.30-69.fc39.noarch texlive-fncychap-11:svn20710.v1.34-69.fc39.noarch texlive-fncylab-11:svn52090-69.fc39.noarch texlive-fnpara-11:svn25607.0-69.fc39.noarch texlive-fnpct-11:svn62248-69.fc39.noarch texlive-fnspe-11:svn45360-69.fc39.noarch texlive-fnumprint-11:svn29173.1.1a-69.fc39.noarch texlive-foekfont-11:svn15878.0-69.fc39.noarch texlive-foilhtml-11:svn61937-69.fc39.noarch texlive-foliono-11:svn58877-69.fc39.noarch texlive-fonetika-11:svn21326.0-69.fc39.noarch texlive-fontawesome-11:svn48145-69.fc39.noarch texlive-fontawesome5-11:svn63207-69.fc39.noarch texlive-fontaxes-11:svn55920-69.fc39.noarch texlive-fontmfizz-11:svn43546-69.fc39.noarch texlive-fonts-churchslavonic-11:svn56350-69.fc39.noarch texlive-fonts-tlwg-11:svn60817-69.fc39.noarch texlive-fontsetup-11:svn65439-69.fc39.noarch texlive-fontsize-11:svn60161-69.fc39.noarch texlive-fontspec-11:svn63386-69.fc39.noarch texlive-fonttable-11:svn44799-69.fc39.noarch texlive-footbib-11:svn17115.2.0.7-69.fc39.noarch texlive-footmisc-11:svn62524-69.fc39.noarch texlive-footmisx-11:svn42621-69.fc39.noarch texlive-footnotebackref-11:svn27034.1.0-69.fc39.noarch texlive-footnotehyper-11:svn60374-69.fc39.noarch texlive-footnoterange-11:svn66149-69.fc39.noarch texlive-footnpag-11:svn15878.0-69.fc39.noarch texlive-forarray-11:svn15878.1.01-69.fc39.noarch texlive-foreign-11:svn27819.2.7-69.fc39.noarch texlive-forest-11:svn57398-69.fc39.noarch texlive-forloop-11:svn15878.3.0-69.fc39.noarch texlive-formal-grammar-11:svn61955-69.fc39.noarch texlive-formlett-11:svn21480.2.3-69.fc39.noarch texlive-forms16be-11:svn51305-69.fc39.noarch texlive-formular-11:svn15878.1.0a-69.fc39.noarch texlive-forum-11:svn64566-69.fc39.noarch texlive-fouridx-11:svn32214.2.00-69.fc39.noarch texlive-fourier-11:svn61937-69.fc39.noarch texlive-fouriernc-11:svn29646.0-69.fc39.noarch texlive-fp-11:svn49719-69.fc39.noarch texlive-fpl-11:svn54512-69.fc39.noarch texlive-fragments-11:svn15878.0-69.fc39.noarch texlive-frame-11:svn18312.1.0-69.fc39.noarch texlive-framed-11:svn26789.0.96-69.fc39.noarch texlive-francais-bst-11:svn38922-69.fc39.noarch texlive-frankenstein-11:svn15878.0-69.fc39.noarch texlive-frcursive-11:svn24559.0-69.fc39.noarch texlive-frederika2016-11:svn42157-69.fc39.noarch texlive-frege-11:svn27417.1.3-69.fc39.noarch texlive-frimurer-11:svn56704-69.fc39.noarch texlive-froufrou-11:svn59103-69.fc39.noarch texlive-ftc-notebook-11:svn50043-69.fc39.noarch texlive-ftcap-11:svn17275.1.4-69.fc39.noarch texlive-ftnxtra-11:svn29652.0.1-69.fc39.noarch texlive-fullblck-11:svn25434.1.03-69.fc39.noarch texlive-fullminipage-11:svn34545.0.1.1-69.fc39.noarch texlive-fullwidth-11:svn24684.0.1-69.fc39.noarch texlive-functan-11:svn15878.0-69.fc39.noarch texlive-functional-11:svn65485-69.fc39.noarch texlive-fundus-calligra-11:svn26018.1.2-69.fc39.noarch texlive-fundus-cyr-11:svn26019.0-69.fc39.noarch texlive-fundus-sueterlin-11:svn26030.1.2-69.fc39.noarch texlive-fvextra-11:svn65158-69.fc39.noarch texlive-fwlw-11:svn29803.0-69.fc39.noarch texlive-g-brief-11:svn50415-69.fc39.noarch texlive-gaceta-11:svn15878.1.06-69.fc39.noarch texlive-galois-11:svn15878.1.5-69.fc39.noarch texlive-gammas-11:svn56403-69.fc39.noarch texlive-garamond-libre-11:svn64412-69.fc39.noarch texlive-garamond-math-11:svn61481-69.fc39.noarch texlive-garuda-c90-11:svn60832-69.fc39.noarch texlive-gastex-11:svn58505-69.fc39.noarch texlive-gatherenum-11:svn52209-69.fc39.noarch texlive-gauss-11:svn32934.0-69.fc39.noarch texlive-gb4e-11:svn19216.0-69.fc39.noarch texlive-gbt7714-11:svn64633-69.fc39.noarch texlive-gcard-11:svn15878.0-69.fc39.noarch texlive-gcite-11:svn15878.1.0.1-69.fc39.noarch texlive-gender-11:svn36464.1.0-69.fc39.noarch texlive-gene-logic-11:svn15878.1.4-69.fc39.noarch texlive-genealogy-11:svn25112.0-69.fc39.noarch texlive-genealogytree-11:svn66513-69.fc39.noarch texlive-genmpage-11:svn15878.0.3.1-69.fc39.noarch texlive-gensymb-11:svn64740-69.fc39.noarch texlive-gentium-tug-11:svn63470-69.fc39.noarch texlive-geometry-11:svn61719-69.fc39.noarch texlive-geradwp-11:svn63134-69.fc39.noarch texlive-geschichtsfrkl-11:svn42121-69.fc39.noarch texlive-getfiledate-11:svn16189.1.2-69.fc39.noarch texlive-getitems-11:svn39365-69.fc39.noarch texlive-getmap-11:svn50589-77.fc39.noarch texlive-gettitlestring-11:svn53170-69.fc39.noarch texlive-gfdl-11:svn65415-69.fc39.noarch texlive-gfsartemisia-11:svn19469.1.0-69.fc39.noarch texlive-gfsbodoni-11:svn28484.1.01-69.fc39.noarch texlive-gfscomplutum-11:svn19469.1.0-69.fc39.noarch texlive-gfsdidot-11:svn54080-69.fc39.noarch texlive-gfsdidotclassic-11:svn52778-69.fc39.noarch texlive-gfsneohellenic-11:svn63944-69.fc39.noarch texlive-gfsneohellenicmath-11:svn63928-69.fc39.noarch texlive-gfssolomos-11:svn18651.1.0-69.fc39.noarch texlive-ghsystem-11:svn53822-69.fc39.noarch texlive-gillcm-11:svn19878.1.1-69.fc39.noarch texlive-gillius-11:svn64865-69.fc39.noarch texlive-gincltex-11:svn64967-69.fc39.noarch texlive-gindex-11:svn52311-69.fc39.noarch texlive-ginpenc-11:svn24980.1.0-69.fc39.noarch texlive-gitfile-info-11:svn51928-69.fc39.noarch texlive-gitinfo-11:svn34049.1.0-69.fc39.noarch texlive-gitinfo2-11:svn38913-69.fc39.noarch texlive-gitlog-11:svn38932-69.fc39.noarch texlive-gitstatus-11:svn64662-69.fc39.noarch texlive-gitver-11:svn63920-69.fc39.noarch texlive-globalvals-11:svn49962-69.fc39.noarch texlive-glosmathtools-11:svn55920-69.fc39.noarch texlive-gloss-11:svn15878.1.5.2-69.fc39.noarch texlive-glossaries-11:svn64919-77.fc39.noarch texlive-glossaries-danish-11:svn35665.1.0-69.fc39.noarch texlive-glossaries-dutch-11:svn35685.1.1-69.fc39.noarch texlive-glossaries-english-11:svn35665.1.0-69.fc39.noarch texlive-glossaries-estonian-11:svn49928-69.fc39.noarch texlive-glossaries-extra-11:svn64973-69.fc39.noarch texlive-glossaries-finnish-11:svn54080-69.fc39.noarch texlive-glossaries-french-11:svn42873-69.fc39.noarch texlive-glossaries-german-11:svn35665.1.0-69.fc39.noarch texlive-glossaries-irish-11:svn35665.1.0-69.fc39.noarch texlive-glossaries-italian-11:svn35665.1.0-69.fc39.noarch texlive-glossaries-magyar-11:svn35665.1.0-69.fc39.noarch texlive-glossaries-nynorsk-11:svn55189-69.fc39.noarch texlive-glossaries-polish-11:svn35665.1.0-69.fc39.noarch texlive-glossaries-portuges-11:svn36064.1.1-69.fc39.noarch texlive-glossaries-serbian-11:svn35665.1.0-69.fc39.noarch texlive-glossaries-slovene-11:svn51211-69.fc39.noarch texlive-glossaries-spanish-11:svn35665.1.0-69.fc39.noarch texlive-glyphlist-11:svn54074-77.fc39.noarch texlive-gmdoc-11:svn21292.0.993-69.fc39.noarch texlive-gmdoc-enhance-11:svn15878.v0.2-69.fc39.noarch texlive-gmiflink-11:svn15878.v0.97-69.fc39.noarch texlive-gmp-11:svn21691.1.0-69.fc39.noarch texlive-gmutils-11:svn24287.v0.996-69.fc39.noarch texlive-gmverb-11:svn24288.v0.98-69.fc39.noarch texlive-gnu-freefont-11:svn29349.0-69.fc39.noarch texlive-gnuplottex-11:svn54758-69.fc39.noarch texlive-gofonts-11:svn64358-69.fc39.noarch texlive-gothic-11:svn49869-69.fc39.noarch texlive-gotoh-11:svn44764-69.fc39.noarch texlive-grabbox-11:svn65223-69.fc39.noarch texlive-gradient-text-11:svn65567-69.fc39.noarch texlive-gradientframe-11:svn21387.0.2-69.fc39.noarch texlive-grading-scheme-11:svn62505-69.fc39.noarch texlive-gradstudentresume-11:svn38832-69.fc39.noarch texlive-grafcet-11:svn22509.1.3.5-69.fc39.noarch texlive-grant-11:svn56852-69.fc39.noarch texlive-graph35-11:svn65138-69.fc39.noarch texlive-graphbox-11:svn46360-69.fc39.noarch texlive-graphics-11:svn66204-69.fc39.noarch texlive-graphics-cfg-11:svn41448-69.fc39.noarch texlive-graphics-def-11:svn64487-69.fc39.noarch texlive-graphicscache-11:svn65318-69.fc39.noarch texlive-graphicx-psmin-11:svn56931-69.fc39.noarch texlive-graphicxbox-11:svn32630.1.0-69.fc39.noarch texlive-graphicxpsd-11:svn57341-69.fc39.noarch texlive-graphpaper-11:svn63116-69.fc39.noarch texlive-graphviz-11:svn31517.0.94-69.fc39.noarch texlive-grayhints-11:svn49052-69.fc39.noarch texlive-greek-fontenc-11:svn66612-69.fc39.noarch texlive-greek-inputenc-11:svn66634-69.fc39.noarch texlive-greenpoint-11:svn15878.0-69.fc39.noarch texlive-grfext-11:svn53024-69.fc39.noarch texlive-grffile-11:svn52756-69.fc39.noarch texlive-grfpaste-11:svn17354.0.2-69.fc39.noarch texlive-grid-11:svn61719-69.fc39.noarch texlive-grid-system-11:svn32981.0.3.0-69.fc39.noarch texlive-gridpapers-11:svn58723-69.fc39.noarch texlive-gridset-11:svn53762-69.fc39.noarch texlive-gridslides-11:svn54512-69.fc39.noarch texlive-grotesq-11:svn35859.0-69.fc39.noarch texlive-grundgesetze-11:svn58997-69.fc39.noarch texlive-gs1-11:svn59620-69.fc39.noarch texlive-gsemthesis-11:svn56291-69.fc39.noarch texlive-gsftopk-11:svn52851-77.fc39.x86_64 texlive-gtl-11:svn49527-69.fc39.noarch texlive-gtrlib-largetrees-11:svn49062-69.fc39.noarch texlive-gu-11:svn15878.0-69.fc39.noarch texlive-gudea-11:svn57359-69.fc39.noarch texlive-guitlogo-11:svn55741-69.fc39.noarch texlive-gzt-11:svn63591-69.fc39.noarch texlive-h2020proposal-11:svn38428-69.fc39.noarch texlive-ha-prosper-11:svn59651-69.fc39.noarch texlive-hackthefootline-11:svn46494-69.fc39.noarch texlive-hacm-11:svn27671.0.1-69.fc39.noarch texlive-hagenberg-thesis-11:svn65819-69.fc39.noarch texlive-halloweenmath-11:svn52602-69.fc39.noarch texlive-hamnosys-11:svn61941-69.fc39.noarch texlive-handin-11:svn48255-69.fc39.noarch texlive-handout-11:svn43962-69.fc39.noarch texlive-handoutwithnotes-11:svn62140-69.fc39.noarch texlive-hands-11:svn13293.0-69.fc39.noarch texlive-hang-11:svn43280-69.fc39.noarch texlive-hanging-11:svn15878.1.2b-69.fc39.noarch texlive-har2nat-11:svn54080-69.fc39.noarch texlive-hardwrap-11:svn21396.0.2-69.fc39.noarch texlive-harnon-cv-11:svn26543.1.0-69.fc39.noarch texlive-harpoon-11:svn21327.1.0-69.fc39.noarch texlive-harvard-11:svn15878.2.0.5-69.fc39.noarch texlive-harveyballs-11:svn32003.1.1-69.fc39.noarch texlive-harvmac-11:svn15878.0-69.fc39.noarch texlive-hc-11:svn15878.0-69.fc39.noarch texlive-he-she-11:svn41359-69.fc39.noarch texlive-hecthese-11:svn60455-69.fc39.noarch texlive-helmholtz-ellis-ji-notation-11:svn55213-69.fc39.noarch texlive-helvetic-11:svn61719-69.fc39.noarch texlive-hep-11:svn15878.1.0-69.fc39.noarch texlive-hep-acronym-11:svn64890-69.fc39.noarch texlive-hep-bibliography-11:svn64888-69.fc39.noarch texlive-hep-float-11:svn64904-69.fc39.noarch texlive-hep-math-11:svn64905-69.fc39.noarch texlive-hep-paper-11:svn64917-69.fc39.noarch texlive-hep-reference-11:svn64853-69.fc39.noarch texlive-hep-text-11:svn64906-69.fc39.noarch texlive-hep-title-11:svn64907-69.fc39.noarch texlive-hepnames-11:svn35722.2.0-69.fc39.noarch texlive-hepparticles-11:svn35723.2.0-69.fc39.noarch texlive-hepthesis-11:svn46054-69.fc39.noarch texlive-hepunits-11:svn54758-69.fc39.noarch texlive-here-11:svn16135.0-69.fc39.noarch texlive-hereapplies-11:svn65251-69.fc39.noarch texlive-heuristica-11:svn51362-69.fc39.noarch texlive-hf-tikz-11:svn34733.0.3a-69.fc39.noarch texlive-hfbright-11:svn29349.0-69.fc39.noarch texlive-hfoldsty-11:svn29349.1.15-69.fc39.noarch texlive-hfutexam-11:svn66550-69.fc39.noarch texlive-hfutthesis-11:svn64025-69.fc39.noarch texlive-hhtensor-11:svn54080-69.fc39.noarch texlive-hideanswer-11:svn63852-69.fc39.noarch texlive-highlightlatex-11:svn58392-69.fc39.noarch texlive-hindmadurai-11:svn57360-69.fc39.noarch texlive-histogr-11:svn15878.1.01-69.fc39.noarch texlive-historische-zeitschrift-11:svn42635-69.fc39.noarch texlive-hitec-11:svn15878.0.0_beta_-69.fc39.noarch texlive-hithesis-11:svn64005-69.fc39.noarch texlive-hitreport-11:svn58357-69.fc39.noarch texlive-hitszbeamer-11:svn54381-69.fc39.noarch texlive-hitszthesis-11:svn61073-69.fc39.noarch texlive-hletter-11:svn30002.4.2-69.fc39.noarch texlive-hobby-11:svn44474-69.fc39.noarch texlive-hobete-11:svn27036.0-69.fc39.noarch texlive-hobsub-11:svn52810-69.fc39.noarch texlive-hologo-11:svn61719-69.fc39.noarch texlive-hopatch-11:svn65491-69.fc39.noarch texlive-hpsdiss-11:svn15878.1.0-69.fc39.noarch texlive-href-ul-11:svn64880-69.fc39.noarch texlive-hrefhide-11:svn66189-69.fc39.noarch texlive-hu-berlin-bundle-11:svn57580-69.fc39.noarch texlive-huawei-11:svn65264-69.fc39.noarch texlive-hustthesis-11:svn42547-69.fc39.noarch texlive-hvextern-11:svn65670-69.fc39.noarch texlive-hvfloat-11:svn65671-69.fc39.noarch texlive-hvindex-11:svn46051-69.fc39.noarch texlive-hvlogos-11:svn63261-69.fc39.noarch texlive-hvpygmentex-11:svn62405-69.fc39.noarch texlive-hvqrurl-11:svn59256-69.fc39.noarch texlive-hwemoji-11:svn65001-69.fc39.noarch texlive-hycolor-11:svn53584-69.fc39.noarch texlive-hypdestopt-11:svn56253-69.fc39.noarch texlive-hypdoc-11:svn65678-69.fc39.noarch texlive-hypdvips-11:svn53197-69.fc39.noarch texlive-hyper-11:svn17357.4.2d-69.fc39.noarch texlive-hyperbar-11:svn48147-69.fc39.noarch texlive-hypernat-11:svn17358.1.0b-69.fc39.noarch texlive-hyperref-11:svn65758-69.fc39.noarch texlive-hyperxmp-11:svn65980-77.fc39.x86_64 texlive-hyph-utf8-11:svn61719-69.fc39.noarch texlive-hyphen-base-11:svn66413-69.fc39.noarch texlive-hyphen-polish-11:svn58609-69.fc39.noarch texlive-hyphenat-11:svn15878.2.3c-69.fc39.noarch texlive-hyphenex-11:svn57387-69.fc39.noarch texlive-ibarra-11:svn64567-69.fc39.noarch texlive-ibrackets-11:svn65383-69.fc39.noarch texlive-icite-11:svn54512-69.fc39.noarch texlive-icsv-11:svn15878.0.2-69.fc39.noarch texlive-identkey-11:svn61719-69.fc39.noarch texlive-idxcmds-11:svn54554-69.fc39.noarch texlive-idxlayout-11:svn25821.0.4d-69.fc39.noarch texlive-ieeeconf-11:svn59665-69.fc39.noarch texlive-ieeepes-11:svn17359.4.0-69.fc39.noarch texlive-ieeetran-11:svn59672-69.fc39.noarch texlive-ietfbibs-doc-11:svn41332-69.fc39.noarch texlive-iexec-11:svn64908-69.fc39.noarch texlive-ifallfalse-11:svn60027-69.fc39.noarch texlive-iffont-11:svn38823-69.fc39.noarch texlive-ifmslide-11:svn20727.0.47-69.fc39.noarch texlive-ifmtarg-11:svn47544-69.fc39.noarch texlive-ifnextok-11:svn23379.0.3-69.fc39.noarch texlive-ifoddpage-11:svn64967-69.fc39.noarch texlive-ifplatform-11:svn45533-69.fc39.noarch texlive-ifsym-11:svn24868.0-69.fc39.noarch texlive-iftex-11:svn61910-69.fc39.noarch texlive-ifthenx-11:svn25819.0.1a-69.fc39.noarch texlive-iitem-11:svn29613.1.0-69.fc39.noarch texlive-ijmart-11:svn30958.1.7-69.fc39.noarch texlive-ijqc-11:svn15878.1.2-69.fc39.noarch texlive-ijsra-11:svn44886-69.fc39.noarch texlive-imac-11:svn17347.0-69.fc39.noarch texlive-image-gallery-11:svn15878.v1.0j-69.fc39.noarch texlive-imakeidx-11:svn42287-69.fc39.noarch texlive-imfellenglish-11:svn64568-69.fc39.noarch texlive-import-11:svn54683-69.fc39.noarch texlive-imtekda-11:svn17667.1.7-69.fc39.noarch texlive-incgraph-11:svn60810-69.fc39.noarch texlive-includernw-11:svn47557-69.fc39.noarch texlive-inconsolata-11:svn54512-69.fc39.noarch texlive-index-11:svn24099.4.1beta-69.fc39.noarch texlive-indextools-11:svn38931-69.fc39.noarch texlive-infwarerr-11:svn53023-69.fc39.noarch texlive-initials-11:svn54080-69.fc39.noarch texlive-inkpaper-11:svn54080-69.fc39.noarch texlive-inline-images-11:svn61719-69.fc39.noarch texlive-inlinebib-11:svn22018.0-69.fc39.noarch texlive-inlinedef-11:svn15878.1.0-69.fc39.noarch texlive-inlinelabel-11:svn63853-69.fc39.noarch texlive-inputenx-11:svn52986-69.fc39.noarch texlive-inputtrc-11:svn28019.0.3-69.fc39.noarch texlive-inriafonts-11:svn54512-69.fc39.noarch texlive-intcalc-11:svn53168-69.fc39.noarch texlive-inter-11:svn58892-69.fc39.noarch texlive-interactiveworkbook-11:svn15878.0-69.fc39.noarch texlive-interfaces-11:svn21474.3.1-69.fc39.noarch texlive-interval-11:svn50265-69.fc39.noarch texlive-intopdf-11:svn63987-69.fc39.noarch texlive-inversepath-11:svn15878.0.2-69.fc39.noarch texlive-invoice-11:svn48359-69.fc39.noarch texlive-invoice-class-11:svn49749-69.fc39.noarch texlive-invoice2-11:svn46364-69.fc39.noarch texlive-iodhbwm-11:svn57773-69.fc39.noarch texlive-ionumbers-11:svn33457.0.3.3-69.fc39.noarch texlive-iopart-num-11:svn15878.2.1-69.fc39.noarch texlive-ipaex-11:svn61719-69.fc39.noarch texlive-ipaex-type1-11:svn47700-69.fc39.noarch texlive-is-bst-11:svn52623-69.fc39.noarch texlive-iscram-11:svn45801-69.fc39.noarch texlive-iso-11:svn15878.2.4-69.fc39.noarch texlive-iso10303-11:svn15878.1.5-69.fc39.noarch texlive-isodate-11:svn16613.2.28-69.fc39.noarch texlive-isodoc-11:svn59709-69.fc39.noarch texlive-isomath-11:svn27654.0.6.1-69.fc39.noarch texlive-isonums-11:svn17362.1.0-69.fc39.noarch texlive-isopt-11:svn45509-69.fc39.noarch texlive-isorot-11:svn15878.0-69.fc39.noarch texlive-isotope-11:svn23711.v0.3-69.fc39.noarch texlive-issuulinks-11:svn25742.1.1-69.fc39.noarch texlive-istgame-11:svn62946-69.fc39.noarch texlive-itnumpar-11:svn15878.1.0-69.fc39.noarch texlive-iwhdp-11:svn37552.0.50-69.fc39.noarch texlive-iwona-11:svn19611.0.995b-69.fc39.noarch texlive-jablantile-11:svn16364.0-69.fc39.noarch texlive-jacow-11:svn63060-69.fc39.noarch texlive-jamtimes-11:svn20408.1.12-69.fc39.noarch texlive-japanese-otf-11:svn66091-69.fc39.noarch texlive-jbact-11:svn52717-69.fc39.noarch texlive-jkmath-11:svn47109-69.fc39.noarch texlive-jknapltx-11:svn19440.0-69.fc39.noarch texlive-jlabels-11:svn24858.0-69.fc39.noarch texlive-jmb-11:svn52718-69.fc39.noarch texlive-jmlr-11:svn61957-69.fc39.noarch texlive-jmsdelim-11:svn62630-69.fc39.noarch texlive-jneurosci-11:svn17346.1.00-69.fc39.noarch texlive-jnuexam-11:svn56867-69.fc39.noarch texlive-jobname-suffix-11:svn64797-69.fc39.noarch texlive-josefin-11:svn64569-69.fc39.noarch texlive-jourcl-11:svn65290-69.fc39.noarch texlive-jpsj-11:svn66115-69.fc39.noarch texlive-jslectureplanner-11:svn57095-69.fc39.noarch texlive-jumplines-11:svn37553.0.2-69.fc39.noarch texlive-junicode-11:svn61719-69.fc39.noarch texlive-jupynotex-11:svn56715-69.fc39.noarch texlive-jurabib-11:svn15878.0.6-69.fc39.noarch texlive-jvlisting-11:svn24638.0.7-69.fc39.noarch texlive-jwjournal-11:svn65480-69.fc39.noarch texlive-kalendarium-11:svn48744-69.fc39.noarch texlive-kantlipsum-11:svn51727-69.fc39.noarch texlive-karnaugh-11:svn21338.0-69.fc39.noarch texlive-karnaugh-map-11:svn61614-69.fc39.noarch texlive-karnaughmap-11:svn36989.2.0-69.fc39.noarch texlive-kastrup-11:svn15878.0-69.fc39.noarch texlive-kblocks-11:svn57617-69.fc39.noarch texlive-kdgdocs-11:svn24498.1.0-69.fc39.noarch texlive-kdpcover-11:svn65150-69.fc39.noarch texlive-kerntest-11:svn15878.1.32-69.fc39.noarch texlive-keycommand-11:svn18042.3.1415-69.fc39.noarch texlive-keyfloat-11:svn65446-69.fc39.noarch texlive-keyindex-11:svn50828-69.fc39.noarch texlive-keyparse-11:svn60277-69.fc39.noarch texlive-keyreader-11:svn28195.0.5b-69.fc39.noarch texlive-keystroke-11:svn17992.v1.6-69.fc39.noarch texlive-keyval2e-11:svn23698.0.0.2-69.fc39.noarch texlive-keyvaltable-11:svn65416-69.fc39.noarch texlive-kfupm-math-exam-11:svn63977-69.fc39.noarch texlive-kinematikz-11:svn61392-69.fc39.noarch texlive-kix-11:svn21606.0-69.fc39.noarch texlive-kixfont-11:svn18488.0-69.fc39.noarch texlive-kluwer-11:svn54074-69.fc39.noarch texlive-knitting-11:svn50782-69.fc39.noarch texlive-knittingpattern-11:svn17205.0-69.fc39.noarch texlive-knowledge-11:svn61991-69.fc39.noarch texlive-knuth-lib-11:svn57963-69.fc39.noarch texlive-knuth-local-11:svn57963-69.fc39.noarch texlive-koma-moderncvclassic-11:svn25025.v0.5-69.fc39.noarch texlive-koma-script-11:svn64685-69.fc39.noarch texlive-koma-script-sfs-11:svn26137.1.0-69.fc39.noarch texlive-komacv-11:svn57721-69.fc39.noarch texlive-komacv-rg-11:svn49064-69.fc39.noarch texlive-kpathsea-11:svn66209-77.fc39.x86_64 texlive-kpfonts-11:svn65583-69.fc39.noarch texlive-kpfonts-otf-11:svn65560-69.fc39.noarch texlive-ksfh_nat-11:svn24825.1.1-69.fc39.noarch texlive-ksp-thesis-11:svn39080-69.fc39.noarch texlive-ktv-texdata-11:svn27369.05.34-69.fc39.noarch texlive-ku-template-11:svn45935-69.fc39.noarch texlive-kurier-11:svn19612.0.995b-69.fc39.noarch texlive-kvdefinekeys-11:svn53193-69.fc39.noarch texlive-kvmap-11:svn56361-69.fc39.noarch texlive-kvoptions-11:svn63622-69.fc39.noarch texlive-kvsetkeys-11:svn64632-69.fc39.noarch texlive-l3backend-11:svn65573-69.fc39.noarch texlive-l3build-11:svn66471-77.fc39.noarch texlive-l3experimental-11:svn65621-69.fc39.noarch texlive-l3kernel-11:svn66094-69.fc39.noarch texlive-l3packages-11:svn65722-69.fc39.noarch texlive-labbook-11:svn15878.0-69.fc39.noarch texlive-labels-11:svn15878.13-69.fc39.noarch texlive-labels4easylist-11:svn51124-69.fc39.noarch texlive-labelschanged-11:svn46040-69.fc39.noarch texlive-ladder-11:svn44394-69.fc39.noarch texlive-lambda-lists-11:svn31402.0-69.fc39.noarch texlive-lambdax-11:svn60278-69.fc39.noarch texlive-langcode-11:svn27764.0.2-69.fc39.noarch texlive-langsci-11:svn65793-69.fc39.noarch texlive-langsci-avm-11:svn66016-69.fc39.noarch texlive-lapdf-11:svn23806.1.1-69.fc39.noarch texlive-lastpackage-11:svn34481.0.1-69.fc39.noarch texlive-lastpage-11:svn66461-69.fc39.noarch texlive-latex-11:svn65161-77.fc39.noarch texlive-latex-base-dev-11:svn66513-69.fc39.noarch texlive-latex-firstaid-dev-11:svn65181-69.fc39.noarch texlive-latex-fonts-11:svn28888.0-69.fc39.noarch texlive-latex-lab-11:svn64892-69.fc39.noarch texlive-latex-make-11:svn60874-69.fc39.noarch texlive-latex-uni8-11:svn49729-69.fc39.noarch texlive-latexbug-11:svn63596-69.fc39.noarch texlive-latexcolors-11:svn49888-69.fc39.noarch texlive-latexconfig-11:svn53525-69.fc39.noarch texlive-latexdemo-11:svn55265-69.fc39.noarch texlive-latexgit-11:svn54811-69.fc39.noarch texlive-lato-11:svn54512-69.fc39.noarch texlive-layouts-11:svn42428-69.fc39.noarch texlive-lazylist-11:svn17691.1.0a-69.fc39.noarch texlive-lccaps-11:svn46432-69.fc39.noarch texlive-lcd-11:svn16549.0.3-69.fc39.noarch texlive-lcg-11:svn31474.1.3-69.fc39.noarch texlive-leading-11:svn15878.0.3-69.fc39.noarch texlive-leaflet-11:svn56878-69.fc39.noarch texlive-lebhart-11:svn65475-69.fc39.noarch texlive-lectures-11:svn53642-69.fc39.noarch texlive-lectureslides-11:svn62292-69.fc39.noarch texlive-leftidx-11:svn15878.0-69.fc39.noarch texlive-leftindex-11:svn56182-69.fc39.noarch texlive-leipzig-11:svn52450-69.fc39.noarch texlive-lengthconvert-11:svn55064-69.fc39.noarch texlive-letltxmacro-11:svn53022-69.fc39.noarch texlive-letterswitharrows-11:svn59993-69.fc39.noarch texlive-lettre-11:svn54722-69.fc39.noarch texlive-lettrine-11:svn64511-69.fc39.noarch texlive-lewis-11:svn15878.0.1-69.fc39.noarch texlive-lexend-11:svn57564-69.fc39.noarch texlive-lfb-11:svn15878.1.0-69.fc39.noarch texlive-lhelp-11:svn23638.2.0-69.fc39.noarch texlive-lib-11:20230311-77.fc39.x86_64 texlive-libertine-11:svn64359-69.fc39.noarch texlive-libertinegc-11:svn44616-69.fc39.noarch texlive-libertinus-11:svn61719-69.fc39.noarch texlive-libertinus-fonts-11:svn57948-69.fc39.noarch texlive-libertinus-otf-11:svn60023-69.fc39.noarch texlive-libertinus-type1-11:svn64958-69.fc39.noarch texlive-libertinust1math-11:svn61751-69.fc39.noarch texlive-libgreek-11:svn65004-69.fc39.noarch texlive-librebaskerville-11:svn64421-69.fc39.noarch texlive-librebodoni-11:svn64431-69.fc39.noarch texlive-librecaslon-11:svn64432-69.fc39.noarch texlive-librefranklin-11:svn64441-69.fc39.noarch texlive-libris-11:svn19409.1.007-69.fc39.noarch texlive-lie-hasse-11:svn61719-69.fc39.noarch texlive-liftarm-11:svn62981-69.fc39.noarch texlive-limap-11:svn44863-69.fc39.noarch texlive-limecv-11:svn61199-69.fc39.noarch texlive-lineara-11:svn63169-69.fc39.noarch texlive-linegoal-11:svn21523.2.9-69.fc39.noarch texlive-lineno-11:svn65586-69.fc39.noarch texlive-linguex-11:svn30815.4.3-69.fc39.noarch texlive-linguisticspro-11:svn64858-69.fc39.noarch texlive-linop-11:svn41304-69.fc39.noarch texlive-lion-msc-11:svn55415-69.fc39.noarch texlive-lipsum-11:svn60561-69.fc39.noarch texlive-lisp-on-tex-11:svn38722-69.fc39.noarch texlive-listbib-11:svn29349-77.fc39.noarch texlive-listing-11:svn17373.1.2-69.fc39.noarch texlive-listings-11:svn66222-69.fc39.noarch texlive-listingsutf8-11:svn53097-69.fc39.noarch texlive-listlbls-11:svn34893.1.03-69.fc39.noarch texlive-listliketab-11:svn15878.0-69.fc39.noarch texlive-listofitems-11:svn51923-69.fc39.noarch texlive-listofsymbols-11:svn16134.0.2-69.fc39.noarch texlive-lkproof-11:svn20021.3.1-69.fc39.noarch texlive-llncs-11:svn64299-69.fc39.noarch texlive-llncsconf-11:svn63136-69.fc39.noarch texlive-lm-11:svn65956-69.fc39.noarch texlive-lm-math-11:svn36915.1.959-69.fc39.noarch texlive-lmake-11:svn25552.1.0-69.fc39.noarch texlive-lni-11:svn58061-69.fc39.noarch texlive-lobster2-11:svn64442-69.fc39.noarch texlive-locality-11:svn20422.0.2-69.fc39.noarch texlive-logbox-11:svn24499.1.0-69.fc39.noarch texlive-logical-markup-utils-11:svn15878.0-69.fc39.noarch texlive-logicproof-11:svn33254.0-69.fc39.noarch texlive-logix-11:svn63688-69.fc39.noarch texlive-logpap-11:svn15878.0.6-69.fc39.noarch texlive-logreq-11:svn53003-69.fc39.noarch texlive-longdivision-11:svn59979-69.fc39.noarch texlive-longfbox-11:svn39028-69.fc39.noarch texlive-longfigure-11:svn34302.1.0-69.fc39.noarch texlive-longnamefilelist-11:svn27889.0.2-69.fc39.noarch texlive-loops-11:svn30704.1.3-69.fc39.noarch texlive-lpform-11:svn36918.0-69.fc39.noarch texlive-lpic-11:svn20843.0.8-69.fc39.noarch texlive-lplfitch-11:svn31077.0.9-69.fc39.noarch texlive-lps-11:svn21322.0.7-69.fc39.noarch texlive-lroundrect-11:svn39804-69.fc39.noarch texlive-lsc-11:svn15878.0-69.fc39.noarch texlive-lstaddons-11:svn64967-69.fc39.noarch texlive-lstbayes-11:svn48160-69.fc39.noarch texlive-lstfiracode-11:svn49503-69.fc39.noarch texlive-lt3graph-11:svn45913-69.fc39.noarch texlive-lt3rawobjects-11:svn65230-69.fc39.noarch texlive-ltablex-11:svn34923.1.1-69.fc39.noarch texlive-ltabptch-11:svn17533.1.74d-69.fc39.noarch texlive-ltb2bib-11:svn43746-69.fc39.noarch texlive-ltxcmds-11:svn56421-69.fc39.noarch texlive-ltxdockit-11:svn21869.1.2d-69.fc39.noarch texlive-ltxguidex-11:svn50992-69.fc39.noarch texlive-ltxkeys-11:svn28332.0.0.3c-69.fc39.noarch texlive-ltxmisc-11:svn21927.0-69.fc39.noarch texlive-ltxnew-11:svn21586.1.3-69.fc39.noarch texlive-ltxtools-11:svn24897.0.0.1a-69.fc39.noarch texlive-lua-alt-getopt-11:svn56414-69.fc39.noarch texlive-lua-check-hyphen-11:svn47527-69.fc39.noarch texlive-lua-physical-11:svn59138-69.fc39.noarch texlive-lua-uca-11:svn61023-69.fc39.noarch texlive-lua-uni-algos-11:svn62204-69.fc39.noarch texlive-lua-widow-control-11:svn65084-69.fc39.noarch texlive-luabibentry-11:svn55777-69.fc39.noarch texlive-luabidi-11:svn54512-69.fc39.noarch texlive-luacode-11:svn25193.1.2a-69.fc39.noarch texlive-luacolor-11:svn57829-69.fc39.noarch texlive-luahbtex-11:svn66186-77.fc39.x86_64 texlive-luainputenc-11:svn20491.0.973-69.fc39.noarch texlive-lualatex-math-11:svn61464-69.fc39.noarch texlive-lualibs-11:svn64615-69.fc39.noarch texlive-luamesh-11:svn63875-69.fc39.noarch texlive-luamplib-11:svn61587-69.fc39.noarch texlive-luaotfload-11:svn64616-77.fc39.noarch texlive-luasseq-11:svn65511-69.fc39.noarch texlive-luatex-11:svn66967-77.fc39.x86_64 texlive-luatexbase-11:svn52663-69.fc39.noarch texlive-luatexja-11:svn65790-69.fc39.noarch texlive-luatextra-11:svn20747.1.0.1-69.fc39.noarch texlive-luatodonotes-11:svn53825-69.fc39.noarch texlive-luaxml-11:svn60709-69.fc39.noarch texlive-lwarp-11:svn66259-77.fc39.noarch texlive-lxfonts-11:svn32354.2.0b-69.fc39.noarch texlive-ly1-11:svn63565-69.fc39.noarch texlive-macrolist-11:svn60139-69.fc39.noarch texlive-macroswap-11:svn31498.1.1-69.fc39.noarch texlive-magaz-11:svn24694.0.4-69.fc39.noarch texlive-magicnum-11:svn52983-69.fc39.noarch texlive-magicwatermark-11:svn63656-69.fc39.noarch texlive-magra-11:svn57373-69.fc39.noarch texlive-mailing-11:svn15878.0-69.fc39.noarch texlive-mailmerge-11:svn15878.1.0-69.fc39.noarch texlive-make4ht-11:svn66130-77.fc39.noarch texlive-makebarcode-11:svn15878.1.0-69.fc39.noarch texlive-makebase-11:svn41012-69.fc39.noarch texlive-makebox-11:svn15878.0.1-69.fc39.noarch texlive-makecell-11:svn15878.0.1e-69.fc39.noarch texlive-makecirc-11:svn15878.0-69.fc39.noarch texlive-makecmds-11:svn15878.0-69.fc39.noarch texlive-makecookbook-11:svn49311-69.fc39.noarch texlive-makedtx-11:svn46702-77.fc39.noarch texlive-makeglos-11:svn15878.0-69.fc39.noarch texlive-makeindex-11:svn62517-77.fc39.x86_64 texlive-makelabels-11:svn60255-69.fc39.noarch texlive-maker-11:svn44823-69.fc39.noarch texlive-makerobust-11:svn52811-69.fc39.noarch texlive-makeshape-11:svn28973.2.1-69.fc39.noarch texlive-mandi-11:svn61764-69.fc39.noarch texlive-manfnt-11:svn54684-69.fc39.noarch texlive-manfnt-font-11:svn45777-69.fc39.noarch texlive-manuscript-11:svn36110.1.7-69.fc39.noarch texlive-manyind-11:svn49874-69.fc39.noarch texlive-marcellus-11:svn64451-69.fc39.noarch texlive-margbib-11:svn15878.1.0c-69.fc39.noarch texlive-marginfit-11:svn48281-69.fc39.noarch texlive-marginfix-11:svn55064-69.fc39.noarch texlive-marginnote-11:svn48383-69.fc39.noarch texlive-markdown-11:svn66257-69.fc39.noarch texlive-marvosym-11:svn29349.2.2a-69.fc39.noarch texlive-matc3-11:svn29845.1.0.1-69.fc39.noarch texlive-matc3mem-11:svn35773.1.1-69.fc39.noarch texlive-mathabx-11:svn15878.0-69.fc39.noarch texlive-mathabx-type1-11:svn21129.0-69.fc39.noarch texlive-mathalpha-11:svn61089-69.fc39.noarch texlive-mathastext-11:svn64930-69.fc39.noarch texlive-mathcommand-11:svn59512-69.fc39.noarch texlive-mathcomp-11:svn15878.0.1f-69.fc39.noarch texlive-mathdesign-11:svn31639.2.31-69.fc39.noarch texlive-mathexam-11:svn15878.1.00-69.fc39.noarch texlive-mathfam256-11:svn53519-69.fc39.noarch texlive-mathfixs-11:svn49547-69.fc39.noarch texlive-mathfont-11:svn65205-69.fc39.noarch texlive-mathlig-11:svn54244-69.fc39.noarch texlive-mathpartir-11:svn39864-69.fc39.noarch texlive-mathpazo-11:svn52663-69.fc39.noarch texlive-mathpunctspace-11:svn46754-69.fc39.noarch texlive-mathsemantics-11:svn63241-69.fc39.noarch texlive-mathspec-11:svn42773-69.fc39.noarch texlive-mathspic-11:svn31957-77.fc39.noarch texlive-mathtools-11:svn63767-69.fc39.noarch texlive-matlab-prettifier-11:svn34323.0.3-69.fc39.noarch texlive-matrix-skeleton-11:svn65013-69.fc39.noarch texlive-mattens-11:svn62326-69.fc39.noarch texlive-maybemath-11:svn15878.0-69.fc39.noarch texlive-mcaption-11:svn15878.3.0-69.fc39.noarch texlive-mceinleger-11:svn15878.0-69.fc39.noarch texlive-mcexam-11:svn60481-69.fc39.noarch texlive-mcite-11:svn18173.1.6-69.fc39.noarch texlive-mciteplus-11:svn31648.1.2-69.fc39.noarch texlive-mcmthesis-11:svn57333-69.fc39.noarch texlive-mdframed-11:svn31075.1.9b-69.fc39.noarch texlive-mdputu-11:svn20298.1.2-69.fc39.noarch texlive-mdsymbol-11:svn28399.0.5-69.fc39.noarch texlive-mdwtools-11:svn15878.1.05.4-69.fc39.noarch texlive-mecaso-11:svn60346-69.fc39.noarch texlive-media4svg-11:svn64686-69.fc39.noarch texlive-media9-11:svn64047-69.fc39.noarch texlive-medstarbeamer-11:svn38828-69.fc39.noarch texlive-meetingmins-11:svn31878.1.6-69.fc39.noarch texlive-membranecomputing-11:svn64627-69.fc39.noarch texlive-memexsupp-11:svn15878.0.1-69.fc39.noarch texlive-memoir-11:svn65040-69.fc39.noarch texlive-memory-11:svn30452.1.2-69.fc39.noarch texlive-memorygraphs-11:svn49631-69.fc39.noarch texlive-mensa-tex-11:svn45997-69.fc39.noarch texlive-mentis-11:svn15878.1.5-69.fc39.noarch texlive-menu-11:svn15878.0.994-69.fc39.noarch texlive-menucard-11:svn55643-69.fc39.noarch texlive-menukeys-11:svn64314-69.fc39.noarch texlive-mercatormap-11:svn56060-69.fc39.noarch texlive-merriweather-11:svn64452-69.fc39.noarch texlive-messagepassing-11:svn63116-69.fc39.noarch texlive-metafont-11:svn66186-77.fc39.x86_64 texlive-metalogo-11:svn18611.0.12-69.fc39.noarch texlive-metalogox-11:svn65448-69.fc39.noarch texlive-metanorma-11:svn55010-69.fc39.noarch texlive-metastr-11:svn56246-69.fc39.noarch texlive-method-11:svn17485.2.0b-69.fc39.noarch texlive-metre-11:svn18489.1.0-69.fc39.noarch texlive-mfirstuc-11:svn64743-69.fc39.noarch texlive-mflogo-11:svn42428-69.fc39.noarch texlive-mflogo-font-11:svn54512-69.fc39.noarch texlive-mfnfss-11:svn46036-69.fc39.noarch texlive-mftinc-11:svn15878.1.0a-69.fc39.noarch texlive-mfware-11:svn66186-77.fc39.x86_64 texlive-mgltex-11:svn63255-69.fc39.noarch texlive-mhchem-11:svn61456-69.fc39.noarch texlive-mhequ-11:svn64978-69.fc39.noarch texlive-mi-solns-11:svn49651-69.fc39.noarch texlive-miama-11:svn54512-69.fc39.noarch texlive-microtype-11:svn66587-69.fc39.noarch texlive-midpage-11:svn17484.1.1a-69.fc39.noarch texlive-miller-11:svn18789.1.2-69.fc39.noarch texlive-milsymb-11:svn54361-69.fc39.noarch texlive-mindflow-11:svn65236-69.fc39.noarch texlive-minibox-11:svn30914.0.2a-69.fc39.noarch texlive-minidocument-11:svn43752-69.fc39.noarch texlive-minifp-11:svn32559.0.96-69.fc39.noarch texlive-minimalist-11:svn66434-69.fc39.noarch texlive-minipage-marginpar-11:svn15878.v0.2-69.fc39.noarch texlive-miniplot-11:svn17483.0-69.fc39.noarch texlive-minitoc-11:svn61719-69.fc39.noarch texlive-minorrevision-11:svn32165.1.1-69.fc39.noarch texlive-minted-11:svn65252-69.fc39.noarch texlive-mintspirit-11:svn64461-69.fc39.noarch texlive-minutes-11:svn42186-69.fc39.noarch texlive-mismath-11:svn66391-69.fc39.noarch texlive-missaali-11:svn61719-69.fc39.noarch texlive-mkpic-11:svn33700-77.fc39.noarch texlive-mla-paper-11:svn54080-69.fc39.noarch texlive-mlacls-11:svn60508-69.fc39.noarch texlive-mleftright-11:svn53021-69.fc39.noarch texlive-mlist-11:svn15878.0.6a-69.fc39.noarch texlive-mlmodern-11:svn57458-69.fc39.noarch texlive-mluexercise-11:svn56927-69.fc39.noarch texlive-mmap-11:svn15878.1.03-69.fc39.noarch texlive-mnotes-11:svn63406-69.fc39.noarch texlive-mnras-11:svn55729-69.fc39.noarch texlive-mnsymbol-11:svn18651.1.4-69.fc39.noarch texlive-modeles-factures-belges-assocs-11:svn50010-69.fc39.noarch texlive-moderncv-11:svn62128-69.fc39.noarch texlive-modernposter-11:svn47269-69.fc39.noarch texlive-moderntimeline-11:svn55518-69.fc39.noarch texlive-modes-11:svn61719-69.fc39.noarch texlive-modiagram-11:svn56886-69.fc39.noarch texlive-modref-11:svn15878.1.0-69.fc39.noarch texlive-modroman-11:svn29803.1-69.fc39.noarch texlive-modular-11:svn44142-69.fc39.noarch texlive-monofill-11:svn28140.0.2-69.fc39.noarch texlive-montserrat-11:svn54512-69.fc39.noarch texlive-moodle-11:svn65672-69.fc39.noarch texlive-moreenum-11:svn24479.1.03-69.fc39.noarch texlive-morefloats-11:svn37927.1.0h-69.fc39.noarch texlive-morehype-11:svn38815-69.fc39.noarch texlive-moresize-11:svn17513.1.9-69.fc39.noarch texlive-moreverb-11:svn22126.2.3a-69.fc39.noarch texlive-morewrites-11:svn49531-69.fc39.noarch texlive-movie15-11:svn26473-69.fc39.noarch texlive-mparhack-11:svn59066-69.fc39.noarch texlive-mpfonts-11:svn54512-69.fc39.noarch texlive-mpostinl-11:svn49559-69.fc39.noarch texlive-mptopdf-11:svn65952-77.fc39.noarch texlive-ms-11:svn57473-69.fc39.noarch texlive-msc-11:svn63291-69.fc39.noarch texlive-msg-11:svn49578-69.fc39.noarch texlive-mslapa-11:svn54080-69.fc39.noarch texlive-msu-thesis-11:svn65462-69.fc39.noarch texlive-mtgreek-11:svn17967.1.1+-69.fc39.noarch texlive-mucproc-11:svn43445-69.fc39.noarch texlive-mugsthesis-11:svn64259-69.fc39.noarch texlive-muling-11:svn61719-69.fc39.noarch texlive-multenum-11:svn21775.0-69.fc39.noarch texlive-multiaudience-11:svn60688-69.fc39.noarch texlive-multibbl-11:svn15878.v1.1-69.fc39.noarch texlive-multibib-11:svn15878.1.4-69.fc39.noarch texlive-multibibliography-11:svn30939-77.fc39.noarch texlive-multicap-11:svn15878.0-69.fc39.noarch texlive-multicolrule-11:svn56366-69.fc39.noarch texlive-multidef-11:svn40637-69.fc39.noarch texlive-multido-11:svn18302.1.42-69.fc39.noarch texlive-multienv-11:svn64967-69.fc39.noarch texlive-multiexpand-11:svn45943-69.fc39.noarch texlive-multifootnote-11:svn63456-69.fc39.noarch texlive-multilang-11:svn49065-69.fc39.noarch texlive-multiobjective-11:svn15878.1.0-69.fc39.noarch texlive-multiple-choice-11:svn63722-69.fc39.noarch texlive-multirow-11:svn58396-69.fc39.noarch texlive-munich-11:svn15878.0-69.fc39.noarch texlive-musuos-11:svn24857.1.1d-69.fc39.noarch texlive-muthesis-11:svn23861.0-69.fc39.noarch texlive-mversion-11:svn29370.1.0.1-69.fc39.noarch texlive-mwe-11:svn64967-69.fc39.noarch texlive-mweights-11:svn53520-69.fc39.noarch texlive-mycv-11:svn26807.1.5.6-69.fc39.noarch texlive-mylatex-11:svn56751-69.fc39.noarch texlive-mylatexformat-11:svn21392.3.4-69.fc39.noarch texlive-mynsfc-11:svn60280-69.fc39.noarch texlive-nag-11:svn24741.0.7-69.fc39.noarch texlive-naive-ebnf-11:svn66017-69.fc39.noarch texlive-nameauth-11:svn65738-69.fc39.noarch texlive-namedtensor-11:svn65346-69.fc39.noarch texlive-namespc-11:svn15878.0-69.fc39.noarch texlive-nar-11:svn38100.3.19-69.fc39.noarch texlive-natbib-11:svn20668.8.31b-69.fc39.noarch texlive-natded-11:svn32693.0.1-69.fc39.noarch texlive-nath-11:svn15878.0-69.fc39.noarch texlive-nature-11:svn21819.1.0-69.fc39.noarch texlive-navydocs-11:svn41643-69.fc39.noarch texlive-ncclatex-11:svn15878.1.5-69.fc39.noarch texlive-ncctools-11:svn51810-69.fc39.noarch texlive-nchairx-11:svn60196-69.fc39.noarch texlive-ncntrsbk-11:svn61719-69.fc39.noarch texlive-nddiss-11:svn45107-69.fc39.noarch texlive-ndsu-thesis-11:svn46639-69.fc39.noarch texlive-ndsu-thesis-2022-11:svn63881-69.fc39.noarch texlive-needspace-11:svn29601.1.3d-69.fc39.noarch texlive-nestquot-11:svn27323.0-69.fc39.noarch texlive-neuralnetwork-11:svn31500.1.0-69.fc39.noarch texlive-newcastle-bst-11:svn62856-69.fc39.noarch texlive-newcommand-doc-11:svn18704.2.0-69.fc39.noarch texlive-newcomputermodern-11:svn66327-69.fc39.noarch texlive-newenviron-11:svn29331.1.0-69.fc39.noarch texlive-newfile-11:svn15878.1.0c-69.fc39.noarch texlive-newfloat-11:svn52906-69.fc39.noarch texlive-newlfm-11:svn15878.9.4-69.fc39.noarch texlive-newpx-11:svn61806-69.fc39.noarch texlive-newspaper-11:svn15878.1.0-69.fc39.noarch texlive-newtx-11:svn62369-69.fc39.noarch texlive-newtxsf-11:svn59227-69.fc39.noarch texlive-newtxtt-11:svn54512-69.fc39.noarch texlive-newunicodechar-11:svn47382-69.fc39.noarch texlive-newvbtm-11:svn23996.1.1-69.fc39.noarch texlive-newverbs-11:svn64833-69.fc39.noarch texlive-nextpage-11:svn15878.1.1a-69.fc39.noarch texlive-nfssext-cfr-11:svn43640-69.fc39.noarch texlive-nicefilelist-11:svn65842-69.fc39.noarch texlive-niceframe-11:svn36086.1.1c-69.fc39.noarch texlive-niceframe-type1-11:svn44671-69.fc39.noarch texlive-nicematrix-11:svn66461-69.fc39.noarch texlive-nicetext-11:svn38914-69.fc39.noarch texlive-nidanfloat-11:svn48295-69.fc39.noarch texlive-nih-11:svn15878.0-69.fc39.noarch texlive-nihbiosketch-11:svn54191-69.fc39.noarch texlive-nimbus15-11:svn58839-69.fc39.noarch texlive-ninecolors-11:svn62006-69.fc39.noarch texlive-njustthesis-11:svn62451-69.fc39.noarch texlive-njuthesis-11:svn65546-69.fc39.noarch texlive-njuvisual-11:svn65261-69.fc39.noarch texlive-nkarta-11:svn16437.0.2-69.fc39.noarch texlive-nl-interval-11:svn58328-69.fc39.noarch texlive-nlctdoc-11:svn64708-69.fc39.noarch texlive-nmbib-11:svn37984.1.04-69.fc39.noarch texlive-nndraw-11:svn59674-69.fc39.noarch texlive-noconflict-11:svn30140.1.0-69.fc39.noarch texlive-noindentafter-11:svn59195-69.fc39.noarch texlive-noitcrul-11:svn15878.0.2-69.fc39.noarch texlive-nolbreaks-11:svn26786.1.2-69.fc39.noarch texlive-nomencl-11:svn61029-69.fc39.noarch texlive-nomentbl-11:svn16549.0.4-69.fc39.noarch texlive-nonfloat-11:svn17598.1.0-69.fc39.noarch texlive-nonumonpart-11:svn22114.1-69.fc39.noarch texlive-nopageno-11:svn18128.0-69.fc39.noarch texlive-norasi-c90-11:svn60831-69.fc39.noarch texlive-normalcolor-11:svn40125-69.fc39.noarch texlive-nostarch-11:svn15878.1.3-69.fc39.noarch texlive-notes-11:svn42428-69.fc39.noarch texlive-notes2bib-11:svn52231-69.fc39.noarch texlive-notespages-11:svn41906-69.fc39.noarch texlive-notestex-11:svn45396-69.fc39.noarch texlive-notex-bst-11:svn42361-69.fc39.noarch texlive-noto-11:svn64351-69.fc39.noarch texlive-noto-emoji-11:svn62950-69.fc39.noarch texlive-notoccite-11:svn18129.0-69.fc39.noarch texlive-notomath-11:svn58726-69.fc39.noarch texlive-novel-11:svn66628-69.fc39.noarch texlive-nowidow-11:svn24066.1.0-69.fc39.noarch texlive-nox-11:svn30991.1.0-69.fc39.noarch texlive-nrc-11:svn29027.2.01a-69.fc39.noarch texlive-ntgclass-11:svn65522-69.fc39.noarch texlive-nth-11:svn54252-69.fc39.noarch texlive-ntheorem-11:svn27609.1.33-69.fc39.noarch texlive-nuc-11:svn22256.0.1-69.fc39.noarch texlive-nucleardata-11:svn47307-69.fc39.noarch texlive-numberedblock-11:svn33109.1.10-69.fc39.noarch texlive-numerica-11:svn61283-69.fc39.noarch texlive-numerica-plus-11:svn61289-69.fc39.noarch texlive-numerica-tables-11:svn61288-69.fc39.noarch texlive-numericplots-11:svn31729.2.0.2-69.fc39.noarch texlive-numname-11:svn18130.0-69.fc39.noarch texlive-numprint-11:svn27498.1.39-69.fc39.noarch texlive-numspell-11:svn61132-69.fc39.noarch texlive-nunito-11:svn57429-69.fc39.noarch texlive-nwafuthesis-11:svn63438-69.fc39.noarch texlive-nwejm-11:svn64462-69.fc39.noarch texlive-oberdiek-11:svn65521-77.fc39.noarch texlive-objectz-11:svn61719-69.fc39.noarch texlive-obnov-11:svn33355.0.11-69.fc39.noarch texlive-ocg-p-11:svn28803.0.4-69.fc39.noarch texlive-ocgx-11:svn54512-69.fc39.noarch texlive-ocgx2-11:svn65292-69.fc39.noarch texlive-ocherokee-11:svn25689.0-69.fc39.noarch texlive-ocr-b-11:svn20852.0-69.fc39.noarch texlive-ocr-b-outline-11:svn20969.0-69.fc39.noarch texlive-ocr-latex-11:svn15878.0-69.fc39.noarch texlive-octavo-11:svn15878.1.2-69.fc39.noarch texlive-ogham-11:svn24876.0-69.fc39.noarch texlive-oinuit-11:svn28668.0-69.fc39.noarch texlive-old-arrows-11:svn42872-69.fc39.noarch texlive-oldlatin-11:svn17932.1.00-69.fc39.noarch texlive-oldstandard-11:svn64464-69.fc39.noarch texlive-oldstyle-11:svn15878.0.2-69.fc39.noarch texlive-onlyamsmath-11:svn42927-69.fc39.noarch texlive-onrannual-11:svn17474.1.1-69.fc39.noarch texlive-opcit-11:svn15878.1.1-69.fc39.noarch texlive-opencolor-11:svn66363-69.fc39.noarch texlive-opensans-11:svn54512-69.fc39.noarch texlive-oplotsymbl-11:svn44951-69.fc39.noarch texlive-opteng-11:svn27331.1.0-69.fc39.noarch texlive-optidef-11:svn50941-69.fc39.noarch texlive-optional-11:svn18131.2.2b-69.fc39.noarch texlive-options-11:svn39030-69.fc39.noarch texlive-orcidlink-11:svn59560-69.fc39.noarch texlive-orientation-11:svn57390-69.fc39.noarch texlive-orkhun-11:svn15878.0-69.fc39.noarch texlive-oscola-11:svn54328-69.fc39.noarch texlive-oswald-11:svn60784-69.fc39.noarch texlive-ot-tableau-11:svn59318-69.fc39.noarch texlive-oubraces-11:svn21833.0-69.fc39.noarch texlive-oup-authoring-template-11:svn64491-69.fc39.noarch texlive-outilsgeomtikz-11:svn66461-69.fc39.noarch texlive-outline-11:svn18360.0-69.fc39.noarch texlive-outliner-11:svn21095.0.94-69.fc39.noarch texlive-outlines-11:svn25192.1.1-69.fc39.noarch texlive-outlining-11:svn45601-69.fc39.noarch texlive-overarrows-11:svn65853-69.fc39.noarch texlive-overlays-11:svn57866-69.fc39.noarch texlive-overlock-11:svn64495-69.fc39.noarch texlive-overpic-11:svn53889-69.fc39.noarch texlive-pacioli-11:svn24947.0-69.fc39.noarch texlive-padcount-11:svn47621-69.fc39.noarch texlive-pagecolor-11:svn65843-69.fc39.noarch texlive-pagecont-11:svn15878.1.0-69.fc39.noarch texlive-pagegrid-11:svn64470-69.fc39.noarch texlive-pagelayout-11:svn66392-77.fc39.x86_64 texlive-pagella-otf-11:svn64705-69.fc39.noarch texlive-pagenote-11:svn63708-69.fc39.noarch texlive-pagerange-11:svn16915.0.5-69.fc39.noarch texlive-pagesel-11:svn56105-69.fc39.noarch texlive-pageslts-11:svn39164-69.fc39.noarch texlive-palatino-11:svn61719-69.fc39.noarch texlive-palette-11:svn60119-69.fc39.noarch texlive-pangram-11:svn66300-69.fc39.noarch texlive-paper-11:svn34521.1.0l-69.fc39.noarch texlive-papercdcase-11:svn15878.0-69.fc39.noarch texlive-papermas-11:svn23667.1.0h-69.fc39.noarch texlive-papertex-11:svn19230.1.2b-69.fc39.noarch texlive-paracol-11:svn49560-69.fc39.noarch texlive-parades-11:svn40042-69.fc39.noarch texlive-paralist-11:svn43021-69.fc39.noarch texlive-parallel-11:svn15878.0-69.fc39.noarch texlive-paratype-11:svn32859.0-69.fc39.noarch texlive-paresse-11:svn59228-69.fc39.noarch texlive-parnotes-11:svn51720-69.fc39.noarch texlive-parsa-11:svn54840-69.fc39.noarch texlive-parselines-11:svn21475.1.4-69.fc39.noarch texlive-parskip-11:svn58358-69.fc39.noarch texlive-pas-cours-11:svn55859-69.fc39.noarch texlive-pas-cv-11:svn32263.2.01-69.fc39.noarch texlive-pas-tableur-11:svn39542-69.fc39.noarch texlive-pascaltriangle-11:svn61774-69.fc39.noarch texlive-patchcmd-11:svn41379-69.fc39.noarch texlive-path-11:svn22045.3.05-69.fc39.noarch texlive-pauldoc-11:svn16005.0.5-69.fc39.noarch texlive-pawpict-11:svn21629.1.0-69.fc39.noarch texlive-pax-11:svn63509-77.fc39.noarch texlive-pb-diagram-11:svn15878.5.0-69.fc39.noarch texlive-pbalance-11:svn64002-69.fc39.noarch texlive-pbox-11:svn24807.1.2-69.fc39.noarch texlive-pbsheet-11:svn24830.0.1-69.fc39.noarch texlive-pdf14-11:svn17583.0.1-69.fc39.noarch texlive-pdfcol-11:svn64469-69.fc39.noarch texlive-pdfcolfoot-11:svn65512-69.fc39.noarch texlive-pdfcolmk-11:svn52912-69.fc39.noarch texlive-pdfcomment-11:svn49047-69.fc39.noarch texlive-pdfcprot-11:svn18735.1.7a-69.fc39.noarch texlive-pdfcrop-11:svn55435-77.fc39.noarch texlive-pdfescape-11:svn53082-69.fc39.noarch texlive-pdflscape-11:svn64851-69.fc39.noarch texlive-pdfmanagement-testphase-11:svn66532-69.fc39.noarch texlive-pdfmarginpar-11:svn23492.0.92-69.fc39.noarch texlive-pdfoverlay-11:svn64210-69.fc39.noarch texlive-pdfpagediff-11:svn37946.1.4-69.fc39.noarch texlive-pdfpages-11:svn65319-69.fc39.noarch texlive-pdfpc-11:svn63866-69.fc39.noarch texlive-pdfpc-movie-11:svn48245-69.fc39.noarch texlive-pdfprivacy-11:svn45985-69.fc39.noarch texlive-pdfreview-11:svn50100-69.fc39.noarch texlive-pdfscreen-11:svn42428-69.fc39.noarch texlive-pdfslide-11:svn15878.0-69.fc39.noarch texlive-pdfsync-11:svn20373.0-69.fc39.noarch texlive-pdftex-11:svn66243-77.fc39.x86_64 texlive-pdftexcmds-11:svn55777-69.fc39.noarch texlive-pdfwin-11:svn54074-69.fc39.noarch texlive-pdfx-11:svn50338-69.fc39.noarch texlive-pecha-11:svn15878.0.1-69.fc39.noarch texlive-penrose-11:svn57508-69.fc39.noarch texlive-perception-11:svn48861-69.fc39.noarch texlive-perfectcut-11:svn54080-69.fc39.noarch texlive-perltex-11:svn52162-77.fc39.noarch texlive-permute-11:svn15878.0-69.fc39.noarch texlive-petiteannonce-11:svn25915.1.0001-69.fc39.noarch texlive-petri-nets-11:svn39165-77.fc39.noarch texlive-pfdicons-11:svn60089-69.fc39.noarch texlive-pgf-11:svn65553-69.fc39.noarch texlive-pgf-blur-11:svn54512-69.fc39.noarch texlive-pgf-interference-11:svn61562-69.fc39.noarch texlive-pgf-periodictable-11:svn66010-69.fc39.noarch texlive-pgf-pie-11:svn63603-69.fc39.noarch texlive-pgf-soroban-11:svn32269.1.1-69.fc39.noarch texlive-pgf-spectra-11:svn66011-69.fc39.noarch texlive-pgf-umlcd-11:svn63386-69.fc39.noarch texlive-pgf-umlsd-11:svn55342-69.fc39.noarch texlive-pgfgantt-11:svn52662-69.fc39.noarch texlive-pgfkeyx-11:svn26093.0.0.1-69.fc39.noarch texlive-pgfmath-xfp-11:svn59268-69.fc39.noarch texlive-pgfmolbio-11:svn35152.0.21-69.fc39.noarch texlive-pgfmorepages-11:svn54770-69.fc39.noarch texlive-pgfopts-11:svn56615-69.fc39.noarch texlive-pgfornament-11:svn55326-69.fc39.noarch texlive-pgfplots-11:svn61719-69.fc39.noarch texlive-phaistos-11:svn18651.1.0-69.fc39.noarch texlive-phfcc-11:svn60731-69.fc39.noarch texlive-phfextendedabstract-11:svn60732-69.fc39.noarch texlive-phffullpagefigure-11:svn41857-69.fc39.noarch texlive-phfnote-11:svn60733-69.fc39.noarch texlive-phfparen-11:svn41859-69.fc39.noarch texlive-phfqit-11:svn60734-69.fc39.noarch texlive-phfquotetext-11:svn41869-69.fc39.noarch texlive-phfsvnwatermark-11:svn41870-69.fc39.noarch texlive-phfthm-11:svn60735-69.fc39.noarch texlive-philex-11:svn36396.1.3-69.fc39.noarch texlive-philosophersimprint-11:svn56954-69.fc39.noarch texlive-phonenumbers-11:svn63774-69.fc39.noarch texlive-phonetic-11:svn56468-69.fc39.noarch texlive-photo-11:svn18739.0-69.fc39.noarch texlive-photobook-11:svn66629-69.fc39.noarch texlive-physconst-11:svn58727-69.fc39.noarch texlive-physics-11:svn28590.1.3-69.fc39.noarch texlive-physics2-11:svn66115-69.fc39.noarch texlive-physunits-11:svn58728-69.fc39.noarch texlive-picinpar-11:svn65097-69.fc39.noarch texlive-pict2e-11:svn56504-69.fc39.noarch texlive-pictex-11:svn59551-69.fc39.noarch texlive-pictex2-11:svn15878.0-69.fc39.noarch texlive-picture-11:svn54867-69.fc39.noarch texlive-piff-11:svn21894.0-69.fc39.noarch texlive-pigpen-11:svn15878.0.2-69.fc39.noarch texlive-pinlabel-11:svn24769.1.2-69.fc39.noarch texlive-pinoutikz-11:svn55966-69.fc39.noarch texlive-pittetd-11:svn15878.1.618-69.fc39.noarch texlive-pixelart-11:svn66012-69.fc39.noarch texlive-pixelarttikz-11:svn65649-69.fc39.noarch texlive-pkgloader-11:svn47486-69.fc39.noarch texlive-pkuthss-11:svn64869-69.fc39.noarch texlive-pl-11:svn58661-69.fc39.noarch texlive-placeins-11:svn19848.2.2-69.fc39.noarch texlive-plain-11:svn57963-69.fc39.noarch texlive-plainpkg-11:svn27765.0.4a-69.fc39.noarch texlive-plainyr-11:svn52783-69.fc39.noarch texlive-plantslabels-11:svn29803.1.0-69.fc39.noarch texlive-plates-11:svn15878.0.1-69.fc39.noarch texlive-platex-11:svn66186-69.fc39.noarch texlive-platex-tools-11:svn66185-69.fc39.noarch texlive-playfair-11:svn64857-69.fc39.noarch texlive-plex-11:svn64496-69.fc39.noarch texlive-plex-otf-11:svn47562-69.fc39.noarch texlive-plimsoll-11:svn56605-69.fc39.noarch texlive-plweb-11:svn15878.3.0-69.fc39.noarch texlive-pm-isomath-11:svn60368-69.fc39.noarch texlive-pmboxdraw-11:svn53046-69.fc39.noarch texlive-pmgraph-11:svn15878.1.0-69.fc39.noarch texlive-pnas2009-11:svn16287.1.0-69.fc39.noarch texlive-poiretone-11:svn64856-69.fc39.noarch texlive-polexpr-11:svn63337-69.fc39.noarch texlive-polski-11:svn60322-69.fc39.noarch texlive-poltawski-11:svn20075.1.101-69.fc39.noarch texlive-polyglossia-11:svn65792-69.fc39.noarch texlive-polynom-11:svn44832-69.fc39.noarch texlive-polynomial-11:svn15878.1.0-69.fc39.noarch texlive-polytable-11:svn55837-69.fc39.noarch texlive-postage-11:svn55920-69.fc39.noarch texlive-postcards-11:svn21641.0-69.fc39.noarch texlive-poster-mac-11:svn18305.1.1-69.fc39.noarch texlive-postnotes-11:svn66019-69.fc39.noarch texlive-powerdot-11:svn59272-69.fc39.noarch texlive-powerdot-fuberlin-11:svn52922-69.fc39.noarch texlive-powerdot-tuliplab-11:svn47963-69.fc39.noarch texlive-ppr-prv-11:svn15878.0.13c-69.fc39.noarch texlive-ppt-slides-11:svn65194-69.fc39.noarch texlive-pracjourn-11:svn61719-69.fc39.noarch texlive-practicalreports-11:svn52312-69.fc39.noarch texlive-precattl-11:svn63967-69.fc39.noarch texlive-prelim2e-11:svn57000-69.fc39.noarch texlive-preprint-11:svn30447.2011-69.fc39.noarch texlive-prerex-11:svn54512-69.fc39.noarch texlive-pressrelease-11:svn35147.1.0-69.fc39.noarch texlive-prettyref-11:svn15878.3.0-69.fc39.noarch texlive-prettytok-11:svn63842-69.fc39.noarch texlive-prftree-11:svn54080-69.fc39.noarch texlive-principia-11:svn58927-69.fc39.noarch texlive-printlen-11:svn19847.1.1a-69.fc39.noarch texlive-proba-11:svn15878.0-69.fc39.noarch texlive-probsoln-11:svn44783-69.fc39.noarch texlive-prociagssymp-11:svn63242-69.fc39.noarch texlive-prodint-11:svn21893.0-69.fc39.noarch texlive-productbox-11:svn20886.1.1-69.fc39.noarch texlive-program-11:svn44214-69.fc39.noarch texlive-progress-11:svn19519.1.10-69.fc39.noarch texlive-progressbar-11:svn33822.v1.0b_4-69.fc39.noarch texlive-projlib-11:svn65475-69.fc39.noarch texlive-proof-at-the-end-11:svn64188-69.fc39.noarch texlive-proofread-11:svn61719-69.fc39.noarch texlive-prooftrees-11:svn52221-69.fc39.noarch texlive-properties-11:svn15878.0.2-69.fc39.noarch texlive-proposal-11:svn40538-69.fc39.noarch texlive-prosper-11:svn33033.1.0h-69.fc39.noarch texlive-protex-11:svn41633-69.fc39.noarch texlive-protocol-11:svn25562.1.13-69.fc39.noarch texlive-prtec-11:svn51919-69.fc39.noarch texlive-pseudo-11:svn66638-69.fc39.noarch texlive-pseudocode-11:svn54080-69.fc39.noarch texlive-psfrag-11:svn15878.3.04-69.fc39.noarch texlive-psfragx-11:svn26243.1.1-69.fc39.noarch texlive-pslatex-11:svn57434-69.fc39.noarch texlive-psnfss-11:svn54694-69.fc39.noarch texlive-pspicture-11:svn15878.0-69.fc39.noarch texlive-pst-3d-11:svn17257.1.10-69.fc39.noarch texlive-pst-blur-11:svn15878.2.0-69.fc39.noarch texlive-pst-coil-11:svn62977-69.fc39.noarch texlive-pst-eps-11:svn15878.1.0-69.fc39.noarch texlive-pst-fill-11:svn60671-69.fc39.noarch texlive-pst-grad-11:svn15878.1.06-69.fc39.noarch texlive-pst-math-11:svn64732-69.fc39.noarch texlive-pst-node-11:svn61838-69.fc39.noarch texlive-pst-ovl-11:svn54963-69.fc39.noarch texlive-pst-plot-11:svn65346-69.fc39.noarch texlive-pst-slpe-11:svn24391.1.31-69.fc39.noarch texlive-pst-text-11:svn49542-69.fc39.noarch texlive-pst-tools-11:svn60621-69.fc39.noarch texlive-pst-tree-11:svn60421-69.fc39.noarch texlive-pstool-11:svn46393-69.fc39.noarch texlive-pstricks-11:svn65346-69.fc39.noarch texlive-pstricks-add-11:svn65067-69.fc39.noarch texlive-pstring-11:svn42857-69.fc39.noarch texlive-ptex-11:svn66186-77.fc39.x86_64 texlive-ptex-base-11:svn64072-69.fc39.noarch texlive-ptex-fonts-11:svn64330-69.fc39.noarch texlive-ptolemaicastronomy-11:svn50810-69.fc39.noarch texlive-ptptex-11:svn19440.0.91-69.fc39.noarch texlive-punk-11:svn27388.0-69.fc39.noarch texlive-punk-latex-11:svn27389.1.1-69.fc39.noarch texlive-punknova-11:svn24649.1.003-69.fc39.noarch texlive-puyotikz-11:svn57254-69.fc39.noarch texlive-pxfonts-11:svn15878.0-69.fc39.noarch texlive-pxgreeks-11:svn21838.1.0-69.fc39.noarch texlive-pxpgfmark-11:svn30212.0.2-69.fc39.noarch texlive-pxpic-11:svn65803-69.fc39.noarch texlive-pxtxalfa-11:svn60847-69.fc39.noarch texlive-pygmentex-11:svn64131-77.fc39.noarch texlive-python-11:svn60162-69.fc39.noarch texlive-pythonhighlight-11:svn43191-69.fc39.noarch texlive-pythonimmediate-11:svn66461-69.fc39.noarch texlive-pythontex-11:svn59514-77.fc39.noarch texlive-qcircuit-11:svn48400-69.fc39.noarch texlive-qcm-11:svn63833-69.fc39.noarch texlive-qrbill-11:svn66301-69.fc39.noarch texlive-qrcode-11:svn36065.1.51-69.fc39.noarch texlive-qsharp-11:svn49722-69.fc39.noarch texlive-qstest-11:svn15878.0-69.fc39.noarch texlive-qsymbols-11:svn15878.0-69.fc39.noarch texlive-qtree-11:svn15878.3.1b-69.fc39.noarch texlive-qualitype-11:svn54512-69.fc39.noarch texlive-quantikz-11:svn54911-69.fc39.noarch texlive-quantumarticle-11:svn65242-69.fc39.noarch texlive-quattrocento-11:svn64372-69.fc39.noarch texlive-quicktype-11:svn42183-69.fc39.noarch texlive-quiz2socrative-11:svn52276-69.fc39.noarch texlive-quotchap-11:svn56926-69.fc39.noarch texlive-quoting-11:svn32818.v0.1c-69.fc39.noarch texlive-quotmark-11:svn15878.1.0-69.fc39.noarch texlive-ragged2e-11:svn66152-69.fc39.noarch texlive-raleway-11:svn42629-69.fc39.noarch texlive-ran_toks-11:svn59515-69.fc39.noarch texlive-randbild-11:svn15878.0.2-69.fc39.noarch texlive-random-11:svn54723-69.fc39.noarch texlive-randomwalk-11:svn49513-69.fc39.noarch texlive-randtext-11:svn15878.0-69.fc39.noarch texlive-rank-2-roots-11:svn61719-69.fc39.noarch texlive-rbt-mathnotes-11:svn61193-69.fc39.noarch texlive-rccol-11:svn15878.1.2c-69.fc39.noarch texlive-rcs-11:svn15878.0-69.fc39.noarch texlive-rcs-multi-11:svn64967-69.fc39.noarch texlive-rcsinfo-11:svn15878.1.11-69.fc39.noarch texlive-readablecv-11:svn61719-69.fc39.noarch texlive-readarray-11:svn60540-69.fc39.noarch texlive-realboxes-11:svn64967-69.fc39.noarch texlive-realhats-11:svn63595-69.fc39.noarch texlive-realscripts-11:svn56594-69.fc39.noarch texlive-rec-thy-11:svn63982-69.fc39.noarch texlive-recipe-11:svn54080-69.fc39.noarch texlive-recipebook-11:svn37026.0-69.fc39.noarch texlive-recipecard-11:svn15878.2.0-69.fc39.noarch texlive-rectopma-11:svn19980.0-69.fc39.noarch texlive-recycle-11:svn15878.0-69.fc39.noarch texlive-refcheck-11:svn29128.1.9.1-69.fc39.noarch texlive-refcount-11:svn53164-69.fc39.noarch texlive-refenums-11:svn44131-69.fc39.noarch texlive-reflectgraphics-11:svn40612-69.fc39.noarch texlive-refman-11:svn15878.2.0e-69.fc39.noarch texlive-refstyle-11:svn20318.0.5-69.fc39.noarch texlive-regcount-11:svn19979.1.0-69.fc39.noarch texlive-regexpatch-11:svn58668-69.fc39.noarch texlive-register-11:svn54485-69.fc39.noarch texlive-regstats-11:svn25050.1.0h-69.fc39.noarch texlive-relenc-11:svn22050.0-69.fc39.noarch texlive-relsize-11:svn30707.4.1-69.fc39.noarch texlive-reotex-11:svn34924.1.1-69.fc39.noarch texlive-repeatindex-11:svn24305.0.01-69.fc39.noarch texlive-repltext-11:svn56433-69.fc39.noarch texlive-rerunfilecheck-11:svn63869-69.fc39.noarch texlive-rescansync-11:svn63856-69.fc39.noarch texlive-resmes-11:svn65375-69.fc39.noarch texlive-resolsysteme-11:svn66192-69.fc39.noarch texlive-resphilosophica-11:svn50935-69.fc39.noarch texlive-rest-api-11:svn57068-69.fc39.noarch texlive-resumecls-11:svn54815-69.fc39.noarch texlive-returntogrid-11:svn48485-69.fc39.noarch texlive-revquantum-11:svn43505-69.fc39.noarch texlive-revtex-11:svn56591-69.fc39.noarch texlive-revtex4-11:svn56589-69.fc39.noarch texlive-revtex4-1-11:svn56590-69.fc39.noarch texlive-rgltxdoc-11:svn53858-69.fc39.noarch texlive-ribbonproofs-11:svn31137.1.0-69.fc39.noarch texlive-rjlparshap-11:svn15878.1.0-69.fc39.noarch texlive-rlepsf-11:svn19082.0-69.fc39.noarch texlive-rmathbr-11:svn57173-69.fc39.noarch texlive-rmpage-11:svn54080-69.fc39.noarch texlive-robotarm-11:svn63116-69.fc39.noarch texlive-roboto-11:svn64350-69.fc39.noarch texlive-robustcommand-11:svn15878.0.1-69.fc39.noarch texlive-robustindex-11:svn49877-69.fc39.noarch texlive-romanbar-11:svn25005.1.0f-69.fc39.noarch texlive-romanbarpagenumber-11:svn36236.1.0-69.fc39.noarch texlive-romande-11:svn19537.1.008_v7_sc-69.fc39.noarch texlive-romanneg-11:svn20087.0-69.fc39.noarch texlive-romannum-11:svn15878.1.0b-69.fc39.noarch texlive-rosario-11:svn51688-69.fc39.noarch texlive-rotfloat-11:svn18292.1.2-69.fc39.noarch texlive-rotpages-11:svn18740.3.0-69.fc39.noarch texlive-roundbox-11:svn29675.0.2-69.fc39.noarch texlive-rsc-11:svn41923-69.fc39.noarch texlive-rsfs-11:svn15878.0-69.fc39.noarch texlive-rsfso-11:svn60849-69.fc39.noarch texlive-rterface-11:svn30084.0-69.fc39.noarch texlive-rtkinenc-11:svn20003.1.0-69.fc39.noarch texlive-rulerbox-11:svn50984-69.fc39.noarch texlive-rulercompass-11:svn32392.1-69.fc39.noarch texlive-runcode-11:svn65588-69.fc39.noarch texlive-rutitlepage-11:svn62143-69.fc39.noarch texlive-rviewport-11:svn23739.v1.0-69.fc39.noarch texlive-rvwrite-11:svn19614.1.2-69.fc39.noarch texlive-ryersonsgsthesis-11:svn50119-69.fc39.noarch texlive-ryethesis-11:svn33945.1.36-69.fc39.noarch texlive-sa-tikz-11:svn32815.0.7a-69.fc39.noarch texlive-sacsymb-11:svn65768-69.fc39.noarch texlive-sageep-11:svn15878.1.0-69.fc39.noarch texlive-sanitize-umlaut-11:svn63770-69.fc39.noarch texlive-sankey-11:svn61874-69.fc39.noarch texlive-sansmath-11:svn17997.1.1-69.fc39.noarch texlive-sansmathaccent-11:svn53628-69.fc39.noarch texlive-sansmathfonts-11:svn64661-69.fc39.noarch texlive-sapthesis-11:svn63810-69.fc39.noarch texlive-sasnrdisplay-11:svn63255-69.fc39.noarch texlive-sauerj-11:svn15878.0-69.fc39.noarch texlive-sauter-11:svn13293.2.4-69.fc39.noarch texlive-sauterfonts-11:svn15878.0-69.fc39.noarch texlive-saveenv-11:svn65346-69.fc39.noarch texlive-savefnmark-11:svn15878.1.0-69.fc39.noarch texlive-savesym-11:svn31565.1.2-69.fc39.noarch texlive-savetrees-11:svn40525-69.fc39.noarch texlive-scale-11:svn15878.1.1.2-69.fc39.noarch texlive-scalebar-11:svn15878.1.0-69.fc39.noarch texlive-scalerel-11:svn42809-69.fc39.noarch texlive-scanpages-11:svn42633-69.fc39.noarch texlive-schedule-11:svn51805-69.fc39.noarch texlive-schemabloc-11:svn58212-69.fc39.noarch texlive-schola-otf-11:svn64734-69.fc39.noarch texlive-scholax-11:svn61836-69.fc39.noarch texlive-schooldocs-11:svn65650-69.fc39.noarch texlive-schule-11:svn60210-69.fc39.noarch texlive-schulschriften-11:svn59388-69.fc39.noarch texlive-scientific-thesis-cover-11:svn47923-69.fc39.noarch texlive-sciposter-11:svn15878.1.18-69.fc39.noarch texlive-sclang-prettifier-11:svn35087.0.1-69.fc39.noarch texlive-scontents-11:svn62902-69.fc39.noarch texlive-scrambledenvs-11:svn60615-69.fc39.noarch texlive-scratch-11:svn50073-69.fc39.noarch texlive-scratch3-11:svn61921-69.fc39.noarch texlive-scratchx-11:svn44906-69.fc39.noarch texlive-scripture-11:svn65493-69.fc39.noarch texlive-scrjrnl-11:svn27810.0.1-69.fc39.noarch texlive-scrlayer-fancyhdr-11:svn63844-69.fc39.noarch texlive-scrlttr2copy-11:svn56733-69.fc39.noarch texlive-scsnowman-11:svn66115-69.fc39.noarch texlive-sdaps-11:svn65345-69.fc39.noarch texlive-sdrt-11:svn15878.1.0-69.fc39.noarch texlive-sduthesis-11:svn41401-69.fc39.noarch texlive-se2thesis-11:svn65645-69.fc39.noarch texlive-secdot-11:svn20208.1.0-69.fc39.noarch texlive-secnum-11:svn61813-69.fc39.noarch texlive-section-11:svn20180.0-69.fc39.noarch texlive-sectionbox-11:svn37749.1.01-69.fc39.noarch texlive-sectionbreak-11:svn50339-69.fc39.noarch texlive-sectsty-11:svn15878.2.0.2-69.fc39.noarch texlive-seealso-11:svn43595-69.fc39.noarch texlive-selectp-11:svn20185.1.0-69.fc39.noarch texlive-selinput-11:svn53098-69.fc39.noarch texlive-semantex-11:svn65679-69.fc39.noarch texlive-semantic-11:svn15878.2.0-69.fc39.noarch texlive-semantic-markup-11:svn53607-69.fc39.noarch texlive-semaphor-11:svn18651.0-69.fc39.noarch texlive-semesterplanner-11:svn56841-69.fc39.noarch texlive-seminar-11:svn59801-69.fc39.noarch texlive-semioneside-11:svn15878.v0.41-69.fc39.noarch texlive-semproc-11:svn37568.0.1-69.fc39.noarch texlive-semtex-11:svn56530-69.fc39.noarch texlive-sepfootnotes-11:svn41732-69.fc39.noarch texlive-sepnum-11:svn20186.2.0-69.fc39.noarch texlive-seqsplit-11:svn15878.0.1-69.fc39.noarch texlive-sesamanuel-11:svn36613.0.6-69.fc39.noarch texlive-sesstime-11:svn49750-69.fc39.noarch texlive-setdeck-11:svn40613-69.fc39.noarch texlive-setspace-11:svn65206-69.fc39.noarch texlive-seu-ml-assign-11:svn62933-69.fc39.noarch texlive-seuthesis-11:svn33042.2.1.2-69.fc39.noarch texlive-seuthesix-11:svn40088-69.fc39.noarch texlive-sf298-11:svn41653-69.fc39.noarch texlive-sffms-11:svn15878.2.0-69.fc39.noarch texlive-sfg-11:svn20209.0.91-69.fc39.noarch texlive-sfmath-11:svn15878.0.8-69.fc39.noarch texlive-shadethm-11:svn53350-69.fc39.noarch texlive-shadow-11:svn20312.0-69.fc39.noarch texlive-shadowtext-11:svn26522.0.3-69.fc39.noarch texlive-shapepar-11:svn30708.2.2-69.fc39.noarch texlive-shdoc-11:svn41991-69.fc39.noarch texlive-shipunov-11:svn52334-69.fc39.noarch texlive-shobhika-11:svn50555-69.fc39.noarch texlive-shortmathj-11:svn54407-69.fc39.noarch texlive-shorttoc-11:svn15878.1.3-69.fc39.noarch texlive-show2e-11:svn15878.1.0-69.fc39.noarch texlive-showcharinbox-11:svn29803.0.1-69.fc39.noarch texlive-showdim-11:svn28918.1.2-69.fc39.noarch texlive-showexpl-11:svn57414-69.fc39.noarch texlive-showlabels-11:svn63940-69.fc39.noarch texlive-showtags-11:svn20336.1.05-69.fc39.noarch texlive-shtthesis-11:svn62441-69.fc39.noarch texlive-shuffle-11:svn15878.1.0-69.fc39.noarch texlive-sidecap-11:svn65618-69.fc39.noarch texlive-sidenotes-11:svn54524-69.fc39.noarch texlive-sidenotesplus-11:svn63867-69.fc39.noarch texlive-signchart-11:svn39707-69.fc39.noarch texlive-silence-11:svn27028.1.5b-69.fc39.noarch texlive-sillypage-11:svn66349-69.fc39.noarch texlive-simplebnf-11:svn65485-69.fc39.noarch texlive-simplecd-11:svn29260.1.4-69.fc39.noarch texlive-simplecv-11:svn35537.1.6a-69.fc39.noarch texlive-simpleicons-11:svn66617-69.fc39.noarch texlive-simpleinvoice-11:svn45673-69.fc39.noarch texlive-simplekv-11:svn64578-69.fc39.noarch texlive-simplenodes-11:svn62888-69.fc39.noarch texlive-simpleoptics-11:svn62977-69.fc39.noarch texlive-simpler-wick-11:svn39074-69.fc39.noarch texlive-simples-matrices-11:svn63802-69.fc39.noarch texlive-simplewick-11:svn15878.1.2a-69.fc39.noarch texlive-simplivre-11:svn65475-69.fc39.noarch texlive-sistyle-11:svn59682-69.fc39.noarch texlive-sitem-11:svn22136.1.0-69.fc39.noarch texlive-siunits-11:svn59702-69.fc39.noarch texlive-siunitx-11:svn66627-69.fc39.noarch texlive-skak-11:svn61719-69.fc39.noarch texlive-skb-11:svn22781.0.52-69.fc39.noarch texlive-skdoc-11:svn56950-69.fc39.noarch texlive-skeldoc-11:svn57922-69.fc39.noarch texlive-skeycommand-11:svn24652.0.4-69.fc39.noarch texlive-skeyval-11:svn30560.1.3-69.fc39.noarch texlive-skills-11:svn56734-69.fc39.noarch texlive-skmath-11:svn52411-69.fc39.noarch texlive-skrapport-11:svn52412-69.fc39.noarch texlive-skull-11:svn51907-69.fc39.noarch texlive-slantsc-11:svn25007.2.11-69.fc39.noarch texlive-smalltableof-11:svn20333.0-69.fc39.noarch texlive-smart-eqn-11:svn61719-69.fc39.noarch texlive-smartdiagram-11:svn42781-69.fc39.noarch texlive-smartref-11:svn20311.1.9-69.fc39.noarch texlive-smartunits-11:svn39592-69.fc39.noarch texlive-smflatex-11:svn58910-69.fc39.noarch texlive-snapshot-11:svn56735-69.fc39.noarch texlive-snaptodo-11:svn61155-69.fc39.noarch texlive-snotez-11:svn61992-69.fc39.noarch texlive-songbook-11:svn18136.4.5-69.fc39.noarch texlive-sort-by-letters-11:svn27128.0-69.fc39.noarch texlive-soton-11:svn16215.0.1-69.fc39.noarch texlive-soul-11:svn65908-69.fc39.noarch texlive-soulpos-11:svn60772-69.fc39.noarch texlive-sourcecodepro-11:svn54512-69.fc39.noarch texlive-sourcesanspro-11:svn54892-69.fc39.noarch texlive-sourceserifpro-11:svn54512-69.fc39.noarch texlive-spacingtricks-11:svn66393-69.fc39.noarch texlive-spalign-11:svn42225-69.fc39.noarch texlive-spark-otf-11:svn62481-69.fc39.noarch texlive-sparklines-11:svn42821-69.fc39.noarch texlive-spath3-11:svn64818-69.fc39.noarch texlive-spbmark-11:svn64706-69.fc39.noarch texlive-spectral-11:svn64528-69.fc39.noarch texlive-spectralsequences-11:svn65667-69.fc39.noarch texlive-sphack-11:svn20842.0-69.fc39.noarch texlive-sphdthesis-11:svn34374.1.0-69.fc39.noarch texlive-spie-11:svn15878.3.25-69.fc39.noarch texlive-splitbib-11:svn15878.1.17-69.fc39.noarch texlive-splitindex-11:svn39766-77.fc39.noarch texlive-spot-11:svn22408.1.1-69.fc39.noarch texlive-spotcolor-11:svn15878.1.2-69.fc39.noarch texlive-spreadtab-11:svn50147-69.fc39.noarch texlive-spverbatim-11:svn15878.v1.0-69.fc39.noarch texlive-sr-vorl-11:svn59333-69.fc39.noarch texlive-srbook-mem-11:svn45818-69.fc39.noarch texlive-srbtiks-11:svn63308-69.fc39.noarch texlive-srcltx-11:svn15878.1.6-69.fc39.noarch texlive-srdp-mathematik-11:svn65293-69.fc39.noarch texlive-sseq-11:svn31585.2.01-69.fc39.noarch texlive-sslides-11:svn32293.0-69.fc39.noarch texlive-stack-11:svn15878.1.00-69.fc39.noarch texlive-stackengine-11:svn60019-69.fc39.noarch texlive-standalone-11:svn64677-69.fc39.noarch texlive-stanli-11:svn54512-69.fc39.noarch texlive-starfont-11:svn19982.1.2-69.fc39.noarch texlive-statex-11:svn20306.1.6-69.fc39.noarch texlive-statex2-11:svn23961.2.1-69.fc39.noarch texlive-statistics-11:svn52212-69.fc39.noarch texlive-statistik-11:svn20334.0.03-69.fc39.noarch texlive-statmath-11:svn46925-69.fc39.noarch texlive-staves-11:svn15878.0-69.fc39.noarch texlive-stdclsdv-11:svn15878.1.1a-69.fc39.noarch texlive-stdpage-11:svn15878.0.6-69.fc39.noarch texlive-stealcaps-11:svn64967-69.fc39.noarch texlive-steinmetz-11:svn15878.1.0-69.fc39.noarch texlive-stellenbosch-11:svn66379-69.fc39.noarch texlive-step-11:svn57307-69.fc39.noarch texlive-stepgreek-11:svn57074-69.fc39.noarch texlive-stex-11:svn64383-69.fc39.noarch texlive-stickstoo-11:svn60793-69.fc39.noarch texlive-stix-11:svn54512-69.fc39.noarch texlive-stix2-otf-11:svn58735-69.fc39.noarch texlive-stix2-type1-11:svn57448-69.fc39.noarch texlive-stmaryrd-11:svn22027.0-69.fc39.noarch texlive-storebox-11:svn64967-69.fc39.noarch texlive-storecmd-11:svn24431.0.0.2-69.fc39.noarch texlive-strands-11:svn59906-69.fc39.noarch texlive-stringenc-11:svn52982-69.fc39.noarch texlive-stringstrings-11:svn57097-69.fc39.noarch texlive-structmech-11:svn58985-69.fc39.noarch texlive-struktex-11:svn47931-69.fc39.noarch texlive-sttools-11:svn60736-69.fc39.noarch texlive-stubs-11:svn66204-69.fc39.noarch texlive-studenthandouts-11:svn43516-69.fc39.noarch texlive-styledcmd-11:svn65262-69.fc39.noarch texlive-subdepth-11:svn15878.0.1-69.fc39.noarch texlive-subdocs-11:svn51480-69.fc39.noarch texlive-subeqn-11:svn15878.2.0b-69.fc39.noarch texlive-subeqnarray-11:svn15878.2.1c-69.fc39.noarch texlive-subfig-11:svn15878.1.3-69.fc39.noarch texlive-subfigmat-11:svn20308.1.0-69.fc39.noarch texlive-subfigure-11:svn15878.2.1.5-69.fc39.noarch texlive-subfiles-11:svn56977-69.fc39.noarch texlive-subfloat-11:svn29349.2.14-69.fc39.noarch texlive-substances-11:svn40989-69.fc39.noarch texlive-substitutefont-11:svn32066.0.1.4-69.fc39.noarch texlive-substr-11:svn16117.1.2-69.fc39.noarch texlive-subsupscripts-11:svn16080.1.0-69.fc39.noarch texlive-subtext-11:svn51273-69.fc39.noarch texlive-suftesi-11:svn60991-69.fc39.noarch texlive-sugconf-11:svn58752-69.fc39.noarch texlive-superiors-11:svn51909-69.fc39.noarch texlive-supertabular-11:svn53658-69.fc39.noarch texlive-suppose-11:svn59281-69.fc39.noarch texlive-susy-11:svn19440.0-69.fc39.noarch texlive-svg-11:svn57010-69.fc39.noarch texlive-svgcolor-11:svn15878.1.0-69.fc39.noarch texlive-svn-11:svn15878.43-69.fc39.noarch texlive-svn-multi-11:svn64967-77.fc39.noarch texlive-svn-prov-11:svn64967-69.fc39.noarch texlive-svninfo-11:svn62157-69.fc39.noarch texlive-svrsymbols-11:svn50019-69.fc39.noarch texlive-swfigure-11:svn63255-69.fc39.noarch texlive-swimgraf-11:svn25446.0-69.fc39.noarch texlive-swungdash-11:svn64204-69.fc39.noarch texlive-syllogism-11:svn15878.1.2-69.fc39.noarch texlive-symbats3-11:svn63833-69.fc39.noarch texlive-symbol-11:svn61719-69.fc39.noarch texlive-sympytexpackage-11:svn57090-69.fc39.noarch texlive-synproof-11:svn15878.1.0-69.fc39.noarch texlive-syntax-11:svn15878.0-69.fc39.noarch texlive-syntaxdi-11:svn56685-69.fc39.noarch texlive-syntrace-11:svn15878.1.1-69.fc39.noarch texlive-synttree-11:svn16252.1.4.2-69.fc39.noarch texlive-t-angles-11:svn15878.0-69.fc39.noarch texlive-tabbing-11:svn59715-69.fc39.noarch texlive-tabfigures-11:svn25202.1.1-69.fc39.noarch texlive-table-fct-11:svn41849-69.fc39.noarch texlive-tableaux-11:svn42413-69.fc39.noarch texlive-tablefootnote-11:svn32804.1.1c-69.fc39.noarch texlive-tableof-11:svn59837-69.fc39.noarch texlive-tablists-11:svn15878.0.0e-69.fc39.noarch texlive-tablor-11:svn31855.4.07_g-69.fc39.noarch texlive-tabls-11:svn17255.3.5-69.fc39.noarch texlive-tablvar-11:svn51543-69.fc39.noarch texlive-tabriz-thesis-11:svn51729-69.fc39.noarch texlive-tabstackengine-11:svn46848-69.fc39.noarch texlive-tabto-ltx-11:svn54080-69.fc39.noarch texlive-tabu-11:svn61719-69.fc39.noarch texlive-tabularborder-11:svn17885.1.0a-69.fc39.noarch texlive-tabularcalc-11:svn15878.0.2-69.fc39.noarch texlive-tabularew-11:svn15878.0.1-69.fc39.noarch texlive-tabularray-11:svn66276-69.fc39.noarch texlive-tabulary-11:svn34368.0.10-69.fc39.noarch texlive-tagging-11:svn52064-69.fc39.noarch texlive-tagpair-11:svn42138-69.fc39.noarch texlive-tagpdf-11:svn66461-69.fc39.noarch texlive-talk-11:svn42428-69.fc39.noarch texlive-tamefloats-11:svn27345.v0.42-69.fc39.noarch texlive-tapir-11:svn20484.0.2-69.fc39.noarch texlive-tasks-11:svn61541-69.fc39.noarch texlive-tcldoc-11:svn22018.2.40-69.fc39.noarch texlive-tcolorbox-11:svn66620-69.fc39.noarch texlive-tdclock-11:svn33043.v2.5-69.fc39.noarch texlive-technics-11:svn29349.1.0-69.fc39.noarch texlive-technion-thesis-template-11:svn49889-69.fc39.noarch texlive-ted-11:svn15878.1.06-69.fc39.noarch texlive-templatetools-11:svn34495.0-69.fc39.noarch texlive-tempora-11:svn39596-69.fc39.noarch texlive-tengwarscript-11:svn34594.1.3.1-69.fc39.noarch texlive-tensind-11:svn51481-69.fc39.noarch texlive-tensor-11:svn15878.2.1-69.fc39.noarch texlive-termcal-11:svn22514.1.8-69.fc39.noarch texlive-termes-otf-11:svn64733-69.fc39.noarch texlive-termlist-11:svn18923.1.1-69.fc39.noarch texlive-termsim-11:svn61414-69.fc39.noarch texlive-testhyphens-11:svn38928-69.fc39.noarch texlive-testidx-11:svn60966-69.fc39.noarch texlive-tex-11:svn66186-77.fc39.x86_64 texlive-tex-ewd-11:svn15878.0-69.fc39.noarch texlive-tex-gyre-11:svn65956-69.fc39.noarch texlive-tex-gyre-math-11:svn41264-69.fc39.noarch texlive-tex-ini-files-11:svn40533-69.fc39.noarch texlive-tex-label-11:svn16372.0-69.fc39.noarch texlive-tex-locale-11:svn48500-69.fc39.noarch texlive-tex4ht-11:svn66530-77.fc39.x86_64 texlive-texdraw-11:svn64477-69.fc39.noarch texlive-texilikechaps-11:svn28553.1.0a-69.fc39.noarch texlive-texilikecover-11:svn15878.0.1-69.fc39.noarch texlive-texlive-common-doc-11:svn54176-69.fc39.noarch texlive-texlive-en-11:svn66572-77.fc39.noarch texlive-texlive-msg-translations-11:svn66593-69.fc39.noarch texlive-texlive-scripts-11:svn66584-77.fc39.noarch texlive-texlive.infra-11:svn66512-77.fc39.noarch texlive-texlogos-11:svn19083.1.3.1-69.fc39.noarch texlive-texmate-11:svn15878.2-69.fc39.noarch texlive-texments-11:svn15878.0.2.0-69.fc39.noarch texlive-texpower-11:svn29349.0.2-69.fc39.noarch texlive-texshade-11:svn64242-69.fc39.noarch texlive-texsurgery-11:svn59885-69.fc39.noarch texlive-textcase-11:svn63868-69.fc39.noarch texlive-textcsc-11:svn64935-69.fc39.noarch texlive-textfit-11:svn20591.5-69.fc39.noarch texlive-textgreek-11:svn44192-69.fc39.noarch texlive-textmerg-11:svn20677.2.01-69.fc39.noarch texlive-textopo-11:svn23796.1.5-69.fc39.noarch texlive-textpos-11:svn63967-69.fc39.noarch texlive-textualicomma-11:svn48474-69.fc39.noarch texlive-texvc-11:svn46844-69.fc39.noarch texlive-tfrupee-11:svn20770.1.02-69.fc39.noarch texlive-theanodidot-11:svn64518-69.fc39.noarch texlive-theanomodern-11:svn64520-69.fc39.noarch texlive-theanooldstyle-11:svn64519-69.fc39.noarch texlive-theoremref-11:svn54512-69.fc39.noarch texlive-thermodynamics-11:svn63188-69.fc39.noarch texlive-thesis-ekf-11:svn60228-69.fc39.noarch texlive-thesis-gwu-11:svn54287-69.fc39.noarch texlive-thesis-qom-11:svn63524-69.fc39.noarch texlive-thesis-titlepage-fhac-11:svn15878.0.1-69.fc39.noarch texlive-thinsp-11:svn39669-69.fc39.noarch texlive-thmbox-11:svn15878.0-69.fc39.noarch texlive-thmtools-11:svn65863-69.fc39.noarch texlive-threadcol-11:svn28754.1.0-69.fc39.noarch texlive-threeparttable-11:svn17383.0-69.fc39.noarch texlive-threeparttablex-11:svn34206.0.3-69.fc39.noarch texlive-thuaslogos-11:svn51347-69.fc39.noarch texlive-thubeamer-11:svn61071-69.fc39.noarch texlive-thucoursework-11:svn56435-69.fc39.noarch texlive-thumb-11:svn16549.1.0-69.fc39.noarch texlive-thumbpdf-11:svn62518-77.fc39.noarch texlive-thumbs-11:svn33134.1.0q-69.fc39.noarch texlive-thumby-11:svn16736.0.1-69.fc39.noarch texlive-thuthesis-11:svn64628-69.fc39.noarch texlive-ticket-11:svn42280-69.fc39.noarch texlive-ticollege-11:svn36306.1.0-69.fc39.noarch texlive-tidyres-11:svn65789-69.fc39.noarch texlive-tikz-3dplot-11:svn25087.0-69.fc39.noarch texlive-tikz-among-us-11:svn60880-69.fc39.noarch texlive-tikz-bagua-11:svn64103-69.fc39.noarch texlive-tikz-bayesnet-11:svn38295.0.1-69.fc39.noarch texlive-tikz-bbox-11:svn57444-69.fc39.noarch texlive-tikz-cd-11:svn59133-69.fc39.noarch texlive-tikz-dependency-11:svn54512-69.fc39.noarch texlive-tikz-dimline-11:svn35805.1.0-69.fc39.noarch texlive-tikz-ext-11:svn64920-69.fc39.noarch texlive-tikz-feynhand-11:svn51915-69.fc39.noarch texlive-tikz-feynman-11:svn56615-69.fc39.noarch texlive-tikz-imagelabels-11:svn51490-69.fc39.noarch texlive-tikz-inet-11:svn15878.0.1-69.fc39.noarch texlive-tikz-kalender-11:svn52890-69.fc39.noarch texlive-tikz-karnaugh-11:svn62040-69.fc39.noarch texlive-tikz-ladder-11:svn62992-69.fc39.noarch texlive-tikz-lake-fig-11:svn55288-69.fc39.noarch texlive-tikz-layers-11:svn46660-69.fc39.noarch texlive-tikz-mirror-lens-11:svn65500-69.fc39.noarch texlive-tikz-nef-11:svn55920-69.fc39.noarch texlive-tikz-network-11:svn51884-69.fc39.noarch texlive-tikz-opm-11:svn32769.0.1.1-69.fc39.noarch texlive-tikz-optics-11:svn62977-69.fc39.noarch texlive-tikz-page-11:svn42039-69.fc39.noarch texlive-tikz-palattice-11:svn43442-69.fc39.noarch texlive-tikz-planets-11:svn55002-69.fc39.noarch texlive-tikz-qtree-11:svn26108.1.2-69.fc39.noarch texlive-tikz-relay-11:svn64072-69.fc39.noarch texlive-tikz-sfc-11:svn49424-69.fc39.noarch texlive-tikz-swigs-11:svn59889-69.fc39.noarch texlive-tikz-timing-11:svn64967-69.fc39.noarch texlive-tikz-trackschematic-11:svn63480-69.fc39.noarch texlive-tikz-truchet-11:svn50020-69.fc39.noarch texlive-tikzbricks-11:svn63952-69.fc39.noarch texlive-tikzcodeblocks-11:svn54758-69.fc39.noarch texlive-tikzducks-11:svn55713-69.fc39.noarch texlive-tikzfill-11:svn63947-69.fc39.noarch texlive-tikzinclude-11:svn28715.1.0-69.fc39.noarch texlive-tikzlings-11:svn63628-69.fc39.noarch texlive-tikzmark-11:svn64819-69.fc39.noarch texlive-tikzmarmots-11:svn54080-69.fc39.noarch texlive-tikzorbital-11:svn36439.0-69.fc39.noarch texlive-tikzpackets-11:svn55827-69.fc39.noarch texlive-tikzpagenodes-11:svn64967-69.fc39.noarch texlive-tikzpeople-11:svn43978-69.fc39.noarch texlive-tikzpfeile-11:svn25777.1.0-69.fc39.noarch texlive-tikzpingus-11:svn64199-69.fc39.noarch texlive-tikzposter-11:svn32732.2.0-69.fc39.noarch texlive-tikzscale-11:svn30637.0.2.6-69.fc39.noarch texlive-tikzsymbols-11:svn61300-69.fc39.noarch texlive-tikztosvg-11:svn60289-77.fc39.x86_64 texlive-tikzviolinplots-11:svn65687-69.fc39.noarch texlive-tile-graphic-11:svn55325-69.fc39.noarch texlive-timbreicmc-11:svn49740-69.fc39.noarch texlive-times-11:svn61719-69.fc39.noarch texlive-timing-diagrams-11:svn31491.0-69.fc39.noarch texlive-tinos-11:svn64504-69.fc39.noarch texlive-tipa-11:svn29349.1.3-69.fc39.noarch texlive-tipauni-11:svn65817-69.fc39.noarch texlive-tipfr-doc-11:svn38646-69.fc39.noarch texlive-tiscreen-11:svn62602-69.fc39.noarch texlive-titlecaps-11:svn63020-69.fc39.noarch texlive-titlefoot-11:svn15878.0-69.fc39.noarch texlive-titlepic-11:svn43497-69.fc39.noarch texlive-titleref-11:svn18729.3.1-69.fc39.noarch texlive-titlesec-11:svn59845-69.fc39.noarch texlive-titling-11:svn15878.2.1d-69.fc39.noarch texlive-tkz-base-11:svn66115-69.fc39.noarch texlive-tkz-berge-11:svn57485-69.fc39.noarch texlive-tkz-doc-11:svn66115-69.fc39.noarch texlive-tkz-euclide-11:svn65724-69.fc39.noarch texlive-tkz-fct-11:svn61949-69.fc39.noarch texlive-tkz-graph-11:svn57484-69.fc39.noarch texlive-tkz-orm-11:svn61719-69.fc39.noarch texlive-tkz-tab-11:svn66115-69.fc39.noarch texlive-tkzexample-11:svn63908-69.fc39.noarch texlive-tlc-article-11:svn51431-69.fc39.noarch texlive-to-be-determined-11:svn64882-69.fc39.noarch texlive-tocbibind-11:svn20085.1.5k-69.fc39.noarch texlive-tocdata-11:svn65451-69.fc39.noarch texlive-tocloft-11:svn53364-69.fc39.noarch texlive-tocvsec2-11:svn33146.1.3a-69.fc39.noarch texlive-todo-11:svn17746.2.142-69.fc39.noarch texlive-todonotes-11:svn65699-69.fc39.noarch texlive-tokcycle-11:svn60320-69.fc39.noarch texlive-tokenizer-11:svn15878.1.1.0-69.fc39.noarch texlive-tonevalue-11:svn60058-69.fc39.noarch texlive-toolbox-11:svn32260.5.1-69.fc39.noarch texlive-tools-11:svn64892-69.fc39.noarch texlive-topfloat-11:svn19084.0-69.fc39.noarch texlive-topiclongtable-11:svn54758-69.fc39.noarch texlive-topletter-11:svn48182-69.fc39.noarch texlive-toptesi-11:svn56276-69.fc39.noarch texlive-totalcount-11:svn56214-69.fc39.noarch texlive-totcount-11:svn21178.1.2-69.fc39.noarch texlive-totpages-11:svn15878.2.00-69.fc39.noarch texlive-tpslifonts-11:svn42428-69.fc39.noarch texlive-tqft-11:svn44455-69.fc39.noarch texlive-tracklang-11:svn65263-69.fc39.noarch texlive-trajan-11:svn15878.1.1-69.fc39.noarch texlive-tramlines-11:svn65692-69.fc39.noarch texlive-translations-11:svn61896-69.fc39.noarch texlive-translator-11:svn59412-69.fc39.noarch texlive-transparent-11:svn64852-69.fc39.noarch texlive-tree-dvips-11:svn21751.91-69.fc39.noarch texlive-trfsigns-11:svn15878.1.01-69.fc39.noarch texlive-trimspaces-11:svn15878.1.1-69.fc39.noarch texlive-trivfloat-11:svn15878.1.3b-69.fc39.noarch texlive-trsym-11:svn18732.1.0-69.fc39.noarch texlive-truncate-11:svn18921.3.6-69.fc39.noarch texlive-tsemlines-11:svn23440.1.0-69.fc39.noarch texlive-ttfutils-11:svn66186-77.fc39.x86_64 texlive-tucv-11:svn20680.1.0-69.fc39.noarch texlive-tuda-ci-11:svn65254-69.fc39.noarch texlive-tudscr-11:svn64085-69.fc39.noarch texlive-tufte-latex-11:svn37649.3.5.2-69.fc39.noarch texlive-tugboat-11:svn66513-69.fc39.noarch texlive-tugboat-plain-11:svn63386-69.fc39.noarch texlive-tui-11:svn27253.1.9-69.fc39.noarch texlive-turabian-11:svn36298.0.1.0-69.fc39.noarch texlive-turabian-formatting-11:svn58561-69.fc39.noarch texlive-turnstile-11:svn64967-69.fc39.noarch texlive-turnthepage-11:svn29803.1.3a-69.fc39.noarch texlive-twemoji-colr-11:svn64854-69.fc39.noarch texlive-twemojis-11:svn62930-69.fc39.noarch texlive-twoinone-11:svn17024.0-69.fc39.noarch texlive-twoup-11:svn15878.1.3-69.fc39.noarch texlive-txfonts-11:svn15878.0-69.fc39.noarch texlive-txfontsb-11:svn54512-69.fc39.noarch texlive-txgreeks-11:svn21839.1.0-69.fc39.noarch texlive-txuprcal-11:svn43327-69.fc39.noarch texlive-type1cm-11:svn21820.0-69.fc39.noarch texlive-typed-checklist-11:svn63445-69.fc39.noarch texlive-typeface-11:svn27046.0.1-69.fc39.noarch texlive-typehtml-11:svn17134.0-69.fc39.noarch texlive-typicons-11:svn37623.2.0.7-69.fc39.noarch texlive-typoaid-11:svn44238-69.fc39.noarch texlive-typogrid-11:svn24994.0.21-69.fc39.noarch texlive-tzplot-11:svn64537-69.fc39.noarch texlive-uaclasses-11:svn15878.0-69.fc39.noarch texlive-uafthesis-11:svn57349-69.fc39.noarch texlive-uantwerpendocs-11:svn64165-69.fc39.noarch texlive-uassign-11:svn38459-69.fc39.noarch texlive-ucalgmthesis-11:svn66602-69.fc39.noarch texlive-ucbthesis-11:svn51690-69.fc39.noarch texlive-ucdavisthesis-11:svn40772-69.fc39.noarch texlive-ucharcat-11:svn38907-69.fc39.noarch texlive-ucs-11:svn64114-69.fc39.noarch texlive-ucsmonograph-11:svn52698-69.fc39.noarch texlive-ucthesis-11:svn15878.3.2-69.fc39.noarch texlive-udes-genie-these-11:svn65039-69.fc39.noarch texlive-uebungsblatt-11:svn15878.1.5.0-69.fc39.noarch texlive-uestcthesis-11:svn36371.1.1.0-69.fc39.noarch texlive-ufrgscca-11:svn65661-69.fc39.noarch texlive-uhc-11:svn16791.0-69.fc39.noarch texlive-uhhassignment-11:svn44026-69.fc39.noarch texlive-uiucredborder-11:svn29974.1.00-69.fc39.noarch texlive-uiucthesis-11:svn15878.2.25-69.fc39.noarch texlive-ukbill-11:svn65485-69.fc39.noarch texlive-ulem-11:svn53365-69.fc39.noarch texlive-ulqda-11:svn26313-77.fc39.noarch texlive-ulthese-11:svn60217-69.fc39.noarch texlive-umbclegislation-11:svn41348-69.fc39.noarch texlive-umich-thesis-11:svn15878.1.20-69.fc39.noarch texlive-umoline-11:svn19085.0-69.fc39.noarch texlive-umthesis-11:svn15878.0.2-69.fc39.noarch texlive-umtypewriter-11:svn64443-69.fc39.noarch texlive-unam-thesis-11:svn51207-69.fc39.noarch texlive-unamth-template-doc-11:svn33625.2.0-69.fc39.noarch texlive-unamthesis-11:svn43639-69.fc39.noarch texlive-unbtex-11:svn64634-69.fc39.noarch texlive-underlin-11:svn15878.1.01-69.fc39.noarch texlive-underoverlap-11:svn29019.0.0.1_r1-69.fc39.noarch texlive-underscore-11:svn18261.0-69.fc39.noarch texlive-undolabl-11:svn65846-69.fc39.noarch texlive-uni-titlepage-11:svn64306-69.fc39.noarch texlive-uni-wtal-ger-11:svn31541.0.2-69.fc39.noarch texlive-uni-wtal-lin-11:svn31409.0.2-69.fc39.noarch texlive-unicode-data-11:svn64423-69.fc39.noarch texlive-unicode-math-11:svn61719-69.fc39.noarch texlive-unicodefonttable-11:svn65009-69.fc39.noarch texlive-unifith-11:svn60698-69.fc39.noarch texlive-unigrazpub-11:svn64797-69.fc39.noarch texlive-uniquecounter-11:svn53162-69.fc39.noarch texlive-unisc-11:svn63178-69.fc39.noarch texlive-unitconv-11:svn55060-69.fc39.noarch texlive-unitn-bimrep-11:svn45581-69.fc39.noarch texlive-units-11:svn42428-69.fc39.noarch texlive-unitsdef-11:svn15878.0.2-69.fc39.noarch texlive-universa-11:svn51984-69.fc39.noarch texlive-universalis-11:svn64505-69.fc39.noarch texlive-univie-ling-11:svn65651-69.fc39.noarch texlive-unizgklasa-11:svn51647-69.fc39.noarch texlive-unravel-11:svn59175-69.fc39.noarch texlive-unswcover-11:svn66115-69.fc39.noarch texlive-uol-physics-report-11:svn65761-69.fc39.noarch texlive-uothesis-11:svn25355.2.5.6-69.fc39.noarch texlive-uowthesis-11:svn19700.1.0a-69.fc39.noarch texlive-uowthesistitlepage-11:svn54512-69.fc39.noarch texlive-uplatex-11:svn66186-69.fc39.noarch texlive-upmethodology-11:svn64613-69.fc39.noarch texlive-uppunctlm-11:svn42334-69.fc39.noarch texlive-upquote-11:svn26059.v1.3-69.fc39.noarch texlive-uptex-11:svn66381-77.fc39.x86_64 texlive-uptex-base-11:svn65802-69.fc39.noarch texlive-uptex-fonts-11:svn65657-69.fc39.noarch texlive-urcls-11:svn49903-69.fc39.noarch texlive-uri-11:svn48602-69.fc39.noarch texlive-url-11:svn32528.3.4-69.fc39.noarch texlive-urlbst-11:svn65694-77.fc39.noarch texlive-urwchancal-11:svn21701.1-69.fc39.noarch texlive-usebib-11:svn25969.1.0a-69.fc39.noarch texlive-ushort-11:svn32261.2.2-69.fc39.noarch texlive-uspace-11:svn63123-69.fc39.noarch texlive-uspatent-11:svn27744.1.0-69.fc39.noarch texlive-ut-thesis-11:svn65767-69.fc39.noarch texlive-utexasthesis-11:svn48648-69.fc39.noarch texlive-utf8add-11:svn61074-69.fc39.noarch texlive-utfsym-11:svn63076-69.fc39.noarch texlive-utopia-11:svn15878.0-69.fc39.noarch texlive-uwa-colours-11:svn60443-69.fc39.noarch texlive-uwa-letterhead-11:svn64491-69.fc39.noarch texlive-uwa-pcf-11:svn64491-69.fc39.noarch texlive-uwa-pif-11:svn64491-69.fc39.noarch texlive-uwthesis-11:svn15878.6.13-69.fc39.noarch texlive-vak-11:svn23431.0-69.fc39.noarch texlive-vancouver-11:svn59192-69.fc39.noarch texlive-variablelm-11:svn60014-69.fc39.noarch texlive-varindex-11:svn32262.2.3-69.fc39.noarch texlive-varsfromjobname-11:svn44154-69.fc39.noarch texlive-varwidth-11:svn24104.0.92-69.fc39.noarch texlive-vcell-11:svn59039-69.fc39.noarch texlive-vdmlisting-11:svn56905-69.fc39.noarch texlive-venn-11:svn15878.0-69.fc39.noarch texlive-venndiagram-11:svn47952-69.fc39.noarch texlive-venturisadf-11:svn19444.1.005-69.fc39.noarch texlive-verbasef-11:svn21922.1.1-69.fc39.noarch texlive-verbatimbox-11:svn33197.3.13-69.fc39.noarch texlive-verbatimcopy-11:svn15878.0.06-69.fc39.noarch texlive-verbdef-11:svn17177.0.2-69.fc39.noarch texlive-verbments-11:svn23670.1.2-69.fc39.noarch texlive-verifiche-11:svn64425-69.fc39.noarch texlive-verse-11:svn34017.2.4b-69.fc39.noarch texlive-version-11:svn21920.2.0-69.fc39.noarch texlive-versions-11:svn21921.0.55-69.fc39.noarch texlive-versonotes-11:svn55777-69.fc39.noarch texlive-vertbars-11:svn49429-69.fc39.noarch texlive-vgrid-11:svn32457.0.1-69.fc39.noarch texlive-vhistory-11:svn61719-69.fc39.noarch texlive-visualpstricks-doc-11:svn39799-69.fc39.noarch texlive-vmargin-11:svn15878.2.5-69.fc39.noarch texlive-volumes-11:svn15878.1.0-69.fc39.noarch texlive-vpe-11:svn26039-77.fc39.noarch texlive-vruler-11:svn21598.2.3-69.fc39.noarch texlive-vtable-11:svn51126-69.fc39.noarch texlive-vwcol-11:svn36254.0.2-69.fc39.noarch texlive-wadalab-11:svn42428-69.fc39.noarch texlive-wallcalendar-11:svn45568-69.fc39.noarch texlive-wallpaper-11:svn15878.1.10-69.fc39.noarch texlive-warning-11:svn22028.0.01-69.fc39.noarch texlive-warpcol-11:svn15878.1.0c-69.fc39.noarch texlive-was-11:svn64691-69.fc39.noarch texlive-wasy-11:svn53533-69.fc39.noarch texlive-wasy-type1-11:svn53534-69.fc39.noarch texlive-wasysym-11:svn54080-69.fc39.noarch texlive-webquiz-11:svn58808-77.fc39.noarch texlive-wheelchart-11:svn64373-69.fc39.noarch texlive-widetable-11:svn53409-69.fc39.noarch texlive-widows-and-orphans-11:svn66532-69.fc39.noarch texlive-williams-11:svn15878.0-69.fc39.noarch texlive-willowtreebook-11:svn60638-69.fc39.noarch texlive-windycity-11:svn61223-69.fc39.noarch texlive-withargs-11:svn52641-69.fc39.noarch texlive-witharrows-11:svn65841-69.fc39.noarch texlive-wordcount-11:svn46165-77.fc39.noarch texlive-wordlike-11:svn15878.1.2b-69.fc39.noarch texlive-worksheet-11:svn48423-69.fc39.noarch texlive-worldflags-11:svn59171-69.fc39.noarch texlive-wrapfig-11:svn61719-69.fc39.noarch texlive-wrapfig2-11:svn66115-69.fc39.noarch texlive-wrapstuff-11:svn64058-69.fc39.noarch texlive-writeongrid-11:svn66603-69.fc39.noarch texlive-wsemclassic-11:svn31532.1.0.1-69.fc39.noarch texlive-wsuipa-11:svn25469.0-69.fc39.noarch texlive-wtref-11:svn55558-69.fc39.noarch texlive-xargs-11:svn15878.1.1-69.fc39.noarch texlive-xassoccnt-11:svn61112-69.fc39.noarch texlive-xbmks-11:svn53448-69.fc39.noarch texlive-xcharter-11:svn63057-69.fc39.noarch texlive-xcharter-math-11:svn65686-69.fc39.noarch texlive-xcite-11:svn53486-69.fc39.noarch texlive-xcjk2uni-11:svn54958-69.fc39.noarch texlive-xcntperchap-11:svn54080-69.fc39.noarch texlive-xcolor-11:svn63563-69.fc39.noarch texlive-xcolor-material-11:svn42289-69.fc39.noarch texlive-xcolor-solarized-11:svn61719-69.fc39.noarch texlive-xcomment-11:svn20031.1.3-69.fc39.noarch texlive-xcookybooky-11:svn36435.1.5-69.fc39.noarch texlive-xcpdftips-11:svn50449-69.fc39.noarch texlive-xdoc-11:svn15878.prot2.5-69.fc39.noarch texlive-xduthesis-11:svn63116-69.fc39.noarch texlive-xduts-11:svn66472-69.fc39.noarch texlive-xdvi-11:svn62387-77.fc39.x86_64 texlive-xecjk-11:svn64059-69.fc39.noarch texlive-xellipsis-11:svn47546-69.fc39.noarch texlive-xetex-11:svn66203-77.fc39.x86_64 texlive-xetexconfig-11:svn45845-69.fc39.noarch texlive-xfakebold-11:svn55654-69.fc39.noarch texlive-xfor-11:svn15878.1.05-69.fc39.noarch texlive-xhfill-11:svn22575.1.01-69.fc39.noarch texlive-xifthen-11:svn38929-69.fc39.noarch texlive-xint-11:svn63562-69.fc39.noarch texlive-xistercian-11:svn61366-69.fc39.noarch texlive-xits-11:svn55730-69.fc39.noarch texlive-xkcdcolors-11:svn54512-69.fc39.noarch texlive-xkeyval-11:svn63616-69.fc39.noarch texlive-xltabular-11:svn56855-69.fc39.noarch texlive-xltxtra-11:svn56594-69.fc39.noarch texlive-xmpincl-11:svn60593-69.fc39.noarch texlive-xmuthesis-11:svn56614-69.fc39.noarch texlive-xnewcommand-11:svn15878.1.2-69.fc39.noarch texlive-xoptarg-11:svn15878.1.0-69.fc39.noarch texlive-xpatch-11:svn54563-69.fc39.noarch texlive-xpeek-11:svn61719-69.fc39.noarch texlive-xpicture-11:svn28770.1.2a-69.fc39.noarch texlive-xpinyin-11:svn66115-69.fc39.noarch texlive-xprintlen-11:svn35928.1.0-69.fc39.noarch texlive-xpunctuate-11:svn26641.1.0-69.fc39.noarch texlive-xput-11:svn65325-69.fc39.noarch texlive-xsavebox-11:svn64049-69.fc39.noarch texlive-xsim-11:svn61988-69.fc39.noarch texlive-xstring-11:svn65551-69.fc39.noarch texlive-xtab-11:svn23347.2.3f-69.fc39.noarch texlive-xunicode-11:svn30466.0.981-69.fc39.noarch texlive-xurl-11:svn61553-69.fc39.noarch texlive-xwatermark-11:svn61719-69.fc39.noarch texlive-xyling-11:svn15878.1.1-69.fc39.noarch texlive-xymtex-11:svn32182.5.06-69.fc39.noarch texlive-xypic-11:svn61719-69.fc39.noarch texlive-xytree-11:svn15878.1.5-69.fc39.noarch texlive-yafoot-11:svn48568-69.fc39.noarch texlive-yagusylo-11:svn29803.1.2-69.fc39.noarch texlive-yaletter-11:svn42830-69.fc39.noarch texlive-yathesis-11:svn66146-69.fc39.noarch texlive-yazd-thesis-11:svn61719-69.fc39.noarch texlive-yb-book-11:svn64586-69.fc39.noarch texlive-ycbook-11:svn46201-69.fc39.noarch texlive-ydoc-11:svn64887-69.fc39.noarch texlive-yfonts-11:svn50755-69.fc39.noarch texlive-yfonts-otf-11:svn65030-69.fc39.noarch texlive-yfonts-t1-11:svn36013-69.fc39.noarch texlive-yhmath-11:svn54377-69.fc39.noarch texlive-yinit-otf-11:svn40207-69.fc39.noarch texlive-york-thesis-11:svn23348.3.6-69.fc39.noarch texlive-youngtab-11:svn56500-69.fc39.noarch texlive-yplan-11:svn34398-77.fc39.noarch texlive-yquant-11:svn65933-69.fc39.noarch texlive-ytableau-11:svn59580-69.fc39.noarch texlive-zapfchan-11:svn61719-69.fc39.noarch texlive-zapfding-11:svn61719-69.fc39.noarch texlive-zebra-goodies-11:svn51554-69.fc39.noarch texlive-zed-csp-11:svn17258.0-69.fc39.noarch texlive-zennote-11:svn65549-69.fc39.noarch texlive-zhmetrics-11:svn22207.r206-69.fc39.noarch texlive-zhmetrics-uptex-11:svn40728-69.fc39.noarch texlive-zhnumber-11:svn66115-69.fc39.noarch texlive-ziffer-11:svn32279.2.1-69.fc39.noarch texlive-zlmtt-11:svn64076-69.fc39.noarch texlive-zootaxa-bst-11:svn50619-69.fc39.noarch texlive-zref-11:svn62977-69.fc39.noarch texlive-zref-check-11:svn63845-69.fc39.noarch texlive-zref-clever-11:svn66021-69.fc39.noarch texlive-zref-vario-11:svn65453-69.fc39.noarch texlive-zwgetfdate-11:svn15878.0-69.fc39.noarch texlive-zwpagelayout-11:svn63074-69.fc39.noarch texlive-zx-calculus-11:svn60838-69.fc39.noarch tk-1:8.6.13-1.fc40.x86_64 tre-0.8.0-42.20140228gitc2f5d13.fc40.x86_64 tre-common-0.8.0-42.20140228gitc2f5d13.fc40.noarch txt2man-1.7.1-5.fc39.noarch tzdata-2023c-4.fc40.noarch urw-base35-bookman-fonts-20200910-18.fc39.noarch urw-base35-c059-fonts-20200910-18.fc39.noarch urw-base35-d050000l-fonts-20200910-18.fc39.noarch urw-base35-fonts-20200910-18.fc39.noarch urw-base35-fonts-common-20200910-18.fc39.noarch urw-base35-fonts-legacy-20200910-18.fc39.noarch urw-base35-gothic-fonts-20200910-18.fc39.noarch urw-base35-nimbus-mono-ps-fonts-20200910-18.fc39.noarch urw-base35-nimbus-roman-fonts-20200910-18.fc39.noarch urw-base35-nimbus-sans-fonts-20200910-18.fc39.noarch urw-base35-p052-fonts-20200910-18.fc39.noarch urw-base35-standard-symbols-ps-fonts-20200910-18.fc39.noarch urw-base35-z003-fonts-20200910-18.fc39.noarch woff2-1.0.2-18.fc39.x86_64 xdg-utils-1.1.3-15.fc40.noarch xkeyboard-config-2.40-1.fc40.noarch xml-common-0.6.3-62.fc40.noarch xpdf-libs-1:4.04-10.fc39.x86_64 xprop-1.2.5-4.fc39.x86_64 yosyshq-abc-0.35-1.20231108git896e5e7.fc40.x86_64 yosyshq-abc-libs-0.35-1.20231108git896e5e7.fc40.x86_64 zlib-devel-1.2.13-5.fc40.x86_64 zziplib-0.13.72-5.fc39.x86_64 Complete! Finish: build setup for yosys-0.35-1.20231108git5691cd0.fc40.src.rpm Start: rpmbuild yosys-0.35-1.20231108git5691cd0.fc40.src.rpm Building target platforms: x86_64 Building for target x86_64 setting SOURCE_DATE_EPOCH=1699401600 Executing(%prep): /bin/sh -e /var/tmp/rpm-tmp.GO7Kzo + umask 022 + cd /builddir/build/BUILD + cd /builddir/build/BUILD + rm -rf yosys-5691cd095848f89f9f84a29f267fdebe95bae832 + /usr/lib/rpm/rpmuncompress -x /builddir/build/SOURCES/yosys-5691cd0.tar.gz + STATUS=0 + '[' 0 -ne 0 ']' + cd yosys-5691cd095848f89f9f84a29f267fdebe95bae832 + rm -rf /builddir/build/BUILD/yosys-5691cd095848f89f9f84a29f267fdebe95bae832-SPECPARTS + /usr/bin/mkdir -p /builddir/build/BUILD/yosys-5691cd095848f89f9f84a29f267fdebe95bae832-SPECPARTS + /usr/bin/chmod -Rf a+rX,u+w,g-w,o-w . Patch #1 (yosys-cfginc.patch): + echo 'Patch #1 (yosys-cfginc.patch):' + /usr/bin/patch --no-backup-if-mismatch -f -p1 -b --suffix .cfginc --fuzz=0 patching file Makefile Hunk #1 succeeded at 791 (offset 1 line). patching file tests/various/plugin.sh Patch #2 (yosys-mancfginc.patch): + echo 'Patch #2 (yosys-mancfginc.patch):' + /usr/bin/patch --no-backup-if-mismatch -f -p1 -b --suffix .mancfginc --fuzz=0 patching file manual/PRESENTATION_Prog.tex patching file manual/PRESENTATION_Prog/Makefile + cp /builddir/build/SOURCES/viz.js . + cd /builddir/build/BUILD + cd yosys-5691cd095848f89f9f84a29f267fdebe95bae832 + rm -rf /builddir/build/BUILD/yosys-5691cd095848f89f9f84a29f267fdebe95bae832-SPECPARTS + /usr/bin/mkdir -p /builddir/build/BUILD/yosys-5691cd095848f89f9f84a29f267fdebe95bae832-SPECPARTS + /usr/lib/rpm/rpmuncompress -x /builddir/build/SOURCES/yosys_0.9-1.debian.tar.xz + STATUS=0 + '[' 0 -ne 0 ']' + /usr/bin/chmod -Rf a+rX,u+w,g-w,o-w . ++ find . -name '*.py' + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./tests/xprop/test.py + touch -r ./tests/xprop/test.py ./tests/xprop/test.py.new + mv ./tests/xprop/test.py.new ./tests/xprop/test.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./tests/xprop/generate.py + touch -r ./tests/xprop/generate.py ./tests/xprop/generate.py.new + mv ./tests/xprop/generate.py.new ./tests/xprop/generate.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./tests/tools/txt2tikztiming.py + touch -r ./tests/tools/txt2tikztiming.py ./tests/tools/txt2tikztiming.py.new + mv ./tests/tools/txt2tikztiming.py.new ./tests/tools/txt2tikztiming.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./tests/share/generate.py + touch -r ./tests/share/generate.py ./tests/share/generate.py.new + mv ./tests/share/generate.py.new ./tests/share/generate.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./tests/rpc/frontend.py + touch -r ./tests/rpc/frontend.py ./tests/rpc/frontend.py.new + mv ./tests/rpc/frontend.py.new ./tests/rpc/frontend.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./tests/realmath/generate.py + touch -r ./tests/realmath/generate.py ./tests/realmath/generate.py.new + mv ./tests/realmath/generate.py.new ./tests/realmath/generate.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./tests/opt_share/generate.py + touch -r ./tests/opt_share/generate.py ./tests/opt_share/generate.py.new + mv ./tests/opt_share/generate.py.new ./tests/opt_share/generate.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./tests/memlib/generate.py + touch -r ./tests/memlib/generate.py ./tests/memlib/generate.py.new + mv ./tests/memlib/generate.py.new ./tests/memlib/generate.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./tests/fsm/generate.py + touch -r ./tests/fsm/generate.py ./tests/fsm/generate.py.new + mv ./tests/fsm/generate.py.new ./tests/fsm/generate.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./tests/bram/generate.py + touch -r ./tests/bram/generate.py ./tests/bram/generate.py.new + mv ./tests/bram/generate.py.new ./tests/bram/generate.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./tests/arch/gatemate/gen_luttrees.py + touch -r ./tests/arch/gatemate/gen_luttrees.py ./tests/arch/gatemate/gen_luttrees.py.new + mv ./tests/arch/gatemate/gen_luttrees.py.new ./tests/arch/gatemate/gen_luttrees.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./techlibs/xilinx/cells_xtra.py + touch -r ./techlibs/xilinx/cells_xtra.py ./techlibs/xilinx/cells_xtra.py.new + mv ./techlibs/xilinx/cells_xtra.py.new ./techlibs/xilinx/cells_xtra.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./techlibs/nexus/cells_xtra.py + touch -r ./techlibs/nexus/cells_xtra.py ./techlibs/nexus/cells_xtra.py.new + mv ./techlibs/nexus/cells_xtra.py.new ./techlibs/nexus/cells_xtra.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./techlibs/lattice/cells_xtra.py + touch -r ./techlibs/lattice/cells_xtra.py ./techlibs/lattice/cells_xtra.py.new + mv ./techlibs/lattice/cells_xtra.py.new ./techlibs/lattice/cells_xtra.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./techlibs/gowin/cells_xtra.py + touch -r ./techlibs/gowin/cells_xtra.py ./techlibs/gowin/cells_xtra.py.new + mv ./techlibs/gowin/cells_xtra.py.new ./techlibs/gowin/cells_xtra.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./techlibs/gatemate/make_lut_tree_lib.py + touch -r ./techlibs/gatemate/make_lut_tree_lib.py ./techlibs/gatemate/make_lut_tree_lib.py.new + mv ./techlibs/gatemate/make_lut_tree_lib.py.new ./techlibs/gatemate/make_lut_tree_lib.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./techlibs/ecp5/tests/test_diamond_ffs.py + touch -r ./techlibs/ecp5/tests/test_diamond_ffs.py ./techlibs/ecp5/tests/test_diamond_ffs.py.new + mv ./techlibs/ecp5/tests/test_diamond_ffs.py.new ./techlibs/ecp5/tests/test_diamond_ffs.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./techlibs/common/gen_fine_ffs.py + touch -r ./techlibs/common/gen_fine_ffs.py ./techlibs/common/gen_fine_ffs.py.new + mv ./techlibs/common/gen_fine_ffs.py.new ./techlibs/common/gen_fine_ffs.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./techlibs/common/cellhelp.py + touch -r ./techlibs/common/cellhelp.py ./techlibs/common/cellhelp.py.new + mv ./techlibs/common/cellhelp.py.new ./techlibs/common/cellhelp.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./passes/pmgen/pmgen.py + touch -r ./passes/pmgen/pmgen.py ./passes/pmgen/pmgen.py.new + mv ./passes/pmgen/pmgen.py.new ./passes/pmgen/pmgen.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./misc/py_wrap_generator.py + touch -r ./misc/py_wrap_generator.py ./misc/py_wrap_generator.py.new + mv ./misc/py_wrap_generator.py.new ./misc/py_wrap_generator.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./misc/__init__.py + touch -r ./misc/__init__.py ./misc/__init__.py.new + mv ./misc/__init__.py.new ./misc/__init__.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./examples/python-api/script.py + touch -r ./examples/python-api/script.py ./examples/python-api/script.py.new + mv ./examples/python-api/script.py.new ./examples/python-api/script.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./examples/python-api/pass.py + touch -r ./examples/python-api/pass.py ./examples/python-api/pass.py.new + mv ./examples/python-api/pass.py.new ./examples/python-api/pass.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./docs/util/YoscryptLexer.py + touch -r ./docs/util/YoscryptLexer.py ./docs/util/YoscryptLexer.py.new + mv ./docs/util/YoscryptLexer.py.new ./docs/util/YoscryptLexer.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./docs/util/RtlilLexer.py + touch -r ./docs/util/RtlilLexer.py ./docs/util/RtlilLexer.py.new + mv ./docs/util/RtlilLexer.py.new ./docs/util/RtlilLexer.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./docs/source/conf.py + touch -r ./docs/source/conf.py ./docs/source/conf.py.new + mv ./docs/source/conf.py.new ./docs/source/conf.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./backends/smt2/ywio.py + touch -r ./backends/smt2/ywio.py ./backends/smt2/ywio.py.new + mv ./backends/smt2/ywio.py.new ./backends/smt2/ywio.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./backends/smt2/witness.py + touch -r ./backends/smt2/witness.py ./backends/smt2/witness.py.new + mv ./backends/smt2/witness.py.new ./backends/smt2/witness.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./backends/smt2/smtio.py + touch -r ./backends/smt2/smtio.py ./backends/smt2/smtio.py.new + mv ./backends/smt2/smtio.py.new ./backends/smt2/smtio.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./backends/smt2/smtbmc.py + touch -r ./backends/smt2/smtbmc.py ./backends/smt2/smtbmc.py.new + mv ./backends/smt2/smtbmc.py.new ./backends/smt2/smtbmc.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./backends/edif/runtest.py + touch -r ./backends/edif/runtest.py ./backends/edif/runtest.py.new + mv ./backends/edif/runtest.py.new ./backends/edif/runtest.py + make config-gcc /bin/sh: line 1: clang: command not found rm -rf share rm -rf kernel/*.pyh rm -f kernel/version_5691cd09584.o kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o kernel/binding.o kernel/cellaigs.o kernel/celledges.o kernel/satgen.o kernel/qcsat.o kernel/mem.o kernel/ffmerge.o kernel/ff.o kernel/yw.o kernel/json.o kernel/fmt.o kernel/fstdata.o libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o libs/sha1/sha1.o libs/json11/json11.o libs/subcircuit/subcircuit.o libs/ezsat/ezsat.o libs/ezsat/ezminisat.o libs/minisat/Options.o libs/minisat/SimpSolver.o libs/minisat/Solver.o libs/minisat/System.o libs/fst/fstapi.o libs/fst/fastlz.o libs/fst/lz4.o frontends/aiger/aigerparse.o frontends/ast/ast.o frontends/ast/simplify.o frontends/ast/genrtlil.o frontends/ast/dpicall.o frontends/ast/ast_binding.o frontends/blif/blifparse.o frontends/json/jsonparse.o frontends/liberty/liberty.o frontends/rpc/rpc_frontend.o frontends/rtlil/rtlil_parser.tab.o frontends/rtlil/rtlil_lexer.o frontends/rtlil/rtlil_frontend.o frontends/verific/verific.o frontends/verilog/verilog_parser.tab.o frontends/verilog/verilog_lexer.o frontends/verilog/preproc.o frontends/verilog/verilog_frontend.o frontends/verilog/const2ast.o passes/cmds/exec.o passes/cmds/add.o passes/cmds/delete.o passes/cmds/design.o passes/cmds/select.o passes/cmds/show.o passes/cmds/viz.o passes/cmds/rename.o passes/cmds/autoname.o passes/cmds/connect.o passes/cmds/scatter.o passes/cmds/setundef.o passes/cmds/splitnets.o passes/cmds/splitcells.o passes/cmds/stat.o passes/cmds/setattr.o passes/cmds/copy.o passes/cmds/splice.o passes/cmds/scc.o passes/cmds/glift.o passes/cmds/torder.o passes/cmds/logcmd.o passes/cmds/tee.o passes/cmds/write_file.o passes/cmds/connwrappers.o passes/cmds/cover.o passes/cmds/trace.o passes/cmds/plugin.o passes/cmds/check.o passes/cmds/qwp.o passes/cmds/edgetypes.o passes/cmds/portlist.o passes/cmds/chformal.o passes/cmds/chtype.o passes/cmds/blackbox.o passes/cmds/ltp.o passes/cmds/bugpoint.o passes/cmds/scratchpad.o passes/cmds/logger.o passes/cmds/printattrs.o passes/cmds/sta.o passes/cmds/clean_zerowidth.o passes/cmds/xprop.o passes/cmds/dft_tag.o passes/cmds/future.o passes/equiv/equiv_make.o passes/equiv/equiv_miter.o passes/equiv/equiv_simple.o passes/equiv/equiv_status.o passes/equiv/equiv_add.o passes/equiv/equiv_remove.o passes/equiv/equiv_induct.o passes/equiv/equiv_struct.o passes/equiv/equiv_purge.o passes/equiv/equiv_mark.o passes/equiv/equiv_opt.o passes/fsm/fsm.o passes/fsm/fsm_detect.o passes/fsm/fsm_extract.o passes/fsm/fsm_opt.o passes/fsm/fsm_expand.o passes/fsm/fsm_recode.o passes/fsm/fsm_info.o passes/fsm/fsm_export.o passes/fsm/fsm_map.o passes/hierarchy/hierarchy.o passes/hierarchy/uniquify.o passes/hierarchy/submod.o passes/memory/memory.o passes/memory/memory_dff.o passes/memory/memory_share.o passes/memory/memory_collect.o passes/memory/memory_unpack.o passes/memory/memory_bram.o passes/memory/memory_map.o passes/memory/memory_memx.o passes/memory/memory_nordff.o passes/memory/memory_narrow.o passes/memory/memory_libmap.o passes/memory/memory_bmux2rom.o passes/memory/memlib.o passes/opt/opt.o passes/opt/opt_merge.o passes/opt/opt_mem.o passes/opt/opt_mem_feedback.o passes/opt/opt_mem_priority.o passes/opt/opt_mem_widen.o passes/opt/opt_muxtree.o passes/opt/opt_reduce.o passes/opt/opt_dff.o passes/opt/opt_share.o passes/opt/opt_clean.o passes/opt/opt_expr.o passes/opt/share.o passes/opt/wreduce.o passes/opt/opt_demorgan.o passes/opt/rmports.o passes/opt/opt_lut.o passes/opt/opt_lut_ins.o passes/opt/opt_ffinv.o passes/opt/pmux2shiftx.o passes/opt/muxpack.o passes/pmgen/test_pmgen.o passes/pmgen/ice40_dsp.o passes/pmgen/ice40_wrapcarry.o passes/pmgen/xilinx_dsp.o passes/pmgen/peepopt.o passes/pmgen/xilinx_srl.o passes/proc/proc.o passes/proc/proc_prune.o passes/proc/proc_clean.o passes/proc/proc_rmdead.o passes/proc/proc_init.o passes/proc/proc_arst.o passes/proc/proc_rom.o passes/proc/proc_mux.o passes/proc/proc_dlatch.o passes/proc/proc_dff.o passes/proc/proc_memwr.o passes/sat/sat.o passes/sat/freduce.o passes/sat/eval.o passes/sat/sim.o passes/sat/miter.o passes/sat/expose.o passes/sat/assertpmux.o passes/sat/clk2fflogic.o passes/sat/async2sync.o passes/sat/formalff.o passes/sat/supercover.o passes/sat/fmcombine.o passes/sat/mutate.o passes/sat/cutpoint.o passes/sat/fminit.o passes/sat/recover_names.o passes/sat/qbfsat.o passes/sat/synthprop.o passes/techmap/flatten.o passes/techmap/techmap.o passes/techmap/simplemap.o passes/techmap/dfflibmap.o passes/techmap/maccmap.o passes/techmap/booth.o passes/techmap/libparse.o passes/techmap/abc.o passes/techmap/abc9.o passes/techmap/abc9_exe.o passes/techmap/abc9_ops.o passes/techmap/iopadmap.o passes/techmap/clkbufmap.o passes/techmap/hilomap.o passes/techmap/extract.o passes/techmap/extract_fa.o passes/techmap/extract_counter.o passes/techmap/extract_reduce.o passes/techmap/alumacc.o passes/techmap/dffinit.o passes/techmap/pmuxtree.o passes/techmap/bmuxmap.o passes/techmap/demuxmap.o passes/techmap/bwmuxmap.o passes/techmap/muxcover.o passes/techmap/aigmap.o passes/techmap/tribuf.o passes/techmap/lut2mux.o passes/techmap/nlutmap.o passes/techmap/shregmap.o passes/techmap/deminout.o passes/techmap/insbuf.o passes/techmap/attrmvcp.o passes/techmap/attrmap.o passes/techmap/zinit.o passes/techmap/dfflegalize.o passes/techmap/dffunmap.o passes/techmap/flowmap.o passes/techmap/extractinv.o passes/tests/test_autotb.o passes/tests/test_cell.o passes/tests/test_abcloop.o backends/aiger/aiger.o backends/aiger/xaiger.o backends/blif/blif.o backends/btor/btor.o backends/cxxrtl/cxxrtl_backend.o backends/edif/edif.o backends/firrtl/firrtl.o backends/intersynth/intersynth.o backends/jny/jny.o backends/json/json.o backends/rtlil/rtlil_backend.o backends/simplec/simplec.o backends/smt2/smt2.o backends/smv/smv.o backends/spice/spice.o backends/table/table.o backends/verilog/verilog_backend.o techlibs/achronix/synth_achronix.o techlibs/anlogic/synth_anlogic.o techlibs/anlogic/anlogic_eqn.o techlibs/anlogic/anlogic_fixcarry.o techlibs/common/synth.o techlibs/common/prep.o techlibs/coolrunner2/synth_coolrunner2.o techlibs/coolrunner2/coolrunner2_sop.o techlibs/coolrunner2/coolrunner2_fixup.o techlibs/easic/synth_easic.o techlibs/ecp5/synth_ecp5.o techlibs/efinix/synth_efinix.o techlibs/efinix/efinix_fixcarry.o techlibs/fabulous/synth_fabulous.o techlibs/gatemate/synth_gatemate.o techlibs/gatemate/gatemate_foldinv.o techlibs/gowin/synth_gowin.o techlibs/greenpak4/synth_greenpak4.o techlibs/greenpak4/greenpak4_dffinv.o techlibs/ice40/synth_ice40.o techlibs/ice40/ice40_braminit.o techlibs/ice40/ice40_opt.o techlibs/intel/synth_intel.o techlibs/intel_alm/synth_intel_alm.o techlibs/lattice/synth_lattice.o techlibs/lattice/lattice_gsr.o techlibs/nexus/synth_nexus.o techlibs/quicklogic/synth_quicklogic.o techlibs/sf2/synth_sf2.o techlibs/xilinx/synth_xilinx.o techlibs/xilinx/xilinx_dffopt.o frontends/rtlil/rtlil_parser.tab.cc frontends/rtlil/rtlil_parser.tab.hh frontends/rtlil/rtlil_parser.output frontends/rtlil/rtlil_lexer.cc frontends/verilog/verilog_parser.tab.cc frontends/verilog/verilog_parser.tab.hh frontends/verilog/verilog_parser.output frontends/verilog/verilog_lexer.cc passes/pmgen/test_pmgen_pm.h passes/pmgen/ice40_dsp_pm.h passes/pmgen/ice40_wrapcarry_pm.h passes/pmgen/xilinx_dsp_pm.h passes/pmgen/xilinx_dsp48a_pm.h passes/pmgen/xilinx_dsp_CREG_pm.h passes/pmgen/xilinx_dsp_cascade_pm.h passes/pmgen/peepopt_pm.h passes/pmgen/xilinx_srl_pm.h techlibs/common/simlib_help.inc techlibs/common/simcells_help.inc yosys yosys-config yosys-abc yosys-filterlib yosys-smtbmc yosys-witness share/include/kernel/binding.h share/include/kernel/cellaigs.h share/include/kernel/celledges.h share/include/kernel/celltypes.h share/include/kernel/consteval.h share/include/kernel/constids.inc share/include/kernel/cost.h share/include/kernel/ff.h share/include/kernel/ffinit.h share/include/kernel/ffmerge.h share/include/kernel/fmt.h share/include/kernel/fstdata.h share/include/kernel/hashlib.h share/include/kernel/json.h share/include/kernel/log.h share/include/kernel/macc.h share/include/kernel/modtools.h share/include/kernel/mem.h share/include/kernel/qcsat.h share/include/kernel/register.h share/include/kernel/rtlil.h share/include/kernel/satgen.h share/include/kernel/sigtools.h share/include/kernel/timinginfo.h share/include/kernel/utils.h share/include/kernel/yosys.h share/include/kernel/yw.h share/include/libs/ezsat/ezsat.h share/include/libs/ezsat/ezminisat.h share/include/libs/fst/fstapi.h share/include/libs/sha1/sha1.h share/include/libs/json11/json11.hpp share/include/passes/fsm/fsmdata.h share/include/frontends/ast/ast.h share/include/frontends/ast/ast_binding.h share/include/frontends/blif/blifparse.h share/include/backends/rtlil/rtlil_backend.h share/include/backends/cxxrtl/cxxrtl.h share/include/backends/cxxrtl/cxxrtl_vcd.h share/include/backends/cxxrtl/cxxrtl_capi.cc share/include/backends/cxxrtl/cxxrtl_capi.h share/include/backends/cxxrtl/cxxrtl_vcd_capi.cc share/include/backends/cxxrtl/cxxrtl_vcd_capi.h share/python3/smtio.py share/python3/ywio.py share/achronix/speedster22i/cells_sim.v share/achronix/speedster22i/cells_map.v share/anlogic/cells_map.v share/anlogic/arith_map.v share/anlogic/cells_sim.v share/anlogic/eagle_bb.v share/anlogic/lutrams.txt share/anlogic/lutrams_map.v share/anlogic/brams.txt share/anlogic/brams_map.v share/simlib.v share/simcells.v share/techmap.v share/smtmap.v share/pmux2mux.v share/adff2dff.v share/dff2ff.v share/gate2lut.v share/cmp2lut.v share/cells.lib share/mul2dsp.v share/abc9_model.v share/abc9_map.v share/abc9_unmap.v share/cmp2lcu.v share/coolrunner2/cells_latch.v share/coolrunner2/cells_sim.v share/coolrunner2/cells_counter_map.v share/coolrunner2/tff_extract.v share/coolrunner2/xc2_dff.lib share/ecp5/cells_ff.vh share/ecp5/cells_io.vh share/ecp5/cells_map.v share/ecp5/cells_sim.v share/ecp5/cells_bb.v share/ecp5/lutrams_map.v share/ecp5/lutrams.txt share/ecp5/brams_map.v share/ecp5/brams.txt share/ecp5/arith_map.v share/ecp5/latches_map.v share/ecp5/dsp_map.v share/efinix/cells_map.v share/efinix/arith_map.v share/efinix/cells_sim.v share/efinix/brams_map.v share/efinix/gbuf_map.v share/efinix/brams.txt share/fabulous/cells_map.v share/fabulous/prims.v share/fabulous/latches_map.v share/fabulous/ff_map.v share/fabulous/ram_regfile.txt share/fabulous/regfile_map.v share/fabulous/io_map.v share/fabulous/arith_map.v share/gatemate/reg_map.v share/gatemate/mux_map.v share/gatemate/lut_map.v share/gatemate/mul_map.v share/gatemate/arith_map.v share/gatemate/cells_sim.v share/gatemate/cells_bb.v share/gatemate/brams_map.v share/gatemate/brams.txt share/gatemate/brams_init_20.vh share/gatemate/brams_init_40.vh share/gatemate/inv_map.v share/gatemate/lut_tree_cells.genlib share/gatemate/lut_tree_map.v share/gowin/cells_map.v share/gowin/cells_sim.v share/gowin/cells_xtra.v share/gowin/arith_map.v share/gowin/brams_map.v share/gowin/brams.txt share/gowin/lutrams_map.v share/gowin/lutrams.txt share/greenpak4/cells_blackbox.v share/greenpak4/cells_latch.v share/greenpak4/cells_map.v share/greenpak4/cells_sim.v share/greenpak4/cells_sim_ams.v share/greenpak4/cells_sim_digital.v share/greenpak4/cells_sim_wip.v share/greenpak4/gp_dff.lib share/ice40/arith_map.v share/ice40/cells_map.v share/ice40/ff_map.v share/ice40/cells_sim.v share/ice40/latches_map.v share/ice40/brams.txt share/ice40/brams_map.v share/ice40/spram.txt share/ice40/spram_map.v share/ice40/dsp_map.v share/ice40/abc9_model.v share/intel/common/m9k_bb.v share/intel/common/altpll_bb.v share/intel/common/brams_m9k.txt share/intel/common/brams_map_m9k.v share/intel/common/ff_map.v share/intel/max10/cells_sim.v share/intel/cyclone10lp/cells_sim.v share/intel/cycloneiv/cells_sim.v share/intel/cycloneive/cells_sim.v share/intel/max10/cells_map.v share/intel/cyclone10lp/cells_map.v share/intel/cycloneiv/cells_map.v share/intel/cycloneive/cells_map.v share/intel_alm/common/abc9_map.v share/intel_alm/common/abc9_unmap.v share/intel_alm/common/abc9_model.v share/intel_alm/common/alm_map.v share/intel_alm/common/alm_sim.v share/intel_alm/common/arith_alm_map.v share/intel_alm/common/dff_map.v share/intel_alm/common/dff_sim.v share/intel_alm/common/dsp_sim.v share/intel_alm/common/dsp_map.v share/intel_alm/common/mem_sim.v share/intel_alm/common/misc_sim.v share/intel_alm/cyclonev/cells_sim.v share/intel_alm/common/bram_m10k.txt share/intel_alm/common/bram_m10k_map.v share/intel_alm/common/bram_m20k.txt share/intel_alm/common/bram_m20k_map.v share/intel_alm/common/lutram_mlab.txt share/intel_alm/common/megafunction_bb.v share/intel_alm/common/quartus_rename.v share/lattice/cells_ff.vh share/lattice/cells_io.vh share/lattice/cells_map.v share/lattice/common_sim.vh share/lattice/ccu2d_sim.vh share/lattice/ccu2c_sim.vh share/lattice/cells_sim_ecp5.v share/lattice/cells_sim_xo2.v share/lattice/cells_sim_xo3.v share/lattice/cells_sim_xo3d.v share/lattice/cells_bb_ecp5.v share/lattice/cells_bb_xo2.v share/lattice/cells_bb_xo3.v share/lattice/cells_bb_xo3d.v share/lattice/lutrams_map.v share/lattice/lutrams.txt share/lattice/brams_map_16kd.v share/lattice/brams_16kd.txt share/lattice/brams_map_8kc.v share/lattice/brams_8kc.txt share/lattice/arith_map_ccu2c.v share/lattice/arith_map_ccu2d.v share/lattice/latches_map.v share/lattice/dsp_map_18x18.v share/nexus/cells_map.v share/nexus/cells_sim.v share/nexus/parse_init.vh share/nexus/cells_xtra.v share/nexus/lutrams_map.v share/nexus/lutrams.txt share/nexus/brams_map.v share/nexus/brams.txt share/nexus/lrams_map.v share/nexus/lrams.txt share/nexus/arith_map.v share/nexus/latches_map.v share/nexus/dsp_map.v share/quicklogic/pp3_ffs_map.v share/quicklogic/pp3_lut_map.v share/quicklogic/pp3_latches_map.v share/quicklogic/pp3_cells_map.v share/quicklogic/cells_sim.v share/quicklogic/lut_sim.v share/quicklogic/pp3_cells_sim.v share/quicklogic/abc9_model.v share/quicklogic/abc9_map.v share/quicklogic/abc9_unmap.v share/sf2/arith_map.v share/sf2/cells_map.v share/sf2/cells_sim.v share/xilinx/cells_map.v share/xilinx/cells_sim.v share/xilinx/cells_xtra.v share/xilinx/lutrams_xcv.txt share/xilinx/lutrams_xcv_map.v share/xilinx/lutrams_xc5v.txt share/xilinx/lutrams_xcu.txt share/xilinx/lutrams_xc5v_map.v share/xilinx/brams_xcv.txt share/xilinx/brams_xcv_map.v share/xilinx/brams_defs.vh share/xilinx/brams_xc2v.txt share/xilinx/brams_xc2v_map.v share/xilinx/brams_xc3sda.txt share/xilinx/brams_xc3sda_map.v share/xilinx/brams_xc4v.txt share/xilinx/brams_xc4v_map.v share/xilinx/brams_xc5v_map.v share/xilinx/brams_xc6v_map.v share/xilinx/brams_xcu_map.v share/xilinx/urams.txt share/xilinx/urams_map.v share/xilinx/arith_map.v share/xilinx/ff_map.v share/xilinx/lut_map.v share/xilinx/mux_map.v share/xilinx/xc3s_mult_map.v share/xilinx/xc3sda_dsp_map.v share/xilinx/xc6s_dsp_map.v share/xilinx/xc4v_dsp_map.v share/xilinx/xc5v_dsp_map.v share/xilinx/xc7_dsp_map.v share/xilinx/xcu_dsp_map.v share/xilinx/abc9_model.v passes/pmgen/test_pmgen_pm.h passes/pmgen/ice40_dsp_pm.h passes/pmgen/ice40_wrapcarry_pm.h passes/pmgen/xilinx_dsp_pm.h passes/pmgen/xilinx_dsp48a_pm.h passes/pmgen/xilinx_dsp_CREG_pm.h passes/pmgen/xilinx_dsp_cascade_pm.h passes/pmgen/peepopt_pm.h passes/pmgen/xilinx_srl_pm.h passes/techmap/filterlib.o techlibs/gatemate/lut_tree_lib.mk .cc rm -f kernel/version_*.o kernel/version_*.cc rm -f libs/*/*.d frontends/*/*.d passes/*/*.d backends/*/*.d kernel/*.d techlibs/*/*.d rm -rf tests/asicworld/*.out tests/asicworld/*.log rm -rf tests/hana/*.out tests/hana/*.log rm -rf tests/simple/*.out tests/simple/*.log rm -rf tests/memories/*.out tests/memories/*.log tests/memories/*.dmp rm -rf tests/sat/*.log tests/techmap/*.log tests/various/*.log rm -rf tests/bram/temp tests/fsm/temp tests/realmath/temp tests/share/temp tests/smv/temp tests/various/temp rm -rf vloghtb/Makefile vloghtb/refdat vloghtb/rtl vloghtb/scripts vloghtb/spec vloghtb/check_yosys vloghtb/vloghammer_tb.tar.bz2 vloghtb/temp vloghtb/log_test_* rm -f tests/svinterfaces/*.log_stdout tests/svinterfaces/*.log_stderr tests/svinterfaces/dut_result.txt tests/svinterfaces/reference_result.txt tests/svinterfaces/a.out tests/svinterfaces/*_syn.v tests/svinterfaces/*.diff rm -f tests/tools/cmp_tbdata make -C docs clean make[1]: Entering directory '/builddir/build/BUILD/yosys-5691cd095848f89f9f84a29f267fdebe95bae832/docs' rm -rf build/* make[1]: Leaving directory '/builddir/build/BUILD/yosys-5691cd095848f89f9f84a29f267fdebe95bae832/docs' make -C docs/images clean make[1]: Entering directory '/builddir/build/BUILD/yosys-5691cd095848f89f9f84a29f267fdebe95bae832/docs/images' rm -f *.log rm -f *.aux rm -f 011/*.log 011/*.aux rm -f *.pdf rm -f *.svg rm -f 011/*.pdf 011/*.svg make[1]: Leaving directory '/builddir/build/BUILD/yosys-5691cd095848f89f9f84a29f267fdebe95bae832/docs/images' rm -rf docs/source/cmd docs/util/__pycache__ echo 'CONFIG := gcc' > Makefile.conf ++ find manual -name '*.tex' -exec grep -l '{luximono}' '{}' ';' + for f in `find manual -name \*.tex -exec grep -l {luximono} {} \;` + sed -i 's|{luximono}|{libertine}|' manual/presentation.tex + RPM_EC=0 ++ jobs -p + exit 0 Executing(%build): /bin/sh -e /var/tmp/rpm-tmp.YMmkXZ + umask 022 + cd /builddir/build/BUILD + CFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Werror=implicit-function-declaration -Werror=implicit-int -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer ' + export CFLAGS + CXXFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer ' + export CXXFLAGS + FFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -I/usr/lib64/gfortran/modules ' + export FFLAGS + FCFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -I/usr/lib64/gfortran/modules ' + export FCFLAGS + VALAFLAGS=-g + export VALAFLAGS + RUSTFLAGS='-Copt-level=3 -Cdebuginfo=2 -Ccodegen-units=1 -Cstrip=none -Cforce-frame-pointers=yes -Clink-arg=-Wl,-z,relro -Clink-arg=-Wl,-z,now -Clink-arg=-specs=/usr/lib/rpm/redhat/redhat-package-notes --cap-lints=warn' + export RUSTFLAGS + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes ' + export LDFLAGS + LT_SYS_LIBRARY_PATH=/usr/lib64: + export LT_SYS_LIBRARY_PATH + CC=gcc + export CC + CXX=g++ + export CXX + cd yosys-5691cd095848f89f9f84a29f267fdebe95bae832 + CFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Werror=implicit-function-declaration -Werror=implicit-int -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer ' + export CFLAGS + CXXFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer ' + export CXXFLAGS + FFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -I/usr/lib64/gfortran/modules ' + export FFLAGS + FCFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -I/usr/lib64/gfortran/modules ' + export FCFLAGS + VALAFLAGS=-g + export VALAFLAGS + RUSTFLAGS='-Copt-level=3 -Cdebuginfo=2 -Ccodegen-units=1 -Cstrip=none -Cforce-frame-pointers=yes -Clink-arg=-Wl,-z,relro -Clink-arg=-Wl,-z,now -Clink-arg=-specs=/usr/lib/rpm/redhat/redhat-package-notes --cap-lints=warn' + export RUSTFLAGS + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes ' + export LDFLAGS + LT_SYS_LIBRARY_PATH=/usr/lib64: + export LT_SYS_LIBRARY_PATH + CC=gcc + export CC + CXX=g++ + export CXX + make -j2 PREFIX=/usr ABCEXTERNAL=/usr/bin/abc PRETTY=0 all [Makefile.conf] CONFIG := gcc rm -f kernel/version_*.o kernel/version_*.d kernel/version_*.cc mkdir -p kernel/ gcc -o kernel/driver.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/driver.cc mkdir -p kernel && echo "namespace Yosys { extern const char *yosys_version_str; const char *yosys_version_str=\"Yosys 0.35+7 (git sha1 5691cd09584, gcc 13.2.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -Os)\"; }" > kernel/version_5691cd09584.cc mkdir -p techlibs/common python3 techlibs/common/cellhelp.py techlibs/common/simlib.v > techlibs/common/simlib_help.inc.new mv techlibs/common/simlib_help.inc.new techlibs/common/simlib_help.inc mkdir -p techlibs/common python3 techlibs/common/cellhelp.py techlibs/common/simcells.v > techlibs/common/simcells_help.inc.new mv techlibs/common/simcells_help.inc.new techlibs/common/simcells_help.inc mkdir -p kernel/ gcc -o kernel/rtlil.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/rtlil.cc mkdir -p kernel/ gcc -o kernel/log.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYOSYS_SRC='"./"' kernel/log.cc mkdir -p kernel/ gcc -o kernel/calc.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/calc.cc mkdir -p kernel/ gcc -o kernel/yosys.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYOSYS_DATDIR='"/usr/share/yosys"' -DYOSYS_PROGRAM_PREFIX='""' -DABCEXTERNAL='"/usr/bin/abc"' kernel/yosys.cc mkdir -p kernel/ gcc -o kernel/binding.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/binding.cc mkdir -p kernel/ gcc -o kernel/cellaigs.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/cellaigs.cc mkdir -p kernel/ gcc -o kernel/celledges.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/celledges.cc mkdir -p kernel/ gcc -o kernel/satgen.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/satgen.cc mkdir -p kernel/ gcc -o kernel/qcsat.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/qcsat.cc mkdir -p kernel/ gcc -o kernel/mem.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/mem.cc mkdir -p kernel/ gcc -o kernel/ffmerge.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/ffmerge.cc kernel/satgen.cc: In member function ‘bool Yosys::SatGen::importCell(Yosys::RTLIL::Cell*, int)’: kernel/satgen.cc:1240:67: warning: ‘undef_srst’ may be used uninitialized [-Wmaybe-uninitialized] 1240 | std::tie(d, undef_d) = mux(srst, undef_srst, rval, undef_rval, d, undef_d); | ~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ kernel/satgen.cc:1231:37: note: ‘undef_srst’ was declared here 1231 | int undef_srst; | ^~~~~~~~~~ kernel/satgen.cc:1254:67: warning: ‘undef_ce’ may be used uninitialized [-Wmaybe-uninitialized] 1254 | std::tie(d, undef_d) = mux(ce, undef_ce, d, undef_d, old_q, undef_old_q); | ~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ kernel/satgen.cc:1245:37: note: ‘undef_ce’ was declared here 1245 | int undef_ce; | ^~~~~~~~ kernel/satgen.cc:1268:67: warning: ‘undef_srst’ may be used uninitialized [-Wmaybe-uninitialized] 1268 | std::tie(d, undef_d) = mux(srst, undef_srst, rval, undef_rval, d, undef_d); | ~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ kernel/satgen.cc:1259:37: note: ‘undef_srst’ was declared here 1259 | int undef_srst; | ^~~~~~~~~~ mkdir -p kernel/ gcc -o kernel/ff.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/ff.cc mkdir -p kernel/ gcc -o kernel/yw.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/yw.cc mkdir -p kernel/ gcc -o kernel/json.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/json.cc mkdir -p kernel/ gcc -o kernel/fmt.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/fmt.cc mkdir -p kernel/ gcc -o kernel/fstdata.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/fstdata.cc mkdir -p libs/bigint/ gcc -o libs/bigint/BigIntegerAlgorithms.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigIntegerAlgorithms.cc mkdir -p libs/bigint/ gcc -o libs/bigint/BigInteger.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigInteger.cc mkdir -p libs/bigint/ gcc -o libs/bigint/BigIntegerUtils.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigIntegerUtils.cc mkdir -p libs/bigint/ gcc -o libs/bigint/BigUnsigned.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigUnsigned.cc mkdir -p libs/bigint/ gcc -o libs/bigint/BigUnsignedInABase.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigUnsignedInABase.cc mkdir -p libs/sha1/ gcc -o libs/sha1/sha1.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/sha1/sha1.cpp mkdir -p libs/json11/ gcc -o libs/json11/json11.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/json11/json11.cpp mkdir -p libs/subcircuit/ gcc -o libs/subcircuit/subcircuit.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/subcircuit/subcircuit.cc mkdir -p libs/ezsat/ gcc -o libs/ezsat/ezsat.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/ezsat/ezsat.cc mkdir -p libs/ezsat/ gcc -o libs/ezsat/ezminisat.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/ezsat/ezminisat.cc mkdir -p libs/minisat/ gcc -o libs/minisat/Options.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/Options.cc mkdir -p libs/minisat/ gcc -o libs/minisat/SimpSolver.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/SimpSolver.cc In file included from libs/minisat/Sort.h:24, from libs/minisat/SimpSolver.cc:27: libs/minisat/Vec.h: In instantiation of ‘void Minisat::vec::capacity(Size) [with T = Minisat::vec; _Size = int; Size = int]’: libs/minisat/Vec.h:119:13: required from ‘void Minisat::vec::growTo(Size) [with T = Minisat::vec; _Size = int; Size = int]’ libs/minisat/IntMap.h:48:58: required from ‘void Minisat::IntMap::reserve(K) [with K = int; V = Minisat::vec; MkIndex = Minisat::MkIndexDefault]’ libs/minisat/SolverTypes.h:338:49: required from ‘void Minisat::OccLists::init(const K&) [with K = int; Vec = Minisat::vec; Deleted = Minisat::SimpSolver::ClauseDeleted; MkIndex = Minisat::MkIndexDefault]’ libs/minisat/SimpSolver.cc:92:26: required from here libs/minisat/Vec.h:103:33: warning: ‘void* realloc(void*, size_t)’ moving an object of non-trivially copyable type ‘class Minisat::vec’; use ‘new’ and ‘delete’ instead [-Wclass-memaccess] 103 | || (((data = (T*)::realloc(data, (cap += add) * sizeof(T))) == NULL) && errno == ENOMEM) ) | ~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ libs/minisat/Vec.h:39:7: note: ‘class Minisat::vec’ declared here 39 | class vec { | ^~~ mkdir -p libs/minisat/ gcc -o libs/minisat/Solver.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/Solver.cc In file included from libs/minisat/Alg.h:24, from libs/minisat/Solver.cc:29: libs/minisat/Vec.h: In instantiation of ‘void Minisat::vec::capacity(Size) [with T = Minisat::vec; _Size = int; Size = int]’: libs/minisat/Vec.h:119:13: required from ‘void Minisat::vec::growTo(Size) [with T = Minisat::vec; _Size = int; Size = int]’ libs/minisat/IntMap.h:48:58: required from ‘void Minisat::IntMap::reserve(K) [with K = Minisat::Lit; V = Minisat::vec; MkIndex = Minisat::MkIndexLit]’ libs/minisat/SolverTypes.h:338:49: required from ‘void Minisat::OccLists::init(const K&) [with K = Minisat::Lit; Vec = Minisat::vec; Deleted = Minisat::Solver::WatcherDeleted; MkIndex = Minisat::MkIndexLit]’ libs/minisat/Solver.cc:134:19: required from here libs/minisat/Vec.h:103:33: warning: ‘void* realloc(void*, size_t)’ moving an object of non-trivially copyable type ‘class Minisat::vec’; use ‘new’ and ‘delete’ instead [-Wclass-memaccess] 103 | || (((data = (T*)::realloc(data, (cap += add) * sizeof(T))) == NULL) && errno == ENOMEM) ) | ~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ libs/minisat/Vec.h:39:7: note: ‘class Minisat::vec’ declared here 39 | class vec { | ^~~ mkdir -p libs/minisat/ gcc -o libs/minisat/System.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/System.cc mkdir -p libs/fst/ gcc -o libs/fst/fstapi.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/fst/fstapi.cc mkdir -p libs/fst/ gcc -o libs/fst/fastlz.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/fst/fastlz.cc mkdir -p libs/fst/ gcc -o libs/fst/lz4.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/fst/lz4.cc mkdir -p frontends/aiger/ gcc -o frontends/aiger/aigerparse.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/aiger/aigerparse.cc mkdir -p frontends/ast/ gcc -o frontends/ast/ast.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/ast.cc mkdir -p frontends/ast/ gcc -o frontends/ast/simplify.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/simplify.cc mkdir -p frontends/ast/ gcc -o frontends/ast/genrtlil.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/genrtlil.cc mkdir -p frontends/ast/ gcc -o frontends/ast/dpicall.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/dpicall.cc mkdir -p frontends/ast/ gcc -o frontends/ast/ast_binding.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/ast_binding.cc mkdir -p frontends/blif/ gcc -o frontends/blif/blifparse.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/blif/blifparse.cc mkdir -p frontends/json/ gcc -o frontends/json/jsonparse.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/json/jsonparse.cc mkdir -p frontends/liberty/ gcc -o frontends/liberty/liberty.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/liberty/liberty.cc mkdir -p frontends/rpc/ gcc -o frontends/rpc/rpc_frontend.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rpc/rpc_frontend.cc mkdir -p frontends/rtlil/ bison -o frontends/rtlil/rtlil_parser.tab.cc -d -r all -b frontends/rtlil/rtlil_parser frontends/rtlil/rtlil_parser.y mkdir -p frontends/rtlil/ flex -o frontends/rtlil/rtlil_lexer.cc frontends/rtlil/rtlil_lexer.l mkdir -p frontends/rtlil/ gcc -o frontends/rtlil/rtlil_frontend.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rtlil/rtlil_frontend.cc mkdir -p frontends/verific/ gcc -o frontends/verific/verific.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verific/verific.cc mkdir -p frontends/verilog/ bison -Wall -Werror -o frontends/verilog/verilog_parser.tab.cc -d -r all -b frontends/verilog/verilog_parser frontends/verilog/verilog_parser.y mkdir -p frontends/verilog/ gcc -o frontends/verilog/preproc.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/preproc.cc mkdir -p frontends/verilog/ gcc -o frontends/verilog/verilog_frontend.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/verilog_frontend.cc mkdir -p frontends/verilog/ gcc -o frontends/verilog/const2ast.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/const2ast.cc mkdir -p passes/cmds/ gcc -o passes/cmds/exec.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/exec.cc mkdir -p passes/cmds/ gcc -o passes/cmds/add.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/add.cc mkdir -p passes/cmds/ gcc -o passes/cmds/delete.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/delete.cc mkdir -p passes/cmds/ gcc -o passes/cmds/design.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/design.cc mkdir -p passes/cmds/ gcc -o passes/cmds/select.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/select.cc mkdir -p passes/cmds/ gcc -o passes/cmds/show.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/show.cc mkdir -p passes/cmds/ gcc -o passes/cmds/viz.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/viz.cc mkdir -p passes/cmds/ gcc -o passes/cmds/rename.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/rename.cc mkdir -p passes/cmds/ gcc -o passes/cmds/autoname.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/autoname.cc mkdir -p passes/cmds/ gcc -o passes/cmds/connect.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/connect.cc mkdir -p passes/cmds/ gcc -o passes/cmds/scatter.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/scatter.cc mkdir -p passes/cmds/ gcc -o passes/cmds/setundef.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/setundef.cc mkdir -p passes/cmds/ gcc -o passes/cmds/splitnets.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/splitnets.cc mkdir -p passes/cmds/ gcc -o passes/cmds/splitcells.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/splitcells.cc mkdir -p passes/cmds/ gcc -o passes/cmds/stat.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/stat.cc mkdir -p passes/cmds/ gcc -o passes/cmds/setattr.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/setattr.cc mkdir -p passes/cmds/ gcc -o passes/cmds/copy.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/copy.cc mkdir -p passes/cmds/ gcc -o passes/cmds/splice.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/splice.cc mkdir -p passes/cmds/ gcc -o passes/cmds/scc.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/scc.cc mkdir -p passes/cmds/ gcc -o passes/cmds/glift.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/glift.cc mkdir -p passes/cmds/ gcc -o passes/cmds/torder.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/torder.cc mkdir -p passes/cmds/ gcc -o passes/cmds/logcmd.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/logcmd.cc mkdir -p passes/cmds/ gcc -o passes/cmds/tee.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/tee.cc mkdir -p passes/cmds/ gcc -o passes/cmds/write_file.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/write_file.cc mkdir -p passes/cmds/ gcc -o passes/cmds/connwrappers.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/connwrappers.cc mkdir -p passes/cmds/ gcc -o passes/cmds/cover.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/cover.cc mkdir -p passes/cmds/ gcc -o passes/cmds/trace.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/trace.cc mkdir -p passes/cmds/ gcc -o passes/cmds/plugin.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/plugin.cc mkdir -p passes/cmds/ gcc -o passes/cmds/check.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/check.cc mkdir -p passes/cmds/ gcc -o passes/cmds/qwp.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/qwp.cc mkdir -p passes/cmds/ gcc -o passes/cmds/edgetypes.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/edgetypes.cc mkdir -p passes/cmds/ gcc -o passes/cmds/portlist.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/portlist.cc mkdir -p passes/cmds/ gcc -o passes/cmds/chformal.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/chformal.cc mkdir -p passes/cmds/ gcc -o passes/cmds/chtype.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/chtype.cc mkdir -p passes/cmds/ gcc -o passes/cmds/blackbox.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/blackbox.cc mkdir -p passes/cmds/ gcc -o passes/cmds/ltp.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/ltp.cc mkdir -p passes/cmds/ gcc -o passes/cmds/bugpoint.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/bugpoint.cc mkdir -p passes/cmds/ gcc -o passes/cmds/scratchpad.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/scratchpad.cc mkdir -p passes/cmds/ gcc -o passes/cmds/logger.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/logger.cc mkdir -p passes/cmds/ gcc -o passes/cmds/printattrs.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/printattrs.cc mkdir -p passes/cmds/ gcc -o passes/cmds/sta.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/sta.cc mkdir -p passes/cmds/ gcc -o passes/cmds/clean_zerowidth.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/clean_zerowidth.cc mkdir -p passes/cmds/ gcc -o passes/cmds/xprop.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/xprop.cc mkdir -p passes/cmds/ gcc -o passes/cmds/dft_tag.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/dft_tag.cc mkdir -p passes/cmds/ gcc -o passes/cmds/future.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/future.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_make.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_make.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_miter.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_miter.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_simple.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_simple.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_status.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_status.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_add.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_add.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_remove.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_remove.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_induct.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_induct.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_struct.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_struct.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_purge.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_purge.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_mark.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_mark.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_opt.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_opt.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_detect.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_detect.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_extract.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_extract.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_opt.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_opt.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_expand.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_expand.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_recode.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_recode.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_info.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_info.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_export.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_export.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_map.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_map.cc mkdir -p passes/hierarchy/ gcc -o passes/hierarchy/hierarchy.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/hierarchy/hierarchy.cc mkdir -p passes/hierarchy/ gcc -o passes/hierarchy/uniquify.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/hierarchy/uniquify.cc mkdir -p passes/hierarchy/ gcc -o passes/hierarchy/submod.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/hierarchy/submod.cc mkdir -p passes/memory/ gcc -o passes/memory/memory.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_dff.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_dff.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_share.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_share.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_collect.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_collect.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_unpack.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_unpack.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_bram.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_bram.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_map.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_map.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_memx.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_memx.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_nordff.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_nordff.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_narrow.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_narrow.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_libmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_libmap.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_bmux2rom.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_bmux2rom.cc mkdir -p passes/memory/ gcc -o passes/memory/memlib.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memlib.cc mkdir -p passes/opt/ gcc -o passes/opt/opt.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_merge.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_merge.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_mem.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_mem.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_mem_feedback.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_mem_feedback.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_mem_priority.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_mem_priority.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_mem_widen.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_mem_widen.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_muxtree.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_muxtree.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_reduce.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_reduce.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_dff.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_dff.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_share.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_share.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_clean.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_clean.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_expr.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_expr.cc mkdir -p passes/opt/ gcc -o passes/opt/share.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/share.cc mkdir -p passes/opt/ gcc -o passes/opt/wreduce.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/wreduce.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_demorgan.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_demorgan.cc mkdir -p passes/opt/ gcc -o passes/opt/rmports.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/rmports.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_lut.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_lut.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_lut_ins.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_lut_ins.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_ffinv.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_ffinv.cc mkdir -p passes/opt/ gcc -o passes/opt/pmux2shiftx.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/pmux2shiftx.cc mkdir -p passes/opt/ gcc -o passes/opt/muxpack.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/muxpack.cc mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/test_pmgen_pm.h -p test_pmgen passes/pmgen/test_pmgen.pmg mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/ice40_dsp_pm.h -p ice40_dsp passes/pmgen/ice40_dsp.pmg mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/peepopt_pm.h -p peepopt passes/pmgen/peepopt_shiftmul_right.pmg passes/pmgen/peepopt_shiftmul_left.pmg passes/pmgen/peepopt_shiftadd.pmg passes/pmgen/peepopt_muldiv.pmg mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/xilinx_srl_pm.h -p xilinx_srl passes/pmgen/xilinx_srl.pmg mkdir -p passes/pmgen/ gcc -o passes/pmgen/ice40_dsp.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/ice40_dsp.cc mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/ice40_wrapcarry_pm.h -p ice40_wrapcarry passes/pmgen/ice40_wrapcarry.pmg mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/xilinx_dsp_pm.h -p xilinx_dsp passes/pmgen/xilinx_dsp.pmg mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/xilinx_dsp48a_pm.h -p xilinx_dsp48a passes/pmgen/xilinx_dsp48a.pmg mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/xilinx_dsp_CREG_pm.h -p xilinx_dsp_CREG passes/pmgen/xilinx_dsp_CREG.pmg mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/xilinx_dsp_cascade_pm.h -p xilinx_dsp_cascade passes/pmgen/xilinx_dsp_cascade.pmg mkdir -p passes/pmgen/ gcc -o passes/pmgen/peepopt.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/peepopt.cc mkdir -p passes/pmgen/ gcc -o passes/pmgen/xilinx_srl.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/xilinx_srl.cc mkdir -p passes/proc/ gcc -o passes/proc/proc.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_prune.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_prune.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_clean.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_clean.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_rmdead.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_rmdead.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_init.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_init.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_arst.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_arst.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_rom.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_rom.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_mux.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_mux.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_dlatch.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_dlatch.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_dff.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_dff.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_memwr.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_memwr.cc mkdir -p passes/sat/ gcc -o passes/sat/sat.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/sat.cc mkdir -p passes/sat/ gcc -o passes/sat/freduce.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/freduce.cc mkdir -p passes/sat/ gcc -o passes/sat/eval.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/eval.cc mkdir -p passes/sat/ gcc -o passes/sat/sim.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/sim.cc mkdir -p passes/sat/ gcc -o passes/sat/miter.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/miter.cc mkdir -p passes/sat/ gcc -o passes/sat/expose.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/expose.cc mkdir -p passes/sat/ gcc -o passes/sat/assertpmux.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/assertpmux.cc mkdir -p passes/sat/ gcc -o passes/sat/clk2fflogic.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/clk2fflogic.cc mkdir -p passes/sat/ gcc -o passes/sat/async2sync.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/async2sync.cc mkdir -p passes/sat/ gcc -o passes/sat/formalff.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/formalff.cc mkdir -p passes/sat/ gcc -o passes/sat/supercover.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/supercover.cc mkdir -p passes/sat/ gcc -o passes/sat/fmcombine.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/fmcombine.cc mkdir -p passes/sat/ gcc -o passes/sat/mutate.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/mutate.cc mkdir -p passes/sat/ gcc -o passes/sat/cutpoint.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/cutpoint.cc mkdir -p passes/sat/ gcc -o passes/sat/fminit.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/fminit.cc mkdir -p passes/sat/ gcc -o passes/sat/recover_names.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/recover_names.cc mkdir -p passes/sat/ gcc -o passes/sat/qbfsat.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/qbfsat.cc mkdir -p passes/sat/ gcc -o passes/sat/synthprop.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/synthprop.cc mkdir -p passes/techmap/ gcc -o passes/techmap/flatten.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/flatten.cc mkdir -p passes/techmap/ gcc -o passes/techmap/techmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/techmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/simplemap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/simplemap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/dfflibmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dfflibmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/maccmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/maccmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/booth.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/booth.cc mkdir -p passes/techmap/ gcc -o passes/techmap/libparse.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/libparse.cc mkdir -p passes/techmap/ gcc -o passes/techmap/abc.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DABCEXTERNAL='"/usr/bin/abc"' passes/techmap/abc.cc mkdir -p passes/techmap/ gcc -o passes/techmap/abc9.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DABCEXTERNAL='"/usr/bin/abc"' passes/techmap/abc9.cc mkdir -p passes/techmap/ gcc -o passes/techmap/abc9_exe.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DABCEXTERNAL='"/usr/bin/abc"' passes/techmap/abc9_exe.cc mkdir -p passes/techmap/ gcc -o passes/techmap/abc9_ops.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/abc9_ops.cc mkdir -p passes/techmap/ gcc -o passes/techmap/iopadmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/iopadmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/clkbufmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/clkbufmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/hilomap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/hilomap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/extract.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract.cc mkdir -p passes/techmap/ gcc -o passes/techmap/extract_fa.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract_fa.cc mkdir -p passes/techmap/ gcc -o passes/techmap/extract_counter.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract_counter.cc mkdir -p passes/techmap/ gcc -o passes/techmap/extract_reduce.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract_reduce.cc mkdir -p passes/techmap/ gcc -o passes/techmap/alumacc.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/alumacc.cc mkdir -p passes/techmap/ gcc -o passes/techmap/dffinit.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dffinit.cc mkdir -p passes/techmap/ gcc -o passes/techmap/pmuxtree.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/pmuxtree.cc mkdir -p passes/techmap/ gcc -o passes/techmap/bmuxmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/bmuxmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/demuxmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/demuxmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/bwmuxmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/bwmuxmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/muxcover.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/muxcover.cc mkdir -p passes/techmap/ gcc -o passes/techmap/aigmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/aigmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/tribuf.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/tribuf.cc mkdir -p passes/techmap/ gcc -o passes/techmap/lut2mux.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/lut2mux.cc mkdir -p passes/techmap/ gcc -o passes/techmap/nlutmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/nlutmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/shregmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/shregmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/deminout.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/deminout.cc mkdir -p passes/techmap/ gcc -o passes/techmap/insbuf.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/insbuf.cc mkdir -p passes/techmap/ gcc -o passes/techmap/attrmvcp.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/attrmvcp.cc mkdir -p passes/techmap/ gcc -o passes/techmap/attrmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/attrmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/zinit.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/zinit.cc mkdir -p passes/techmap/ gcc -o passes/techmap/dfflegalize.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dfflegalize.cc mkdir -p passes/techmap/ gcc -o passes/techmap/dffunmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dffunmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/flowmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/flowmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/extractinv.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extractinv.cc mkdir -p passes/tests/ gcc -o passes/tests/test_autotb.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/tests/test_autotb.cc mkdir -p passes/tests/ gcc -o passes/tests/test_cell.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/tests/test_cell.cc mkdir -p passes/tests/ gcc -o passes/tests/test_abcloop.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/tests/test_abcloop.cc mkdir -p backends/aiger/ gcc -o backends/aiger/aiger.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/aiger/aiger.cc mkdir -p backends/aiger/ gcc -o backends/aiger/xaiger.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/aiger/xaiger.cc mkdir -p backends/blif/ gcc -o backends/blif/blif.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/blif/blif.cc mkdir -p backends/btor/ gcc -o backends/btor/btor.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/btor/btor.cc mkdir -p backends/cxxrtl/ gcc -o backends/cxxrtl/cxxrtl_backend.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/cxxrtl/cxxrtl_backend.cc mkdir -p backends/edif/ gcc -o backends/edif/edif.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/edif/edif.cc mkdir -p backends/firrtl/ gcc -o backends/firrtl/firrtl.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/firrtl/firrtl.cc mkdir -p backends/intersynth/ gcc -o backends/intersynth/intersynth.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/intersynth/intersynth.cc mkdir -p backends/jny/ gcc -o backends/jny/jny.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/jny/jny.cc mkdir -p backends/json/ gcc -o backends/json/json.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/json/json.cc mkdir -p backends/rtlil/ gcc -o backends/rtlil/rtlil_backend.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/rtlil/rtlil_backend.cc mkdir -p backends/simplec/ gcc -o backends/simplec/simplec.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/simplec/simplec.cc mkdir -p backends/smt2/ gcc -o backends/smt2/smt2.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/smt2/smt2.cc mkdir -p backends/smv/ gcc -o backends/smv/smv.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/smv/smv.cc mkdir -p backends/spice/ gcc -o backends/spice/spice.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/spice/spice.cc mkdir -p backends/table/ gcc -o backends/table/table.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/table/table.cc mkdir -p backends/verilog/ gcc -o backends/verilog/verilog_backend.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/verilog/verilog_backend.cc mkdir -p techlibs/achronix/ gcc -o techlibs/achronix/synth_achronix.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/achronix/synth_achronix.cc mkdir -p techlibs/anlogic/ gcc -o techlibs/anlogic/synth_anlogic.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/anlogic/synth_anlogic.cc mkdir -p techlibs/anlogic/ gcc -o techlibs/anlogic/anlogic_eqn.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/anlogic/anlogic_eqn.cc mkdir -p techlibs/anlogic/ gcc -o techlibs/anlogic/anlogic_fixcarry.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/anlogic/anlogic_fixcarry.cc mkdir -p techlibs/common/ gcc -o techlibs/common/synth.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/common/synth.cc mkdir -p techlibs/common/ gcc -o techlibs/common/prep.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/common/prep.cc mkdir -p techlibs/coolrunner2/ gcc -o techlibs/coolrunner2/synth_coolrunner2.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/coolrunner2/synth_coolrunner2.cc mkdir -p techlibs/coolrunner2/ gcc -o techlibs/coolrunner2/coolrunner2_sop.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/coolrunner2/coolrunner2_sop.cc mkdir -p techlibs/coolrunner2/ gcc -o techlibs/coolrunner2/coolrunner2_fixup.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/coolrunner2/coolrunner2_fixup.cc mkdir -p techlibs/easic/ gcc -o techlibs/easic/synth_easic.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/easic/synth_easic.cc mkdir -p techlibs/ecp5/ gcc -o techlibs/ecp5/synth_ecp5.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ecp5/synth_ecp5.cc mkdir -p techlibs/efinix/ gcc -o techlibs/efinix/synth_efinix.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/efinix/synth_efinix.cc mkdir -p techlibs/efinix/ gcc -o techlibs/efinix/efinix_fixcarry.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/efinix/efinix_fixcarry.cc mkdir -p techlibs/fabulous/ gcc -o techlibs/fabulous/synth_fabulous.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/fabulous/synth_fabulous.cc mkdir -p techlibs/gatemate/ gcc -o techlibs/gatemate/synth_gatemate.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/gatemate/synth_gatemate.cc mkdir -p techlibs/gatemate/ gcc -o techlibs/gatemate/gatemate_foldinv.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/gatemate/gatemate_foldinv.cc mkdir -p techlibs/gowin/ gcc -o techlibs/gowin/synth_gowin.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/gowin/synth_gowin.cc mkdir -p techlibs/greenpak4/ gcc -o techlibs/greenpak4/synth_greenpak4.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/greenpak4/synth_greenpak4.cc mkdir -p techlibs/greenpak4/ gcc -o techlibs/greenpak4/greenpak4_dffinv.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/greenpak4/greenpak4_dffinv.cc mkdir -p techlibs/ice40/ gcc -o techlibs/ice40/synth_ice40.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/synth_ice40.cc mkdir -p techlibs/ice40/ gcc -o techlibs/ice40/ice40_braminit.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/ice40_braminit.cc mkdir -p techlibs/ice40/ gcc -o techlibs/ice40/ice40_opt.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/ice40_opt.cc mkdir -p techlibs/intel/ gcc -o techlibs/intel/synth_intel.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/intel/synth_intel.cc mkdir -p techlibs/intel_alm/ gcc -o techlibs/intel_alm/synth_intel_alm.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/intel_alm/synth_intel_alm.cc mkdir -p techlibs/lattice/ gcc -o techlibs/lattice/synth_lattice.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/lattice/synth_lattice.cc mkdir -p techlibs/lattice/ gcc -o techlibs/lattice/lattice_gsr.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/lattice/lattice_gsr.cc mkdir -p techlibs/nexus/ gcc -o techlibs/nexus/synth_nexus.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/nexus/synth_nexus.cc mkdir -p techlibs/quicklogic/ gcc -o techlibs/quicklogic/synth_quicklogic.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/quicklogic/synth_quicklogic.cc mkdir -p techlibs/sf2/ gcc -o techlibs/sf2/synth_sf2.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/sf2/synth_sf2.cc mkdir -p techlibs/xilinx/ gcc -o techlibs/xilinx/synth_xilinx.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/xilinx/synth_xilinx.cc mkdir -p techlibs/xilinx/ gcc -o techlibs/xilinx/xilinx_dffopt.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/xilinx/xilinx_dffopt.cc sed -e 's#@CXXFLAGS@#-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I"/usr/include/yosys" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER#;' \ -e 's#@CXX@#gcc#;' -e 's#@LDFLAGS@#-Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -rdynamic#;' -e 's#@LDLIBS@#-lstdc++ -lm -lrt -lreadline -L/usr/lib64/../lib64 -lffi -ldl -lz -ltcl8.6 -ltclstub8.6#;' \ -e 's#@BINDIR@#/usr/bin#;' -e 's#@DATDIR@#/usr/share/yosys#;' < misc/yosys-config.in > yosys-config chmod +x yosys-config mkdir -p passes/techmap/ gcc -o passes/techmap/filterlib.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/filterlib.cc sed 's|##yosys-sys-path##|sys.path += [os.path.dirname(os.path.realpath(__file__)) + p for p in ["/share/python3", "/../share/yosys/python3"]]|;' < backends/smt2/smtbmc.py > yosys-smtbmc.new chmod +x yosys-smtbmc.new mv yosys-smtbmc.new yosys-smtbmc sed 's|##yosys-sys-path##|sys.path += [os.path.dirname(os.path.realpath(__file__)) + p for p in ["/share/python3", "/../share/yosys/python3"]]|;' < backends/smt2/witness.py > yosys-witness.new chmod +x yosys-witness.new mv yosys-witness.new yosys-witness mkdir -p share/include/kernel/ cp "./"/kernel/binding.h share/include/kernel/binding.h mkdir -p share/include/kernel/ cp "./"/kernel/cellaigs.h share/include/kernel/cellaigs.h mkdir -p share/include/kernel/ cp "./"/kernel/celledges.h share/include/kernel/celledges.h mkdir -p share/include/kernel/ cp "./"/kernel/celltypes.h share/include/kernel/celltypes.h mkdir -p share/include/kernel/ cp "./"/kernel/consteval.h share/include/kernel/consteval.h mkdir -p share/include/kernel/ cp "./"/kernel/constids.inc share/include/kernel/constids.inc mkdir -p share/include/kernel/ cp "./"/kernel/cost.h share/include/kernel/cost.h mkdir -p share/include/kernel/ cp "./"/kernel/ff.h share/include/kernel/ff.h mkdir -p share/include/kernel/ cp "./"/kernel/ffinit.h share/include/kernel/ffinit.h mkdir -p share/include/kernel/ cp "./"/kernel/ffmerge.h share/include/kernel/ffmerge.h mkdir -p share/include/kernel/ cp "./"/kernel/fmt.h share/include/kernel/fmt.h mkdir -p share/include/kernel/ cp "./"/kernel/fstdata.h share/include/kernel/fstdata.h mkdir -p share/include/kernel/ cp "./"/kernel/hashlib.h share/include/kernel/hashlib.h mkdir -p share/include/kernel/ cp "./"/kernel/json.h share/include/kernel/json.h mkdir -p share/include/kernel/ cp "./"/kernel/log.h share/include/kernel/log.h mkdir -p share/include/kernel/ cp "./"/kernel/macc.h share/include/kernel/macc.h mkdir -p share/include/kernel/ cp "./"/kernel/modtools.h share/include/kernel/modtools.h mkdir -p share/include/kernel/ cp "./"/kernel/mem.h share/include/kernel/mem.h mkdir -p share/include/kernel/ cp "./"/kernel/qcsat.h share/include/kernel/qcsat.h mkdir -p share/include/kernel/ cp "./"/kernel/register.h share/include/kernel/register.h mkdir -p share/include/kernel/ cp "./"/kernel/rtlil.h share/include/kernel/rtlil.h mkdir -p share/include/kernel/ cp "./"/kernel/satgen.h share/include/kernel/satgen.h mkdir -p share/include/kernel/ cp "./"/kernel/sigtools.h share/include/kernel/sigtools.h mkdir -p share/include/kernel/ cp "./"/kernel/timinginfo.h share/include/kernel/timinginfo.h mkdir -p share/include/kernel/ cp "./"/kernel/utils.h share/include/kernel/utils.h mkdir -p share/include/kernel/ cp "./"/kernel/yosys.h share/include/kernel/yosys.h mkdir -p share/include/kernel/ cp "./"/kernel/yw.h share/include/kernel/yw.h mkdir -p share/include/libs/ezsat/ cp "./"/libs/ezsat/ezsat.h share/include/libs/ezsat/ezsat.h mkdir -p share/include/libs/ezsat/ cp "./"/libs/ezsat/ezminisat.h share/include/libs/ezsat/ezminisat.h mkdir -p share/include/libs/fst/ cp "./"/libs/fst/fstapi.h share/include/libs/fst/fstapi.h mkdir -p share/include/libs/sha1/ cp "./"/libs/sha1/sha1.h share/include/libs/sha1/sha1.h mkdir -p share/include/libs/json11/ cp "./"/libs/json11/json11.hpp share/include/libs/json11/json11.hpp mkdir -p share/include/passes/fsm/ cp "./"/passes/fsm/fsmdata.h share/include/passes/fsm/fsmdata.h mkdir -p share/include/frontends/ast/ cp "./"/frontends/ast/ast.h share/include/frontends/ast/ast.h mkdir -p share/include/frontends/ast/ cp "./"/frontends/ast/ast_binding.h share/include/frontends/ast/ast_binding.h mkdir -p share/include/frontends/blif/ cp "./"/frontends/blif/blifparse.h share/include/frontends/blif/blifparse.h mkdir -p share/include/backends/rtlil/ cp "./"/backends/rtlil/rtlil_backend.h share/include/backends/rtlil/rtlil_backend.h mkdir -p share/include/backends/cxxrtl/ cp "./"/backends/cxxrtl/cxxrtl.h share/include/backends/cxxrtl/cxxrtl.h mkdir -p share/include/backends/cxxrtl/ cp "./"/backends/cxxrtl/cxxrtl_vcd.h share/include/backends/cxxrtl/cxxrtl_vcd.h mkdir -p share/include/backends/cxxrtl/ cp "./"/backends/cxxrtl/cxxrtl_capi.cc share/include/backends/cxxrtl/cxxrtl_capi.cc mkdir -p share/include/backends/cxxrtl/ cp "./"/backends/cxxrtl/cxxrtl_capi.h share/include/backends/cxxrtl/cxxrtl_capi.h mkdir -p share/include/backends/cxxrtl/ cp "./"/backends/cxxrtl/cxxrtl_vcd_capi.cc share/include/backends/cxxrtl/cxxrtl_vcd_capi.cc mkdir -p share/include/backends/cxxrtl/ cp "./"/backends/cxxrtl/cxxrtl_vcd_capi.h share/include/backends/cxxrtl/cxxrtl_vcd_capi.h mkdir -p share/python3 cp "./"/backends/smt2/smtio.py share/python3/smtio.py mkdir -p share/python3 cp "./"/backends/smt2/ywio.py share/python3/ywio.py mkdir -p share/achronix/speedster22i/ cp "./"/techlibs/achronix/speedster22i/cells_sim.v share/achronix/speedster22i/cells_sim.v mkdir -p share/achronix/speedster22i/ cp "./"/techlibs/achronix/speedster22i/cells_map.v share/achronix/speedster22i/cells_map.v mkdir -p share/anlogic cp "./"/techlibs/anlogic/cells_map.v share/anlogic/cells_map.v mkdir -p share/anlogic cp "./"/techlibs/anlogic/arith_map.v share/anlogic/arith_map.v mkdir -p share/anlogic cp "./"/techlibs/anlogic/cells_sim.v share/anlogic/cells_sim.v mkdir -p share/anlogic cp "./"/techlibs/anlogic/eagle_bb.v share/anlogic/eagle_bb.v mkdir -p share/anlogic cp "./"/techlibs/anlogic/lutrams.txt share/anlogic/lutrams.txt mkdir -p share/anlogic cp "./"/techlibs/anlogic/lutrams_map.v share/anlogic/lutrams_map.v mkdir -p share/anlogic cp "./"/techlibs/anlogic/brams.txt share/anlogic/brams.txt mkdir -p share/anlogic cp "./"/techlibs/anlogic/brams_map.v share/anlogic/brams_map.v mkdir -p share cp "./"/techlibs/common/simlib.v share/simlib.v mkdir -p share cp "./"/techlibs/common/simcells.v share/simcells.v mkdir -p share cp "./"/techlibs/common/techmap.v share/techmap.v mkdir -p share cp "./"/techlibs/common/smtmap.v share/smtmap.v mkdir -p share cp "./"/techlibs/common/pmux2mux.v share/pmux2mux.v mkdir -p share cp "./"/techlibs/common/adff2dff.v share/adff2dff.v mkdir -p share cp "./"/techlibs/common/dff2ff.v share/dff2ff.v mkdir -p share cp "./"/techlibs/common/gate2lut.v share/gate2lut.v mkdir -p share cp "./"/techlibs/common/cmp2lut.v share/cmp2lut.v mkdir -p share cp "./"/techlibs/common/cells.lib share/cells.lib mkdir -p share cp "./"/techlibs/common/mul2dsp.v share/mul2dsp.v mkdir -p share cp "./"/techlibs/common/abc9_model.v share/abc9_model.v mkdir -p share cp "./"/techlibs/common/abc9_map.v share/abc9_map.v mkdir -p share cp "./"/techlibs/common/abc9_unmap.v share/abc9_unmap.v mkdir -p share cp "./"/techlibs/common/cmp2lcu.v share/cmp2lcu.v mkdir -p share/coolrunner2 cp "./"/techlibs/coolrunner2/cells_latch.v share/coolrunner2/cells_latch.v mkdir -p share/coolrunner2 cp "./"/techlibs/coolrunner2/cells_sim.v share/coolrunner2/cells_sim.v mkdir -p share/coolrunner2 cp "./"/techlibs/coolrunner2/cells_counter_map.v share/coolrunner2/cells_counter_map.v mkdir -p share/coolrunner2 cp "./"/techlibs/coolrunner2/tff_extract.v share/coolrunner2/tff_extract.v mkdir -p share/coolrunner2 cp "./"/techlibs/coolrunner2/xc2_dff.lib share/coolrunner2/xc2_dff.lib mkdir -p share/ecp5 cp "./"/techlibs/ecp5/cells_ff.vh share/ecp5/cells_ff.vh mkdir -p share/ecp5 cp "./"/techlibs/ecp5/cells_io.vh share/ecp5/cells_io.vh mkdir -p share/ecp5 cp "./"/techlibs/ecp5/cells_map.v share/ecp5/cells_map.v mkdir -p share/ecp5 cp "./"/techlibs/ecp5/cells_sim.v share/ecp5/cells_sim.v mkdir -p share/ecp5 cp "./"/techlibs/ecp5/cells_bb.v share/ecp5/cells_bb.v mkdir -p share/ecp5 cp "./"/techlibs/ecp5/lutrams_map.v share/ecp5/lutrams_map.v mkdir -p share/ecp5 cp "./"/techlibs/ecp5/lutrams.txt share/ecp5/lutrams.txt mkdir -p share/ecp5 cp "./"/techlibs/ecp5/brams_map.v share/ecp5/brams_map.v mkdir -p share/ecp5 cp "./"/techlibs/ecp5/brams.txt share/ecp5/brams.txt mkdir -p share/ecp5 cp "./"/techlibs/ecp5/arith_map.v share/ecp5/arith_map.v mkdir -p share/ecp5 cp "./"/techlibs/ecp5/latches_map.v share/ecp5/latches_map.v mkdir -p share/ecp5 cp "./"/techlibs/ecp5/dsp_map.v share/ecp5/dsp_map.v mkdir -p share/efinix cp "./"/techlibs/efinix/cells_map.v share/efinix/cells_map.v mkdir -p share/efinix cp "./"/techlibs/efinix/arith_map.v share/efinix/arith_map.v mkdir -p share/efinix cp "./"/techlibs/efinix/cells_sim.v share/efinix/cells_sim.v mkdir -p share/efinix cp "./"/techlibs/efinix/brams_map.v share/efinix/brams_map.v mkdir -p share/efinix cp "./"/techlibs/efinix/gbuf_map.v share/efinix/gbuf_map.v mkdir -p share/efinix cp "./"/techlibs/efinix/brams.txt share/efinix/brams.txt mkdir -p share/fabulous cp "./"/techlibs/fabulous/cells_map.v share/fabulous/cells_map.v mkdir -p share/fabulous cp "./"/techlibs/fabulous/prims.v share/fabulous/prims.v mkdir -p share/fabulous cp "./"/techlibs/fabulous/latches_map.v share/fabulous/latches_map.v mkdir -p share/fabulous cp "./"/techlibs/fabulous/ff_map.v share/fabulous/ff_map.v mkdir -p share/fabulous cp "./"/techlibs/fabulous/ram_regfile.txt share/fabulous/ram_regfile.txt mkdir -p share/fabulous cp "./"/techlibs/fabulous/regfile_map.v share/fabulous/regfile_map.v mkdir -p share/fabulous cp "./"/techlibs/fabulous/io_map.v share/fabulous/io_map.v mkdir -p share/fabulous cp "./"/techlibs/fabulous/arith_map.v share/fabulous/arith_map.v mkdir -p share/gatemate mkdir -p share/gatemate cp "./"/techlibs/gatemate/reg_map.v share/gatemate/reg_map.v cp "./"/techlibs/gatemate/mux_map.v share/gatemate/mux_map.v mkdir -p share/gatemate mkdir -p share/gatemate cp "./"/techlibs/gatemate/lut_map.v share/gatemate/lut_map.v cp "./"/techlibs/gatemate/mul_map.v share/gatemate/mul_map.v mkdir -p share/gatemate mkdir -p share/gatemate cp "./"/techlibs/gatemate/cells_sim.v share/gatemate/cells_sim.v cp "./"/techlibs/gatemate/arith_map.v share/gatemate/arith_map.v mkdir -p share/gatemate mkdir -p share/gatemate cp "./"/techlibs/gatemate/cells_bb.v share/gatemate/cells_bb.v cp "./"/techlibs/gatemate/brams_map.v share/gatemate/brams_map.v mkdir -p share/gatemate mkdir -p share/gatemate cp "./"/techlibs/gatemate/brams_init_20.vh share/gatemate/brams_init_20.vh cp "./"/techlibs/gatemate/brams.txt share/gatemate/brams.txt mkdir -p share/gatemate mkdir -p share/gatemate cp "./"/techlibs/gatemate/brams_init_40.vh share/gatemate/brams_init_40.vh cp "./"/techlibs/gatemate/inv_map.v share/gatemate/inv_map.v mkdir -p techlibs/gatemate python3 techlibs/gatemate/make_lut_tree_lib.py mkdir -p share/gowin cp "./"/techlibs/gowin/cells_map.v share/gowin/cells_map.v mkdir -p share/gowin cp "./"/techlibs/gowin/cells_sim.v share/gowin/cells_sim.v mkdir -p share/gowin cp "./"/techlibs/gowin/cells_xtra.v share/gowin/cells_xtra.v mkdir -p share/gowin cp "./"/techlibs/gowin/arith_map.v share/gowin/arith_map.v mkdir -p share/gowin cp "./"/techlibs/gowin/brams_map.v share/gowin/brams_map.v mkdir -p share/gowin cp "./"/techlibs/gowin/brams.txt share/gowin/brams.txt mkdir -p share/gowin cp "./"/techlibs/gowin/lutrams_map.v share/gowin/lutrams_map.v mkdir -p share/gowin touch techlibs/gatemate/lut_tree_lib.mk mkdir -p share/greenpak4 cp "./"/techlibs/gowin/lutrams.txt share/gowin/lutrams.txt cp "./"/techlibs/greenpak4/cells_blackbox.v share/greenpak4/cells_blackbox.v mkdir -p share/greenpak4 mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_map.v share/greenpak4/cells_map.v cp "./"/techlibs/greenpak4/cells_latch.v share/greenpak4/cells_latch.v mkdir -p share/greenpak4 mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_sim.v share/greenpak4/cells_sim.v cp "./"/techlibs/greenpak4/cells_sim_ams.v share/greenpak4/cells_sim_ams.v mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_sim_digital.v share/greenpak4/cells_sim_digital.v mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_sim_wip.v share/greenpak4/cells_sim_wip.v mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/gp_dff.lib share/greenpak4/gp_dff.lib mkdir -p share/ice40 mkdir -p share/ice40 cp "./"/techlibs/ice40/cells_map.v share/ice40/cells_map.v cp "./"/techlibs/ice40/arith_map.v share/ice40/arith_map.v mkdir -p share/ice40 mkdir -p share/ice40 cp "./"/techlibs/ice40/cells_sim.v share/ice40/cells_sim.v cp "./"/techlibs/ice40/ff_map.v share/ice40/ff_map.v mkdir -p share/ice40 mkdir -p share/ice40 cp "./"/techlibs/ice40/latches_map.v share/ice40/latches_map.v cp "./"/techlibs/ice40/brams.txt share/ice40/brams.txt mkdir -p share/ice40 cp "./"/techlibs/ice40/brams_map.v share/ice40/brams_map.v mkdir -p share/ice40 cp "./"/techlibs/ice40/spram.txt share/ice40/spram.txt mkdir -p share/ice40 cp "./"/techlibs/ice40/spram_map.v share/ice40/spram_map.v mkdir -p share/ice40 mkdir -p share/ice40 cp "./"/techlibs/ice40/abc9_model.v share/ice40/abc9_model.v cp "./"/techlibs/ice40/dsp_map.v share/ice40/dsp_map.v mkdir -p share/intel/common mkdir -p share/intel/common cp "./"/techlibs/intel/common/m9k_bb.v share/intel/common/m9k_bb.v cp "./"/techlibs/intel/common/altpll_bb.v share/intel/common/altpll_bb.v mkdir -p share/intel/common cp "./"/techlibs/intel/common/brams_m9k.txt share/intel/common/brams_m9k.txt mkdir -p share/intel/common cp "./"/techlibs/intel/common/brams_map_m9k.v share/intel/common/brams_map_m9k.v mkdir -p share/intel/common cp "./"/techlibs/intel/common/ff_map.v share/intel/common/ff_map.v mkdir -p share/intel/max10 mkdir -p share/intel/cyclone10lp cp "./"/techlibs/intel/cyclone10lp/cells_sim.v share/intel/cyclone10lp/cells_sim.v cp "./"/techlibs/intel/max10/cells_sim.v share/intel/max10/cells_sim.v mkdir -p share/intel/cycloneiv cp "./"/techlibs/intel/cycloneiv/cells_sim.v share/intel/cycloneiv/cells_sim.v mkdir -p share/intel/cycloneive cp "./"/techlibs/intel/cycloneive/cells_sim.v share/intel/cycloneive/cells_sim.v mkdir -p share/intel/max10 cp "./"/techlibs/intel/max10/cells_map.v share/intel/max10/cells_map.v mkdir -p share/intel/cyclone10lp mkdir -p share/intel/cycloneiv cp "./"/techlibs/intel/cycloneiv/cells_map.v share/intel/cycloneiv/cells_map.v cp "./"/techlibs/intel/cyclone10lp/cells_map.v share/intel/cyclone10lp/cells_map.v mkdir -p share/intel/cycloneive mkdir -p share/intel_alm/common cp "./"/techlibs/intel/cycloneive/cells_map.v share/intel/cycloneive/cells_map.v cp "./"/techlibs/intel_alm/common/abc9_map.v share/intel_alm/common/abc9_map.v mkdir -p share/intel_alm/common mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/abc9_model.v share/intel_alm/common/abc9_model.v cp "./"/techlibs/intel_alm/common/abc9_unmap.v share/intel_alm/common/abc9_unmap.v mkdir -p share/intel_alm/common mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/alm_map.v share/intel_alm/common/alm_map.v cp "./"/techlibs/intel_alm/common/alm_sim.v share/intel_alm/common/alm_sim.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/arith_alm_map.v share/intel_alm/common/arith_alm_map.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/dff_map.v share/intel_alm/common/dff_map.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/dff_sim.v share/intel_alm/common/dff_sim.v mkdir -p share/intel_alm/common mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/dsp_sim.v share/intel_alm/common/dsp_sim.v cp "./"/techlibs/intel_alm/common/dsp_map.v share/intel_alm/common/dsp_map.v mkdir -p share/intel_alm/common mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/misc_sim.v share/intel_alm/common/misc_sim.v cp "./"/techlibs/intel_alm/common/mem_sim.v share/intel_alm/common/mem_sim.v mkdir -p share/intel_alm/cyclonev mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/cyclonev/cells_sim.v share/intel_alm/cyclonev/cells_sim.v cp "./"/techlibs/intel_alm/common/bram_m10k.txt share/intel_alm/common/bram_m10k.txt mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/bram_m10k_map.v share/intel_alm/common/bram_m10k_map.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/bram_m20k.txt share/intel_alm/common/bram_m20k.txt mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/bram_m20k_map.v share/intel_alm/common/bram_m20k_map.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/lutram_mlab.txt share/intel_alm/common/lutram_mlab.txt mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/megafunction_bb.v share/intel_alm/common/megafunction_bb.v mkdir -p share/intel_alm/common mkdir -p share/lattice cp "./"/techlibs/intel_alm/common/quartus_rename.v share/intel_alm/common/quartus_rename.v cp "./"/techlibs/lattice/cells_ff.vh share/lattice/cells_ff.vh mkdir -p share/lattice mkdir -p share/lattice cp "./"/techlibs/lattice/cells_map.v share/lattice/cells_map.v cp "./"/techlibs/lattice/cells_io.vh share/lattice/cells_io.vh mkdir -p share/lattice mkdir -p share/lattice cp "./"/techlibs/lattice/common_sim.vh share/lattice/common_sim.vh cp "./"/techlibs/lattice/ccu2d_sim.vh share/lattice/ccu2d_sim.vh mkdir -p share/lattice cp "./"/techlibs/lattice/ccu2c_sim.vh share/lattice/ccu2c_sim.vh mkdir -p share/lattice cp "./"/techlibs/lattice/cells_sim_ecp5.v share/lattice/cells_sim_ecp5.v mkdir -p share/lattice cp "./"/techlibs/lattice/cells_sim_xo2.v share/lattice/cells_sim_xo2.v mkdir -p share/lattice cp "./"/techlibs/lattice/cells_sim_xo3.v share/lattice/cells_sim_xo3.v mkdir -p share/lattice cp "./"/techlibs/lattice/cells_sim_xo3d.v share/lattice/cells_sim_xo3d.v mkdir -p share/lattice mkdir -p share/lattice cp "./"/techlibs/lattice/cells_bb_ecp5.v share/lattice/cells_bb_ecp5.v cp "./"/techlibs/lattice/cells_bb_xo2.v share/lattice/cells_bb_xo2.v mkdir -p share/lattice mkdir -p share/lattice cp "./"/techlibs/lattice/cells_bb_xo3d.v share/lattice/cells_bb_xo3d.v cp "./"/techlibs/lattice/cells_bb_xo3.v share/lattice/cells_bb_xo3.v mkdir -p share/lattice mkdir -p share/lattice cp "./"/techlibs/lattice/lutrams.txt share/lattice/lutrams.txt cp "./"/techlibs/lattice/lutrams_map.v share/lattice/lutrams_map.v mkdir -p share/lattice mkdir -p share/lattice cp "./"/techlibs/lattice/brams_map_16kd.v share/lattice/brams_map_16kd.v cp "./"/techlibs/lattice/brams_16kd.txt share/lattice/brams_16kd.txt mkdir -p share/lattice cp "./"/techlibs/lattice/brams_map_8kc.v share/lattice/brams_map_8kc.v mkdir -p share/lattice cp "./"/techlibs/lattice/brams_8kc.txt share/lattice/brams_8kc.txt mkdir -p share/lattice cp "./"/techlibs/lattice/arith_map_ccu2c.v share/lattice/arith_map_ccu2c.v mkdir -p share/lattice mkdir -p share/lattice cp "./"/techlibs/lattice/arith_map_ccu2d.v share/lattice/arith_map_ccu2d.v cp "./"/techlibs/lattice/latches_map.v share/lattice/latches_map.v mkdir -p share/lattice mkdir -p share/nexus cp "./"/techlibs/lattice/dsp_map_18x18.v share/lattice/dsp_map_18x18.v cp "./"/techlibs/nexus/cells_map.v share/nexus/cells_map.v mkdir -p share/nexus cp "./"/techlibs/nexus/cells_sim.v share/nexus/cells_sim.v mkdir -p share/nexus cp "./"/techlibs/nexus/parse_init.vh share/nexus/parse_init.vh mkdir -p share/nexus cp "./"/techlibs/nexus/cells_xtra.v share/nexus/cells_xtra.v mkdir -p share/nexus cp "./"/techlibs/nexus/lutrams_map.v share/nexus/lutrams_map.v mkdir -p share/nexus cp "./"/techlibs/nexus/lutrams.txt share/nexus/lutrams.txt mkdir -p share/nexus mkdir -p share/nexus cp "./"/techlibs/nexus/brams.txt share/nexus/brams.txt cp "./"/techlibs/nexus/brams_map.v share/nexus/brams_map.v mkdir -p share/nexus mkdir -p share/nexus cp "./"/techlibs/nexus/lrams_map.v share/nexus/lrams_map.v cp "./"/techlibs/nexus/lrams.txt share/nexus/lrams.txt mkdir -p share/nexus cp "./"/techlibs/nexus/arith_map.v share/nexus/arith_map.v mkdir -p share/nexus cp "./"/techlibs/nexus/latches_map.v share/nexus/latches_map.v mkdir -p share/nexus cp "./"/techlibs/nexus/dsp_map.v share/nexus/dsp_map.v mkdir -p share/quicklogic mkdir -p share/quicklogic cp "./"/techlibs/quicklogic/pp3_ffs_map.v share/quicklogic/pp3_ffs_map.v cp "./"/techlibs/quicklogic/pp3_lut_map.v share/quicklogic/pp3_lut_map.v mkdir -p share/quicklogic mkdir -p share/quicklogic cp "./"/techlibs/quicklogic/pp3_latches_map.v share/quicklogic/pp3_latches_map.v cp "./"/techlibs/quicklogic/pp3_cells_map.v share/quicklogic/pp3_cells_map.v mkdir -p share/quicklogic cp "./"/techlibs/quicklogic/cells_sim.v share/quicklogic/cells_sim.v mkdir -p share/quicklogic cp "./"/techlibs/quicklogic/lut_sim.v share/quicklogic/lut_sim.v mkdir -p share/quicklogic cp "./"/techlibs/quicklogic/pp3_cells_sim.v share/quicklogic/pp3_cells_sim.v mkdir -p share/quicklogic mkdir -p share/quicklogic cp "./"/techlibs/quicklogic/abc9_model.v share/quicklogic/abc9_model.v cp "./"/techlibs/quicklogic/abc9_map.v share/quicklogic/abc9_map.v mkdir -p share/quicklogic mkdir -p share/sf2 cp "./"/techlibs/quicklogic/abc9_unmap.v share/quicklogic/abc9_unmap.v cp "./"/techlibs/sf2/arith_map.v share/sf2/arith_map.v mkdir -p share/sf2 mkdir -p share/sf2 cp "./"/techlibs/sf2/cells_map.v share/sf2/cells_map.v cp "./"/techlibs/sf2/cells_sim.v share/sf2/cells_sim.v mkdir -p share/xilinx mkdir -p share/xilinx cp "./"/techlibs/xilinx/cells_map.v share/xilinx/cells_map.v cp "./"/techlibs/xilinx/cells_sim.v share/xilinx/cells_sim.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/cells_xtra.v share/xilinx/cells_xtra.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/lutrams_xcv.txt share/xilinx/lutrams_xcv.txt mkdir -p share/xilinx cp "./"/techlibs/xilinx/lutrams_xcv_map.v share/xilinx/lutrams_xcv_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/lutrams_xc5v.txt share/xilinx/lutrams_xc5v.txt mkdir -p share/xilinx cp "./"/techlibs/xilinx/lutrams_xcu.txt share/xilinx/lutrams_xcu.txt mkdir -p share/xilinx cp "./"/techlibs/xilinx/lutrams_xc5v_map.v share/xilinx/lutrams_xc5v_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xcv.txt share/xilinx/brams_xcv.txt mkdir -p share/xilinx mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xcv_map.v share/xilinx/brams_xcv_map.v cp "./"/techlibs/xilinx/brams_defs.vh share/xilinx/brams_defs.vh mkdir -p share/xilinx mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xc2v_map.v share/xilinx/brams_xc2v_map.v cp "./"/techlibs/xilinx/brams_xc2v.txt share/xilinx/brams_xc2v.txt mkdir -p share/xilinx mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xc3sda.txt share/xilinx/brams_xc3sda.txt cp "./"/techlibs/xilinx/brams_xc3sda_map.v share/xilinx/brams_xc3sda_map.v mkdir -p share/xilinx mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xc4v_map.v share/xilinx/brams_xc4v_map.v cp "./"/techlibs/xilinx/brams_xc4v.txt share/xilinx/brams_xc4v.txt mkdir -p share/xilinx mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xc5v_map.v share/xilinx/brams_xc5v_map.v cp "./"/techlibs/xilinx/brams_xc6v_map.v share/xilinx/brams_xc6v_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xcu_map.v share/xilinx/brams_xcu_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/urams.txt share/xilinx/urams.txt mkdir -p share/xilinx cp "./"/techlibs/xilinx/urams_map.v share/xilinx/urams_map.v mkdir -p share/xilinx mkdir -p share/xilinx cp "./"/techlibs/xilinx/arith_map.v share/xilinx/arith_map.v cp "./"/techlibs/xilinx/ff_map.v share/xilinx/ff_map.v mkdir -p share/xilinx mkdir -p share/xilinx cp "./"/techlibs/xilinx/lut_map.v share/xilinx/lut_map.v cp "./"/techlibs/xilinx/mux_map.v share/xilinx/mux_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/xc3s_mult_map.v share/xilinx/xc3s_mult_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/xc3sda_dsp_map.v share/xilinx/xc3sda_dsp_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/xc6s_dsp_map.v share/xilinx/xc6s_dsp_map.v mkdir -p share/xilinx mkdir -p share/xilinx cp "./"/techlibs/xilinx/xc4v_dsp_map.v share/xilinx/xc4v_dsp_map.v cp "./"/techlibs/xilinx/xc5v_dsp_map.v share/xilinx/xc5v_dsp_map.v mkdir -p share/xilinx mkdir -p share/xilinx cp "./"/techlibs/xilinx/xc7_dsp_map.v share/xilinx/xc7_dsp_map.v cp "./"/techlibs/xilinx/xcu_dsp_map.v share/xilinx/xcu_dsp_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/abc9_model.v share/xilinx/abc9_model.v mkdir -p kernel/ gcc -o kernel/version_5691cd09584.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/version_5691cd09584.cc mkdir -p kernel/ gcc -o kernel/register.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/register.cc mkdir -p frontends/rtlil/ gcc -o frontends/rtlil/rtlil_parser.tab.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rtlil/rtlil_parser.tab.cc mkdir -p frontends/rtlil/ gcc -o frontends/rtlil/rtlil_lexer.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rtlil/rtlil_lexer.cc mkdir -p frontends/verilog/ gcc -o frontends/verilog/verilog_parser.tab.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYYMAXDEPTH=10000000 frontends/verilog/verilog_parser.tab.cc mkdir -p frontends/verilog/ flex -o frontends/verilog/verilog_lexer.cc frontends/verilog/verilog_lexer.l mkdir -p passes/pmgen/ gcc -o passes/pmgen/test_pmgen.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/test_pmgen.cc mkdir -p passes/pmgen/ gcc -o passes/pmgen/ice40_wrapcarry.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/ice40_wrapcarry.cc mkdir -p passes/pmgen/ gcc -o passes/pmgen/xilinx_dsp.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/xilinx_dsp.cc mkdir -p ./ gcc -o yosys-filterlib -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -rdynamic passes/techmap/filterlib.o -lstdc++ -lm -lrt -lreadline -L/usr/lib64/../lib64 -lffi -ldl -lz -ltcl8.6 -ltclstub8.6 mkdir -p share/gatemate cp techlibs/gatemate/lut_tree_cells.genlib share/gatemate/lut_tree_cells.genlib mkdir -p share/gatemate cp techlibs/gatemate/lut_tree_map.v share/gatemate/lut_tree_map.v mkdir -p frontends/verilog/ gcc -o frontends/verilog/verilog_lexer.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/verilog_lexer.cc gcc -o yosys -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -rdynamic kernel/version_5691cd09584.o kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o kernel/binding.o kernel/cellaigs.o kernel/celledges.o kernel/satgen.o kernel/qcsat.o kernel/mem.o kernel/ffmerge.o kernel/ff.o kernel/yw.o kernel/json.o kernel/fmt.o kernel/fstdata.o libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o libs/sha1/sha1.o libs/json11/json11.o libs/subcircuit/subcircuit.o libs/ezsat/ezsat.o libs/ezsat/ezminisat.o libs/minisat/Options.o libs/minisat/SimpSolver.o libs/minisat/Solver.o libs/minisat/System.o libs/fst/fstapi.o libs/fst/fastlz.o libs/fst/lz4.o frontends/aiger/aigerparse.o frontends/ast/ast.o frontends/ast/simplify.o frontends/ast/genrtlil.o frontends/ast/dpicall.o frontends/ast/ast_binding.o frontends/blif/blifparse.o frontends/json/jsonparse.o frontends/liberty/liberty.o frontends/rpc/rpc_frontend.o frontends/rtlil/rtlil_parser.tab.o frontends/rtlil/rtlil_lexer.o frontends/rtlil/rtlil_frontend.o frontends/verific/verific.o frontends/verilog/verilog_parser.tab.o frontends/verilog/verilog_lexer.o frontends/verilog/preproc.o frontends/verilog/verilog_frontend.o frontends/verilog/const2ast.o passes/cmds/exec.o passes/cmds/add.o passes/cmds/delete.o passes/cmds/design.o passes/cmds/select.o passes/cmds/show.o passes/cmds/viz.o passes/cmds/rename.o passes/cmds/autoname.o passes/cmds/connect.o passes/cmds/scatter.o passes/cmds/setundef.o passes/cmds/splitnets.o passes/cmds/splitcells.o passes/cmds/stat.o passes/cmds/setattr.o passes/cmds/copy.o passes/cmds/splice.o passes/cmds/scc.o passes/cmds/glift.o passes/cmds/torder.o passes/cmds/logcmd.o passes/cmds/tee.o passes/cmds/write_file.o passes/cmds/connwrappers.o passes/cmds/cover.o passes/cmds/trace.o passes/cmds/plugin.o passes/cmds/check.o passes/cmds/qwp.o passes/cmds/edgetypes.o passes/cmds/portlist.o passes/cmds/chformal.o passes/cmds/chtype.o passes/cmds/blackbox.o passes/cmds/ltp.o passes/cmds/bugpoint.o passes/cmds/scratchpad.o passes/cmds/logger.o passes/cmds/printattrs.o passes/cmds/sta.o passes/cmds/clean_zerowidth.o passes/cmds/xprop.o passes/cmds/dft_tag.o passes/cmds/future.o passes/equiv/equiv_make.o passes/equiv/equiv_miter.o passes/equiv/equiv_simple.o passes/equiv/equiv_status.o passes/equiv/equiv_add.o passes/equiv/equiv_remove.o passes/equiv/equiv_induct.o passes/equiv/equiv_struct.o passes/equiv/equiv_purge.o passes/equiv/equiv_mark.o passes/equiv/equiv_opt.o passes/fsm/fsm.o passes/fsm/fsm_detect.o passes/fsm/fsm_extract.o passes/fsm/fsm_opt.o passes/fsm/fsm_expand.o passes/fsm/fsm_recode.o passes/fsm/fsm_info.o passes/fsm/fsm_export.o passes/fsm/fsm_map.o passes/hierarchy/hierarchy.o passes/hierarchy/uniquify.o passes/hierarchy/submod.o passes/memory/memory.o passes/memory/memory_dff.o passes/memory/memory_share.o passes/memory/memory_collect.o passes/memory/memory_unpack.o passes/memory/memory_bram.o passes/memory/memory_map.o passes/memory/memory_memx.o passes/memory/memory_nordff.o passes/memory/memory_narrow.o passes/memory/memory_libmap.o passes/memory/memory_bmux2rom.o passes/memory/memlib.o passes/opt/opt.o passes/opt/opt_merge.o passes/opt/opt_mem.o passes/opt/opt_mem_feedback.o passes/opt/opt_mem_priority.o passes/opt/opt_mem_widen.o passes/opt/opt_muxtree.o passes/opt/opt_reduce.o passes/opt/opt_dff.o passes/opt/opt_share.o passes/opt/opt_clean.o passes/opt/opt_expr.o passes/opt/share.o passes/opt/wreduce.o passes/opt/opt_demorgan.o passes/opt/rmports.o passes/opt/opt_lut.o passes/opt/opt_lut_ins.o passes/opt/opt_ffinv.o passes/opt/pmux2shiftx.o passes/opt/muxpack.o passes/pmgen/test_pmgen.o passes/pmgen/ice40_dsp.o passes/pmgen/ice40_wrapcarry.o passes/pmgen/xilinx_dsp.o passes/pmgen/peepopt.o passes/pmgen/xilinx_srl.o passes/proc/proc.o passes/proc/proc_prune.o passes/proc/proc_clean.o passes/proc/proc_rmdead.o passes/proc/proc_init.o passes/proc/proc_arst.o passes/proc/proc_rom.o passes/proc/proc_mux.o passes/proc/proc_dlatch.o passes/proc/proc_dff.o passes/proc/proc_memwr.o passes/sat/sat.o passes/sat/freduce.o passes/sat/eval.o passes/sat/sim.o passes/sat/miter.o passes/sat/expose.o passes/sat/assertpmux.o passes/sat/clk2fflogic.o passes/sat/async2sync.o passes/sat/formalff.o passes/sat/supercover.o passes/sat/fmcombine.o passes/sat/mutate.o passes/sat/cutpoint.o passes/sat/fminit.o passes/sat/recover_names.o passes/sat/qbfsat.o passes/sat/synthprop.o passes/techmap/flatten.o passes/techmap/techmap.o passes/techmap/simplemap.o passes/techmap/dfflibmap.o passes/techmap/maccmap.o passes/techmap/booth.o passes/techmap/libparse.o passes/techmap/abc.o passes/techmap/abc9.o passes/techmap/abc9_exe.o passes/techmap/abc9_ops.o passes/techmap/iopadmap.o passes/techmap/clkbufmap.o passes/techmap/hilomap.o passes/techmap/extract.o passes/techmap/extract_fa.o passes/techmap/extract_counter.o passes/techmap/extract_reduce.o passes/techmap/alumacc.o passes/techmap/dffinit.o passes/techmap/pmuxtree.o passes/techmap/bmuxmap.o passes/techmap/demuxmap.o passes/techmap/bwmuxmap.o passes/techmap/muxcover.o passes/techmap/aigmap.o passes/techmap/tribuf.o passes/techmap/lut2mux.o passes/techmap/nlutmap.o passes/techmap/shregmap.o passes/techmap/deminout.o passes/techmap/insbuf.o passes/techmap/attrmvcp.o passes/techmap/attrmap.o passes/techmap/zinit.o passes/techmap/dfflegalize.o passes/techmap/dffunmap.o passes/techmap/flowmap.o passes/techmap/extractinv.o passes/tests/test_autotb.o passes/tests/test_cell.o passes/tests/test_abcloop.o backends/aiger/aiger.o backends/aiger/xaiger.o backends/blif/blif.o backends/btor/btor.o backends/cxxrtl/cxxrtl_backend.o backends/edif/edif.o backends/firrtl/firrtl.o backends/intersynth/intersynth.o backends/jny/jny.o backends/json/json.o backends/rtlil/rtlil_backend.o backends/simplec/simplec.o backends/smt2/smt2.o backends/smv/smv.o backends/spice/spice.o backends/table/table.o backends/verilog/verilog_backend.o techlibs/achronix/synth_achronix.o techlibs/anlogic/synth_anlogic.o techlibs/anlogic/anlogic_eqn.o techlibs/anlogic/anlogic_fixcarry.o techlibs/common/synth.o techlibs/common/prep.o techlibs/coolrunner2/synth_coolrunner2.o techlibs/coolrunner2/coolrunner2_sop.o techlibs/coolrunner2/coolrunner2_fixup.o techlibs/easic/synth_easic.o techlibs/ecp5/synth_ecp5.o techlibs/efinix/synth_efinix.o techlibs/efinix/efinix_fixcarry.o techlibs/fabulous/synth_fabulous.o techlibs/gatemate/synth_gatemate.o techlibs/gatemate/gatemate_foldinv.o techlibs/gowin/synth_gowin.o techlibs/greenpak4/synth_greenpak4.o techlibs/greenpak4/greenpak4_dffinv.o techlibs/ice40/synth_ice40.o techlibs/ice40/ice40_braminit.o techlibs/ice40/ice40_opt.o techlibs/intel/synth_intel.o techlibs/intel_alm/synth_intel_alm.o techlibs/lattice/synth_lattice.o techlibs/lattice/lattice_gsr.o techlibs/nexus/synth_nexus.o techlibs/quicklogic/synth_quicklogic.o techlibs/sf2/synth_sf2.o techlibs/xilinx/synth_xilinx.o techlibs/xilinx/xilinx_dffopt.o -lstdc++ -lm -lrt -lreadline -L/usr/lib64/../lib64 -lffi -ldl -lz -ltcl8.6 -ltclstub8.6 Build successful. + touch manual/empty.pdf ++ stat -c %y debian/man/yosys-smtbmc.txt ++ awk '{ print $1 }' + txt2man -d 2019-10-18 -t YOSYS-SMTBMC debian/man/yosys-smtbmc.txt + RPM_EC=0 ++ jobs -p + exit 0 Executing(%install): /bin/sh -e /var/tmp/rpm-tmp.e0wbvT + umask 022 + cd /builddir/build/BUILD + '[' /builddir/build/BUILDROOT/yosys-0.35-1.20231108git5691cd0.fc40.x86_64 '!=' / ']' + rm -rf /builddir/build/BUILDROOT/yosys-0.35-1.20231108git5691cd0.fc40.x86_64 ++ dirname /builddir/build/BUILDROOT/yosys-0.35-1.20231108git5691cd0.fc40.x86_64 + mkdir -p /builddir/build/BUILDROOT + mkdir /builddir/build/BUILDROOT/yosys-0.35-1.20231108git5691cd0.fc40.x86_64 + CFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Werror=implicit-function-declaration -Werror=implicit-int -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer ' + export CFLAGS + CXXFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer ' + export CXXFLAGS + FFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -I/usr/lib64/gfortran/modules ' + export FFLAGS + FCFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -I/usr/lib64/gfortran/modules ' + export FCFLAGS + VALAFLAGS=-g + export VALAFLAGS + RUSTFLAGS='-Copt-level=3 -Cdebuginfo=2 -Ccodegen-units=1 -Cstrip=none -Cforce-frame-pointers=yes -Clink-arg=-Wl,-z,relro -Clink-arg=-Wl,-z,now -Clink-arg=-specs=/usr/lib/rpm/redhat/redhat-package-notes --cap-lints=warn' + export RUSTFLAGS + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes ' + export LDFLAGS + LT_SYS_LIBRARY_PATH=/usr/lib64: + export LT_SYS_LIBRARY_PATH + CC=gcc + export CC + CXX=g++ + export CXX + cd yosys-5691cd095848f89f9f84a29f267fdebe95bae832 + /usr/bin/make install DESTDIR=/builddir/build/BUILDROOT/yosys-0.35-1.20231108git5691cd0.fc40.x86_64 'INSTALL=/usr/bin/install -p' PREFIX=/usr ABCEXTERNAL=/usr/bin/abc STRIP=/bin/true [Makefile.conf] CONFIG := gcc mkdir -p /builddir/build/BUILDROOT/yosys-0.35-1.20231108git5691cd0.fc40.x86_64/usr/bin cp yosys yosys-config yosys-filterlib yosys-smtbmc yosys-witness /builddir/build/BUILDROOT/yosys-0.35-1.20231108git5691cd0.fc40.x86_64/usr/bin /bin/true -S /builddir/build/BUILDROOT/yosys-0.35-1.20231108git5691cd0.fc40.x86_64/usr/bin/yosys /bin/true /builddir/build/BUILDROOT/yosys-0.35-1.20231108git5691cd0.fc40.x86_64/usr/bin/yosys-filterlib mkdir -p /builddir/build/BUILDROOT/yosys-0.35-1.20231108git5691cd0.fc40.x86_64/usr/share/yosys cp -r share/. /builddir/build/BUILDROOT/yosys-0.35-1.20231108git5691cd0.fc40.x86_64/usr/share/yosys/. + install -d -m0755 /builddir/build/BUILDROOT/yosys-0.35-1.20231108git5691cd0.fc40.x86_64/usr/include + mv /builddir/build/BUILDROOT/yosys-0.35-1.20231108git5691cd0.fc40.x86_64/usr/share/yosys/include /builddir/build/BUILDROOT/yosys-0.35-1.20231108git5691cd0.fc40.x86_64/usr/include/yosys + install -d -m0755 /builddir/build/BUILDROOT/yosys-0.35-1.20231108git5691cd0.fc40.x86_64/usr/share/man/man1 + install -m 0644 yosys-smtbmc.1 debian/yosys.1 debian/yosys-config.1 debian/yosys-filterlib.1 /builddir/build/BUILDROOT/yosys-0.35-1.20231108git5691cd0.fc40.x86_64/usr/share/man/man1 + install -d -m0755 /builddir/build/BUILDROOT/yosys-0.35-1.20231108git5691cd0.fc40.x86_64/usr/share/doc/yosys + install -m 0644 manual/empty.pdf /builddir/build/BUILDROOT/yosys-0.35-1.20231108git5691cd0.fc40.x86_64/usr/share/doc/yosys + /usr/bin/find-debuginfo -j2 --strict-build-id -m -i --build-id-seed 0.35-1.20231108git5691cd0.fc40 --unique-debug-suffix -0.35-1.20231108git5691cd0.fc40.x86_64 --unique-debug-src-base yosys-0.35-1.20231108git5691cd0.fc40.x86_64 --run-dwz --dwz-low-mem-die-limit 10000000 --dwz-max-die-limit 110000000 -S debugsourcefiles.list /builddir/build/BUILD/yosys-5691cd095848f89f9f84a29f267fdebe95bae832 find-debuginfo: starting Extracting debug info from 2 files DWARF-compressing 2 files dwz: Too few files for multifile optimization sepdebugcrcfix: Updated 2 CRC32s, 0 CRC32s did match. Creating .debug symlinks for symlinks to ELF files Copying sources found by 'debugedit -l' to /usr/src/debug/yosys-0.35-1.20231108git5691cd0.fc40.x86_64 13903 blocks find-debuginfo: done + /usr/lib/rpm/check-buildroot + /usr/lib/rpm/redhat/brp-ldconfig + /usr/lib/rpm/brp-compress + /usr/lib/rpm/redhat/brp-strip-lto /usr/bin/strip + /usr/lib/rpm/brp-strip-static-archive /usr/bin/strip + /usr/lib/rpm/check-rpaths + /usr/lib/rpm/redhat/brp-mangle-shebangs mangling shebang in /usr/bin/yosys-config from /usr/bin/env bash to #!/usr/bin/bash + /usr/lib/rpm/brp-remove-la-files + env /usr/lib/rpm/redhat/brp-python-bytecompile '' 1 0 -j2 + /usr/lib/rpm/redhat/brp-python-hardlink Executing(%check): /bin/sh -e /var/tmp/rpm-tmp.29vKy0 + umask 022 + cd /builddir/build/BUILD + CFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Werror=implicit-function-declaration -Werror=implicit-int -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer ' + export CFLAGS + CXXFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer ' + export CXXFLAGS + FFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -I/usr/lib64/gfortran/modules ' + export FFLAGS + FCFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -I/usr/lib64/gfortran/modules ' + export FCFLAGS + VALAFLAGS=-g + export VALAFLAGS + RUSTFLAGS='-Copt-level=3 -Cdebuginfo=2 -Ccodegen-units=1 -Cstrip=none -Cforce-frame-pointers=yes -Clink-arg=-Wl,-z,relro -Clink-arg=-Wl,-z,now -Clink-arg=-specs=/usr/lib/rpm/redhat/redhat-package-notes --cap-lints=warn' + export RUSTFLAGS + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes ' + export LDFLAGS + LT_SYS_LIBRARY_PATH=/usr/lib64: + export LT_SYS_LIBRARY_PATH + CC=gcc + export CC + CXX=g++ + export CXX + cd yosys-5691cd095848f89f9f84a29f267fdebe95bae832 + make test ABCEXTERNAL=/usr/bin/abc SEED=314159265359 [Makefile.conf] CONFIG := gcc cd tests/simple && bash run-test.sh "-S 314159265359" make[1]: Entering directory '/builddir/build/BUILD/yosys-5691cd095848f89f9f84a29f267fdebe95bae832/tests/simple' + gcc -Wall -o /builddir/build/BUILD/yosys-5691cd095848f89f9f84a29f267fdebe95bae832/tests/tools/cmp_tbdata /builddir/build/BUILD/yosys-5691cd095848f89f9f84a29f267fdebe95bae832/tests/tools/cmp_tbdata.c Test: arrays02 -> ok Test: asgn_binop -> ok Test: case_expr_extend -> ok Test: case_expr_query -> ok Test: defvalue -> ok Test: implicit_ports -> ok Test: lesser_size_cast -> ok Test: local_loop_var -> ok Test: macro_arg_spaces -> ok Test: matching_end_labels -> ok Test: memwr_port_connection -> ok Test: unnamed_block_decl -> ok Test: aes_kexp128 -> ok Test: always01 -> ok Test: always02 -> ok Test: always03 -> ok Test: arraycells -> ok Test: arrays01 -> ok Test: attrib01_module -> ok Test: attrib02_port_decl -> ok Test: attrib03_parameter -> ok Test: attrib04_net_var -> ok Test: attrib06_operator_suffix -> ok Test: attrib08_mod_inst -> ok Test: attrib09_case -> ok Test: carryadd -> ok Test: case_expr_const -> ok Test: case_expr_non_const -> ok Test: case_large -> ok Test: const_branch_finish -> ok Test: const_fold_func -> ok Test: const_func_shadow -> ok Test: constmuldivmod -> ok Test: constpower -> ok Test: dff_different_styles -> ok Test: dff_init -> ok Test: dynslice -> ok Test: fiedler-cooley -> ok Test: forgen01 -> ok Test: forgen02 -> ok Test: forloops -> ok Test: fsm -> ok Test: func_block -> ok Test: func_recurse -> ok Test: func_width_scope -> ok Test: genblk_collide -> ok Test: genblk_dive -> ok Test: genblk_order -> ok Test: genblk_port_shadow -> ok Test: generate -> ok Test: graphtest -> ok Test: hierarchy -> ok Test: hierdefparam -> ok Test: i2c_master_tests -> ok Test: ifdef_1 -> ok Test: ifdef_2 -> ok Test: localparam_attr -> ok Test: loop_prefix_case -> ok Test: loop_var_shadow -> ok Test: loops -> ok Test: macro_arg_surrounding_spaces -> ok Test: macros -> ok Test: mem2reg -> ok Test: mem2reg_bounds_tern -> ok Test: mem_arst -> ok Test: memory -> ok Test: module_scope -> ok Test: module_scope_case -> ok Test: module_scope_func -> ok Test: multiplier -> ok Test: muxtree -> ok Test: named_genblk -> ok Test: nested_genblk_resolve -> ok Test: omsp_dbg_uart -> ok Test: operators -> ok Test: param_attr -> ok Test: paramods -> ok Test: partsel -> ok Test: process -> ok Test: realexpr -> ok Test: repwhile -> ok Test: retime -> ok Test: rotate -> ok Test: scopes -> ok Test: signed_full_slice -> ok Test: signedexpr -> ok Test: sincos -> ok Test: specify -> ok Test: string_format -> ok Test: subbytes -> ok Test: task_func -> ok Test: undef_eqx_nex -> ok Test: usb_phy_tests -> ok Test: values -> ok Test: verilog_primitives -> ok Test: vloghammer -> ok Test: wandwor -> ok Test: wreduce -> ok make[1]: Leaving directory '/builddir/build/BUILD/yosys-5691cd095848f89f9f84a29f267fdebe95bae832/tests/simple' cd tests/simple_abc9 && bash run-test.sh "-S 314159265359" ls: cannot access '*.sv': No such file or directory make[1]: Entering directory '/builddir/build/BUILD/yosys-5691cd095848f89f9f84a29f267fdebe95bae832/tests/simple_abc9' Test: abc9 -> ok Test: aes_kexp128 -> ok Test: always01 -> ok Test: always02 -> ok Test: always03 -> ok Test: arraycells -> ok Test: arrays01 -> ok Test: attrib01_module -> ok Test: attrib02_port_decl -> ok Test: attrib03_parameter -> ok Test: attrib04_net_var -> ok Test: attrib06_operator_suffix -> ok Test: attrib08_mod_inst -> ok Test: attrib09_case -> ok Test: carryadd -> ok Test: case_expr_const -> ok Test: case_expr_non_const -> ok Test: case_large -> ok Test: const_branch_finish -> ok Test: const_fold_func -> ok Test: const_func_shadow -> ok Test: constmuldivmod -> ok Test: constpower -> ok Test: dff_different_styles -> ok Test: dff_init -> ok Test: dynslice -> ok Test: fiedler-cooley -> ok Test: forgen01 -> ok Test: forgen02 -> ok Test: forloops -> ok Test: fsm -> ok Test: func_block -> ok Test: func_recurse -> ok Test: func_width_scope -> ok Test: genblk_collide -> ok Test: genblk_dive -> ok Test: genblk_order -> ok Test: genblk_port_shadow -> ok Test: generate -> ok Test: graphtest -> ok Test: hierarchy -> ok Test: hierdefparam -> ok Test: i2c_master_tests -> ok Test: ifdef_1 -> ok Test: ifdef_2 -> ok Test: localparam_attr -> ok Test: loop_prefix_case -> ok Test: loop_var_shadow -> ok Test: loops -> ok Test: macro_arg_surrounding_spaces -> ok Test: macros -> ok Test: mem2reg -> ok Test: mem2reg_bounds_tern -> ok Test: mem_arst -> ok Test: memory -> ok Test: module_scope -> ok Test: module_scope_case -> ok Test: module_scope_func -> ok Test: multiplier -> ok Test: muxtree -> ok Test: named_genblk -> ok Test: nested_genblk_resolve -> ok Test: omsp_dbg_uart -> ok Test: operators -> ok Test: param_attr -> ok Test: paramods -> ok Test: partsel -> ok Test: process -> ok Test: realexpr -> ok Test: repwhile -> ok Test: retime -> ok Test: rotate -> ok Test: scopes -> ok Test: signed_full_slice -> ok Test: signedexpr -> ok Test: sincos -> ok Test: string_format -> ok Test: subbytes -> ok Test: task_func -> ok Test: undef_eqx_nex -> ok Test: usb_phy_tests -> ok Test: values -> ok Test: verilog_primitives -> ok Test: vloghammer -> ok Test: wandwor -> ok Test: wreduce -> ok Test: arrays02 -> ok Test: asgn_binop -> ok Test: case_expr_extend -> ok Test: case_expr_query -> ok Test: defvalue -> ok Test: implicit_ports -> ok Test: lesser_size_cast -> ok Test: local_loop_var -> ok Test: macro_arg_spaces -> ok Test: matching_end_labels -> ok Test: memwr_port_connection -> ok Test: unnamed_block_decl -> ok make[1]: Leaving directory '/builddir/build/BUILD/yosys-5691cd095848f89f9f84a29f267fdebe95bae832/tests/simple_abc9' cd tests/hana && bash run-test.sh "-S 314159265359" make[1]: Entering directory '/builddir/build/BUILD/yosys-5691cd095848f89f9f84a29f267fdebe95bae832/tests/hana' Test: test_intermout -> ok Test: test_parse2synthtrans -> ok Test: test_parser -> ok Test: test_simulation_always -> ok Test: test_simulation_and -> ok Test: test_simulation_buffer -> ok Test: test_simulation_decoder -> ok Test: test_simulation_inc -> ok Test: test_simulation_mux -> ok Test: test_simulation_nand -> ok Test: test_simulation_nor -> ok Test: test_simulation_or -> ok Test: test_simulation_seq -> ok Test: test_simulation_shifter -> ok Test: test_simulation_sop -> ok Test: test_simulation_techmap -> ok Test: test_simulation_techmap_tech -> ok Test: test_simulation_vlib -> ok Test: test_simulation_xnor -> ok Test: test_simulation_xor -> ok make[1]: Leaving directory '/builddir/build/BUILD/yosys-5691cd095848f89f9f84a29f267fdebe95bae832/tests/hana' cd tests/asicworld && bash run-test.sh "-S 314159265359" make[1]: Entering directory '/builddir/build/BUILD/yosys-5691cd095848f89f9f84a29f267fdebe95bae832/tests/asicworld' Test: code_hdl_models_GrayCounter -> ok Test: code_hdl_models_arbiter -> ok Test: code_hdl_models_cam -> ok Test: code_hdl_models_clk_div -> ok Test: code_hdl_models_clk_div_45 -> ok Test: code_hdl_models_d_ff_gates -> ok Test: code_hdl_models_d_latch_gates -> ok Test: code_hdl_models_decoder_2to4_gates -> ok Test: code_hdl_models_decoder_using_assign -> ok Test: code_hdl_models_decoder_using_case -> ok Test: code_hdl_models_dff_async_reset -> ok Test: code_hdl_models_dff_sync_reset -> ok Test: code_hdl_models_encoder_4to2_gates -> ok Test: code_hdl_models_encoder_using_case -> ok Test: code_hdl_models_encoder_using_if -> ok Test: code_hdl_models_full_adder_gates -> ok Test: code_hdl_models_full_subtracter_gates -> ok Test: code_hdl_models_gray_counter -> ok Test: code_hdl_models_half_adder_gates -> ok Test: code_hdl_models_lfsr -> ok Test: code_hdl_models_lfsr_updown -> ok Test: code_hdl_models_mux_2to1_gates -> ok Test: code_hdl_models_mux_using_assign -> ok Test: code_hdl_models_mux_using_case -> ok Test: code_hdl_models_mux_using_if -> ok Test: code_hdl_models_one_hot_cnt -> ok Test: code_hdl_models_parallel_crc -> ok Test: code_hdl_models_parity_using_assign -> ok Test: code_hdl_models_parity_using_bitwise -> ok Test: code_hdl_models_parity_using_function -> ok Test: code_hdl_models_pri_encoder_using_assign -> ok Test: code_hdl_models_rom_using_case -> ok Test: code_hdl_models_serial_crc -> ok Test: code_hdl_models_tff_async_reset -> ok Test: code_hdl_models_tff_sync_reset -> ok Test: code_hdl_models_uart -> ok Test: code_hdl_models_up_counter -> ok Test: code_hdl_models_up_counter_load -> ok Test: code_hdl_models_up_down_counter -> ok Test: code_specman_switch_fabric -> ok Test: code_tidbits_asyn_reset -> ok Test: code_tidbits_blocking -> ok Test: code_tidbits_fsm_using_always -> ok Test: code_tidbits_fsm_using_function -> ok Test: code_tidbits_fsm_using_single_always -> ok Test: code_tidbits_nonblocking -> ok Test: code_tidbits_reg_combo_example -> ok Test: code_tidbits_reg_seq_example -> ok Test: code_tidbits_syn_reset -> ok Test: code_tidbits_wire_example -> ok Test: code_verilog_tutorial_addbit -> ok Test: code_verilog_tutorial_always_example -> ok Test: code_verilog_tutorial_bus_con -> ok Test: code_verilog_tutorial_comment -> ok Test: code_verilog_tutorial_counter -> ok Test: code_verilog_tutorial_d_ff -> ok Test: code_verilog_tutorial_decoder -> ok Test: code_verilog_tutorial_decoder_always -> ok Test: code_verilog_tutorial_escape_id -> ok Test: code_verilog_tutorial_explicit -> ok Test: code_verilog_tutorial_first_counter -> ok Test: code_verilog_tutorial_flip_flop -> ok Test: code_verilog_tutorial_fsm_full -> ok Test: code_verilog_tutorial_good_code -> ok Test: code_verilog_tutorial_if_else -> ok Test: code_verilog_tutorial_multiply -> ok Test: code_verilog_tutorial_mux_21 -> ok Test: code_verilog_tutorial_n_out_primitive -> ok Test: code_verilog_tutorial_parallel_if -> ok Test: code_verilog_tutorial_parity -> ok Test: code_verilog_tutorial_simple_function -> ok Test: code_verilog_tutorial_simple_if -> ok Test: code_verilog_tutorial_task_global -> ok Test: code_verilog_tutorial_tri_buf -> ok Test: code_verilog_tutorial_v2k_reg -> ok Test: code_verilog_tutorial_which_clock -> ok make[1]: Leaving directory '/builddir/build/BUILD/yosys-5691cd095848f89f9f84a29f267fdebe95bae832/tests/asicworld' # +cd tests/realmath && bash run-test.sh "-S 314159265359" cd tests/share && bash run-test.sh "-S 314159265359" generating tests.. PRNG seed: 314159265359 running tests.. [0][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][19][20][21][22][23][24][25][26][27][28][29][30][31][32][33][34][35][36][37][38][39][40][41][42][43][44][45][46][47][48][49][50][51][52][53][54][55][56][57][58][59][60][61][62][63][64][65][66][67][68][69][70][71][72][73][74][75][76][77][78][79][80][81][82][83][84][85][86][87][88][89][90][91][92][93][94][95][96][97][98][99] cd tests/opt_share && bash run-test.sh "-S 314159265359" generating tests.. PRNG seed: 314159265359 running tests.. make[1]: Entering directory '/builddir/build/BUILD/yosys-5691cd095848f89f9f84a29f267fdebe95bae832/tests/opt_share' [0][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][19][20][21][22][23][24][25][26][27][28][29][30][31][32][33][34][35][36][37][38][39][40][41][42][43][44][45][46][47][48][49][50][51][52][53][54][55][56][57][58][59][60][61][62][63][64][65][66][67][68][69][70][71][72][73][74][75][76][77][78][79][80][81][82][83][84][85][86][87][88][89][90][91][92][93][94][95][96][97][98][99]make[1]: Leaving directory '/builddir/build/BUILD/yosys-5691cd095848f89f9f84a29f267fdebe95bae832/tests/opt_share' cd tests/fsm && bash run-test.sh "-S 314159265359" generating tests.. PRNG seed: 314159265359 running tests.. make[1]: Entering directory '/builddir/build/BUILD/yosys-5691cd095848f89f9f84a29f267fdebe95bae832/tests/fsm' [0]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[1]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[2]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[3]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[4]K[5]K[6]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! T[7]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[8]K[9]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[10]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[11]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[12]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[13]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[14]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[15]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[16]K[17]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[18]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[19]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[20]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[21]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[22]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[23]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[24]K[25]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[26]K[27]K[28]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[29]K[30]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[31]K[32]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[33]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[34]K[35]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[36]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[37]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[38]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[39]K[40]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[41]K[42]K[43]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[44]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[45]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[46]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[47]K[48]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[49]K make[1]: Leaving directory '/builddir/build/BUILD/yosys-5691cd095848f89f9f84a29f267fdebe95bae832/tests/fsm' cd tests/techmap && bash run-test.sh make[1]: Entering directory '/builddir/build/BUILD/yosys-5691cd095848f89f9f84a29f267fdebe95bae832/tests/techmap' Passed abc9.ys Warning: wire '\Q' is assigned in a block at < ok Test: firrtl_938 -> ok Test: implicit_en -> ok Test: issue00335 -> ok Test: issue00710 -> ok Test: no_implicit_en -> ok Test: read_arst -> ok Test: read_two_mux -> ok Test: shared_ports -> ok Test: simple_sram_byte_en -> ok Test: trans_addr_enable -> ok Test: trans_sdp -> ok Test: trans_sp -> ok Test: wide_all -> ok Test: wide_read_async -> ok Test: wide_read_mixed -> ok Test: wide_read_sync -> ok Test: wide_read_trans -> ok Test: wide_thru_priority -> ok Test: wide_write -> ok make[1]: Leaving directory '/builddir/build/BUILD/yosys-5691cd095848f89f9f84a29f267fdebe95bae832/tests/memories' egrep: warning: egrep is obsolescent; using grep -E Testing expectations for amber23_sram_byte_en.v .. ok. Testing expectations for implicit_en.v .. ok. Testing expectations for issue00335.v .. ok. Testing expectations for issue00710.v .. ok. Testing expectations for no_implicit_en.v .. ok. Testing expectations for read_arst.v .. ok. Testing expectations for read_two_mux.v .. ok. Testing expectations for shared_ports.v .. ok. Testing expectations for simple_sram_byte_en.v .. ok. Testing expectations for trans_addr_enable.v .. ok. Testing expectations for trans_sdp.v .. ok. Testing expectations for trans_sp.v .. ok. Testing expectations for wide_all.v .. ok. Testing expectations for wide_read_async.v .. ok. Testing expectations for wide_read_mixed.v .. ok. Testing expectations for wide_read_sync.v .. ok. Testing expectations for wide_read_trans.v .. ok. Testing expectations for wide_thru_priority.v .. ok. Testing expectations for wide_write.v .. ok. cd tests/memlib && bash run-test.sh "-S 314159265359" make[1]: Entering directory '/builddir/build/BUILD/yosys-5691cd095848f89f9f84a29f267fdebe95bae832/tests/memlib' Test: t_async_big -> ok Test: t_async_big_block -> ok Test: t_async_small -> ok Test: t_async_small_block -> ok Test: t_sync_big -> ok Test: t_sync_big_sdp -> ok Test: t_sync_big_lut -> ok Test: t_sync_small -> ok Test: t_sync_small_block -> ok Test: t_sync_small_block_attr -> ok Test: t_init_lut_zeros_zero -> ok Test: t_init_lut_zeros_any -> ok Test: t_init_lut_val_zero -> ok Test: t_init_lut_val_any -> ok Test: t_init_lut_val_no_undef -> ok Test: t_init_lut_val2_any -> ok Test: t_init_lut_val2_no_undef -> ok Test: t_init_lut_x_none -> ok Test: t_init_lut_x_zero -> ok Test: t_init_lut_x_any -> ok Test: t_init_lut_x_no_undef -> ok Test: t_ram_18b2B -> ok Test: t_ram_9b1B -> ok Test: t_ram_4b1B -> ok Test: t_ram_2b1B -> ok Test: t_ram_1b1B -> ok Test: t_init_9b1B_zeros_zero -> ok Test: t_init_9b1B_zeros_any -> ok Test: t_init_9b1B_val_zero -> ok Test: t_init_9b1B_val_any -> ok Test: t_init_9b1B_val_no_undef -> ok Test: t_init_13b2B_val_any -> ok Test: t_init_18b2B_val_any -> ok Test: t_init_18b2B_val_no_undef -> ok Test: t_init_4b1B_x_none -> ok Test: t_init_4b1B_x_zero -> ok Test: t_init_4b1B_x_any -> ok Test: t_init_4b1B_x_no_undef -> ok Test: t_clock_a4_wANYrANYsFalse -> ok Test: t_clock_a4_wANYrNEGsFalse -> ok Test: t_clock_a4_wANYrPOSsFalse -> ok Test: t_clock_a4_wNEGrANYsFalse -> ok Test: t_clock_a4_wNEGrPOSsFalse -> ok Test: t_clock_a4_wNEGrNEGsFalse -> ok Test: t_clock_a4_wPOSrANYsFalse -> ok Test: t_clock_a4_wPOSrNEGsFalse -> ok Test: t_clock_a4_wPOSrPOSsFalse -> ok Test: t_clock_a4_wANYrANYsTrue -> ok Test: t_clock_a4_wNEGrPOSsTrue -> ok Test: t_clock_a4_wNEGrNEGsTrue -> ok Test: t_clock_a4_wPOSrNEGsTrue -> ok Test: t_clock_a4_wPOSrPOSsTrue -> ok Test: t_unmixed -> ok Test: t_mixed_9_18 -> ok Test: t_mixed_18_9 -> ok Test: t_mixed_36_9 -> ok Test: t_mixed_4_2 -> ok Test: t_tdp -> ok Test: t_sync_2clk -> ok Test: t_sync_shared -> ok Test: t_sync_2clk_shared -> ok Test: t_sync_trans_old_old -> ok Test: t_sync_trans_old_new -> ok Test: t_sync_trans_old_none -> ok Test: t_sync_trans_new_old -> ok Test: t_sync_trans_new_new -> ok Test: t_sync_trans_new_none -> ok Test: t_sp_nc_none -> ok Test: t_sp_new_none -> ok Test: t_sp_old_none -> ok Test: t_sp_nc_nc -> ok Test: t_sp_new_nc -> ok Test: t_sp_old_nc -> ok Test: t_sp_nc_new -> ok Test: t_sp_new_new -> ok Test: t_sp_old_new -> ok Test: t_sp_nc_old -> ok Test: t_sp_new_old -> ok Test: t_sp_old_old -> ok Test: t_sp_nc_new_only -> ok Test: t_sp_new_new_only -> ok Test: t_sp_old_new_only -> ok Test: t_sp_nc_new_only_be -> ok Test: t_sp_new_new_only_be -> ok Test: t_sp_old_new_only_be -> ok Test: t_sp_nc_new_be -> ok Test: t_sp_new_new_be -> ok Test: t_sp_old_new_be -> ok Test: t_sp_nc_old_be -> ok Test: t_sp_new_old_be -> ok Test: t_sp_old_old_be -> ok Test: t_sp_nc_nc_be -> ok Test: t_sp_new_nc_be -> ok Test: t_sp_old_nc_be -> ok Test: t_sp_nc_auto -> ok Test: t_sp_new_auto -> ok Test: t_sp_old_auto -> ok Test: t_sp_nc_auto_be -> ok Test: t_sp_new_auto_be -> ok Test: t_sp_old_auto_be -> ok Test: t_sp_init_x_x -> ok Test: t_sp_init_x_x_re -> ok Test: t_sp_init_x_x_ce -> ok Test: t_sp_init_0_x -> ok Test: t_sp_init_0_x_re -> ok Test: t_sp_init_0_0 -> ok Test: t_sp_init_0_0_re -> ok Test: t_sp_init_0_any -> ok Test: t_sp_init_0_any_re -> ok Test: t_sp_init_v_x -> ok Test: t_sp_init_v_x_re -> ok Test: t_sp_init_v_0 -> ok Test: t_sp_init_v_0_re -> ok Test: t_sp_init_v_any -> ok Test: t_sp_init_v_any_re -> ok Test: t_sp_arst_x_x -> ok Test: t_sp_arst_x_x_re -> ok Test: t_sp_arst_0_x -> ok Test: t_sp_arst_0_x_re -> ok Test: t_sp_arst_0_0 -> ok Test: t_sp_arst_0_0_re -> ok Test: t_sp_arst_0_any -> ok Test: t_sp_arst_0_any_re -> ok Test: t_sp_arst_0_init -> ok Test: t_sp_arst_0_init_re -> ok Test: t_sp_arst_v_x -> ok Test: t_sp_arst_v_x_re -> ok Test: t_sp_arst_v_0 -> ok Test: t_sp_arst_v_0_re -> ok Test: t_sp_arst_v_any -> ok Test: t_sp_arst_v_any_re -> ok Test: t_sp_arst_v_init -> ok Test: t_sp_arst_v_init_re -> ok Test: t_sp_arst_e_x -> ok Test: t_sp_arst_e_x_re -> ok Test: t_sp_arst_e_0 -> ok Test: t_sp_arst_e_0_re -> ok Test: t_sp_arst_e_any -> ok Test: t_sp_arst_e_any_re -> ok Test: t_sp_arst_e_init -> ok Test: t_sp_arst_e_init_re -> ok Test: t_sp_arst_n_x -> ok Test: t_sp_arst_n_x_re -> ok Test: t_sp_arst_n_0 -> ok Test: t_sp_arst_n_0_re -> ok Test: t_sp_arst_n_any -> ok Test: t_sp_arst_n_any_re -> ok Test: t_sp_arst_n_init -> ok Test: t_sp_arst_n_init_re -> ok Test: t_sp_srst_x_x -> ok Test: t_sp_srst_x_x_re -> ok Test: t_sp_srst_0_x -> ok Test: t_sp_srst_0_x_re -> ok Test: t_sp_srst_0_0 -> ok Test: t_sp_srst_0_0_re -> ok Test: t_sp_srst_0_any -> ok Test: t_sp_srst_0_any_re -> ok Test: t_sp_srst_0_init -> ok Test: t_sp_srst_0_init_re -> ok Test: t_sp_srst_v_x -> ok Test: t_sp_srst_v_x_re -> ok Test: t_sp_srst_v_0 -> ok Test: t_sp_srst_v_0_re -> ok Test: t_sp_srst_v_any -> ok Test: t_sp_srst_v_any_re -> ok Test: t_sp_srst_v_any_re_gated -> ok Test: t_sp_srst_v_any_ce -> ok Test: t_sp_srst_v_any_ce_gated -> ok Test: t_sp_srst_v_init -> ok Test: t_sp_srst_v_init_re -> ok Test: t_sp_srst_e_x -> ok Test: t_sp_srst_e_x_re -> ok Test: t_sp_srst_e_0 -> ok Test: t_sp_srst_e_0_re -> ok Test: t_sp_srst_e_any -> ok Test: t_sp_srst_e_any_re -> ok Test: t_sp_srst_e_init -> ok Test: t_sp_srst_e_init_re -> ok Test: t_sp_srst_n_x -> ok Test: t_sp_srst_n_x_re -> ok Test: t_sp_srst_n_0 -> ok Test: t_sp_srst_n_0_re -> ok Test: t_sp_srst_n_any -> ok Test: t_sp_srst_n_any_re -> ok Test: t_sp_srst_n_init -> ok Test: t_sp_srst_n_init_re -> ok Test: t_sp_srst_gv_x -> ok Test: t_sp_srst_gv_x_re -> ok Test: t_sp_srst_gv_0 -> ok Test: t_sp_srst_gv_0_re -> ok Test: t_sp_srst_gv_any -> ok Test: t_sp_srst_gv_any_re -> ok Test: t_sp_srst_gv_any_re_gated -> ok Test: t_sp_srst_gv_any_ce -> ok Test: t_sp_srst_gv_any_ce_gated -> ok Test: t_sp_srst_gv_init -> ok Test: t_sp_srst_gv_init_re -> ok Test: t_wren_a4d4_NO_BYTE -> ok Test: t_wren_a5d4_NO_BYTE -> ok Test: t_wren_a6d4_NO_BYTE -> ok Test: t_wren_a3d8_NO_BYTE -> ok Test: t_wren_a4d8_NO_BYTE -> ok Test: t_wren_a4d4_W4_B4 -> ok Test: t_wren_a4d8_W4_B4_separate -> ok Test: t_wren_a4d8_W8_B4 -> ok Test: t_wren_a4d8_W8_B4_separate -> ok Test: t_wren_a4d8_W8_B8 -> ok Test: t_wren_a4d8_W8_B8_separate -> ok Test: t_wren_a4d2w8_W16_B4 -> ok Test: t_wren_a4d2w8_W16_B4_separate -> ok Test: t_wren_a4d4w4_W16_B4 -> ok Test: t_wren_a4d4w4_W16_B4_separate -> ok Test: t_wren_a5d4w2_W16_B4 -> ok Test: t_wren_a5d4w2_W16_B4_separate -> ok Test: t_wren_a5d4w4_W16_B4 -> ok Test: t_wren_a5d4w4_W16_B4_separate -> ok Test: t_wren_a4d8w2_W16_B4 -> ok Test: t_wren_a4d8w2_W16_B4_separate -> ok Test: t_wren_a5d8w1_W16_B4 -> ok Test: t_wren_a5d8w1_W16_B4_separate -> ok Test: t_wren_a5d8w2_W16_B4 -> ok Test: t_wren_a5d8w2_W16_B4_separate -> ok Test: t_wren_a4d16w1_W16_B4 -> ok Test: t_wren_a4d16w1_W16_B4_separate -> ok Test: t_wren_a4d4w2_W8_B8 -> ok Test: t_wren_a4d4w2_W8_B8_separate -> ok Test: t_wren_a4d4w1_W8_B8 -> ok Test: t_wren_a4d4w1_W8_B8_separate -> ok Test: t_wren_a4d8w2_W8_B8 -> ok Test: t_wren_a4d8w2_W8_B8_separate -> ok Test: t_wren_a3d8w2_W8_B8 -> ok Test: t_wren_a3d8w2_W8_B8_separate -> ok Test: t_wren_a4d4w2_W8_B4 -> ok Test: t_wren_a4d4w2_W8_B4_separate -> ok Test: t_wren_a4d2w4_W8_B4 -> ok Test: t_wren_a4d2w4_W8_B4_separate -> ok Test: t_wren_a4d4w4_W8_B4 -> ok Test: t_wren_a4d4w4_W8_B4_separate -> ok Test: t_wren_a4d4w4_W4_B4 -> ok Test: t_wren_a4d4w4_W4_B4_separate -> ok Test: t_wren_a4d4w5_W4_B4 -> ok Test: t_wren_a4d4w5_W4_B4_separate -> ok Test: t_geom_a4d64_wren -> ok Test: t_geom_a5d32_wren -> ok Test: t_geom_a5d64_wren -> ok Test: t_geom_a6d16_wren -> ok Test: t_geom_a6d30_wren -> ok Test: t_geom_a6d64_wren -> ok Test: t_geom_a7d4_wren -> ok Test: t_geom_a7d6_wren -> ok Test: t_geom_a7d8_wren -> ok Test: t_geom_a7d17_wren -> ok Test: t_geom_a8d4_wren -> ok Test: t_geom_a8d6_wren -> ok Test: t_geom_a9d4_wren -> ok Test: t_geom_a9d8_wren -> ok Test: t_geom_a9d5_wren -> ok Test: t_geom_a9d6_wren -> ok Test: t_geom_a3d18_9b1B -> ok Test: t_geom_a4d4_9b1B -> ok Test: t_geom_a4d18_9b1B -> ok Test: t_geom_a5d32_9b1B -> ok Test: t_geom_a6d4_9b1B -> ok Test: t_geom_a7d11_9b1B -> ok Test: t_geom_a7d18_9b1B -> ok Test: t_geom_a11d1_9b1B -> ok Test: t_wide_sdp_a6r1w1b1x1 -> ok Test: t_wide_sdp_a7r1w1b1x1 -> ok Test: t_wide_sdp_a8r1w1b1x1 -> ok Test: t_wide_sdp_a6r0w0b0x0 -> ok Test: t_wide_sdp_a6r1w0b0x0 -> ok Test: t_wide_sdp_a6r2w0b0x0 -> ok Test: t_wide_sdp_a6r3w0b0x0 -> ok Test: t_wide_sdp_a6r4w0b0x0 -> ok Test: t_wide_sdp_a6r5w0b0x0 -> ok Test: t_wide_sdp_a6r0w1b0x0 -> ok Test: t_wide_sdp_a6r0w1b1x0 -> ok Test: t_wide_sdp_a6r0w2b0x0 -> ok Test: t_wide_sdp_a6r0w2b2x0 -> ok Test: t_wide_sdp_a6r0w3b2x0 -> ok Test: t_wide_sdp_a6r0w4b2x0 -> ok Test: t_wide_sdp_a6r0w5b2x0 -> ok Test: t_wide_sdp_a7r0w0b0x0 -> ok Test: t_wide_sdp_a7r1w0b0x0 -> ok Test: t_wide_sdp_a7r2w0b0x0 -> ok Test: t_wide_sdp_a7r3w0b0x0 -> ok Test: t_wide_sdp_a7r4w0b0x0 -> ok Test: t_wide_sdp_a7r5w0b0x0 -> ok Test: t_wide_sdp_a7r0w1b0x0 -> ok Test: t_wide_sdp_a7r0w1b1x0 -> ok Test: t_wide_sdp_a7r0w2b0x0 -> ok Test: t_wide_sdp_a7r0w2b2x0 -> ok Test: t_wide_sdp_a7r0w3b2x0 -> ok Test: t_wide_sdp_a7r0w4b2x0 -> ok Test: t_wide_sdp_a7r0w5b2x0 -> ok Test: t_wide_sp_mix_a6r1w1b1 -> ok Test: t_wide_sp_mix_a7r1w1b1 -> ok Test: t_wide_sp_mix_a8r1w1b1 -> ok Test: t_wide_sp_mix_a6r0w0b0 -> ok Test: t_wide_sp_mix_a6r1w0b0 -> ok Test: t_wide_sp_mix_a6r2w0b0 -> ok Test: t_wide_sp_mix_a6r3w0b0 -> ok Test: t_wide_sp_mix_a6r4w0b0 -> ok Test: t_wide_sp_mix_a6r5w0b0 -> ok Test: t_wide_sp_mix_a6r0w1b0 -> ok Test: t_wide_sp_mix_a6r0w1b1 -> ok Test: t_wide_sp_mix_a6r0w2b0 -> ok Test: t_wide_sp_mix_a6r0w2b2 -> ok Test: t_wide_sp_mix_a6r0w3b2 -> ok Test: t_wide_sp_mix_a6r0w4b2 -> ok Test: t_wide_sp_mix_a6r0w5b2 -> ok Test: t_wide_sp_mix_a7r0w0b0 -> ok Test: t_wide_sp_mix_a7r1w0b0 -> ok Test: t_wide_sp_mix_a7r2w0b0 -> ok Test: t_wide_sp_mix_a7r3w0b0 -> ok Test: t_wide_sp_mix_a7r4w0b0 -> ok Test: t_wide_sp_mix_a7r5w0b0 -> ok Test: t_wide_sp_mix_a7r0w1b0 -> ok Test: t_wide_sp_mix_a7r0w1b1 -> ok Test: t_wide_sp_mix_a7r0w2b0 -> ok Test: t_wide_sp_mix_a7r0w2b2 -> ok Test: t_wide_sp_mix_a7r0w3b2 -> ok Test: t_wide_sp_mix_a7r0w4b2 -> ok Test: t_wide_sp_mix_a7r0w5b2 -> ok Test: t_wide_sp_tied_a6r1w1b1 -> ok Test: t_wide_sp_tied_a7r1w1b1 -> ok Test: t_wide_sp_tied_a8r1w1b1 -> ok Test: t_wide_sp_tied_a6r0w0b0 -> ok Test: t_wide_sp_tied_a6r1w0b0 -> ok Test: t_wide_sp_tied_a6r2w0b0 -> ok Test: t_wide_sp_tied_a6r3w0b0 -> ok Test: t_wide_sp_tied_a6r4w0b0 -> ok Test: t_wide_sp_tied_a6r5w0b0 -> ok Test: t_wide_sp_tied_a6r0w1b0 -> ok Test: t_wide_sp_tied_a6r0w1b1 -> ok Test: t_wide_sp_tied_a6r0w2b0 -> ok Test: t_wide_sp_tied_a6r0w2b2 -> ok Test: t_wide_sp_tied_a6r0w3b2 -> ok Test: t_wide_sp_tied_a6r0w4b2 -> ok Test: t_wide_sp_tied_a6r0w5b2 -> ok Test: t_wide_sp_tied_a7r0w0b0 -> ok Test: t_wide_sp_tied_a7r1w0b0 -> ok Test: t_wide_sp_tied_a7r2w0b0 -> ok Test: t_wide_sp_tied_a7r3w0b0 -> ok Test: t_wide_sp_tied_a7r4w0b0 -> ok Test: t_wide_sp_tied_a7r5w0b0 -> ok Test: t_wide_sp_tied_a7r0w1b0 -> ok Test: t_wide_sp_tied_a7r0w1b1 -> ok Test: t_wide_sp_tied_a7r0w2b0 -> ok Test: t_wide_sp_tied_a7r0w2b2 -> ok Test: t_wide_sp_tied_a7r0w3b2 -> ok Test: t_wide_sp_tied_a7r0w4b2 -> ok Test: t_wide_sp_tied_a7r0w5b2 -> ok Test: t_wide_read_a6r1w1b1 -> ok Test: t_wide_write_a6r1w1b1 -> ok Test: t_wide_read_a7r1w1b1 -> ok Test: t_wide_write_a7r1w1b1 -> ok Test: t_wide_read_a8r1w1b1 -> ok Test: t_wide_write_a8r1w1b1 -> ok Test: t_wide_read_a6r0w0b0 -> ok Test: t_wide_write_a6r0w0b0 -> ok Test: t_wide_read_a6r1w0b0 -> ok Test: t_wide_write_a6r1w0b0 -> ok Test: t_wide_read_a6r2w0b0 -> ok Test: t_wide_write_a6r2w0b0 -> ok Test: t_wide_read_a6r3w0b0 -> ok Test: t_wide_write_a6r3w0b0 -> ok Test: t_wide_read_a6r4w0b0 -> ok Test: t_wide_write_a6r4w0b0 -> ok Test: t_wide_read_a6r5w0b0 -> ok Test: t_wide_write_a6r5w0b0 -> ok Test: t_wide_read_a6r0w1b0 -> ok Test: t_wide_write_a6r0w1b0 -> ok Test: t_wide_read_a6r0w1b1 -> ok Test: t_wide_write_a6r0w1b1 -> ok Test: t_wide_read_a6r0w2b0 -> ok Test: t_wide_write_a6r0w2b0 -> ok Test: t_wide_read_a6r0w2b2 -> ok Test: t_wide_write_a6r0w2b2 -> ok Test: t_wide_read_a6r0w3b2 -> ok Test: t_wide_write_a6r0w3b2 -> ok Test: t_wide_read_a6r0w4b2 -> ok Test: t_wide_write_a6r0w4b2 -> ok Test: t_wide_read_a6r0w5b2 -> ok Test: t_wide_write_a6r0w5b2 -> ok Test: t_wide_read_a7r0w0b0 -> ok Test: t_wide_write_a7r0w0b0 -> ok Test: t_wide_read_a7r1w0b0 -> ok Test: t_wide_write_a7r1w0b0 -> ok Test: t_wide_read_a7r2w0b0 -> ok Test: t_wide_write_a7r2w0b0 -> ok Test: t_wide_read_a7r3w0b0 -> ok Test: t_wide_write_a7r3w0b0 -> ok Test: t_wide_read_a7r4w0b0 -> ok Test: t_wide_write_a7r4w0b0 -> ok Test: t_wide_read_a7r5w0b0 -> ok Test: t_wide_write_a7r5w0b0 -> ok Test: t_wide_read_a7r0w1b0 -> ok Test: t_wide_write_a7r0w1b0 -> ok Test: t_wide_read_a7r0w1b1 -> ok Test: t_wide_write_a7r0w1b1 -> ok Test: t_wide_read_a7r0w2b0 -> ok Test: t_wide_write_a7r0w2b0 -> ok Test: t_wide_read_a7r0w2b2 -> ok Test: t_wide_write_a7r0w2b2 -> ok Test: t_wide_read_a7r0w3b2 -> ok Test: t_wide_write_a7r0w3b2 -> ok Test: t_wide_read_a7r0w4b2 -> ok Test: t_wide_write_a7r0w4b2 -> ok Test: t_wide_read_a7r0w5b2 -> ok Test: t_wide_write_a7r0w5b2 -> ok Test: t_quad_port_a2d2 -> ok Test: t_quad_port_a4d2 -> ok Test: t_quad_port_a5d2 -> ok Test: t_quad_port_a4d4 -> ok Test: t_quad_port_a6d2 -> ok Test: t_quad_port_a4d8 -> ok Test: t_wide_quad_a4w2r1 -> ok Test: t_wide_oct_a4w2r1 -> ok Test: t_wide_quad_a4w2r2 -> ok Test: t_wide_oct_a4w2r2 -> ok Test: t_wide_quad_a4w2r3 -> ok Test: t_wide_oct_a4w2r3 -> ok Test: t_wide_quad_a4w2r4 -> ok Test: t_wide_oct_a4w2r4 -> ok Test: t_wide_quad_a4w2r5 -> ok Test: t_wide_oct_a4w2r5 -> ok Test: t_wide_quad_a4w2r6 -> ok Test: t_wide_oct_a4w2r6 -> ok Test: t_wide_quad_a4w2r7 -> ok Test: t_wide_oct_a4w2r7 -> ok Test: t_wide_quad_a4w2r8 -> ok Test: t_wide_oct_a4w2r8 -> ok Test: t_wide_quad_a4w2r9 -> ok Test: t_wide_oct_a4w2r9 -> ok Test: t_wide_quad_a4w4r1 -> ok Test: t_wide_oct_a4w4r1 -> ok Test: t_wide_quad_a4w4r4 -> ok Test: t_wide_oct_a4w4r4 -> ok Test: t_wide_quad_a4w4r6 -> ok Test: t_wide_oct_a4w4r6 -> ok Test: t_wide_quad_a4w4r9 -> ok Test: t_wide_oct_a4w4r9 -> ok Test: t_wide_quad_a5w2r1 -> ok Test: t_wide_oct_a5w2r1 -> ok Test: t_wide_quad_a5w2r4 -> ok Test: t_wide_oct_a5w2r4 -> ok Test: t_wide_quad_a5w2r9 -> ok Test: t_wide_oct_a5w2r9 -> ok Test: t_no_reset -> ok Test: t_gclken -> ok Test: t_ungated -> ok Test: t_gclken_ce -> ok Test: t_grden -> ok Test: t_grden_ce -> ok Test: t_exclwr -> ok Test: t_excl_rst -> ok Test: t_transwr -> ok Test: t_trans_rst -> ok Test: t_wr_byte -> ok Test: t_trans_byte -> ok Test: t_wr_rst_byte -> ok Test: t_rst_wr_byte -> ok Test: t_rdenrst_wr_byte -> ok Test: t_rom_case -> ok Test: t_rom_case_block -> ok make[1]: Leaving directory '/builddir/build/BUILD/yosys-5691cd095848f89f9f84a29f267fdebe95bae832/tests/memlib' cd tests/bram && bash run-test.sh "-S 314159265359" generating tests.. PRNG seed: 314159265359 running tests.. make[1]: Entering directory '/builddir/build/BUILD/yosys-5691cd095848f89f9f84a29f267fdebe95bae832/tests/bram' Passed memory_bram test 00_01. Passed memory_bram test 00_02. Passed memory_bram test 00_03. Passed memory_bram test 00_04. Passed memory_bram test 01_00. Passed memory_bram test 01_02. Passed memory_bram test 01_03. Passed memory_bram test 01_04. Passed memory_bram test 02_00. Passed memory_bram test 02_01. Passed memory_bram test 02_03. Passed memory_bram test 02_04. Passed memory_bram test 03_00. Passed memory_bram test 03_01. Passed memory_bram test 03_02. Passed memory_bram test 03_04. Passed memory_bram test 04_00. Passed memory_bram test 04_01. Passed memory_bram test 04_02. Passed memory_bram test 04_03. make[1]: Leaving directory '/builddir/build/BUILD/yosys-5691cd095848f89f9f84a29f267fdebe95bae832/tests/bram' cd tests/various && bash run-test.sh make[1]: Entering directory '/builddir/build/BUILD/yosys-5691cd095848f89f9f84a29f267fdebe95bae832/tests/various' Warning: Wire abc9_test027.$abc$91$o is used but has no driver. Passed abc9.ys Passed aiger_dff.ys Passed attrib05_port_conn.ys Passed attrib07_func_call.ys Passed autoname.ys Passed blackbox_wb.ys Passed bug1496.ys Passed bug1531.ys Passed bug1614.ys Passed bug1710.ys Warning: Yosys has only limited support for tri-state logic at the moment. (< svinterface1_tb.v:50: $finish called at 420000 (10ps) svinterface1_tb.v:50: $finish called at 420000 (10ps) ok Test: svinterface_at_top -> svinterface_at_top_tb.v:61: $finish called at 420000 (10ps) svinterface_at_top_tb_wrapper.v:61: $finish called at 420000 (10ps) ERROR! Test: load_and_derive ->ok Test: resolve_types ->ok cd tests/svtypes && bash run-test.sh "-S 314159265359" make[1]: Entering directory '/builddir/build/BUILD/yosys-5691cd095848f89f9f84a29f267fdebe95bae832/tests/svtypes' Passed enum_simple.ys Passed logic_rom.ys < ok Test ../../techlibs/anlogic/cells_sim.v -> ok Test ../../techlibs/coolrunner2/cells_sim.v -> ok Test ../../techlibs/ecp5/cells_sim.v -> ok Test ../../techlibs/efinix/cells_sim.v -> ok Test ../../techlibs/gatemate/cells_sim.v -> ok Test ../../techlibs/gowin/cells_sim.v -> ok Test ../../techlibs/greenpak4/cells_sim.v -> ok Test ../../techlibs/ice40/cells_sim.v -DICE40_HX ->../../techlibs/ice40/cells_sim.v:2231: warning: Choosing typ expression. ../../techlibs/ice40/cells_sim.v:2231: warning: Choosing typ expression. ../../techlibs/ice40/cells_sim.v:2233: warning: Choosing typ expression. ../../techlibs/ice40/cells_sim.v:2233: warning: Choosing typ expression. ../../techlibs/ice40/cells_sim.v:2235: warning: Choosing typ expression. ../../techlibs/ice40/cells_sim.v:2235: warning: Choosing typ expression. ../../techlibs/ice40/cells_sim.v:2237: warning: Choosing typ expression. ../../techlibs/ice40/cells_sim.v:2237: warning: Choosing typ expression. ../../techlibs/ice40/cells_sim.v:2239: warning: Choosing typ expression. ../../techlibs/ice40/cells_sim.v:2239: warning: Choosing typ expression. ok Test ../../techlibs/ice40/cells_sim.v -DICE40_LP ->../../techlibs/ice40/cells_sim.v:2295: warning: Choosing typ expression. ../../techlibs/ice40/cells_sim.v:2295: warning: Choosing typ expression. ../../techlibs/ice40/cells_sim.v:2297: warning: Choosing typ expression. ../../techlibs/ice40/cells_sim.v:2297: warning: Choosing typ expression. ../../techlibs/ice40/cells_sim.v:2299: warning: Choosing typ expression. ../../techlibs/ice40/cells_sim.v:2299: warning: Choosing typ expression. ../../techlibs/ice40/cells_sim.v:2301: warning: Choosing typ expression. ../../techlibs/ice40/cells_sim.v:2301: warning: Choosing typ expression. ../../techlibs/ice40/cells_sim.v:2303: warning: Choosing typ expression. ../../techlibs/ice40/cells_sim.v:2303: warning: Choosing typ expression. ok Test ../../techlibs/ice40/cells_sim.v -DICE40_U ->../../techlibs/ice40/cells_sim.v:2359: warning: Choosing typ expression. ../../techlibs/ice40/cells_sim.v:2359: warning: Choosing typ expression. ../../techlibs/ice40/cells_sim.v:2361: warning: Choosing typ expression. ../../techlibs/ice40/cells_sim.v:2361: warning: Choosing typ expression. ../../techlibs/ice40/cells_sim.v:2363: warning: Choosing typ expression. ../../techlibs/ice40/cells_sim.v:2363: warning: Choosing typ expression. ../../techlibs/ice40/cells_sim.v:2365: warning: Choosing typ expression. ../../techlibs/ice40/cells_sim.v:2365: warning: Choosing typ expression. ../../techlibs/ice40/cells_sim.v:2367: warning: Choosing typ expression. ../../techlibs/ice40/cells_sim.v:2367: warning: Choosing typ expression. ok Test ../../techlibs/intel/max10/cells_sim.v -> ok Test ../../techlibs/intel/cycloneive/cells_sim.v -> ok Test ../../techlibs/intel/cycloneiv/cells_sim.v -> ok Test ../../techlibs/intel/cyclone10lp/cells_sim.v -> ok Test ../../techlibs/intel_alm/cyclonev/cells_sim.v -> ok Test ../../techlibs/nexus/cells_sim.v -> ok Test ../../techlibs/quicklogic/cells_sim.v -> ok Test ../../techlibs/sf2/cells_sim.v -> ok Test ../../techlibs/xilinx/cells_sim.v -> ok Test ../../techlibs/common/simcells.v -> ok Test ../../techlibs/common/simlib.v -> ok cd tests/arch/ice40 && bash run-test.sh "-S 314159265359" make[1]: Entering directory '/builddir/build/BUILD/yosys-5691cd095848f89f9f84a29f267fdebe95bae832/tests/arch/ice40' Passed add_sub.ys Passed adffs.ys Warning: Resizing cell port SSCounter6o.l0.I3 from 32 bits to 1 bits. Warning: Resizing cell port SSCounter6o.c0.CI from 32 bits to 1 bits. Warning: Resizing cell port SSCounter6o.lien.I0 from 32 bits to 1 bits. Warning: Resizing cell port SSCounter6o.lien.I1 from 32 bits to 1 bits. Passed bug1597.ys Passed bug1598.ys Passed bug1626.ys Passed bug1644.ys Passed bug2061.ys Passed counter.ys Passed dffs.ys Passed dpram.ys Passed fsm.ys Passed ice40_dsp.ys Passed ice40_opt.ys Passed ice40_wrapcarry.ys Passed latches.ys Passed logic.ys Passed macc.ys Passed memories.ys Passed mul.ys Passed mux.ys Warning: wire '\data' is assigned in a block at rom.v:10.5-10.15. Warning: wire '\data' is assigned in a block at rom.v:11.5-11.15. Warning: wire '\data' is assigned in a block at rom.v:12.5-12.15. Warning: wire '\data' is assigned in a block at rom.v:13.6-13.16. Warning: wire '\data' is assigned in a block at rom.v:14.6-14.16. Warning: wire '\data' is assigned in a block at rom.v:15.6-15.16. Warning: wire '\data' is assigned in a block at rom.v:16.11-16.21. Passed rom.ys Passed shifter.ys Warning: wire '\read_data' is assigned in a block at spram.v:19.3-19.25. Passed spram.ys Passed tribuf.ys make[1]: Leaving directory '/builddir/build/BUILD/yosys-5691cd095848f89f9f84a29f267fdebe95bae832/tests/arch/ice40' cd tests/arch/xilinx && bash run-test.sh "-S 314159265359" make[1]: Entering directory '/builddir/build/BUILD/yosys-5691cd095848f89f9f84a29f267fdebe95bae832/tests/arch/xilinx' Warning: Whitebox '$paramod\FDRE\INIT=s32'00000000000000000000000000000001' with (* abc9_flop *) contains a $dff cell with non-zero initial state -- this is not supported for ABC9 sequential synthesis. Treating as a blackbox. Warning: Whitebox 'FDSE' with (* abc9_flop *) contains a $dff cell with non-zero initial state -- this is not supported for ABC9 sequential synthesis. Treating as a blackbox. Warning: Whitebox '$paramod\FDRE_1\INIT=s32'00000000000000000000000000000001' with (* abc9_flop *) contains a $dff cell with non-zero initial state -- this is not supported for ABC9 sequential synthesis. Treating as a blackbox. Warning: Whitebox '$paramod\FDSE_1\INIT=s32'00000000000000000000000000000001' with (* abc9_flop *) contains a $dff cell with non-zero initial state -- this is not supported for ABC9 sequential synthesis. Treating as a blackbox. Passed abc9_dff.ys Warning: Shift register inference not yet supported for family xc3s. Passed add_sub.ys Passed adffs.ys Warning: Resizing cell port asym_ram_sdp_read_wider.RAM.0.0.DIADI from 64 bits to 16 bits. Warning: Resizing cell port asym_ram_sdp_read_wider.RAM.0.0.DOADO from 64 bits to 16 bits. Warning: Resizing cell port asym_ram_sdp_read_wider.RAM.0.0.DOBDO from 64 bits to 16 bits. Warning: Resizing cell port asym_ram_sdp_read_wider.RAM.0.0.DOPADOP from 8 bits to 2 bits. Warning: Resizing cell port asym_ram_sdp_read_wider.RAM.0.0.DOPBDOP from 8 bits to 2 bits. Warning: Resizing cell port asym_ram_sdp_read_wider.RAM.0.0.WEA from 4 bits to 2 bits. Warning: Resizing cell port asym_ram_sdp_read_wider.RAM.0.0.WEBWE from 1 bits to 4 bits. Warning: Resizing cell port asym_ram_sdp_write_wider.RAM.0.0.DIADI from 64 bits to 16 bits. Warning: Resizing cell port asym_ram_sdp_write_wider.RAM.0.0.DOADO from 64 bits to 16 bits. Warning: Resizing cell port asym_ram_sdp_write_wider.RAM.0.0.DOBDO from 64 bits to 16 bits. Warning: Resizing cell port asym_ram_sdp_write_wider.RAM.0.0.DOPADOP from 8 bits to 2 bits. Warning: Resizing cell port asym_ram_sdp_write_wider.RAM.0.0.DOPBDOP from 8 bits to 2 bits. Warning: Resizing cell port asym_ram_sdp_write_wider.RAM.0.0.WEA from 4 bits to 2 bits. Warning: Selection "asym_ram_sdp_read_wider" did not match any module. Passed asym_ram_sdp.ys Warning: Resizing cell port block_ram.memory.0.0.DIADI from 64 bits to 16 bits. Warning: Resizing cell port block_ram.memory.0.0.DOADO from 64 bits to 16 bits. Warning: Resizing cell port block_ram.memory.0.0.DOBDO from 64 bits to 16 bits. Warning: Resizing cell port block_ram.memory.0.0.DOPADOP from 8 bits to 2 bits. Warning: Resizing cell port block_ram.memory.0.0.DOPBDOP from 8 bits to 2 bits. Warning: Resizing cell port block_ram.memory.0.0.WEA from 4 bits to 2 bits. Warning: Resizing cell port distributed_ram_manual.memory.0.0.DIADI from 64 bits to 16 bits. Warning: Resizing cell port distributed_ram_manual.memory.0.0.DOADO from 64 bits to 16 bits. Warning: Resizing cell port distributed_ram_manual.memory.0.0.DOBDO from 64 bits to 16 bits. Warning: Resizing cell port distributed_ram_manual.memory.0.0.DOPADOP from 8 bits to 2 bits. Warning: Resizing cell port distributed_ram_manual.memory.0.0.DOPBDOP from 8 bits to 2 bits. Warning: Resizing cell port distributed_ram_manual.memory.0.0.WEA from 4 bits to 2 bits. Passed attributes_test.ys Warning: Resizing cell port sync_ram_sdp.memory.0.0.DIADI from 64 bits to 16 bits. Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOADO from 64 bits to 16 bits. Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOBDO from 64 bits to 16 bits. Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOPADOP from 8 bits to 2 bits. Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOPBDOP from 8 bits to 2 bits. Warning: Resizing cell port sync_ram_sdp.memory.0.0.WEA from 4 bits to 2 bits. Warning: Resizing cell port sync_ram_sdp.memory.0.0.DIPADIP from 8 bits to 2 bits. Warning: Resizing cell port sync_ram_sdp.memory.0.0.DIADI from 64 bits to 32 bits. Warning: Resizing cell port sync_ram_sdp.memory.0.0.DIPADIP from 8 bits to 4 bits. Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOADO from 64 bits to 32 bits. Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOBDO from 64 bits to 32 bits. Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOPADOP from 8 bits to 4 bits. Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOPBDOP from 8 bits to 4 bits. Passed blockram.ys Passed bug1460.ys Passed bug1462.ys Passed bug1480.ys Passed bug1598.ys Warning: Wire top.\t is used but has no driver. Warning: Wire top.\in is used but has no driver. Passed bug1605.ys Passed bug3670.ys Passed counter.ys Passed dffs.ys Passed dsp_abc9.ys /builddir/build/BUILD/yosys-5691cd095848f89f9f84a29f267fdebe95bae832/share/xilinx/brams_xc3sda_map.v:220: Warning: Range [1:0] select out of bounds on signal `\PORT_W_WR_EN': Setting 1 MSB bits to undef. /builddir/build/BUILD/yosys-5691cd095848f89f9f84a29f267fdebe95bae832/share/xilinx/brams_xc3sda_map.v:221: Warning: Range select [3:2] out of bounds on signal `\PORT_W_WR_EN': Setting all 2 result bits to undef. Passed dsp_cascade.ys Passed dsp_fastfir.ys Passed dsp_simd.ys Warning: Shift register inference not yet supported for family xc3se. Passed fsm.ys Passed latches.ys Passed logic.ys Warning: Shift register inference not yet supported for family xc3s. Passed lutram.ys Passed macc.ys /builddir/build/BUILD/yosys-5691cd095848f89f9f84a29f267fdebe95bae832/share/xilinx/brams_xc3sda_map.v:220: Warning: Range [1:0] select out of bounds on signal `\PORT_W_WR_EN': Setting 1 MSB bits to undef. /builddir/build/BUILD/yosys-5691cd095848f89f9f84a29f267fdebe95bae832/share/xilinx/brams_xc3sda_map.v:221: Warning: Range select [3:2] out of bounds on signal `\PORT_W_WR_EN': Setting all 2 result bits to undef. Passed mul.ys Warning: Replacing memory \M with list of registers. See mul_unsigned.v:25 /builddir/build/BUILD/yosys-5691cd095848f89f9f84a29f267fdebe95bae832/share/xilinx/brams_xc3sda_map.v:220: Warning: Range [1:0] select out of bounds on signal `\PORT_W_WR_EN': Setting 1 MSB bits to undef. /builddir/build/BUILD/yosys-5691cd095848f89f9f84a29f267fdebe95bae832/share/xilinx/brams_xc3sda_map.v:221: Warning: Range select [3:2] out of bounds on signal `\PORT_W_WR_EN': Setting all 2 result bits to undef. Passed mul_unsigned.ys Passed mux.ys Warning: Shift register inference not yet supported for family xc3se. Passed mux_lut4.ys Passed nosrl.ys Passed opt_lut_ins.ys Passed pmgen_xilinx_srl.ys Warning: Resizing cell port priority_memory.mem.0.0.ADDRARDADDR from 16 bits to 15 bits. Warning: Resizing cell port priority_memory.mem.0.0.ADDRBWRADDR from 16 bits to 15 bits. Warning: Resizing cell port priority_memory.mem.0.0.DINADIN from 64 bits to 32 bits. Warning: Resizing cell port priority_memory.mem.0.0.DINBDIN from 64 bits to 32 bits. Warning: Resizing cell port priority_memory.mem.0.0.DINPADINP from 8 bits to 4 bits. Warning: Resizing cell port priority_memory.mem.0.0.DINPBDINP from 8 bits to 4 bits. Warning: Resizing cell port priority_memory.mem.0.0.DOUTADOUT from 64 bits to 32 bits. Warning: Resizing cell port priority_memory.mem.0.0.DOUTBDOUT from 64 bits to 32 bits. Warning: Resizing cell port priority_memory.mem.0.0.DOUTPADOUTP from 8 bits to 4 bits. Warning: Resizing cell port priority_memory.mem.0.0.DOUTPBDOUTP from 8 bits to 4 bits. Warning: Resizing cell port priority_memory.mem.0.0.WEBWE from 4 bits to 8 bits. Warning: Resizing cell port priority_memory.mem.0.1.ADDRARDADDR from 16 bits to 15 bits. Warning: Resizing cell port priority_memory.mem.0.1.ADDRBWRADDR from 16 bits to 15 bits. Warning: Resizing cell port priority_memory.mem.0.1.DINADIN from 64 bits to 32 bits. Warning: Resizing cell port priority_memory.mem.0.1.DINBDIN from 64 bits to 32 bits. Warning: Resizing cell port priority_memory.mem.0.1.DINPADINP from 8 bits to 4 bits. Warning: Resizing cell port priority_memory.mem.0.1.DINPBDINP from 8 bits to 4 bits. Warning: Resizing cell port priority_memory.mem.0.1.DOUTADOUT from 64 bits to 32 bits. Warning: Resizing cell port priority_memory.mem.0.1.DOUTBDOUT from 64 bits to 32 bits. Warning: Resizing cell port priority_memory.mem.0.1.DOUTPADOUTP from 8 bits to 4 bits. Warning: Resizing cell port priority_memory.mem.0.1.DOUTPBDOUTP from 8 bits to 4 bits. Warning: Resizing cell port priority_memory.mem.0.1.WEBWE from 4 bits to 8 bits. Warning: Resizing cell port priority_memory.mem.0.2.ADDRARDADDR from 16 bits to 15 bits. Warning: Resizing cell port priority_memory.mem.0.2.ADDRBWRADDR from 16 bits to 15 bits. Warning: Resizing cell port priority_memory.mem.0.2.DINADIN from 64 bits to 32 bits. Warning: Resizing cell port priority_memory.mem.0.2.DINBDIN from 64 bits to 32 bits. Warning: Resizing cell port priority_memory.mem.0.2.DINPADINP from 8 bits to 4 bits. Warning: Resizing cell port priority_memory.mem.0.2.DINPBDINP from 8 bits to 4 bits. Warning: Resizing cell port priority_memory.mem.0.2.DOUTADOUT from 64 bits to 32 bits. Warning: Resizing cell port priority_memory.mem.0.2.DOUTBDOUT from 64 bits to 32 bits. Warning: Resizing cell port priority_memory.mem.0.2.DOUTPADOUTP from 8 bits to 4 bits. Warning: Resizing cell port priority_memory.mem.0.2.DOUTPBDOUTP from 8 bits to 4 bits. Warning: Resizing cell port priority_memory.mem.0.2.WEBWE from 4 bits to 8 bits. Warning: Resizing cell port priority_memory.mem.0.3.ADDRARDADDR from 16 bits to 15 bits. Warning: Resizing cell port priority_memory.mem.0.3.ADDRBWRADDR from 16 bits to 15 bits. Warning: Resizing cell port priority_memory.mem.0.3.DINADIN from 64 bits to 32 bits. Warning: Resizing cell port priority_memory.mem.0.3.DINBDIN from 64 bits to 32 bits. Warning: Resizing cell port priority_memory.mem.0.3.DINPADINP from 8 bits to 4 bits. Warning: Resizing cell port priority_memory.mem.0.3.DINPBDINP from 8 bits to 4 bits. Warning: Resizing cell port priority_memory.mem.0.3.DOUTADOUT from 64 bits to 32 bits. Warning: Resizing cell port priority_memory.mem.0.3.DOUTBDOUT from 64 bits to 32 bits. Warning: Resizing cell port priority_memory.mem.0.3.DOUTPADOUTP from 8 bits to 4 bits. Warning: Resizing cell port priority_memory.mem.0.3.DOUTPBDOUTP from 8 bits to 4 bits. Warning: Resizing cell port priority_memory.mem.0.3.WEBWE from 4 bits to 8 bits. Warning: Resizing cell port priority_memory.mem.0.4.ADDRARDADDR from 16 bits to 15 bits. Warning: Resizing cell port priority_memory.mem.0.4.ADDRBWRADDR from 16 bits to 15 bits. Warning: Resizing cell port priority_memory.mem.0.4.DINADIN from 64 bits to 32 bits. Warning: Resizing cell port priority_memory.mem.0.4.DINBDIN from 64 bits to 32 bits. Warning: Resizing cell port priority_memory.mem.0.4.DINPADINP from 8 bits to 4 bits. Warning: Resizing cell port priority_memory.mem.0.4.DINPBDINP from 8 bits to 4 bits. Warning: Resizing cell port priority_memory.mem.0.4.DOUTADOUT from 64 bits to 32 bits. Warning: Resizing cell port priority_memory.mem.0.4.DOUTBDOUT from 64 bits to 32 bits. Warning: Resizing cell port priority_memory.mem.0.4.DOUTPADOUTP from 8 bits to 4 bits. Warning: Resizing cell port priority_memory.mem.0.4.DOUTPBDOUTP from 8 bits to 4 bits. Warning: Resizing cell port priority_memory.mem.0.4.WEBWE from 4 bits to 8 bits. Warning: Resizing cell port priority_memory.mem.0.5.ADDRARDADDR from 16 bits to 15 bits. Warning: Resizing cell port priority_memory.mem.0.5.ADDRBWRADDR from 16 bits to 15 bits. Warning: Resizing cell port priority_memory.mem.0.5.DINADIN from 64 bits to 32 bits. Warning: Resizing cell port priority_memory.mem.0.5.DINBDIN from 64 bits to 32 bits. Warning: Resizing cell port priority_memory.mem.0.5.DINPADINP from 8 bits to 4 bits. Warning: Resizing cell port priority_memory.mem.0.5.DINPBDINP from 8 bits to 4 bits. Warning: Resizing cell port priority_memory.mem.0.5.DOUTADOUT from 64 bits to 32 bits. Warning: Resizing cell port priority_memory.mem.0.5.DOUTBDOUT from 64 bits to 32 bits. Warning: Resizing cell port priority_memory.mem.0.5.DOUTPADOUTP from 8 bits to 4 bits. Warning: Resizing cell port priority_memory.mem.0.5.DOUTPBDOUTP from 8 bits to 4 bits. Warning: Resizing cell port priority_memory.mem.0.5.WEBWE from 4 bits to 8 bits. Warning: Resizing cell port priority_memory.mem.0.6.ADDRARDADDR from 16 bits to 15 bits. Warning: Resizing cell port priority_memory.mem.0.6.ADDRBWRADDR from 16 bits to 15 bits. Warning: Resizing cell port priority_memory.mem.0.6.DINADIN from 64 bits to 32 bits. Warning: Resizing cell port priority_memory.mem.0.6.DINBDIN from 64 bits to 32 bits. Warning: Resizing cell port priority_memory.mem.0.6.DINPADINP from 8 bits to 4 bits. Warning: Resizing cell port priority_memory.mem.0.6.DINPBDINP from 8 bits to 4 bits. Warning: Resizing cell port priority_memory.mem.0.6.DOUTADOUT from 64 bits to 32 bits. Warning: Resizing cell port priority_memory.mem.0.6.DOUTBDOUT from 64 bits to 32 bits. Warning: Resizing cell port priority_memory.mem.0.6.DOUTPADOUTP from 8 bits to 4 bits. Warning: Resizing cell port priority_memory.mem.0.6.DOUTPBDOUTP from 8 bits to 4 bits. Warning: Resizing cell port priority_memory.mem.0.6.WEBWE from 4 bits to 8 bits. Warning: Resizing cell port priority_memory.mem.0.7.ADDRARDADDR from 16 bits to 15 bits. Warning: Resizing cell port priority_memory.mem.0.7.ADDRBWRADDR from 16 bits to 15 bits. Warning: Resizing cell port priority_memory.mem.0.7.DINADIN from 64 bits to 32 bits. Warning: Resizing cell port priority_memory.mem.0.7.DINBDIN from 64 bits to 32 bits. Warning: Resizing cell port priority_memory.mem.0.7.DINPADINP from 8 bits to 4 bits. Warning: Resizing cell port priority_memory.mem.0.7.DINPBDINP from 8 bits to 4 bits. Warning: Resizing cell port priority_memory.mem.0.7.DOUTADOUT from 64 bits to 32 bits. Warning: Resizing cell port priority_memory.mem.0.7.DOUTBDOUT from 64 bits to 32 bits. Warning: Resizing cell port priority_memory.mem.0.7.DOUTPADOUTP from 8 bits to 4 bits. Warning: Resizing cell port priority_memory.mem.0.7.DOUTPBDOUTP from 8 bits to 4 bits. Warning: Resizing cell port priority_memory.mem.0.7.WEBWE from 4 bits to 8 bits. Warning: Resizing cell port priority_memory.mem.0.0.BWE_A from 8 bits to 9 bits. Warning: Resizing cell port priority_memory.mem.0.0.BWE_B from 8 bits to 9 bits. Warning: Resizing cell port sp_write_first.mem.0.0.BWE_A from 8 bits to 9 bits. Warning: Resizing cell port sp_read_first.mem.0.0.BWE_B from 8 bits to 9 bits. Passed priority_memory.ys Passed shifter.ys Passed tribuf.ys Passed xilinx_dffopt.ys Passed xilinx_dsp.ys Passed xilinx_srl.ys Passed macc.sh Passed tribuf.sh make[1]: Leaving directory '/builddir/build/BUILD/yosys-5691cd095848f89f9f84a29f267fdebe95bae832/tests/arch/xilinx' cd tests/arch/ecp5 && bash run-test.sh "-S 314159265359" make[1]: Entering directory '/builddir/build/BUILD/yosys-5691cd095848f89f9f84a29f267fdebe95bae832/tests/arch/ecp5' Passed add_sub.ys Passed adffs.ys Passed bug1459.ys Passed bug1598.ys Passed bug1630.ys Warning: Literal has a width of 16 bit, but value requires 184 bit. (<>>/,/<<>>/ {print $0}' + iverilog -o iverilog-initial_display initial_display.v + ./iverilog-initial_display + diff yosys-initial_display.log iverilog-initial_display.log + test_always_display clk -DEVENT_CLK + local subtest=clk + shift + ../../yosys -p 'read_verilog -DEVENT_CLK always_display.v; proc; opt_expr -mux_bool; clean' -o yosys-always_display-clk-1.v /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2020 Claire Xenia Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.35+7 (git sha1 5691cd09584, gcc 13.2.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -Os) -- Running command `read_verilog -DEVENT_CLK always_display.v; proc; opt_expr -mux_bool; clean' -- 1. Executing Verilog-2005 frontend: always_display.v Parsing Verilog input from `always_display.v' to AST representation. Generating RTLIL representation for module `\m'. Successfully finished Verilog frontend. 2. Executing PROC pass (convert processes to netlists). 2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 1 redundant assignment. Promoted 1 assignment to connection. 2.4. Executing PROC_INIT pass (extract init attributes). 2.5. Executing PROC_ARST pass (detect async resets in processes). 2.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\m.$proc$always_display.v:4$1'. 2.8. Executing PROC_DLATCH pass (convert process syncs to latches). 2.9. Executing PROC_DFF pass (convert process syncs to FFs). 2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `m.$proc$always_display.v:4$1'. Cleaned up 0 empty switches. 2.12. Executing OPT_EXPR pass (perform const folding). Optimizing module m. 3. Executing OPT_EXPR pass (perform const folding). Optimizing module m. Removed 0 unused cells and 1 unused wires. -- Writing to `yosys-always_display-clk-1.v' using backend `verilog' -- 4. Executing Verilog backend. 4.1. Executing BMUXMAP pass. 4.2. Executing DEMUXMAP pass. Dumping module `\m'. End of script. Logfile hash: 0de35d2746, CPU: user 0.01s system 0.00s, MEM: 12.75 MB peak Yosys 0.35+7 (git sha1 5691cd09584, gcc 13.2.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -Os) Time spent: 37% 2x opt_expr (0 sec), 24% 1x clean (0 sec), ... + ../../yosys -p 'read_verilog yosys-always_display-clk-1.v; proc; opt_expr -mux_bool; clean' -o yosys-always_display-clk-2.v /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2020 Claire Xenia Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.35+7 (git sha1 5691cd09584, gcc 13.2.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -Os) -- Running command `read_verilog yosys-always_display-clk-1.v; proc; opt_expr -mux_bool; clean' -- 1. Executing Verilog-2005 frontend: yosys-always_display-clk-1.v Parsing Verilog input from `yosys-always_display-clk-1.v' to AST representation. Generating RTLIL representation for module `\m'. Successfully finished Verilog frontend. 2. Executing PROC pass (convert processes to netlists). 2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Found and cleaned up 1 empty switch in `\m.$proc$yosys-always_display-clk-1.v:18$1'. Cleaned up 1 empty switch. 2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 1 redundant assignment. Promoted 1 assignment to connection. 2.4. Executing PROC_INIT pass (extract init attributes). 2.5. Executing PROC_ARST pass (detect async resets in processes). 2.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\m.$proc$yosys-always_display-clk-1.v:18$1'. 2.8. Executing PROC_DLATCH pass (convert process syncs to latches). 2.9. Executing PROC_DFF pass (convert process syncs to FFs). 2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `m.$proc$yosys-always_display-clk-1.v:18$1'. Cleaned up 0 empty switches. 2.12. Executing OPT_EXPR pass (perform const folding). Optimizing module m. 3. Executing OPT_EXPR pass (perform const folding). Optimizing module m. Removed 0 unused cells and 1 unused wires. -- Writing to `yosys-always_display-clk-2.v' using backend `verilog' -- 4. Executing Verilog backend. 4.1. Executing BMUXMAP pass. 4.2. Executing DEMUXMAP pass. Dumping module `\m'. End of script. Logfile hash: e35e8bb689, CPU: user 0.01s system 0.00s, MEM: 13.00 MB peak Yosys 0.35+7 (git sha1 5691cd09584, gcc 13.2.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -Os) Time spent: 37% 2x opt_expr (0 sec), 23% 1x clean (0 sec), ... + diff yosys-always_display-clk-1.v yosys-always_display-clk-2.v + test_always_display clk_rst -DEVENT_CLK_RST + local subtest=clk_rst + shift + ../../yosys -p 'read_verilog -DEVENT_CLK_RST always_display.v; proc; opt_expr -mux_bool; clean' -o yosys-always_display-clk_rst-1.v /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2020 Claire Xenia Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.35+7 (git sha1 5691cd09584, gcc 13.2.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -Os) -- Running command `read_verilog -DEVENT_CLK_RST always_display.v; proc; opt_expr -mux_bool; clean' -- 1. Executing Verilog-2005 frontend: always_display.v Parsing Verilog input from `always_display.v' to AST representation. Generating RTLIL representation for module `\m'. Successfully finished Verilog frontend. 2. Executing PROC pass (convert processes to netlists). 2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 1 redundant assignment. Promoted 1 assignment to connection. 2.4. Executing PROC_INIT pass (extract init attributes). 2.5. Executing PROC_ARST pass (detect async resets in processes). 2.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\m.$proc$always_display.v:7$1'. 2.8. Executing PROC_DLATCH pass (convert process syncs to latches). 2.9. Executing PROC_DFF pass (convert process syncs to FFs). 2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `m.$proc$always_display.v:7$1'. Cleaned up 0 empty switches. 2.12. Executing OPT_EXPR pass (perform const folding). Optimizing module m. 3. Executing OPT_EXPR pass (perform const folding). Optimizing module m. Removed 0 unused cells and 1 unused wires. -- Writing to `yosys-always_display-clk_rst-1.v' using backend `verilog' -- 4. Executing Verilog backend. 4.1. Executing BMUXMAP pass. 4.2. Executing DEMUXMAP pass. Dumping module `\m'. End of script. Logfile hash: c95608ddf0, CPU: user 0.01s system 0.00s, MEM: 13.00 MB peak Yosys 0.35+7 (git sha1 5691cd09584, gcc 13.2.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -Os) Time spent: 39% 2x opt_expr (0 sec), 25% 1x clean (0 sec), ... + ../../yosys -p 'read_verilog yosys-always_display-clk_rst-1.v; proc; opt_expr -mux_bool; clean' -o yosys-always_display-clk_rst-2.v /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2020 Claire Xenia Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.35+7 (git sha1 5691cd09584, gcc 13.2.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -Os) -- Running command `read_verilog yosys-always_display-clk_rst-1.v; proc; opt_expr -mux_bool; clean' -- 1. Executing Verilog-2005 frontend: yosys-always_display-clk_rst-1.v Parsing Verilog input from `yosys-always_display-clk_rst-1.v' to AST representation. Generating RTLIL representation for module `\m'. Successfully finished Verilog frontend. 2. Executing PROC pass (convert processes to netlists). 2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Found and cleaned up 1 empty switch in `\m.$proc$yosys-always_display-clk_rst-1.v:18$1'. Cleaned up 1 empty switch. 2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 1 redundant assignment. Promoted 1 assignment to connection. 2.4. Executing PROC_INIT pass (extract init attributes). 2.5. Executing PROC_ARST pass (detect async resets in processes). 2.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\m.$proc$yosys-always_display-clk_rst-1.v:18$1'. 2.8. Executing PROC_DLATCH pass (convert process syncs to latches). 2.9. Executing PROC_DFF pass (convert process syncs to FFs). 2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `m.$proc$yosys-always_display-clk_rst-1.v:18$1'. Cleaned up 0 empty switches. 2.12. Executing OPT_EXPR pass (perform const folding). Optimizing module m. 3. Executing OPT_EXPR pass (perform const folding). Optimizing module m. Removed 0 unused cells and 1 unused wires. -- Writing to `yosys-always_display-clk_rst-2.v' using backend `verilog' -- 4. Executing Verilog backend. 4.1. Executing BMUXMAP pass. 4.2. Executing DEMUXMAP pass. Dumping module `\m'. End of script. Logfile hash: faf50513c3, CPU: user 0.00s system 0.00s, MEM: 13.12 MB peak Yosys 0.35+7 (git sha1 5691cd09584, gcc 13.2.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -Os) Time spent: 36% 2x opt_expr (0 sec), 23% 1x clean (0 sec), ... + diff yosys-always_display-clk_rst-1.v yosys-always_display-clk_rst-2.v + test_always_display star -DEVENT_STAR + local subtest=star + shift + ../../yosys -p 'read_verilog -DEVENT_STAR always_display.v; proc; opt_expr -mux_bool; clean' -o yosys-always_display-star-1.v /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2020 Claire Xenia Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.35+7 (git sha1 5691cd09584, gcc 13.2.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -Os) -- Running command `read_verilog -DEVENT_STAR always_display.v; proc; opt_expr -mux_bool; clean' -- 1. Executing Verilog-2005 frontend: always_display.v Parsing Verilog input from `always_display.v' to AST representation. Generating RTLIL representation for module `\m'. Successfully finished Verilog frontend. 2. Executing PROC pass (convert processes to netlists). 2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 1 redundant assignment. Promoted 1 assignment to connection. 2.4. Executing PROC_INIT pass (extract init attributes). 2.5. Executing PROC_ARST pass (detect async resets in processes). 2.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\m.$proc$always_display.v:10$1'. 2.8. Executing PROC_DLATCH pass (convert process syncs to latches). 2.9. Executing PROC_DFF pass (convert process syncs to FFs). 2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `m.$proc$always_display.v:10$1'. Cleaned up 0 empty switches. 2.12. Executing OPT_EXPR pass (perform const folding). Optimizing module m. 3. Executing OPT_EXPR pass (perform const folding). Optimizing module m. Removed 0 unused cells and 1 unused wires. -- Writing to `yosys-always_display-star-1.v' using backend `verilog' -- 4. Executing Verilog backend. 4.1. Executing BMUXMAP pass. 4.2. Executing DEMUXMAP pass. Dumping module `\m'. End of script. Logfile hash: 7b2c5274a5, CPU: user 0.01s system 0.00s, MEM: 12.62 MB peak Yosys 0.35+7 (git sha1 5691cd09584, gcc 13.2.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -Os) Time spent: 37% 2x opt_expr (0 sec), 25% 1x clean (0 sec), ... + ../../yosys -p 'read_verilog yosys-always_display-star-1.v; proc; opt_expr -mux_bool; clean' -o yosys-always_display-star-2.v /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2020 Claire Xenia Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.35+7 (git sha1 5691cd09584, gcc 13.2.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -Os) -- Running command `read_verilog yosys-always_display-star-1.v; proc; opt_expr -mux_bool; clean' -- 1. Executing Verilog-2005 frontend: yosys-always_display-star-1.v Parsing Verilog input from `yosys-always_display-star-1.v' to AST representation. Generating RTLIL representation for module `\m'. Successfully finished Verilog frontend. 2. Executing PROC pass (convert processes to netlists). 2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Found and cleaned up 1 empty switch in `\m.$proc$yosys-always_display-star-1.v:18$1'. Cleaned up 1 empty switch. 2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 1 redundant assignment. Promoted 1 assignment to connection. 2.4. Executing PROC_INIT pass (extract init attributes). 2.5. Executing PROC_ARST pass (detect async resets in processes). 2.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\m.$proc$yosys-always_display-star-1.v:18$1'. 2.8. Executing PROC_DLATCH pass (convert process syncs to latches). 2.9. Executing PROC_DFF pass (convert process syncs to FFs). 2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `m.$proc$yosys-always_display-star-1.v:18$1'. Cleaned up 0 empty switches. 2.12. Executing OPT_EXPR pass (perform const folding). Optimizing module m. 3. Executing OPT_EXPR pass (perform const folding). Optimizing module m. Removed 0 unused cells and 1 unused wires. -- Writing to `yosys-always_display-star-2.v' using backend `verilog' -- 4. Executing Verilog backend. 4.1. Executing BMUXMAP pass. 4.2. Executing DEMUXMAP pass. Dumping module `\m'. End of script. Logfile hash: 8979c5de0b, CPU: user 0.01s system 0.00s, MEM: 12.88 MB peak Yosys 0.35+7 (git sha1 5691cd09584, gcc 13.2.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -Os) Time spent: 37% 2x opt_expr (0 sec), 24% 1x clean (0 sec), ... + diff yosys-always_display-star-1.v yosys-always_display-star-2.v + test_always_display clk_en -DEVENT_CLK -DCOND_EN + local subtest=clk_en + shift + ../../yosys -p 'read_verilog -DEVENT_CLK -DCOND_EN always_display.v; proc; opt_expr -mux_bool; clean' -o yosys-always_display-clk_en-1.v /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2020 Claire Xenia Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.35+7 (git sha1 5691cd09584, gcc 13.2.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -Os) -- Running command `read_verilog -DEVENT_CLK -DCOND_EN always_display.v; proc; opt_expr -mux_bool; clean' -- 1. Executing Verilog-2005 frontend: always_display.v Parsing Verilog input from `always_display.v' to AST representation. Generating RTLIL representation for module `\m'. Successfully finished Verilog frontend. 2. Executing PROC pass (convert processes to netlists). 2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 0 redundant assignments. Promoted 0 assignments to connections. 2.4. Executing PROC_INIT pass (extract init attributes). 2.5. Executing PROC_ARST pass (detect async resets in processes). 2.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\m.$proc$always_display.v:4$1'. 1/1: $display$always_display.v:15$2_EN 2.8. Executing PROC_DLATCH pass (convert process syncs to latches). 2.9. Executing PROC_DFF pass (convert process syncs to FFs). 2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Found and cleaned up 1 empty switch in `\m.$proc$always_display.v:4$1'. Removing empty process `m.$proc$always_display.v:4$1'. Cleaned up 1 empty switch. 2.12. Executing OPT_EXPR pass (perform const folding). Optimizing module m. 3. Executing OPT_EXPR pass (perform const folding). Optimizing module m. Removed 0 unused cells and 3 unused wires. -- Writing to `yosys-always_display-clk_en-1.v' using backend `verilog' -- 4. Executing Verilog backend. 4.1. Executing BMUXMAP pass. 4.2. Executing DEMUXMAP pass. Dumping module `\m'. End of script. Logfile hash: 4f8a3b339c, CPU: user 0.01s system 0.00s, MEM: 13.00 MB peak Yosys 0.35+7 (git sha1 5691cd09584, gcc 13.2.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -Os) Time spent: 40% 2x opt_expr (0 sec), 22% 1x clean (0 sec), ... + ../../yosys -p 'read_verilog yosys-always_display-clk_en-1.v; proc; opt_expr -mux_bool; clean' -o yosys-always_display-clk_en-2.v /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2020 Claire Xenia Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.35+7 (git sha1 5691cd09584, gcc 13.2.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -Os) -- Running command `read_verilog yosys-always_display-clk_en-1.v; proc; opt_expr -mux_bool; clean' -- 1. Executing Verilog-2005 frontend: yosys-always_display-clk_en-1.v Parsing Verilog input from `yosys-always_display-clk_en-1.v' to AST representation. Generating RTLIL representation for module `\m'. Successfully finished Verilog frontend. 2. Executing PROC pass (convert processes to netlists). 2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 0 redundant assignments. Promoted 0 assignments to connections. 2.4. Executing PROC_INIT pass (extract init attributes). 2.5. Executing PROC_ARST pass (detect async resets in processes). 2.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\m.$proc$yosys-always_display-clk_en-1.v:18$1'. 1/1: $write$yosys-always_display-clk_en-1.v:20$2_EN 2.8. Executing PROC_DLATCH pass (convert process syncs to latches). 2.9. Executing PROC_DFF pass (convert process syncs to FFs). 2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Found and cleaned up 1 empty switch in `\m.$proc$yosys-always_display-clk_en-1.v:18$1'. Removing empty process `m.$proc$yosys-always_display-clk_en-1.v:18$1'. Cleaned up 1 empty switch. 2.12. Executing OPT_EXPR pass (perform const folding). Optimizing module m. 3. Executing OPT_EXPR pass (perform const folding). Optimizing module m. Removed 0 unused cells and 3 unused wires. -- Writing to `yosys-always_display-clk_en-2.v' using backend `verilog' -- 4. Executing Verilog backend. 4.1. Executing BMUXMAP pass. 4.2. Executing DEMUXMAP pass. Dumping module `\m'. End of script. Logfile hash: 51e7fa3902, CPU: user 0.01s system 0.00s, MEM: 12.75 MB peak Yosys 0.35+7 (git sha1 5691cd09584, gcc 13.2.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -Os) Time spent: 39% 2x opt_expr (0 sec), 22% 1x clean (0 sec), ... + diff yosys-always_display-clk_en-1.v yosys-always_display-clk_en-2.v + test_always_display clk_rst_en -DEVENT_CLK_RST -DCOND_EN + local subtest=clk_rst_en + shift + ../../yosys -p 'read_verilog -DEVENT_CLK_RST -DCOND_EN always_display.v; proc; opt_expr -mux_bool; clean' -o yosys-always_display-clk_rst_en-1.v /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2020 Claire Xenia Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.35+7 (git sha1 5691cd09584, gcc 13.2.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -Os) -- Running command `read_verilog -DEVENT_CLK_RST -DCOND_EN always_display.v; proc; opt_expr -mux_bool; clean' -- 1. Executing Verilog-2005 frontend: always_display.v Parsing Verilog input from `always_display.v' to AST representation. Generating RTLIL representation for module `\m'. Successfully finished Verilog frontend. 2. Executing PROC pass (convert processes to netlists). 2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 0 redundant assignments. Promoted 0 assignments to connections. 2.4. Executing PROC_INIT pass (extract init attributes). 2.5. Executing PROC_ARST pass (detect async resets in processes). 2.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\m.$proc$always_display.v:7$1'. 1/1: $display$always_display.v:15$2_EN 2.8. Executing PROC_DLATCH pass (convert process syncs to latches). 2.9. Executing PROC_DFF pass (convert process syncs to FFs). 2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Found and cleaned up 1 empty switch in `\m.$proc$always_display.v:7$1'. Removing empty process `m.$proc$always_display.v:7$1'. Cleaned up 1 empty switch. 2.12. Executing OPT_EXPR pass (perform const folding). Optimizing module m. 3. Executing OPT_EXPR pass (perform const folding). Optimizing module m. Removed 0 unused cells and 3 unused wires. -- Writing to `yosys-always_display-clk_rst_en-1.v' using backend `verilog' -- 4. Executing Verilog backend. 4.1. Executing BMUXMAP pass. 4.2. Executing DEMUXMAP pass. Dumping module `\m'. End of script. Logfile hash: f9b4876f33, CPU: user 0.01s system 0.00s, MEM: 12.88 MB peak Yosys 0.35+7 (git sha1 5691cd09584, gcc 13.2.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -Os) Time spent: 39% 2x opt_expr (0 sec), 23% 1x clean (0 sec), ... + ../../yosys -p 'read_verilog yosys-always_display-clk_rst_en-1.v; proc; opt_expr -mux_bool; clean' -o yosys-always_display-clk_rst_en-2.v /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2020 Claire Xenia Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.35+7 (git sha1 5691cd09584, gcc 13.2.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -Os) -- Running command `read_verilog yosys-always_display-clk_rst_en-1.v; proc; opt_expr -mux_bool; clean' -- 1. Executing Verilog-2005 frontend: yosys-always_display-clk_rst_en-1.v Parsing Verilog input from `yosys-always_display-clk_rst_en-1.v' to AST representation. Generating RTLIL representation for module `\m'. Successfully finished Verilog frontend. 2. Executing PROC pass (convert processes to netlists). 2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 0 redundant assignments. Promoted 0 assignments to connections. 2.4. Executing PROC_INIT pass (extract init attributes). 2.5. Executing PROC_ARST pass (detect async resets in processes). 2.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\m.$proc$yosys-always_display-clk_rst_en-1.v:18$1'. 1/1: $write$yosys-always_display-clk_rst_en-1.v:20$2_EN 2.8. Executing PROC_DLATCH pass (convert process syncs to latches). 2.9. Executing PROC_DFF pass (convert process syncs to FFs). 2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Found and cleaned up 1 empty switch in `\m.$proc$yosys-always_display-clk_rst_en-1.v:18$1'. Removing empty process `m.$proc$yosys-always_display-clk_rst_en-1.v:18$1'. Cleaned up 1 empty switch. 2.12. Executing OPT_EXPR pass (perform const folding). Optimizing module m. 3. Executing OPT_EXPR pass (perform const folding). Optimizing module m. Removed 0 unused cells and 3 unused wires. -- Writing to `yosys-always_display-clk_rst_en-2.v' using backend `verilog' -- 4. Executing Verilog backend. 4.1. Executing BMUXMAP pass. 4.2. Executing DEMUXMAP pass. Dumping module `\m'. End of script. Logfile hash: 0c4b4eaa9c, CPU: user 0.01s system 0.00s, MEM: 13.12 MB peak Yosys 0.35+7 (git sha1 5691cd09584, gcc 13.2.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -Os) Time spent: 39% 2x opt_expr (0 sec), 23% 1x clean (0 sec), ... + diff yosys-always_display-clk_rst_en-1.v yosys-always_display-clk_rst_en-2.v + test_always_display star_en -DEVENT_STAR -DCOND_EN + local subtest=star_en + shift + ../../yosys -p 'read_verilog -DEVENT_STAR -DCOND_EN always_display.v; proc; opt_expr -mux_bool; clean' -o yosys-always_display-star_en-1.v /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2020 Claire Xenia Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.35+7 (git sha1 5691cd09584, gcc 13.2.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -Os) -- Running command `read_verilog -DEVENT_STAR -DCOND_EN always_display.v; proc; opt_expr -mux_bool; clean' -- 1. Executing Verilog-2005 frontend: always_display.v Parsing Verilog input from `always_display.v' to AST representation. Generating RTLIL representation for module `\m'. Successfully finished Verilog frontend. 2. Executing PROC pass (convert processes to netlists). 2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 0 redundant assignments. Promoted 0 assignments to connections. 2.4. Executing PROC_INIT pass (extract init attributes). 2.5. Executing PROC_ARST pass (detect async resets in processes). 2.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\m.$proc$always_display.v:10$1'. 1/1: $display$always_display.v:15$2_EN 2.8. Executing PROC_DLATCH pass (convert process syncs to latches). 2.9. Executing PROC_DFF pass (convert process syncs to FFs). 2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Found and cleaned up 1 empty switch in `\m.$proc$always_display.v:10$1'. Removing empty process `m.$proc$always_display.v:10$1'. Cleaned up 1 empty switch. 2.12. Executing OPT_EXPR pass (perform const folding). Optimizing module m. 3. Executing OPT_EXPR pass (perform const folding). Optimizing module m. Removed 0 unused cells and 3 unused wires. -- Writing to `yosys-always_display-star_en-1.v' using backend `verilog' -- 4. Executing Verilog backend. 4.1. Executing BMUXMAP pass. 4.2. Executing DEMUXMAP pass. Dumping module `\m'. End of script. Logfile hash: d6a7335726, CPU: user 0.01s system 0.00s, MEM: 12.88 MB peak Yosys 0.35+7 (git sha1 5691cd09584, gcc 13.2.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -Os) Time spent: 41% 2x opt_expr (0 sec), 23% 1x clean (0 sec), ... + ../../yosys -p 'read_verilog yosys-always_display-star_en-1.v; proc; opt_expr -mux_bool; clean' -o yosys-always_display-star_en-2.v /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2020 Claire Xenia Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.35+7 (git sha1 5691cd09584, gcc 13.2.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -Os) -- Running command `read_verilog yosys-always_display-star_en-1.v; proc; opt_expr -mux_bool; clean' -- 1. Executing Verilog-2005 frontend: yosys-always_display-star_en-1.v Parsing Verilog input from `yosys-always_display-star_en-1.v' to AST representation. Generating RTLIL representation for module `\m'. Successfully finished Verilog frontend. 2. Executing PROC pass (convert processes to netlists). 2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 0 redundant assignments. Promoted 0 assignments to connections. 2.4. Executing PROC_INIT pass (extract init attributes). 2.5. Executing PROC_ARST pass (detect async resets in processes). 2.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\m.$proc$yosys-always_display-star_en-1.v:18$1'. 1/1: $write$yosys-always_display-star_en-1.v:20$2_EN 2.8. Executing PROC_DLATCH pass (convert process syncs to latches). 2.9. Executing PROC_DFF pass (convert process syncs to FFs). 2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Found and cleaned up 1 empty switch in `\m.$proc$yosys-always_display-star_en-1.v:18$1'. Removing empty process `m.$proc$yosys-always_display-star_en-1.v:18$1'. Cleaned up 1 empty switch. 2.12. Executing OPT_EXPR pass (perform const folding). Optimizing module m. 3. Executing OPT_EXPR pass (perform const folding). Optimizing module m. Removed 0 unused cells and 3 unused wires. -- Writing to `yosys-always_display-star_en-2.v' using backend `verilog' -- 4. Executing Verilog backend. 4.1. Executing BMUXMAP pass. 4.2. Executing DEMUXMAP pass. Dumping module `\m'. End of script. Logfile hash: 18895a2046, CPU: user 0.01s system 0.00s, MEM: 13.25 MB peak Yosys 0.35+7 (git sha1 5691cd09584, gcc 13.2.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -Os) Time spent: 40% 2x opt_expr (0 sec), 23% 1x clean (0 sec), ... + diff yosys-always_display-star_en-1.v yosys-always_display-star_en-2.v + test_roundtrip dec_unsigned -DBASE_DEC -DSIGN= + local subtest=dec_unsigned + shift + ../../yosys -p 'read_verilog -DBASE_DEC -DSIGN= roundtrip.v; proc; clean' -o yosys-roundtrip-dec_unsigned-1.v /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2020 Claire Xenia Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.35+7 (git sha1 5691cd09584, gcc 13.2.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -Os) -- Running command `read_verilog -DBASE_DEC -DSIGN= roundtrip.v; proc; clean' -- 1. Executing Verilog-2005 frontend: roundtrip.v Parsing Verilog input from `roundtrip.v' to AST representation. Generating RTLIL representation for module `\m'. Successfully finished Verilog frontend. 2. Executing PROC pass (convert processes to netlists). 2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 1 redundant assignment. Promoted 1 assignment to connection. 2.4. Executing PROC_INIT pass (extract init attributes). 2.5. Executing PROC_ARST pass (detect async resets in processes). 2.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\m.$proc$roundtrip.v:3$1'. 2.8. Executing PROC_DLATCH pass (convert process syncs to latches). 2.9. Executing PROC_DFF pass (convert process syncs to FFs). 2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `m.$proc$roundtrip.v:3$1'. Cleaned up 0 empty switches. 2.12. Executing OPT_EXPR pass (perform const folding). Optimizing module m. Removed 0 unused cells and 1 unused wires. -- Writing to `yosys-roundtrip-dec_unsigned-1.v' using backend `verilog' -- 3. Executing Verilog backend. 3.1. Executing BMUXMAP pass. 3.2. Executing DEMUXMAP pass. Dumping module `\m'. End of script. Logfile hash: bfb187b86d, CPU: user 0.00s system 0.00s, MEM: 12.88 MB peak Yosys 0.35+7 (git sha1 5691cd09584, gcc 13.2.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -Os) Time spent: 30% 1x clean (0 sec), 22% 1x opt_expr (0 sec), ... + ../../yosys -p 'read_verilog yosys-roundtrip-dec_unsigned-1.v; proc; clean' -o yosys-roundtrip-dec_unsigned-2.v /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2020 Claire Xenia Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.35+7 (git sha1 5691cd09584, gcc 13.2.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -Os) -- Running command `read_verilog yosys-roundtrip-dec_unsigned-1.v; proc; clean' -- 1. Executing Verilog-2005 frontend: yosys-roundtrip-dec_unsigned-1.v Parsing Verilog input from `yosys-roundtrip-dec_unsigned-1.v' to AST representation. Generating RTLIL representation for module `\m'. Successfully finished Verilog frontend. 2. Executing PROC pass (convert processes to netlists). 2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Found and cleaned up 1 empty switch in `\m.$proc$yosys-roundtrip-dec_unsigned-1.v:12$1'. Cleaned up 1 empty switch. 2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 1 redundant assignment. Promoted 1 assignment to connection. 2.4. Executing PROC_INIT pass (extract init attributes). 2.5. Executing PROC_ARST pass (detect async resets in processes). 2.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\m.$proc$yosys-roundtrip-dec_unsigned-1.v:12$1'. 2.8. Executing PROC_DLATCH pass (convert process syncs to latches). 2.9. Executing PROC_DFF pass (convert process syncs to FFs). 2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `m.$proc$yosys-roundtrip-dec_unsigned-1.v:12$1'. Cleaned up 0 empty switches. 2.12. Executing OPT_EXPR pass (perform const folding). Optimizing module m. Removed 0 unused cells and 1 unused wires. -- Writing to `yosys-roundtrip-dec_unsigned-2.v' using backend `verilog' -- 3. Executing Verilog backend. 3.1. Executing BMUXMAP pass. 3.2. Executing DEMUXMAP pass. Dumping module `\m'. End of script. Logfile hash: 4be9539e85, CPU: user 0.01s system 0.00s, MEM: 12.75 MB peak Yosys 0.35+7 (git sha1 5691cd09584, gcc 13.2.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -Os) Time spent: 28% 1x clean (0 sec), 20% 1x opt_expr (0 sec), ... + diff yosys-roundtrip-dec_unsigned-1.v yosys-roundtrip-dec_unsigned-2.v + iverilog -DBASE_DEC -DSIGN= -o iverilog-roundtrip-dec_unsigned roundtrip.v roundtrip_tb.v + ./iverilog-roundtrip-dec_unsigned + iverilog -DBASE_DEC -DSIGN= -o iverilog-roundtrip-dec_unsigned-1 yosys-roundtrip-dec_unsigned-1.v roundtrip_tb.v + ./iverilog-roundtrip-dec_unsigned-1 + iverilog -DBASE_DEC -DSIGN= -o iverilog-roundtrip-dec_unsigned-2 yosys-roundtrip-dec_unsigned-2.v roundtrip_tb.v + ./iverilog-roundtrip-dec_unsigned-1 + diff iverilog-roundtrip-dec_unsigned.log iverilog-roundtrip-dec_unsigned-1.log + diff iverilog-roundtrip-dec_unsigned-1.log iverilog-roundtrip-dec_unsigned-2.log + test_roundtrip dec_signed -DBASE_DEC -DSIGN=signed + local subtest=dec_signed + shift + ../../yosys -p 'read_verilog -DBASE_DEC -DSIGN=signed roundtrip.v; proc; clean' -o yosys-roundtrip-dec_signed-1.v /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2020 Claire Xenia Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.35+7 (git sha1 5691cd09584, gcc 13.2.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -Os) -- Running command `read_verilog -DBASE_DEC -DSIGN=signed roundtrip.v; proc; clean' -- 1. Executing Verilog-2005 frontend: roundtrip.v Parsing Verilog input from `roundtrip.v' to AST representation. Generating RTLIL representation for module `\m'. Successfully finished Verilog frontend. 2. Executing PROC pass (convert processes to netlists). 2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 1 redundant assignment. Promoted 1 assignment to connection. 2.4. Executing PROC_INIT pass (extract init attributes). 2.5. Executing PROC_ARST pass (detect async resets in processes). 2.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\m.$proc$roundtrip.v:3$1'. 2.8. Executing PROC_DLATCH pass (convert process syncs to latches). 2.9. Executing PROC_DFF pass (convert process syncs to FFs). 2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `m.$proc$roundtrip.v:3$1'. Cleaned up 0 empty switches. 2.12. Executing OPT_EXPR pass (perform const folding). Optimizing module m. Removed 0 unused cells and 1 unused wires. -- Writing to `yosys-roundtrip-dec_signed-1.v' using backend `verilog' -- 3. Executing Verilog backend. 3.1. Executing BMUXMAP pass. 3.2. Executing DEMUXMAP pass. Dumping module `\m'. End of script. Logfile hash: bbdfa5ca92, CPU: user 0.01s system 0.00s, MEM: 13.00 MB peak Yosys 0.35+7 (git sha1 5691cd09584, gcc 13.2.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -Os) Time spent: 29% 1x clean (0 sec), 22% 1x opt_expr (0 sec), ... + ../../yosys -p 'read_verilog yosys-roundtrip-dec_signed-1.v; proc; clean' -o yosys-roundtrip-dec_signed-2.v /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2020 Claire Xenia Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.35+7 (git sha1 5691cd09584, gcc 13.2.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -Os) -- Running command `read_verilog yosys-roundtrip-dec_signed-1.v; proc; clean' -- 1. Executing Verilog-2005 frontend: yosys-roundtrip-dec_signed-1.v Parsing Verilog input from `yosys-roundtrip-dec_signed-1.v' to AST representation. Generating RTLIL representation for module `\m'. Successfully finished Verilog frontend. 2. Executing PROC pass (convert processes to netlists). 2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Found and cleaned up 1 empty switch in `\m.$proc$yosys-roundtrip-dec_signed-1.v:12$1'. Cleaned up 1 empty switch. 2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 1 redundant assignment. Promoted 1 assignment to connection. 2.4. Executing PROC_INIT pass (extract init attributes). 2.5. Executing PROC_ARST pass (detect async resets in processes). 2.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\m.$proc$yosys-roundtrip-dec_signed-1.v:12$1'. 2.8. Executing PROC_DLATCH pass (convert process syncs to latches). 2.9. Executing PROC_DFF pass (convert process syncs to FFs). 2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `m.$proc$yosys-roundtrip-dec_signed-1.v:12$1'. Cleaned up 0 empty switches. 2.12. Executing OPT_EXPR pass (perform const folding). Optimizing module m. Removed 0 unused cells and 1 unused wires. -- Writing to `yosys-roundtrip-dec_signed-2.v' using backend `verilog' -- 3. Executing Verilog backend. 3.1. Executing BMUXMAP pass. 3.2. Executing DEMUXMAP pass. Dumping module `\m'. End of script. Logfile hash: b233de92a6, CPU: user 0.01s system 0.00s, MEM: 13.00 MB peak Yosys 0.35+7 (git sha1 5691cd09584, gcc 13.2.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -Os) Time spent: 28% 1x clean (0 sec), 20% 1x opt_expr (0 sec), ... + diff yosys-roundtrip-dec_signed-1.v yosys-roundtrip-dec_signed-2.v + iverilog -DBASE_DEC -DSIGN=signed -o iverilog-roundtrip-dec_signed roundtrip.v roundtrip_tb.v + ./iverilog-roundtrip-dec_signed + iverilog -DBASE_DEC -DSIGN=signed -o iverilog-roundtrip-dec_signed-1 yosys-roundtrip-dec_signed-1.v roundtrip_tb.v + ./iverilog-roundtrip-dec_signed-1 + iverilog -DBASE_DEC -DSIGN=signed -o iverilog-roundtrip-dec_signed-2 yosys-roundtrip-dec_signed-2.v roundtrip_tb.v + ./iverilog-roundtrip-dec_signed-1 + diff iverilog-roundtrip-dec_signed.log iverilog-roundtrip-dec_signed-1.log + diff iverilog-roundtrip-dec_signed-1.log iverilog-roundtrip-dec_signed-2.log + test_roundtrip hex_unsigned -DBASE_HEX -DSIGN= + local subtest=hex_unsigned + shift + ../../yosys -p 'read_verilog -DBASE_HEX -DSIGN= roundtrip.v; proc; clean' -o yosys-roundtrip-hex_unsigned-1.v /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2020 Claire Xenia Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.35+7 (git sha1 5691cd09584, gcc 13.2.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -Os) -- Running command `read_verilog -DBASE_HEX -DSIGN= roundtrip.v; proc; clean' -- 1. Executing Verilog-2005 frontend: roundtrip.v Parsing Verilog input from `roundtrip.v' to AST representation. Generating RTLIL representation for module `\m'. Successfully finished Verilog frontend. 2. Executing PROC pass (convert processes to netlists). 2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 1 redundant assignment. Promoted 1 assignment to connection. 2.4. Executing PROC_INIT pass (extract init attributes). 2.5. Executing PROC_ARST pass (detect async resets in processes). 2.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\m.$proc$roundtrip.v:3$1'. 2.8. Executing PROC_DLATCH pass (convert process syncs to latches). 2.9. Executing PROC_DFF pass (convert process syncs to FFs). 2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `m.$proc$roundtrip.v:3$1'. Cleaned up 0 empty switches. 2.12. Executing OPT_EXPR pass (perform const folding). Optimizing module m. Removed 0 unused cells and 1 unused wires. -- Writing to `yosys-roundtrip-hex_unsigned-1.v' using backend `verilog' -- 3. Executing Verilog backend. 3.1. Executing BMUXMAP pass. 3.2. Executing DEMUXMAP pass. Dumping module `\m'. End of script. Logfile hash: 2377f2e106, CPU: user 0.01s system 0.00s, MEM: 13.00 MB peak Yosys 0.35+7 (git sha1 5691cd09584, gcc 13.2.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -Os) Time spent: 31% 1x clean (0 sec), 22% 1x opt_expr (0 sec), ... + ../../yosys -p 'read_verilog yosys-roundtrip-hex_unsigned-1.v; proc; clean' -o yosys-roundtrip-hex_unsigned-2.v /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2020 Claire Xenia Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.35+7 (git sha1 5691cd09584, gcc 13.2.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -Os) -- Running command `read_verilog yosys-roundtrip-hex_unsigned-1.v; proc; clean' -- 1. Executing Verilog-2005 frontend: yosys-roundtrip-hex_unsigned-1.v Parsing Verilog input from `yosys-roundtrip-hex_unsigned-1.v' to AST representation. Generating RTLIL representation for module `\m'. Successfully finished Verilog frontend. 2. Executing PROC pass (convert processes to netlists). 2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Found and cleaned up 1 empty switch in `\m.$proc$yosys-roundtrip-hex_unsigned-1.v:12$1'. Cleaned up 1 empty switch. 2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 1 redundant assignment. Promoted 1 assignment to connection. 2.4. Executing PROC_INIT pass (extract init attributes). 2.5. Executing PROC_ARST pass (detect async resets in processes). 2.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\m.$proc$yosys-roundtrip-hex_unsigned-1.v:12$1'. 2.8. Executing PROC_DLATCH pass (convert process syncs to latches). 2.9. Executing PROC_DFF pass (convert process syncs to FFs). 2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `m.$proc$yosys-roundtrip-hex_unsigned-1.v:12$1'. Cleaned up 0 empty switches. 2.12. Executing OPT_EXPR pass (perform const folding). Optimizing module m. Removed 0 unused cells and 1 unused wires. -- Writing to `yosys-roundtrip-hex_unsigned-2.v' using backend `verilog' -- 3. Executing Verilog backend. 3.1. Executing BMUXMAP pass. 3.2. Executing DEMUXMAP pass. Dumping module `\m'. End of script. Logfile hash: 06bfea69c8, CPU: user 0.00s system 0.00s, MEM: 12.88 MB peak Yosys 0.35+7 (git sha1 5691cd09584, gcc 13.2.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -Os) Time spent: 31% 1x clean (0 sec), 21% 1x opt_expr (0 sec), ... + diff yosys-roundtrip-hex_unsigned-1.v yosys-roundtrip-hex_unsigned-2.v + iverilog -DBASE_HEX -DSIGN= -o iverilog-roundtrip-hex_unsigned roundtrip.v roundtrip_tb.v + ./iverilog-roundtrip-hex_unsigned + iverilog -DBASE_HEX -DSIGN= -o iverilog-roundtrip-hex_unsigned-1 yosys-roundtrip-hex_unsigned-1.v roundtrip_tb.v + ./iverilog-roundtrip-hex_unsigned-1 + iverilog -DBASE_HEX -DSIGN= -o iverilog-roundtrip-hex_unsigned-2 yosys-roundtrip-hex_unsigned-2.v roundtrip_tb.v + ./iverilog-roundtrip-hex_unsigned-1 + diff iverilog-roundtrip-hex_unsigned.log iverilog-roundtrip-hex_unsigned-1.log + diff iverilog-roundtrip-hex_unsigned-1.log iverilog-roundtrip-hex_unsigned-2.log + test_roundtrip hex_signed -DBASE_HEX -DSIGN=signed + local subtest=hex_signed + shift + ../../yosys -p 'read_verilog -DBASE_HEX -DSIGN=signed roundtrip.v; proc; clean' -o yosys-roundtrip-hex_signed-1.v /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2020 Claire Xenia Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.35+7 (git sha1 5691cd09584, gcc 13.2.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -Os) -- Running command `read_verilog -DBASE_HEX -DSIGN=signed roundtrip.v; proc; clean' -- 1. Executing Verilog-2005 frontend: roundtrip.v Parsing Verilog input from `roundtrip.v' to AST representation. Generating RTLIL representation for module `\m'. Successfully finished Verilog frontend. 2. Executing PROC pass (convert processes to netlists). 2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 1 redundant assignment. Promoted 1 assignment to connection. 2.4. Executing PROC_INIT pass (extract init attributes). 2.5. Executing PROC_ARST pass (detect async resets in processes). 2.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\m.$proc$roundtrip.v:3$1'. 2.8. Executing PROC_DLATCH pass (convert process syncs to latches). 2.9. Executing PROC_DFF pass (convert process syncs to FFs). 2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `m.$proc$roundtrip.v:3$1'. Cleaned up 0 empty switches. 2.12. Executing OPT_EXPR pass (perform const folding). Optimizing module m. Removed 0 unused cells and 1 unused wires. -- Writing to `yosys-roundtrip-hex_signed-1.v' using backend `verilog' -- 3. Executing Verilog backend. 3.1. Executing BMUXMAP pass. 3.2. Executing DEMUXMAP pass. Dumping module `\m'. End of script. Logfile hash: 824c3b1e65, CPU: user 0.01s system 0.00s, MEM: 12.75 MB peak Yosys 0.35+7 (git sha1 5691cd09584, gcc 13.2.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -Os) Time spent: 31% 1x clean (0 sec), 22% 1x opt_expr (0 sec), ... + ../../yosys -p 'read_verilog yosys-roundtrip-hex_signed-1.v; proc; clean' -o yosys-roundtrip-hex_signed-2.v /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2020 Claire Xenia Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.35+7 (git sha1 5691cd09584, gcc 13.2.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -Os) -- Running command `read_verilog yosys-roundtrip-hex_signed-1.v; proc; clean' -- 1. Executing Verilog-2005 frontend: yosys-roundtrip-hex_signed-1.v Parsing Verilog input from `yosys-roundtrip-hex_signed-1.v' to AST representation. Generating RTLIL representation for module `\m'. Successfully finished Verilog frontend. 2. Executing PROC pass (convert processes to netlists). 2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Found and cleaned up 1 empty switch in `\m.$proc$yosys-roundtrip-hex_signed-1.v:12$1'. Cleaned up 1 empty switch. 2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 1 redundant assignment. Promoted 1 assignment to connection. 2.4. Executing PROC_INIT pass (extract init attributes). 2.5. Executing PROC_ARST pass (detect async resets in processes). 2.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\m.$proc$yosys-roundtrip-hex_signed-1.v:12$1'. 2.8. Executing PROC_DLATCH pass (convert process syncs to latches). 2.9. Executing PROC_DFF pass (convert process syncs to FFs). 2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `m.$proc$yosys-roundtrip-hex_signed-1.v:12$1'. Cleaned up 0 empty switches. 2.12. Executing OPT_EXPR pass (perform const folding). Optimizing module m. Removed 0 unused cells and 1 unused wires. -- Writing to `yosys-roundtrip-hex_signed-2.v' using backend `verilog' -- 3. Executing Verilog backend. 3.1. Executing BMUXMAP pass. 3.2. Executing DEMUXMAP pass. Dumping module `\m'. End of script. Logfile hash: f18b3fa15b, CPU: user 0.01s system 0.00s, MEM: 13.00 MB peak Yosys 0.35+7 (git sha1 5691cd09584, gcc 13.2.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -Os) Time spent: 30% 1x clean (0 sec), 21% 1x opt_expr (0 sec), ... + diff yosys-roundtrip-hex_signed-1.v yosys-roundtrip-hex_signed-2.v + iverilog -DBASE_HEX -DSIGN=signed -o iverilog-roundtrip-hex_signed roundtrip.v roundtrip_tb.v + ./iverilog-roundtrip-hex_signed + iverilog -DBASE_HEX -DSIGN=signed -o iverilog-roundtrip-hex_signed-1 yosys-roundtrip-hex_signed-1.v roundtrip_tb.v + ./iverilog-roundtrip-hex_signed-1 + iverilog -DBASE_HEX -DSIGN=signed -o iverilog-roundtrip-hex_signed-2 yosys-roundtrip-hex_signed-2.v roundtrip_tb.v + ./iverilog-roundtrip-hex_signed-1 + diff iverilog-roundtrip-hex_signed.log iverilog-roundtrip-hex_signed-1.log + diff iverilog-roundtrip-hex_signed-1.log iverilog-roundtrip-hex_signed-2.log + test_roundtrip oct_unsigned -DBASE_HEX -DSIGN= + local subtest=oct_unsigned + shift + ../../yosys -p 'read_verilog -DBASE_HEX -DSIGN= roundtrip.v; proc; clean' -o yosys-roundtrip-oct_unsigned-1.v /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2020 Claire Xenia Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.35+7 (git sha1 5691cd09584, gcc 13.2.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -Os) -- Running command `read_verilog -DBASE_HEX -DSIGN= roundtrip.v; proc; clean' -- 1. Executing Verilog-2005 frontend: roundtrip.v Parsing Verilog input from `roundtrip.v' to AST representation. Generating RTLIL representation for module `\m'. Successfully finished Verilog frontend. 2. Executing PROC pass (convert processes to netlists). 2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 1 redundant assignment. Promoted 1 assignment to connection. 2.4. Executing PROC_INIT pass (extract init attributes). 2.5. Executing PROC_ARST pass (detect async resets in processes). 2.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\m.$proc$roundtrip.v:3$1'. 2.8. Executing PROC_DLATCH pass (convert process syncs to latches). 2.9. Executing PROC_DFF pass (convert process syncs to FFs). 2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `m.$proc$roundtrip.v:3$1'. Cleaned up 0 empty switches. 2.12. Executing OPT_EXPR pass (perform const folding). Optimizing module m. Removed 0 unused cells and 1 unused wires. -- Writing to `yosys-roundtrip-oct_unsigned-1.v' using backend `verilog' -- 3. Executing Verilog backend. 3.1. Executing BMUXMAP pass. 3.2. Executing DEMUXMAP pass. Dumping module `\m'. End of script. Logfile hash: b768358a65, CPU: user 0.01s system 0.00s, MEM: 12.88 MB peak Yosys 0.35+7 (git sha1 5691cd09584, gcc 13.2.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -Os) Time spent: 31% 1x clean (0 sec), 22% 1x opt_expr (0 sec), ... + ../../yosys -p 'read_verilog yosys-roundtrip-oct_unsigned-1.v; proc; clean' -o yosys-roundtrip-oct_unsigned-2.v /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2020 Claire Xenia Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.35+7 (git sha1 5691cd09584, gcc 13.2.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -Os) -- Running command `read_verilog yosys-roundtrip-oct_unsigned-1.v; proc; clean' -- 1. Executing Verilog-2005 frontend: yosys-roundtrip-oct_unsigned-1.v Parsing Verilog input from `yosys-roundtrip-oct_unsigned-1.v' to AST representation. Generating RTLIL representation for module `\m'. Successfully finished Verilog frontend. 2. Executing PROC pass (convert processes to netlists). 2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Found and cleaned up 1 empty switch in `\m.$proc$yosys-roundtrip-oct_unsigned-1.v:12$1'. Cleaned up 1 empty switch. 2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 1 redundant assignment. Promoted 1 assignment to connection. 2.4. Executing PROC_INIT pass (extract init attributes). 2.5. Executing PROC_ARST pass (detect async resets in processes). 2.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\m.$proc$yosys-roundtrip-oct_unsigned-1.v:12$1'. 2.8. Executing PROC_DLATCH pass (convert process syncs to latches). 2.9. Executing PROC_DFF pass (convert process syncs to FFs). 2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `m.$proc$yosys-roundtrip-oct_unsigned-1.v:12$1'. Cleaned up 0 empty switches. 2.12. Executing OPT_EXPR pass (perform const folding). Optimizing module m. Removed 0 unused cells and 1 unused wires. -- Writing to `yosys-roundtrip-oct_unsigned-2.v' using backend `verilog' -- 3. Executing Verilog backend. 3.1. Executing BMUXMAP pass. 3.2. Executing DEMUXMAP pass. Dumping module `\m'. End of script. Logfile hash: 762621cd95, CPU: user 0.01s system 0.00s, MEM: 13.00 MB peak Yosys 0.35+7 (git sha1 5691cd09584, gcc 13.2.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -Os) Time spent: 30% 1x clean (0 sec), 22% 1x opt_expr (0 sec), ... + diff yosys-roundtrip-oct_unsigned-1.v yosys-roundtrip-oct_unsigned-2.v + iverilog -DBASE_HEX -DSIGN= -o iverilog-roundtrip-oct_unsigned roundtrip.v roundtrip_tb.v + ./iverilog-roundtrip-oct_unsigned + iverilog -DBASE_HEX -DSIGN= -o iverilog-roundtrip-oct_unsigned-1 yosys-roundtrip-oct_unsigned-1.v roundtrip_tb.v + ./iverilog-roundtrip-oct_unsigned-1 + iverilog -DBASE_HEX -DSIGN= -o iverilog-roundtrip-oct_unsigned-2 yosys-roundtrip-oct_unsigned-2.v roundtrip_tb.v + ./iverilog-roundtrip-oct_unsigned-1 + diff iverilog-roundtrip-oct_unsigned.log iverilog-roundtrip-oct_unsigned-1.log + diff iverilog-roundtrip-oct_unsigned-1.log iverilog-roundtrip-oct_unsigned-2.log + test_roundtrip oct_signed -DBASE_HEX -DSIGN=signed + local subtest=oct_signed + shift + ../../yosys -p 'read_verilog -DBASE_HEX -DSIGN=signed roundtrip.v; proc; clean' -o yosys-roundtrip-oct_signed-1.v /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2020 Claire Xenia Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.35+7 (git sha1 5691cd09584, gcc 13.2.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -Os) -- Running command `read_verilog -DBASE_HEX -DSIGN=signed roundtrip.v; proc; clean' -- 1. Executing Verilog-2005 frontend: roundtrip.v Parsing Verilog input from `roundtrip.v' to AST representation. Generating RTLIL representation for module `\m'. Successfully finished Verilog frontend. 2. Executing PROC pass (convert processes to netlists). 2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 1 redundant assignment. Promoted 1 assignment to connection. 2.4. Executing PROC_INIT pass (extract init attributes). 2.5. Executing PROC_ARST pass (detect async resets in processes). 2.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\m.$proc$roundtrip.v:3$1'. 2.8. Executing PROC_DLATCH pass (convert process syncs to latches). 2.9. Executing PROC_DFF pass (convert process syncs to FFs). 2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `m.$proc$roundtrip.v:3$1'. Cleaned up 0 empty switches. 2.12. Executing OPT_EXPR pass (perform const folding). Optimizing module m. Removed 0 unused cells and 1 unused wires. -- Writing to `yosys-roundtrip-oct_signed-1.v' using backend `verilog' -- 3. Executing Verilog backend. 3.1. Executing BMUXMAP pass. 3.2. Executing DEMUXMAP pass. Dumping module `\m'. End of script. Logfile hash: 7ec82b15e3, CPU: user 0.00s system 0.00s, MEM: 12.75 MB peak Yosys 0.35+7 (git sha1 5691cd09584, gcc 13.2.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -Os) Time spent: 31% 1x clean (0 sec), 22% 1x opt_expr (0 sec), ... + ../../yosys -p 'read_verilog yosys-roundtrip-oct_signed-1.v; proc; clean' -o yosys-roundtrip-oct_signed-2.v /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2020 Claire Xenia Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.35+7 (git sha1 5691cd09584, gcc 13.2.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -Os) -- Running command `read_verilog yosys-roundtrip-oct_signed-1.v; proc; clean' -- 1. Executing Verilog-2005 frontend: yosys-roundtrip-oct_signed-1.v Parsing Verilog input from `yosys-roundtrip-oct_signed-1.v' to AST representation. Generating RTLIL representation for module `\m'. Successfully finished Verilog frontend. 2. Executing PROC pass (convert processes to netlists). 2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Found and cleaned up 1 empty switch in `\m.$proc$yosys-roundtrip-oct_signed-1.v:12$1'. Cleaned up 1 empty switch. 2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 1 redundant assignment. Promoted 1 assignment to connection. 2.4. Executing PROC_INIT pass (extract init attributes). 2.5. Executing PROC_ARST pass (detect async resets in processes). 2.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\m.$proc$yosys-roundtrip-oct_signed-1.v:12$1'. 2.8. Executing PROC_DLATCH pass (convert process syncs to latches). 2.9. Executing PROC_DFF pass (convert process syncs to FFs). 2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `m.$proc$yosys-roundtrip-oct_signed-1.v:12$1'. Cleaned up 0 empty switches. 2.12. Executing OPT_EXPR pass (perform const folding). Optimizing module m. Removed 0 unused cells and 1 unused wires. -- Writing to `yosys-roundtrip-oct_signed-2.v' using backend `verilog' -- 3. Executing Verilog backend. 3.1. Executing BMUXMAP pass. 3.2. Executing DEMUXMAP pass. Dumping module `\m'. End of script. Logfile hash: a747b9bd4f, CPU: user 0.01s system 0.00s, MEM: 13.00 MB peak Yosys 0.35+7 (git sha1 5691cd09584, gcc 13.2.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -Os) Time spent: 30% 1x clean (0 sec), 21% 1x opt_expr (0 sec), ... + diff yosys-roundtrip-oct_signed-1.v yosys-roundtrip-oct_signed-2.v + iverilog -DBASE_HEX -DSIGN=signed -o iverilog-roundtrip-oct_signed roundtrip.v roundtrip_tb.v + ./iverilog-roundtrip-oct_signed + iverilog -DBASE_HEX -DSIGN=signed -o iverilog-roundtrip-oct_signed-1 yosys-roundtrip-oct_signed-1.v roundtrip_tb.v + ./iverilog-roundtrip-oct_signed-1 + iverilog -DBASE_HEX -DSIGN=signed -o iverilog-roundtrip-oct_signed-2 yosys-roundtrip-oct_signed-2.v roundtrip_tb.v + ./iverilog-roundtrip-oct_signed-1 + diff iverilog-roundtrip-oct_signed.log iverilog-roundtrip-oct_signed-1.log + diff iverilog-roundtrip-oct_signed-1.log iverilog-roundtrip-oct_signed-2.log + test_roundtrip bin_unsigned -DBASE_HEX -DSIGN= + local subtest=bin_unsigned + shift + ../../yosys -p 'read_verilog -DBASE_HEX -DSIGN= roundtrip.v; proc; clean' -o yosys-roundtrip-bin_unsigned-1.v /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2020 Claire Xenia Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.35+7 (git sha1 5691cd09584, gcc 13.2.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -Os) -- Running command `read_verilog -DBASE_HEX -DSIGN= roundtrip.v; proc; clean' -- 1. Executing Verilog-2005 frontend: roundtrip.v Parsing Verilog input from `roundtrip.v' to AST representation. Generating RTLIL representation for module `\m'. Successfully finished Verilog frontend. 2. Executing PROC pass (convert processes to netlists). 2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 1 redundant assignment. Promoted 1 assignment to connection. 2.4. Executing PROC_INIT pass (extract init attributes). 2.5. Executing PROC_ARST pass (detect async resets in processes). 2.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\m.$proc$roundtrip.v:3$1'. 2.8. Executing PROC_DLATCH pass (convert process syncs to latches). 2.9. Executing PROC_DFF pass (convert process syncs to FFs). 2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `m.$proc$roundtrip.v:3$1'. Cleaned up 0 empty switches. 2.12. Executing OPT_EXPR pass (perform const folding). Optimizing module m. Removed 0 unused cells and 1 unused wires. -- Writing to `yosys-roundtrip-bin_unsigned-1.v' using backend `verilog' -- 3. Executing Verilog backend. 3.1. Executing BMUXMAP pass. 3.2. Executing DEMUXMAP pass. Dumping module `\m'. End of script. Logfile hash: 270b564880, CPU: user 0.01s system 0.00s, MEM: 13.12 MB peak Yosys 0.35+7 (git sha1 5691cd09584, gcc 13.2.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -Os) Time spent: 31% 1x clean (0 sec), 23% 1x opt_expr (0 sec), ... + ../../yosys -p 'read_verilog yosys-roundtrip-bin_unsigned-1.v; proc; clean' -o yosys-roundtrip-bin_unsigned-2.v /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2020 Claire Xenia Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.35+7 (git sha1 5691cd09584, gcc 13.2.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -Os) -- Running command `read_verilog yosys-roundtrip-bin_unsigned-1.v; proc; clean' -- 1. Executing Verilog-2005 frontend: yosys-roundtrip-bin_unsigned-1.v Parsing Verilog input from `yosys-roundtrip-bin_unsigned-1.v' to AST representation. Generating RTLIL representation for module `\m'. Successfully finished Verilog frontend. 2. Executing PROC pass (convert processes to netlists). 2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Found and cleaned up 1 empty switch in `\m.$proc$yosys-roundtrip-bin_unsigned-1.v:12$1'. Cleaned up 1 empty switch. 2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 1 redundant assignment. Promoted 1 assignment to connection. 2.4. Executing PROC_INIT pass (extract init attributes). 2.5. Executing PROC_ARST pass (detect async resets in processes). 2.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\m.$proc$yosys-roundtrip-bin_unsigned-1.v:12$1'. 2.8. Executing PROC_DLATCH pass (convert process syncs to latches). 2.9. Executing PROC_DFF pass (convert process syncs to FFs). 2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `m.$proc$yosys-roundtrip-bin_unsigned-1.v:12$1'. Cleaned up 0 empty switches. 2.12. Executing OPT_EXPR pass (perform const folding). Optimizing module m. Removed 0 unused cells and 1 unused wires. -- Writing to `yosys-roundtrip-bin_unsigned-2.v' using backend `verilog' -- 3. Executing Verilog backend. 3.1. Executing BMUXMAP pass. 3.2. Executing DEMUXMAP pass. Dumping module `\m'. End of script. Logfile hash: dc9f56cb10, CPU: user 0.01s system 0.00s, MEM: 13.12 MB peak Yosys 0.35+7 (git sha1 5691cd09584, gcc 13.2.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -Os) Time spent: 30% 1x clean (0 sec), 21% 1x opt_expr (0 sec), ... + diff yosys-roundtrip-bin_unsigned-1.v yosys-roundtrip-bin_unsigned-2.v + iverilog -DBASE_HEX -DSIGN= -o iverilog-roundtrip-bin_unsigned roundtrip.v roundtrip_tb.v + ./iverilog-roundtrip-bin_unsigned + iverilog -DBASE_HEX -DSIGN= -o iverilog-roundtrip-bin_unsigned-1 yosys-roundtrip-bin_unsigned-1.v roundtrip_tb.v + ./iverilog-roundtrip-bin_unsigned-1 + iverilog -DBASE_HEX -DSIGN= -o iverilog-roundtrip-bin_unsigned-2 yosys-roundtrip-bin_unsigned-2.v roundtrip_tb.v + ./iverilog-roundtrip-bin_unsigned-1 + diff iverilog-roundtrip-bin_unsigned.log iverilog-roundtrip-bin_unsigned-1.log + diff iverilog-roundtrip-bin_unsigned-1.log iverilog-roundtrip-bin_unsigned-2.log + test_roundtrip bin_signed -DBASE_HEX -DSIGN=signed + local subtest=bin_signed + shift + ../../yosys -p 'read_verilog -DBASE_HEX -DSIGN=signed roundtrip.v; proc; clean' -o yosys-roundtrip-bin_signed-1.v /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2020 Claire Xenia Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.35+7 (git sha1 5691cd09584, gcc 13.2.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -Os) -- Running command `read_verilog -DBASE_HEX -DSIGN=signed roundtrip.v; proc; clean' -- 1. Executing Verilog-2005 frontend: roundtrip.v Parsing Verilog input from `roundtrip.v' to AST representation. Generating RTLIL representation for module `\m'. Successfully finished Verilog frontend. 2. Executing PROC pass (convert processes to netlists). 2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 1 redundant assignment. Promoted 1 assignment to connection. 2.4. Executing PROC_INIT pass (extract init attributes). 2.5. Executing PROC_ARST pass (detect async resets in processes). 2.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\m.$proc$roundtrip.v:3$1'. 2.8. Executing PROC_DLATCH pass (convert process syncs to latches). 2.9. Executing PROC_DFF pass (convert process syncs to FFs). 2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `m.$proc$roundtrip.v:3$1'. Cleaned up 0 empty switches. 2.12. Executing OPT_EXPR pass (perform const folding). Optimizing module m. Removed 0 unused cells and 1 unused wires. -- Writing to `yosys-roundtrip-bin_signed-1.v' using backend `verilog' -- 3. Executing Verilog backend. 3.1. Executing BMUXMAP pass. 3.2. Executing DEMUXMAP pass. Dumping module `\m'. End of script. Logfile hash: 7709253822, CPU: user 0.00s system 0.00s, MEM: 12.88 MB peak Yosys 0.35+7 (git sha1 5691cd09584, gcc 13.2.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -Os) Time spent: 31% 1x clean (0 sec), 22% 1x opt_expr (0 sec), ... + ../../yosys -p 'read_verilog yosys-roundtrip-bin_signed-1.v; proc; clean' -o yosys-roundtrip-bin_signed-2.v /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2020 Claire Xenia Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.35+7 (git sha1 5691cd09584, gcc 13.2.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -Os) -- Running command `read_verilog yosys-roundtrip-bin_signed-1.v; proc; clean' -- 1. Executing Verilog-2005 frontend: yosys-roundtrip-bin_signed-1.v Parsing Verilog input from `yosys-roundtrip-bin_signed-1.v' to AST representation. Generating RTLIL representation for module `\m'. Successfully finished Verilog frontend. 2. Executing PROC pass (convert processes to netlists). 2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Found and cleaned up 1 empty switch in `\m.$proc$yosys-roundtrip-bin_signed-1.v:12$1'. Cleaned up 1 empty switch. 2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 1 redundant assignment. Promoted 1 assignment to connection. 2.4. Executing PROC_INIT pass (extract init attributes). 2.5. Executing PROC_ARST pass (detect async resets in processes). 2.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\m.$proc$yosys-roundtrip-bin_signed-1.v:12$1'. 2.8. Executing PROC_DLATCH pass (convert process syncs to latches). 2.9. Executing PROC_DFF pass (convert process syncs to FFs). 2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `m.$proc$yosys-roundtrip-bin_signed-1.v:12$1'. Cleaned up 0 empty switches. 2.12. Executing OPT_EXPR pass (perform const folding). Optimizing module m. Removed 0 unused cells and 1 unused wires. -- Writing to `yosys-roundtrip-bin_signed-2.v' using backend `verilog' -- 3. Executing Verilog backend. 3.1. Executing BMUXMAP pass. 3.2. Executing DEMUXMAP pass. Dumping module `\m'. End of script. Logfile hash: 7e2d8271c4, CPU: user 0.01s system 0.00s, MEM: 13.00 MB peak Yosys 0.35+7 (git sha1 5691cd09584, gcc 13.2.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -Os) Time spent: 32% 1x clean (0 sec), 21% 1x opt_expr (0 sec), ... + diff yosys-roundtrip-bin_signed-1.v yosys-roundtrip-bin_signed-2.v + iverilog -DBASE_HEX -DSIGN=signed -o iverilog-roundtrip-bin_signed roundtrip.v roundtrip_tb.v + ./iverilog-roundtrip-bin_signed + iverilog -DBASE_HEX -DSIGN=signed -o iverilog-roundtrip-bin_signed-1 yosys-roundtrip-bin_signed-1.v roundtrip_tb.v + ./iverilog-roundtrip-bin_signed-1 + iverilog -DBASE_HEX -DSIGN=signed -o iverilog-roundtrip-bin_signed-2 yosys-roundtrip-bin_signed-2.v roundtrip_tb.v + ./iverilog-roundtrip-bin_signed-1 + diff iverilog-roundtrip-bin_signed.log iverilog-roundtrip-bin_signed-1.log + diff iverilog-roundtrip-bin_signed-1.log iverilog-roundtrip-bin_signed-2.log + test_cxxrtl always_full + local subtest=always_full + shift + ../../yosys -p 'read_verilog always_full.v; proc; clean; write_cxxrtl -print-output std::cerr yosys-always_full.cc' /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2020 Claire Xenia Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.35+7 (git sha1 5691cd09584, gcc 13.2.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -Os) -- Running command `read_verilog always_full.v; proc; clean; write_cxxrtl -print-output std::cerr yosys-always_full.cc' -- 1. Executing Verilog-2005 frontend: always_full.v Parsing Verilog input from `always_full.v' to AST representation. Generating RTLIL representation for module `\always_full'. Successfully finished Verilog frontend. 2. Executing PROC pass (convert processes to netlists). 2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 207 redundant assignments. Promoted 207 assignments to connections. 2.4. Executing PROC_INIT pass (extract init attributes). 2.5. Executing PROC_ARST pass (detect async resets in processes). 2.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\always_full.$proc$always_full.v:3$1'. 2.8. Executing PROC_DLATCH pass (convert process syncs to latches). 2.9. Executing PROC_DFF pass (convert process syncs to FFs). 2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `always_full.$proc$always_full.v:3$1'. Cleaned up 0 empty switches. 2.12. Executing OPT_EXPR pass (perform const folding). Optimizing module always_full. Removed 0 unused cells and 207 unused wires. 3. Executing CXXRTL backend. 3.1. Executing HIERARCHY pass (managing design hierarchy). 3.1.1. Finding top of design hierarchy.. root of 0 design levels: always_full Automatically selected always_full as design top module. 3.1.2. Analyzing design hierarchy.. Top module: \always_full 3.1.3. Analyzing design hierarchy.. Top module: \always_full Removed 0 unused modules. 3.2. Executing FLATTEN pass (flatten design). 3.3. Executing PROC pass (convert processes to netlists). 3.3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 3.3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 3.3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 0 redundant assignments. Promoted 0 assignments to connections. 3.3.4. Executing PROC_INIT pass (extract init attributes). 3.3.5. Executing PROC_ARST pass (detect async resets in processes). 3.3.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 3.3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). 3.3.8. Executing PROC_DLATCH pass (convert process syncs to latches). 3.3.9. Executing PROC_DFF pass (convert process syncs to FFs). 3.3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 3.3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 3.3.12. Executing OPT_EXPR pass (perform const folding). Optimizing module always_full. End of script. Logfile hash: 6abd135c0a, CPU: user 0.02s system 0.00s, MEM: 14.00 MB peak Yosys 0.35+7 (git sha1 5691cd09584, gcc 13.2.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -Os) Time spent: 26% 2x read_verilog (0 sec), 22% 2x opt_expr (0 sec), ... + gcc -std=c++11 -o yosys-always_full -I../.. always_full_tb.cc -lstdc++ + ./yosys-always_full + iverilog -o iverilog-always_full always_full.v always_full_tb.v + ./iverilog-always_full + grep -v '\$finish called' + diff iverilog-always_full.log yosys-always_full.log + test_cxxrtl always_comb + local subtest=always_comb + shift + ../../yosys -p 'read_verilog always_comb.v; proc; clean; write_cxxrtl -print-output std::cerr yosys-always_comb.cc' /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2020 Claire Xenia Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.35+7 (git sha1 5691cd09584, gcc 13.2.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -Os) -- Running command `read_verilog always_comb.v; proc; clean; write_cxxrtl -print-output std::cerr yosys-always_comb.cc' -- 1. Executing Verilog-2005 frontend: always_comb.v Parsing Verilog input from `always_comb.v' to AST representation. Generating RTLIL representation for module `\top'. Generating RTLIL representation for module `\sub'. Successfully finished Verilog frontend. 2. Executing PROC pass (convert processes to netlists). 2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 0 redundant assignments. Promoted 4 assignments to connections. 2.4. Executing PROC_INIT pass (extract init attributes). Found init rule in `\top.$proc$always_comb.v:3$13'. Set init value: \b = 1'0 Found init rule in `\top.$proc$always_comb.v:2$12'. Set init value: \a = 1'0 2.5. Executing PROC_ARST pass (detect async resets in processes). 2.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\sub.$proc$always_comb.v:23$15'. 1/1: $display$always_comb.v:23$19_EN Creating decoders for process `\top.$proc$always_comb.v:3$13'. Creating decoders for process `\top.$proc$always_comb.v:2$12'. Creating decoders for process `\top.$proc$always_comb.v:8$1'. 2.8. Executing PROC_DLATCH pass (convert process syncs to latches). 2.9. Executing PROC_DFF pass (convert process syncs to FFs). Creating register for signal `\top.\a' using process `\top.$proc$always_comb.v:8$1'. created $dff cell `$procdff$22' with positive edge clock. Creating register for signal `\top.\b' using process `\top.$proc$always_comb.v:8$1'. created $dff cell `$procdff$23' with positive edge clock. 2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Found and cleaned up 1 empty switch in `\sub.$proc$always_comb.v:23$15'. Removing empty process `sub.$proc$always_comb.v:23$15'. Removing empty process `top.$proc$always_comb.v:3$13'. Removing empty process `top.$proc$always_comb.v:2$12'. Removing empty process `top.$proc$always_comb.v:8$1'. Cleaned up 1 empty switch. 2.12. Executing OPT_EXPR pass (perform const folding). Optimizing module sub. Optimizing module top. Removed 0 unused cells and 7 unused wires. 3. Executing CXXRTL backend. 3.1. Executing HIERARCHY pass (managing design hierarchy). 3.1.1. Finding top of design hierarchy.. root of 0 design levels: sub root of 1 design levels: top Automatically selected top as design top module. 3.1.2. Analyzing design hierarchy.. Top module: \top Used module: \sub 3.1.3. Analyzing design hierarchy.. Top module: \top Used module: \sub Removed 0 unused modules. 3.2. Executing FLATTEN pass (flatten design). Deleting now unused module sub. 3.3. Executing PROC pass (convert processes to netlists). 3.3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 3.3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 3.3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 0 redundant assignments. Promoted 0 assignments to connections. 3.3.4. Executing PROC_INIT pass (extract init attributes). 3.3.5. Executing PROC_ARST pass (detect async resets in processes). 3.3.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 3.3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). 3.3.8. Executing PROC_DLATCH pass (convert process syncs to latches). 3.3.9. Executing PROC_DFF pass (convert process syncs to FFs). 3.3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 3.3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 3.3.12. Executing OPT_EXPR pass (perform const folding). Optimizing module top. End of script. Logfile hash: 03fe26efda, CPU: user 0.01s system 0.00s, MEM: 13.12 MB peak Yosys 0.35+7 (git sha1 5691cd09584, gcc 13.2.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -Os) Time spent: 31% 2x opt_expr (0 sec), 20% 1x clean (0 sec), ... + gcc -std=c++11 -o yosys-always_comb -I../.. always_comb_tb.cc -lstdc++ + ./yosys-always_comb + iverilog -o iverilog-always_comb always_comb.v always_comb_tb.v + ./iverilog-always_comb + grep -v '\$finish called' + diff iverilog-always_comb.log yosys-always_comb.log + ../../yosys -p 'read_verilog always_full.v; prep; clean' -o yosys-always_full-1.v /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2020 Claire Xenia Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.35+7 (git sha1 5691cd09584, gcc 13.2.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -Os) -- Running command `read_verilog always_full.v; prep; clean' -- 1. Executing Verilog-2005 frontend: always_full.v Parsing Verilog input from `always_full.v' to AST representation. Generating RTLIL representation for module `\always_full'. Successfully finished Verilog frontend. 2. Executing PREP pass. 2.1. Executing HIERARCHY pass (managing design hierarchy). 2.2. Executing PROC pass (convert processes to netlists). 2.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 2.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 2.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 207 redundant assignments. Promoted 207 assignments to connections. 2.2.4. Executing PROC_INIT pass (extract init attributes). 2.2.5. Executing PROC_ARST pass (detect async resets in processes). 2.2.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 2.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\always_full.$proc$always_full.v:3$1'. 2.2.8. Executing PROC_DLATCH pass (convert process syncs to latches). 2.2.9. Executing PROC_DFF pass (convert process syncs to FFs). 2.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 2.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `always_full.$proc$always_full.v:3$1'. Cleaned up 0 empty switches. 2.2.12. Executing OPT_EXPR pass (perform const folding). Optimizing module always_full. 2.3. Executing FUTURE pass. 2.4. Executing OPT_EXPR pass (perform const folding). Optimizing module always_full. 2.5. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \always_full.. Removed 0 unused cells and 207 unused wires. 2.6. Executing CHECK pass (checking for obvious problems). Checking module always_full... Found and reported 0 problems. 2.7. Executing OPT pass (performing simple optimizations). 2.7.1. Executing OPT_EXPR pass (perform const folding). Optimizing module always_full. 2.7.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\always_full'. Removed a total of 0 cells. 2.7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \always_full.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 2.7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \always_full. Performed a total of 0 changes. 2.7.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\always_full'. Removed a total of 0 cells. 2.7.6. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \always_full.. 2.7.7. Executing OPT_EXPR pass (perform const folding). Optimizing module always_full. 2.7.8. Finished OPT passes. (There is nothing left to do.) 2.8. Executing WREDUCE pass (reducing word size of cells). 2.9. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \always_full.. 2.10. Executing MEMORY_COLLECT pass (generating $mem cells). 2.11. Executing OPT pass (performing simple optimizations). 2.11.1. Executing OPT_EXPR pass (perform const folding). Optimizing module always_full. 2.11.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\always_full'. Removed a total of 0 cells. 2.11.3. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \always_full.. 2.11.4. Finished fast OPT passes. 2.12. Printing statistics. === always_full === Number of wires: 1 Number of wire bits: 1 Number of public wires: 1 Number of public wire bits: 1 Number of memories: 0 Number of memory bits: 0 Number of processes: 0 Number of cells: 207 $print 207 2.13. Executing CHECK pass (checking for obvious problems). Checking module always_full... Found and reported 0 problems. -- Writing to `yosys-always_full-1.v' using backend `verilog' -- 3. Executing Verilog backend. 3.1. Executing BMUXMAP pass. 3.2. Executing DEMUXMAP pass. Dumping module `\always_full'. End of script. Logfile hash: 78a861be63, CPU: user 0.06s system 0.00s, MEM: 14.25 MB peak Yosys 0.35+7 (git sha1 5691cd09584, gcc 13.2.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -Os) Time spent: 20% 5x opt_expr (0 sec), 19% 4x opt_clean (0 sec), ... + iverilog -o iverilog-always_full-1 yosys-always_full-1.v always_full_tb.v + ./iverilog-always_full-1 + grep -v '\$finish called' + diff iverilog-always_full.log iverilog-always_full-1.log + ../../yosys -p 'read_verilog display_lm.v' + ../../yosys -p 'read_verilog display_lm.v; write_cxxrtl yosys-display_lm.cc' /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2020 Claire Xenia Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.35+7 (git sha1 5691cd09584, gcc 13.2.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -Os) -- Running command `read_verilog display_lm.v; write_cxxrtl yosys-display_lm.cc' -- 1. Executing Verilog-2005 frontend: display_lm.v Parsing Verilog input from `display_lm.v' to AST representation. Generating RTLIL representation for module `\top'. Generating RTLIL representation for module `\mid'. Generating RTLIL representation for module `\bot'. %l: \bot %m: \bot Successfully finished Verilog frontend. 2. Executing CXXRTL backend. 2.1. Executing HIERARCHY pass (managing design hierarchy). 2.1.1. Finding top of design hierarchy.. root of 0 design levels: bot root of 1 design levels: mid root of 2 design levels: top Automatically selected top as design top module. 2.1.2. Analyzing design hierarchy.. Top module: \top Used module: \mid Used module: \bot 2.1.3. Analyzing design hierarchy.. Top module: \top Used module: \mid Used module: \bot Removed 0 unused modules. 2.2. Executing FLATTEN pass (flatten design). Deleting now unused module bot. Deleting now unused module mid. 2.3. Executing PROC pass (convert processes to netlists). 2.3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `top.$flatten\mid_uut.\bot_uut.$proc$display_lm.v:0$3'. Cleaned up 0 empty switches. 2.3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 2.3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 1 redundant assignment. Promoted 1 assignment to connection. 2.3.4. Executing PROC_INIT pass (extract init attributes). 2.3.5. Executing PROC_ARST pass (detect async resets in processes). 2.3.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 2.3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\top.$flatten\mid_uut.\bot_uut.$proc$display_lm.v:11$1'. 2.3.8. Executing PROC_DLATCH pass (convert process syncs to latches). 2.3.9. Executing PROC_DFF pass (convert process syncs to FFs). 2.3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 2.3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `top.$flatten\mid_uut.\bot_uut.$proc$display_lm.v:11$1'. Cleaned up 0 empty switches. 2.3.12. Executing OPT_EXPR pass (perform const folding). Optimizing module top. End of script. Logfile hash: 1b689717a7, CPU: user 0.00s system 0.00s, MEM: 12.88 MB peak Yosys 0.35+7 (git sha1 5691cd09584, gcc 13.2.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -Os) Time spent: 40% 1x opt_expr (0 sec), 12% 2x read_verilog (0 sec), ... + gcc -std=c++11 -o yosys-display_lm_cc -I../.. display_lm_tb.cc -lstdc++ + ./yosys-display_lm_cc + for log in yosys-display_lm.log yosys-display_lm_cc.log + grep '^%l: \\bot$' yosys-display_lm.log %l: \bot + grep '^%m: \\bot$' yosys-display_lm.log %m: \bot + for log in yosys-display_lm.log yosys-display_lm_cc.log + grep '^%l: \\bot$' yosys-display_lm_cc.log %l: \bot + grep '^%m: \\bot$' yosys-display_lm_cc.log %m: \bot Passed "make test". + RPM_EC=0 ++ jobs -p + exit 0 Processing files: yosys-0.35-1.20231108git5691cd0.fc40.x86_64 Executing(%doc): /bin/sh -e /var/tmp/rpm-tmp.i9Jj0p + umask 022 + cd /builddir/build/BUILD + cd yosys-5691cd095848f89f9f84a29f267fdebe95bae832 + DOCDIR=/builddir/build/BUILDROOT/yosys-0.35-1.20231108git5691cd0.fc40.x86_64/usr/share/doc/yosys + export LC_ALL= + LC_ALL= + export DOCDIR + /usr/bin/mkdir -p /builddir/build/BUILDROOT/yosys-0.35-1.20231108git5691cd0.fc40.x86_64/usr/share/doc/yosys + cp -pr /builddir/build/BUILD/yosys-5691cd095848f89f9f84a29f267fdebe95bae832/README.md /builddir/build/BUILDROOT/yosys-0.35-1.20231108git5691cd0.fc40.x86_64/usr/share/doc/yosys + RPM_EC=0 ++ jobs -p + exit 0 Executing(%license): /bin/sh -e /var/tmp/rpm-tmp.a8iHEr + umask 022 + cd /builddir/build/BUILD + cd yosys-5691cd095848f89f9f84a29f267fdebe95bae832 + LICENSEDIR=/builddir/build/BUILDROOT/yosys-0.35-1.20231108git5691cd0.fc40.x86_64/usr/share/licenses/yosys + export LC_ALL= + LC_ALL= + export LICENSEDIR + /usr/bin/mkdir -p /builddir/build/BUILDROOT/yosys-0.35-1.20231108git5691cd0.fc40.x86_64/usr/share/licenses/yosys + cp -pr /builddir/build/BUILD/yosys-5691cd095848f89f9f84a29f267fdebe95bae832/COPYING /builddir/build/BUILDROOT/yosys-0.35-1.20231108git5691cd0.fc40.x86_64/usr/share/licenses/yosys + RPM_EC=0 ++ jobs -p + exit 0 Provides: yosys = 0.35-1.20231108git5691cd0.fc40 yosys(x86-64) = 0.35-1.20231108git5691cd0.fc40 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Requires: /usr/bin/python3 libc.so.6()(64bit) libc.so.6(GLIBC_2.11)(64bit) libc.so.6(GLIBC_2.15)(64bit) libc.so.6(GLIBC_2.2.5)(64bit) libc.so.6(GLIBC_2.27)(64bit) libc.so.6(GLIBC_2.3)(64bit) libc.so.6(GLIBC_2.3.4)(64bit) libc.so.6(GLIBC_2.32)(64bit) libc.so.6(GLIBC_2.33)(64bit) libc.so.6(GLIBC_2.34)(64bit) libc.so.6(GLIBC_2.38)(64bit) libc.so.6(GLIBC_2.4)(64bit) libc.so.6(GLIBC_2.8)(64bit) libffi.so.8()(64bit) libffi.so.8(LIBFFI_BASE_8.0)(64bit) libgcc_s.so.1()(64bit) libgcc_s.so.1(GCC_3.0)(64bit) libm.so.6()(64bit) libm.so.6(GLIBC_2.2.5)(64bit) libm.so.6(GLIBC_2.29)(64bit) libm.so.6(GLIBC_2.35)(64bit) libm.so.6(GLIBC_2.38)(64bit) libreadline.so.8()(64bit) libstdc++.so.6()(64bit) libstdc++.so.6(CXXABI_1.3)(64bit) libstdc++.so.6(CXXABI_1.3.5)(64bit) libstdc++.so.6(GLIBCXX_3.4)(64bit) libstdc++.so.6(GLIBCXX_3.4.11)(64bit) libstdc++.so.6(GLIBCXX_3.4.14)(64bit) libstdc++.so.6(GLIBCXX_3.4.15)(64bit) libstdc++.so.6(GLIBCXX_3.4.18)(64bit) libstdc++.so.6(GLIBCXX_3.4.20)(64bit) libstdc++.so.6(GLIBCXX_3.4.21)(64bit) libstdc++.so.6(GLIBCXX_3.4.26)(64bit) libstdc++.so.6(GLIBCXX_3.4.29)(64bit) libstdc++.so.6(GLIBCXX_3.4.30)(64bit) libstdc++.so.6(GLIBCXX_3.4.32)(64bit) libstdc++.so.6(GLIBCXX_3.4.5)(64bit) libstdc++.so.6(GLIBCXX_3.4.9)(64bit) libtcl8.6.so()(64bit) libz.so.1()(64bit) libz.so.1(ZLIB_1.2.0)(64bit) rtld(GNU_HASH) Processing files: yosys-doc-0.35-1.20231108git5691cd0.fc40.x86_64 Provides: yosys-doc = 0.35-1.20231108git5691cd0.fc40 yosys-doc(x86-64) = 0.35-1.20231108git5691cd0.fc40 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Processing files: yosys-share-0.35-1.20231108git5691cd0.fc40.noarch Provides: yosys-share = 0.35-1.20231108git5691cd0.fc40 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Processing files: yosys-devel-0.35-1.20231108git5691cd0.fc40.x86_64 Provides: yosys-devel = 0.35-1.20231108git5691cd0.fc40 yosys-devel(x86-64) = 0.35-1.20231108git5691cd0.fc40 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Requires: /usr/bin/bash Processing files: yosys-debugsource-0.35-1.20231108git5691cd0.fc40.x86_64 Provides: yosys-debugsource = 0.35-1.20231108git5691cd0.fc40 yosys-debugsource(x86-64) = 0.35-1.20231108git5691cd0.fc40 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Processing files: yosys-debuginfo-0.35-1.20231108git5691cd0.fc40.x86_64 Provides: debuginfo(build-id) = 9a08d01cef343b1943435e1fb6bc0cc9c83a08d3 debuginfo(build-id) = c1973f80f72b175c38dbc22162ec552c81987392 yosys-debuginfo = 0.35-1.20231108git5691cd0.fc40 yosys-debuginfo(x86-64) = 0.35-1.20231108git5691cd0.fc40 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Recommends: yosys-debugsource(x86-64) = 0.35-1.20231108git5691cd0.fc40 Checking for unpackaged file(s): /usr/lib/rpm/check-files /builddir/build/BUILDROOT/yosys-0.35-1.20231108git5691cd0.fc40.x86_64 Wrote: /builddir/build/RPMS/yosys-0.35-1.20231108git5691cd0.fc40.x86_64.rpm Wrote: /builddir/build/RPMS/yosys-debugsource-0.35-1.20231108git5691cd0.fc40.x86_64.rpm Wrote: /builddir/build/RPMS/yosys-share-0.35-1.20231108git5691cd0.fc40.noarch.rpm Wrote: /builddir/build/RPMS/yosys-devel-0.35-1.20231108git5691cd0.fc40.x86_64.rpm Wrote: /builddir/build/RPMS/yosys-doc-0.35-1.20231108git5691cd0.fc40.x86_64.rpm Wrote: /builddir/build/RPMS/yosys-debuginfo-0.35-1.20231108git5691cd0.fc40.x86_64.rpm Executing(%clean): /bin/sh -e /var/tmp/rpm-tmp.AhJ94H + umask 022 + cd /builddir/build/BUILD + cd yosys-5691cd095848f89f9f84a29f267fdebe95bae832 + /usr/bin/rm -rf /builddir/build/BUILDROOT/yosys-0.35-1.20231108git5691cd0.fc40.x86_64 + RPM_EC=0 ++ jobs -p + exit 0 Executing(rmbuild): /bin/sh -e /var/tmp/rpm-tmp.sBiUyq + umask 022 + cd /builddir/build/BUILD + rm -rf /builddir/build/BUILD/yosys-5691cd095848f89f9f84a29f267fdebe95bae832-SPECPARTS + rm -rf yosys-5691cd095848f89f9f84a29f267fdebe95bae832 yosys-5691cd095848f89f9f84a29f267fdebe95bae832.gemspec + RPM_EC=0 ++ jobs -p + exit 0 Finish: rpmbuild yosys-0.35-1.20231108git5691cd0.fc40.src.rpm Finish: build phase for yosys-0.35-1.20231108git5691cd0.fc40.src.rpm INFO: chroot_scan: 3 files copied to /var/lib/copr-rpmbuild/results/chroot_scan INFO: /var/lib/mock/fedora-rawhide-x86_64-1700760024.289174/root/var/log/dnf.log /var/lib/mock/fedora-rawhide-x86_64-1700760024.289174/root/var/log/dnf.rpm.log /var/lib/mock/fedora-rawhide-x86_64-1700760024.289174/root/var/log/dnf.librepo.log INFO: Done(/var/lib/copr-rpmbuild/results/yosys-0.35-1.20231108git5691cd0.fc40.src.rpm) Config(child) 44 minutes 11 seconds INFO: Results and/or logs in: /var/lib/copr-rpmbuild/results INFO: Cleaning up build root ('cleanup_on_success=True') Start: clean chroot INFO: unmounting tmpfs. Finish: clean chroot Finish: run Running RPMResults tool Package info: { "packages": [ { "name": "yosys-doc", "epoch": null, "version": "0.35", "release": "1.20231108git5691cd0.fc40", "arch": "x86_64" }, { "name": "yosys", "epoch": null, "version": "0.35", "release": "1.20231108git5691cd0.fc40", "arch": "x86_64" }, { "name": "yosys-debuginfo", "epoch": null, "version": "0.35", "release": "1.20231108git5691cd0.fc40", "arch": "x86_64" }, { "name": "yosys", "epoch": null, "version": "0.35", "release": "1.20231108git5691cd0.fc40", "arch": "src" }, { "name": "yosys-share", "epoch": null, "version": "0.35", "release": "1.20231108git5691cd0.fc40", "arch": "noarch" }, { "name": "yosys-devel", "epoch": null, "version": "0.35", "release": "1.20231108git5691cd0.fc40", "arch": "x86_64" }, { "name": "yosys-debugsource", "epoch": null, "version": "0.35", "release": "1.20231108git5691cd0.fc40", "arch": "x86_64" } ] } RPMResults finished