Warning: Permanently added '54.89.21.103' (ED25519) to the list of known hosts. Running (timeout=18000): unbuffer mock --spec /var/lib/copr-rpmbuild/workspace/workdir-gan0eygp/yosys/yosys.spec --sources /var/lib/copr-rpmbuild/workspace/workdir-gan0eygp/yosys --resultdir /var/lib/copr-rpmbuild/results --uniqueext 1728478771.601187 -r /var/lib/copr-rpmbuild/results/configs/child.cfg INFO: mock.py version 5.9 starting (python version = 3.12.1, NVR = mock-5.9-1.fc39), args: /usr/libexec/mock/mock --spec /var/lib/copr-rpmbuild/workspace/workdir-gan0eygp/yosys/yosys.spec --sources /var/lib/copr-rpmbuild/workspace/workdir-gan0eygp/yosys --resultdir /var/lib/copr-rpmbuild/results --uniqueext 1728478771.601187 -r /var/lib/copr-rpmbuild/results/configs/child.cfg Start(bootstrap): init plugins INFO: tmpfs initialized INFO: selinux enabled INFO: chroot_scan: initialized INFO: compress_logs: initialized Finish(bootstrap): init plugins Start: init plugins INFO: tmpfs initialized INFO: selinux enabled INFO: chroot_scan: initialized INFO: compress_logs: initialized Finish: init plugins INFO: Signal handler active Start: run INFO: Start(/var/lib/copr-rpmbuild/workspace/workdir-gan0eygp/yosys/yosys.spec) Config(centos-stream+epel-next-8-x86_64) Start: clean chroot Finish: clean chroot Mock Version: 5.9 INFO: Mock Version: 5.9 Start(bootstrap): chroot init INFO: mounting tmpfs at /var/lib/mock/centos-stream+epel-next-8-x86_64-bootstrap-1728478771.601187/root. INFO: calling preinit hooks INFO: enabled root cache INFO: enabled package manager cache Start(bootstrap): cleaning package manager metadata Finish(bootstrap): cleaning package manager metadata INFO: Guessed host environment type: unknown INFO: Using bootstrap image: quay.io/centos/centos:stream8 INFO: Pulling image: quay.io/centos/centos:stream8 INFO: Copy content of container quay.io/centos/centos:stream8 to /var/lib/mock/centos-stream+epel-next-8-x86_64-bootstrap-1728478771.601187/root INFO: Checking that quay.io/centos/centos:stream8 image matches host's architecture INFO: mounting quay.io/centos/centos:stream8 with podman image mount INFO: image quay.io/centos/centos:stream8 as /var/lib/containers/storage/overlay/6ee281da9ae87eca8f44934d7773323021901ceb8f56a5ec52edbbdaa1d5de23/merged INFO: umounting image quay.io/centos/centos:stream8 (/var/lib/containers/storage/overlay/6ee281da9ae87eca8f44934d7773323021901ceb8f56a5ec52edbbdaa1d5de23/merged) with podman image umount INFO: Package manager dnf4 detected and used (fallback) INFO: Bootstrap image not marked ready Start(bootstrap): installing dnf tooling No matches found for the following disable plugin patterns: local, spacewalk, versionlock Copr repository 2.8 MB/s | 124 kB 00:00 CentOS Stream 8 - BaseOS 159 MB/s | 10 MB 00:00 CentOS Stream 8 - AppStream 253 MB/s | 29 MB 00:00 CentOS Stream 8 - Extras 606 kB/s | 18 kB 00:00 CentOS Stream 8 - PowerTools 20 MB/s | 5.0 MB 00:00 Extra Packages for Enterprise Linux 8 - x86_64 39 MB/s | 14 MB 00:00 Extra Packages for Enterprise Linux 8 - Next - 444 kB/s | 232 kB 00:00 Package python3-dnf-4.7.0-20.el8.noarch is already installed. Dependencies resolved. ================================================================================ Package Arch Version Repository Size ================================================================================ Installing: python3-dnf-plugins-core noarch 4.0.21-25.el8 baseos 280 k Installing dependencies: dbus-glib x86_64 0.110-2.el8 baseos 127 k python3-dateutil noarch 1:2.6.1-6.el8 baseos 251 k python3-dbus x86_64 1.2.4-15.el8 baseos 134 k python3-six noarch 1.11.0-8.el8 baseos 38 k python3-systemd x86_64 234-8.el8 baseos 81 k Transaction Summary ================================================================================ Install 6 Packages Total download size: 912 k Installed size: 2.6 M Downloading Packages: (1/6): dbus-glib-0.110-2.el8.x86_64.rpm 6.3 MB/s | 127 kB 00:00 (2/6): python3-dateutil-2.6.1-6.el8.noarch.rpm 12 MB/s | 251 kB 00:00 (3/6): python3-six-1.11.0-8.el8.noarch.rpm 23 MB/s | 38 kB 00:00 (4/6): python3-dbus-1.2.4-15.el8.x86_64.rpm 5.6 MB/s | 134 kB 00:00 (5/6): python3-systemd-234-8.el8.x86_64.rpm 45 MB/s | 81 kB 00:00 (6/6): python3-dnf-plugins-core-4.0.21-25.el8.n 45 MB/s | 280 kB 00:00 -------------------------------------------------------------------------------- Total 30 MB/s | 912 kB 00:00 CentOS Stream 8 - BaseOS 1.6 MB/s | 1.6 kB 00:00 Importing GPG key 0x8483C65D: Userid : "CentOS (CentOS Official Signing Key) " Fingerprint: 99DB 70FA E1D7 CE22 7FB6 4882 05B5 55B3 8483 C65D From : /usr/share/distribution-gpg-keys/centos/RPM-GPG-KEY-CentOS-Official Key imported successfully Running transaction check Transaction check succeeded. Running transaction test Transaction test succeeded. Running transaction Preparing : 1/1 Installing : python3-systemd-234-8.el8.x86_64 1/6 Installing : python3-six-1.11.0-8.el8.noarch 2/6 Installing : python3-dateutil-1:2.6.1-6.el8.noarch 3/6 Installing : dbus-glib-0.110-2.el8.x86_64 4/6 Running scriptlet: dbus-glib-0.110-2.el8.x86_64 4/6 Installing : python3-dbus-1.2.4-15.el8.x86_64 5/6 Installing : python3-dnf-plugins-core-4.0.21-25.el8.noarch 6/6 Running scriptlet: python3-dnf-plugins-core-4.0.21-25.el8.noarch 6/6 Verifying : dbus-glib-0.110-2.el8.x86_64 1/6 Verifying : python3-dateutil-1:2.6.1-6.el8.noarch 2/6 Verifying : python3-dbus-1.2.4-15.el8.x86_64 3/6 Verifying : python3-dnf-plugins-core-4.0.21-25.el8.noarch 4/6 Verifying : python3-six-1.11.0-8.el8.noarch 5/6 Verifying : python3-systemd-234-8.el8.x86_64 6/6 Installed: dbus-glib-0.110-2.el8.x86_64 python3-dateutil-1:2.6.1-6.el8.noarch python3-dbus-1.2.4-15.el8.x86_64 python3-dnf-plugins-core-4.0.21-25.el8.noarch python3-six-1.11.0-8.el8.noarch python3-systemd-234-8.el8.x86_64 Complete! Finish(bootstrap): installing dnf tooling Start(bootstrap): creating root cache Finish(bootstrap): creating root cache Finish(bootstrap): chroot init Start: chroot init INFO: mounting tmpfs at /var/lib/mock/centos-stream+epel-next-8-x86_64-1728478771.601187/root. INFO: calling preinit hooks INFO: enabled root cache INFO: enabled package manager cache Start: cleaning package manager metadata Finish: cleaning package manager metadata INFO: enabled HW Info plugin INFO: Package manager dnf4 detected and used (direct choice) INFO: Buildroot is handled by package management downloaded with a bootstrap image: rpm-4.14.3-31.el8.x86_64 python3-dnf-4.7.0-20.el8.noarch python3-dnf-plugins-core-4.0.21-25.el8.noarch yum-4.7.0-20.el8.noarch Start: installing minimal buildroot with dnf No matches found for the following disable plugin patterns: local, spacewalk, versionlock Copr repository 3.6 MB/s | 124 kB 00:00 CentOS Stream 8 - BaseOS 118 MB/s | 10 MB 00:00 CentOS Stream 8 - AppStream 248 MB/s | 29 MB 00:00 CentOS Stream 8 - Extras 622 kB/s | 18 kB 00:00 CentOS Stream 8 - PowerTools 98 MB/s | 5.0 MB 00:00 Extra Packages for Enterprise Linux 8 - x86_64 61 MB/s | 14 MB 00:00 Extra Packages for Enterprise Linux 8 - Next - 388 kB/s | 232 kB 00:00 Dependencies resolved. ====================================================================================== Package Arch Version Repo Size ====================================================================================== Installing: bash x86_64 4.4.20-5.el8 baseos 1.6 M bzip2 x86_64 1.0.6-26.el8 baseos 60 k centos-stream-release noarch 8.6-1.el8 baseos 22 k coreutils x86_64 8.30-15.el8 baseos 1.2 M cpio x86_64 2.12-11.el8 baseos 266 k diffutils x86_64 3.6-6.el8 baseos 358 k epel-rpm-macros noarch 8-41 epel 27 k findutils x86_64 1:4.6.0-22.el8 baseos 537 k gawk x86_64 4.2.1-4.el8 baseos 1.1 M gcc x86_64 8.5.0-22.el8 baseos 23 M gcc-c++ x86_64 8.5.0-22.el8 appstream 12 M grep x86_64 3.1-6.el8 baseos 274 k gzip x86_64 1.9-13.el8 baseos 167 k info x86_64 6.5-7.el8_5 baseos 198 k make x86_64 1:4.2.1-11.el8 baseos 498 k patch x86_64 2.7.6-11.el8 baseos 138 k redhat-rpm-config noarch 131-1.el8 baseos 94 k rpm-build x86_64 4.14.3-31.el8 appstream 185 k sed x86_64 4.5-5.el8 baseos 298 k tar x86_64 2:1.30-9.el8 baseos 847 k unzip x86_64 6.0-46.el8 baseos 196 k util-linux x86_64 2.32.1-46.el8 baseos 2.6 M which x86_64 2.21-20.el8 baseos 50 k xz x86_64 5.2.4-4.el8 baseos 153 k Installing dependencies: annobin x86_64 11.13-2.el8 baseos 972 k ansible-srpm-macros noarch 1-12.el8 epel 21 k audit-libs x86_64 3.1.2-1.el8 baseos 125 k basesystem noarch 11-5.el8 baseos 10 k binutils x86_64 2.30-123.el8 baseos 5.8 M brotli x86_64 1.0.6-3.el8 baseos 323 k bzip2-libs x86_64 1.0.6-26.el8 baseos 48 k ca-certificates noarch 2023.2.60_v7.0.306-80.0.el8 baseos 935 k centos-gpg-keys noarch 1:8-6.el8 baseos 14 k centos-stream-repos noarch 8-6.el8 baseos 20 k chkconfig x86_64 1.19.2-1.el8 baseos 217 k coreutils-common x86_64 8.30-15.el8 baseos 2.0 M cpp x86_64 8.5.0-22.el8 baseos 10 M cracklib x86_64 2.9.6-15.el8 baseos 93 k cracklib-dicts x86_64 2.9.6-15.el8 baseos 4.0 M crypto-policies noarch 20230731-1.git3177e06.el8 baseos 64 k curl x86_64 7.61.1-34.el8 baseos 353 k cyrus-sasl-lib x86_64 2.1.27-6.el8_5 baseos 123 k dwz x86_64 0.12-10.el8 baseos 109 k efi-srpm-macros noarch 3-3.el8 baseos 22 k elfutils x86_64 0.190-2.el8 baseos 581 k elfutils-default-yama-scope noarch 0.190-2.el8 baseos 53 k elfutils-libelf x86_64 0.190-2.el8 baseos 233 k elfutils-libs x86_64 0.190-2.el8 baseos 305 k expat x86_64 2.2.5-13.el8 baseos 114 k file x86_64 5.33-26.el8 baseos 77 k file-libs x86_64 5.33-26.el8 baseos 544 k filesystem x86_64 3.8-6.el8 baseos 1.1 M fpc-srpm-macros noarch 1.3-1.el8 epel 8.2 k gc x86_64 7.6.4-3.el8 baseos 109 k gcc-plugin-annobin x86_64 8.5.0-22.el8 baseos 36 k gdb-headless x86_64 8.2-20.el8 appstream 3.7 M gdbm x86_64 1:1.18-2.el8 baseos 130 k gdbm-libs x86_64 1:1.18-2.el8 baseos 60 k ghc-srpm-macros noarch 1.4.2-7.el8 baseos 9.3 k glib2 x86_64 2.56.4-163.el8 baseos 2.5 M glibc x86_64 2.28-251.el8.2 baseos 2.3 M glibc-all-langpacks x86_64 2.28-251.el8.2 baseos 26 M glibc-common x86_64 2.28-251.el8.2 baseos 1.0 M glibc-devel x86_64 2.28-251.el8.2 baseos 89 k glibc-gconv-extra x86_64 2.28-251.el8.2 baseos 1.7 M glibc-headers x86_64 2.28-251.el8.2 baseos 585 k gmp x86_64 1:6.1.2-12.el8 baseos 318 k gnupg2 x86_64 2.2.20-3.el8 baseos 2.4 M gnutls x86_64 3.6.16-8.el8.1 baseos 1.0 M go-srpm-macros noarch 2-17.el8 baseos 13 k guile x86_64 5:2.0.14-7.el8 baseos 3.5 M ima-evm-utils x86_64 1.3.2-12.el8 baseos 64 k isl x86_64 0.16.1-6.el8 baseos 841 k kernel-headers x86_64 4.18.0-553.6.1.el8 baseos 12 M keyutils-libs x86_64 1.5.10-9.el8 baseos 34 k krb5-libs x86_64 1.18.2-27.el8 baseos 853 k libacl x86_64 2.2.53-3.el8 baseos 35 k libarchive x86_64 3.3.3-5.el8 baseos 360 k libassuan x86_64 2.5.1-3.el8 baseos 83 k libatomic_ops x86_64 7.6.2-3.el8 baseos 38 k libattr x86_64 2.4.48-3.el8 baseos 27 k libbabeltrace x86_64 1.5.4-4.el8 baseos 200 k libblkid x86_64 2.32.1-46.el8 baseos 221 k libcap x86_64 2.48-5.el8 baseos 77 k libcap-ng x86_64 0.7.11-1.el8 baseos 33 k libcom_err x86_64 1.45.6-5.el8 baseos 49 k libcurl x86_64 7.61.1-34.el8 baseos 304 k libdb x86_64 5.3.28-42.el8_4 baseos 751 k libdb-utils x86_64 5.3.28-42.el8_4 baseos 150 k libfdisk x86_64 2.32.1-46.el8 baseos 254 k libffi x86_64 3.1-24.el8 baseos 38 k libgcc x86_64 8.5.0-22.el8 baseos 82 k libgcrypt x86_64 1.8.5-7.el8 baseos 463 k libgomp x86_64 8.5.0-22.el8 baseos 208 k libgpg-error x86_64 1.31-1.el8 baseos 242 k libidn2 x86_64 2.2.0-1.el8 baseos 94 k libipt x86_64 1.6.1-8.el8 baseos 50 k libksba x86_64 1.3.5-9.el8 baseos 134 k libmount x86_64 2.32.1-46.el8 baseos 237 k libmpc x86_64 1.1.0-9.1.el8 baseos 61 k libnghttp2 x86_64 1.33.0-6.el8.1 baseos 78 k libnsl2 x86_64 1.2.0-2.20180605git4a062cf.el8 baseos 58 k libpkgconf x86_64 1.4.2-1.el8 baseos 35 k libpsl x86_64 0.20.2-6.el8 baseos 61 k libpwquality x86_64 1.4.4-6.el8 baseos 120 k libselinux x86_64 2.9-8.el8 baseos 166 k libsemanage x86_64 2.9-9.el8 baseos 168 k libsepol x86_64 2.9-3.el8 baseos 340 k libsigsegv x86_64 2.11-5.el8 baseos 30 k libsmartcols x86_64 2.32.1-46.el8 baseos 180 k libssh x86_64 0.9.6-14.el8 baseos 220 k libssh-config noarch 0.9.6-14.el8 baseos 21 k libstdc++ x86_64 8.5.0-22.el8 baseos 458 k libstdc++-devel x86_64 8.5.0-22.el8 appstream 2.2 M libtasn1 x86_64 4.13-4.el8 baseos 76 k libtirpc x86_64 1.1.4-12.el8 baseos 114 k libtool-ltdl x86_64 2.4.6-25.el8 baseos 58 k libunistring x86_64 0.9.9-3.el8 baseos 422 k libusbx x86_64 1.0.23-4.el8 baseos 74 k libutempter x86_64 1.1.6-14.el8 baseos 32 k libuuid x86_64 2.32.1-46.el8 baseos 99 k libverto x86_64 0.3.2-2.el8 baseos 24 k libxcrypt x86_64 4.1.1-6.el8 baseos 73 k libxcrypt-devel x86_64 4.1.1-6.el8 baseos 25 k libxml2 x86_64 2.9.7-18.el8 baseos 697 k libzstd x86_64 1.4.4-1.el8 baseos 266 k lua-libs x86_64 5.3.4-12.el8 baseos 118 k lua-srpm-macros noarch 1-13.el8 epel 9.2 k lz4-libs x86_64 1.8.3-3.el8_4 baseos 66 k mpfr x86_64 3.1.6-1.el8 baseos 221 k ncurses x86_64 6.1-10.20180224.el8 baseos 393 k ncurses-base noarch 6.1-10.20180224.el8 baseos 114 k ncurses-libs x86_64 6.1-10.20180224.el8 baseos 339 k nettle x86_64 3.4.1-7.el8 baseos 301 k npth x86_64 1.5-4.el8 baseos 26 k ocaml-srpm-macros noarch 5-4.el8 baseos 9.4 k openblas-srpm-macros noarch 2-2.el8 baseos 7.9 k openldap x86_64 2.4.46-18.el8 baseos 352 k openssl-libs x86_64 1:1.1.1k-12.el8 baseos 1.5 M p11-kit x86_64 0.23.22-2.el8 baseos 344 k p11-kit-trust x86_64 0.23.22-2.el8 baseos 138 k pam x86_64 1.3.1-33.el8 baseos 851 k pcre x86_64 8.42-6.el8 baseos 211 k pcre2 x86_64 10.32-3.el8 baseos 247 k perl-srpm-macros noarch 1-25.el8 baseos 11 k pkgconf x86_64 1.4.2-1.el8 baseos 38 k pkgconf-m4 noarch 1.4.2-1.el8 baseos 17 k pkgconf-pkg-config x86_64 1.4.2-1.el8 baseos 15 k platform-python x86_64 3.6.8-62.el8 baseos 88 k platform-python-setuptools noarch 39.2.0-7.el8 baseos 632 k popt x86_64 1.18-1.el8 baseos 61 k publicsuffix-list-dafsa noarch 20180723-1.el8 baseos 56 k python-rpm-macros noarch 3-45.el8 baseos 16 k python-srpm-macros noarch 3-45.el8 baseos 16 k python3-libs x86_64 3.6.8-62.el8 baseos 8.4 M python3-pip-wheel noarch 9.0.3-24.el8 baseos 865 k python3-rpm-macros noarch 3-45.el8 baseos 15 k python3-setuptools-wheel noarch 39.2.0-7.el8 baseos 289 k qt5-srpm-macros noarch 5.15.3-1.el8 baseos 11 k readline x86_64 7.0-10.el8 baseos 199 k rpm x86_64 4.14.3-31.el8 baseos 598 k rpm-build-libs x86_64 4.14.3-31.el8 baseos 157 k rpm-libs x86_64 4.14.3-31.el8 baseos 348 k rust-srpm-macros noarch 5-2.el8 baseos 9.2 k setup noarch 2.12.2-11.el8 baseos 185 k shadow-utils x86_64 2:4.6-22.el8 baseos 1.3 M sqlite-libs x86_64 3.26.0-19.el8 baseos 581 k systemd-libs x86_64 239-82.el8.1 baseos 1.1 M tpm2-tss x86_64 2.3.2-6.el8 baseos 279 k tzdata noarch 2024a-1.el8 baseos 881 k xz-libs x86_64 5.2.4-4.el8 baseos 94 k zip x86_64 3.0-23.el8 baseos 270 k zlib x86_64 1.2.11-25.el8 baseos 103 k zstd x86_64 1.4.4-1.el8 appstream 393 k Transaction Summary ====================================================================================== Install 174 Packages Total download size: 165 M Installed size: 810 M Downloading Packages: (1/174): basesystem-11-5.el8.noarch.rpm 522 kB/s | 10 kB 00:00 (2/174): audit-libs-3.1.2-1.el8.x86_64.rpm 5.6 MB/s | 125 kB 00:00 (3/174): annobin-11.13-2.el8.x86_64.rpm 35 MB/s | 972 kB 00:00 (4/174): brotli-1.0.6-3.el8.x86_64.rpm 70 MB/s | 323 kB 00:00 (5/174): bash-4.4.20-5.el8.x86_64.rpm 108 MB/s | 1.6 MB 00:00 (6/174): bzip2-1.0.6-26.el8.x86_64.rpm 16 MB/s | 60 kB 00:00 (7/174): bzip2-libs-1.0.6-26.el8.x86_64.rpm 24 MB/s | 48 kB 00:00 (8/174): centos-gpg-keys-8-6.el8.noarch.rpm 5.1 MB/s | 14 kB 00:00 (9/174): centos-stream-release-8.6-1.el8.noarch 9.4 MB/s | 22 kB 00:00 (10/174): ca-certificates-2023.2.60_v7.0.306-80 111 MB/s | 935 kB 00:00 (11/174): centos-stream-repos-8-6.el8.noarch.rp 8.1 MB/s | 20 kB 00:00 (12/174): chkconfig-1.19.2-1.el8.x86_64.rpm 63 MB/s | 217 kB 00:00 (13/174): binutils-2.30-123.el8.x86_64.rpm 174 MB/s | 5.8 MB 00:00 (14/174): coreutils-8.30-15.el8.x86_64.rpm 85 MB/s | 1.2 MB 00:00 (15/174): cpio-2.12-11.el8.x86_64.rpm 51 MB/s | 266 kB 00:00 (16/174): coreutils-common-8.30-15.el8.x86_64.r 114 MB/s | 2.0 MB 00:00 (17/174): cracklib-2.9.6-15.el8.x86_64.rpm 18 MB/s | 93 kB 00:00 (18/174): crypto-policies-20230731-1.git3177e06 28 MB/s | 64 kB 00:00 (19/174): curl-7.61.1-34.el8.x86_64.rpm 82 MB/s | 353 kB 00:00 (20/174): cyrus-sasl-lib-2.1.27-6.el8_5.x86_64. 38 MB/s | 123 kB 00:00 (21/174): diffutils-3.6-6.el8.x86_64.rpm 72 MB/s | 358 kB 00:00 (22/174): dwz-0.12-10.el8.x86_64.rpm 25 MB/s | 109 kB 00:00 (23/174): cracklib-dicts-2.9.6-15.el8.x86_64.rp 159 MB/s | 4.0 MB 00:00 (24/174): efi-srpm-macros-3-3.el8.noarch.rpm 4.9 MB/s | 22 kB 00:00 (25/174): elfutils-default-yama-scope-0.190-2.e 22 MB/s | 53 kB 00:00 (26/174): elfutils-0.190-2.el8.x86_64.rpm 94 MB/s | 581 kB 00:00 (27/174): elfutils-libelf-0.190-2.el8.x86_64.rp 56 MB/s | 233 kB 00:00 (28/174): elfutils-libs-0.190-2.el8.x86_64.rpm 73 MB/s | 305 kB 00:00 (29/174): expat-2.2.5-13.el8.x86_64.rpm 31 MB/s | 114 kB 00:00 (30/174): file-5.33-26.el8.x86_64.rpm 30 MB/s | 77 kB 00:00 (31/174): file-libs-5.33-26.el8.x86_64.rpm 110 MB/s | 544 kB 00:00 (32/174): cpp-8.5.0-22.el8.x86_64.rpm 179 MB/s | 10 MB 00:00 (33/174): findutils-4.6.0-22.el8.x86_64.rpm 39 MB/s | 537 kB 00:00 (34/174): filesystem-3.8-6.el8.x86_64.rpm 60 MB/s | 1.1 MB 00:00 (35/174): gc-7.6.4-3.el8.x86_64.rpm 43 MB/s | 109 kB 00:00 (36/174): gcc-plugin-annobin-8.5.0-22.el8.x86_6 9.7 MB/s | 36 kB 00:00 (37/174): gdbm-1.18-2.el8.x86_64.rpm 36 MB/s | 130 kB 00:00 (38/174): gdbm-libs-1.18-2.el8.x86_64.rpm 20 MB/s | 60 kB 00:00 (39/174): ghc-srpm-macros-1.4.2-7.el8.noarch.rp 4.1 MB/s | 9.3 kB 00:00 (40/174): glib2-2.56.4-163.el8.x86_64.rpm 176 MB/s | 2.5 MB 00:00 (41/174): gawk-4.2.1-4.el8.x86_64.rpm 26 MB/s | 1.1 MB 00:00 (42/174): glibc-2.28-251.el8.2.x86_64.rpm 139 MB/s | 2.3 MB 00:00 (43/174): glibc-common-2.28-251.el8.2.x86_64.rp 125 MB/s | 1.0 MB 00:00 (44/174): glibc-devel-2.28-251.el8.2.x86_64.rpm 28 MB/s | 89 kB 00:00 (45/174): glibc-gconv-extra-2.28-251.el8.2.x86_ 133 MB/s | 1.7 MB 00:00 (46/174): glibc-headers-2.28-251.el8.2.x86_64.r 92 MB/s | 585 kB 00:00 (47/174): gmp-6.1.2-12.el8.x86_64.rpm 79 MB/s | 318 kB 00:00 (48/174): gnupg2-2.2.20-3.el8.x86_64.rpm 164 MB/s | 2.4 MB 00:00 (49/174): gnutls-3.6.16-8.el8.1.x86_64.rpm 127 MB/s | 1.0 MB 00:00 (50/174): gcc-8.5.0-22.el8.x86_64.rpm 181 MB/s | 23 MB 00:00 (51/174): go-srpm-macros-2-17.el8.noarch.rpm 516 kB/s | 13 kB 00:00 (52/174): grep-3.1-6.el8.x86_64.rpm 64 MB/s | 274 kB 00:00 (53/174): gzip-1.9-13.el8.x86_64.rpm 50 MB/s | 167 kB 00:00 (54/174): ima-evm-utils-1.3.2-12.el8.x86_64.rpm 22 MB/s | 64 kB 00:00 (55/174): info-6.5-7.el8_5.x86_64.rpm 58 MB/s | 198 kB 00:00 (56/174): guile-2.0.14-7.el8.x86_64.rpm 173 MB/s | 3.5 MB 00:00 (57/174): isl-0.16.1-6.el8.x86_64.rpm 84 MB/s | 841 kB 00:00 (58/174): keyutils-libs-1.5.10-9.el8.x86_64.rpm 15 MB/s | 34 kB 00:00 (59/174): krb5-libs-1.18.2-27.el8.x86_64.rpm 101 MB/s | 853 kB 00:00 (60/174): libacl-2.2.53-3.el8.x86_64.rpm 18 MB/s | 35 kB 00:00 (61/174): libarchive-3.3.3-5.el8.x86_64.rpm 73 MB/s | 360 kB 00:00 (62/174): libassuan-2.5.1-3.el8.x86_64.rpm 29 MB/s | 83 kB 00:00 (63/174): libatomic_ops-7.6.2-3.el8.x86_64.rpm 19 MB/s | 38 kB 00:00 (64/174): libattr-2.4.48-3.el8.x86_64.rpm 11 MB/s | 27 kB 00:00 (65/174): libbabeltrace-1.5.4-4.el8.x86_64.rpm 64 MB/s | 200 kB 00:00 (66/174): glibc-all-langpacks-2.28-251.el8.2.x8 152 MB/s | 26 MB 00:00 (67/174): libblkid-2.32.1-46.el8.x86_64.rpm 8.0 MB/s | 221 kB 00:00 (68/174): libcap-2.48-5.el8.x86_64.rpm 29 MB/s | 77 kB 00:00 (69/174): libcap-ng-0.7.11-1.el8.x86_64.rpm 18 MB/s | 33 kB 00:00 (70/174): libcom_err-1.45.6-5.el8.x86_64.rpm 18 MB/s | 49 kB 00:00 (71/174): libcurl-7.61.1-34.el8.x86_64.rpm 73 MB/s | 304 kB 00:00 (72/174): libdb-utils-5.3.28-42.el8_4.x86_64.rp 41 MB/s | 150 kB 00:00 (73/174): libdb-5.3.28-42.el8_4.x86_64.rpm 110 MB/s | 751 kB 00:00 (74/174): libfdisk-2.32.1-46.el8.x86_64.rpm 72 MB/s | 254 kB 00:00 (75/174): libffi-3.1-24.el8.x86_64.rpm 13 MB/s | 38 kB 00:00 (76/174): kernel-headers-4.18.0-553.6.1.el8.x86 137 MB/s | 12 MB 00:00 (77/174): libgcc-8.5.0-22.el8.x86_64.rpm 5.7 MB/s | 82 kB 00:00 (78/174): libgcrypt-1.8.5-7.el8.x86_64.rpm 30 MB/s | 463 kB 00:00 (79/174): libgpg-error-1.31-1.el8.x86_64.rpm 79 MB/s | 242 kB 00:00 (80/174): libgomp-8.5.0-22.el8.x86_64.rpm 47 MB/s | 208 kB 00:00 (81/174): libidn2-2.2.0-1.el8.x86_64.rpm 27 MB/s | 94 kB 00:00 (82/174): libipt-1.6.1-8.el8.x86_64.rpm 22 MB/s | 50 kB 00:00 (83/174): libksba-1.3.5-9.el8.x86_64.rpm 51 MB/s | 134 kB 00:00 (84/174): libmpc-1.1.0-9.1.el8.x86_64.rpm 31 MB/s | 61 kB 00:00 (85/174): libmount-2.32.1-46.el8.x86_64.rpm 65 MB/s | 237 kB 00:00 (86/174): libnsl2-1.2.0-2.20180605git4a062cf.el 30 MB/s | 58 kB 00:00 (87/174): libnghttp2-1.33.0-6.el8.1.x86_64.rpm 22 MB/s | 78 kB 00:00 (88/174): libpkgconf-1.4.2-1.el8.x86_64.rpm 15 MB/s | 35 kB 00:00 (89/174): libpsl-0.20.2-6.el8.x86_64.rpm 26 MB/s | 61 kB 00:00 (90/174): libpwquality-1.4.4-6.el8.x86_64.rpm 43 MB/s | 120 kB 00:00 (91/174): libselinux-2.9-8.el8.x86_64.rpm 63 MB/s | 166 kB 00:00 (92/174): libsemanage-2.9-9.el8.x86_64.rpm 42 MB/s | 168 kB 00:00 (93/174): libsigsegv-2.11-5.el8.x86_64.rpm 12 MB/s | 30 kB 00:00 (94/174): libsepol-2.9-3.el8.x86_64.rpm 71 MB/s | 340 kB 00:00 (95/174): libsmartcols-2.32.1-46.el8.x86_64.rpm 53 MB/s | 180 kB 00:00 (96/174): libssh-0.9.6-14.el8.x86_64.rpm 59 MB/s | 220 kB 00:00 (97/174): libssh-config-0.9.6-14.el8.noarch.rpm 6.8 MB/s | 21 kB 00:00 (98/174): libstdc++-8.5.0-22.el8.x86_64.rpm 111 MB/s | 458 kB 00:00 (99/174): libtirpc-1.1.4-12.el8.x86_64.rpm 35 MB/s | 114 kB 00:00 (100/174): libtasn1-4.13-4.el8.x86_64.rpm 18 MB/s | 76 kB 00:00 (101/174): libusbx-1.0.23-4.el8.x86_64.rpm 24 MB/s | 74 kB 00:00 (102/174): libtool-ltdl-2.4.6-25.el8.x86_64.rpm 13 MB/s | 58 kB 00:00 (103/174): libunistring-0.9.9-3.el8.x86_64.rpm 81 MB/s | 422 kB 00:00 (104/174): libutempter-1.1.6-14.el8.x86_64.rpm 8.8 MB/s | 32 kB 00:00 (105/174): libverto-0.3.2-2.el8.x86_64.rpm 9.9 MB/s | 24 kB 00:00 (106/174): libuuid-2.32.1-46.el8.x86_64.rpm 25 MB/s | 99 kB 00:00 (107/174): libxcrypt-devel-4.1.1-6.el8.x86_64.r 12 MB/s | 25 kB 00:00 (108/174): libxcrypt-4.1.1-6.el8.x86_64.rpm 25 MB/s | 73 kB 00:00 (109/174): libxml2-2.9.7-18.el8.x86_64.rpm 127 MB/s | 697 kB 00:00 (110/174): lua-libs-5.3.4-12.el8.x86_64.rpm 30 MB/s | 118 kB 00:00 (111/174): libzstd-1.4.4-1.el8.x86_64.rpm 53 MB/s | 266 kB 00:00 (112/174): lz4-libs-1.8.3-3.el8_4.x86_64.rpm 21 MB/s | 66 kB 00:00 (113/174): mpfr-3.1.6-1.el8.x86_64.rpm 64 MB/s | 221 kB 00:00 (114/174): make-4.2.1-11.el8.x86_64.rpm 101 MB/s | 498 kB 00:00 (115/174): ncurses-6.1-10.20180224.el8.x86_64.r 102 MB/s | 393 kB 00:00 (116/174): ncurses-base-6.1-10.20180224.el8.noa 34 MB/s | 114 kB 00:00 (117/174): ncurses-libs-6.1-10.20180224.el8.x86 92 MB/s | 339 kB 00:00 (118/174): npth-1.5-4.el8.x86_64.rpm 10 MB/s | 26 kB 00:00 (119/174): nettle-3.4.1-7.el8.x86_64.rpm 70 MB/s | 301 kB 00:00 (120/174): ocaml-srpm-macros-5-4.el8.noarch.rpm 4.3 MB/s | 9.4 kB 00:00 (121/174): openblas-srpm-macros-2-2.el8.noarch. 2.4 MB/s | 7.9 kB 00:00 (122/174): openldap-2.4.46-18.el8.x86_64.rpm 69 MB/s | 352 kB 00:00 (123/174): p11-kit-0.23.22-2.el8.x86_64.rpm 74 MB/s | 344 kB 00:00 (124/174): openssl-libs-1.1.1k-12.el8.x86_64.rp 161 MB/s | 1.5 MB 00:00 (125/174): p11-kit-trust-0.23.22-2.el8.x86_64.r 28 MB/s | 138 kB 00:00 (126/174): patch-2.7.6-11.el8.x86_64.rpm 40 MB/s | 138 kB 00:00 (127/174): pcre-8.42-6.el8.x86_64.rpm 59 MB/s | 211 kB 00:00 (128/174): pam-1.3.1-33.el8.x86_64.rpm 115 MB/s | 851 kB 00:00 (129/174): perl-srpm-macros-1-25.el8.noarch.rpm 5.1 MB/s | 11 kB 00:00 (130/174): pcre2-10.32-3.el8.x86_64.rpm 74 MB/s | 247 kB 00:00 (131/174): pkgconf-1.4.2-1.el8.x86_64.rpm 19 MB/s | 38 kB 00:00 (132/174): pkgconf-m4-1.4.2-1.el8.noarch.rpm 7.2 MB/s | 17 kB 00:00 (133/174): pkgconf-pkg-config-1.4.2-1.el8.x86_6 7.2 MB/s | 15 kB 00:00 (134/174): platform-python-3.6.8-62.el8.x86_64. 29 MB/s | 88 kB 00:00 (135/174): popt-1.18-1.el8.x86_64.rpm 24 MB/s | 61 kB 00:00 (136/174): platform-python-setuptools-39.2.0-7. 133 MB/s | 632 kB 00:00 (137/174): publicsuffix-list-dafsa-20180723-1.e 16 MB/s | 56 kB 00:00 (138/174): python-rpm-macros-3-45.el8.noarch.rp 6.1 MB/s | 16 kB 00:00 (139/174): python-srpm-macros-3-45.el8.noarch.r 7.0 MB/s | 16 kB 00:00 (140/174): python3-rpm-macros-3-45.el8.noarch.r 6.1 MB/s | 15 kB 00:00 (141/174): python3-pip-wheel-9.0.3-24.el8.noarc 144 MB/s | 865 kB 00:00 (142/174): python3-setuptools-wheel-39.2.0-7.el 70 MB/s | 289 kB 00:00 (143/174): qt5-srpm-macros-5.15.3-1.el8.noarch. 3.4 MB/s | 11 kB 00:00 (144/174): redhat-rpm-config-131-1.el8.noarch.r 46 MB/s | 94 kB 00:00 (145/174): readline-7.0-10.el8.x86_64.rpm 57 MB/s | 199 kB 00:00 (146/174): rpm-build-libs-4.14.3-31.el8.x86_64. 44 MB/s | 157 kB 00:00 (147/174): rpm-4.14.3-31.el8.x86_64.rpm 100 MB/s | 598 kB 00:00 (148/174): rpm-libs-4.14.3-31.el8.x86_64.rpm 83 MB/s | 348 kB 00:00 (149/174): rust-srpm-macros-5-2.el8.noarch.rpm 3.3 MB/s | 9.2 kB 00:00 (150/174): setup-2.12.2-11.el8.noarch.rpm 69 MB/s | 185 kB 00:00 (151/174): sed-4.5-5.el8.x86_64.rpm 74 MB/s | 298 kB 00:00 (152/174): sqlite-libs-3.26.0-19.el8.x86_64.rpm 99 MB/s | 581 kB 00:00 (153/174): shadow-utils-4.6-22.el8.x86_64.rpm 129 MB/s | 1.3 MB 00:00 (154/174): python3-libs-3.6.8-62.el8.x86_64.rpm 190 MB/s | 8.4 MB 00:00 (155/174): systemd-libs-239-82.el8.1.x86_64.rpm 69 MB/s | 1.1 MB 00:00 (156/174): tar-1.30-9.el8.x86_64.rpm 55 MB/s | 847 kB 00:00 (157/174): tpm2-tss-2.3.2-6.el8.x86_64.rpm 51 MB/s | 279 kB 00:00 (158/174): tzdata-2024a-1.el8.noarch.rpm 146 MB/s | 881 kB 00:00 (159/174): unzip-6.0-46.el8.x86_64.rpm 40 MB/s | 196 kB 00:00 (160/174): which-2.21-20.el8.x86_64.rpm 19 MB/s | 50 kB 00:00 (161/174): xz-5.2.4-4.el8.x86_64.rpm 48 MB/s | 153 kB 00:00 (162/174): xz-libs-5.2.4-4.el8.x86_64.rpm 35 MB/s | 94 kB 00:00 (163/174): zip-3.0-23.el8.x86_64.rpm 62 MB/s | 270 kB 00:00 (164/174): util-linux-2.32.1-46.el8.x86_64.rpm 174 MB/s | 2.6 MB 00:00 (165/174): zlib-1.2.11-25.el8.x86_64.rpm 17 MB/s | 103 kB 00:00 (166/174): libstdc++-devel-8.5.0-22.el8.x86_64. 144 MB/s | 2.2 MB 00:00 (167/174): rpm-build-4.14.3-31.el8.x86_64.rpm 56 MB/s | 185 kB 00:00 (168/174): gdb-headless-8.2-20.el8.x86_64.rpm 146 MB/s | 3.7 MB 00:00 (169/174): zstd-1.4.4-1.el8.x86_64.rpm 32 MB/s | 393 kB 00:00 (170/174): ansible-srpm-macros-1-12.el8.noarch. 1.5 MB/s | 21 kB 00:00 (171/174): epel-rpm-macros-8-41.noarch.rpm 3.2 MB/s | 27 kB 00:00 (172/174): fpc-srpm-macros-1.3-1.el8.noarch.rpm 5.1 MB/s | 8.2 kB 00:00 (173/174): lua-srpm-macros-1-13.el8.noarch.rpm 7.8 MB/s | 9.2 kB 00:00 (174/174): gcc-c++-8.5.0-22.el8.x86_64.rpm 187 MB/s | 12 MB 00:00 -------------------------------------------------------------------------------- Total 240 MB/s | 165 MB 00:00 warning: Generating 18 missing index(es), please wait... CentOS Stream 8 - BaseOS 1.6 MB/s | 1.6 kB 00:00 Importing GPG key 0x8483C65D: Userid : "CentOS (CentOS Official Signing Key) " Fingerprint: 99DB 70FA E1D7 CE22 7FB6 4882 05B5 55B3 8483 C65D From : /usr/share/distribution-gpg-keys/centos/RPM-GPG-KEY-CentOS-Official Key imported successfully Extra Packages for Enterprise Linux 8 - x86_64 1.6 MB/s | 1.6 kB 00:00 Importing GPG key 0x2F86D6A1: Userid : "Fedora EPEL (8) " Fingerprint: 94E2 79EB 8D8F 25B2 1810 ADF1 21EA 45AB 2F86 D6A1 From : /usr/share/distribution-gpg-keys/epel/RPM-GPG-KEY-EPEL-8 Key imported successfully Running transaction check Transaction check succeeded. Running transaction test Transaction test succeeded. Running transaction Running scriptlet: filesystem-3.8-6.el8.x86_64 1/1 Preparing : 1/1 Installing : libgcc-8.5.0-22.el8.x86_64 1/174 Running scriptlet: libgcc-8.5.0-22.el8.x86_64 1/174 Installing : python-srpm-macros-3-45.el8.noarch 2/174 Installing : crypto-policies-20230731-1.git3177e06.el8.noarch 3/174 Running scriptlet: crypto-policies-20230731-1.git3177e06.el8.noarch 3/174 Installing : python-rpm-macros-3-45.el8.noarch 4/174 Installing : python3-setuptools-wheel-39.2.0-7.el8.noarch 5/174 Installing : python3-pip-wheel-9.0.3-24.el8.noarch 6/174 Installing : python3-rpm-macros-3-45.el8.noarch 7/174 Installing : fpc-srpm-macros-1.3-1.el8.noarch 8/174 Installing : ansible-srpm-macros-1-12.el8.noarch 9/174 Installing : tzdata-2024a-1.el8.noarch 10/174 Installing : rust-srpm-macros-5-2.el8.noarch 11/174 Installing : qt5-srpm-macros-5.15.3-1.el8.noarch 12/174 Installing : publicsuffix-list-dafsa-20180723-1.el8.noarch 13/174 Installing : pkgconf-m4-1.4.2-1.el8.noarch 14/174 Installing : perl-srpm-macros-1-25.el8.noarch 15/174 Installing : openblas-srpm-macros-2-2.el8.noarch 16/174 Installing : ocaml-srpm-macros-5-4.el8.noarch 17/174 Installing : ncurses-base-6.1-10.20180224.el8.noarch 18/174 Installing : libssh-config-0.9.6-14.el8.noarch 19/174 Installing : kernel-headers-4.18.0-553.6.1.el8.x86_64 20/174 Installing : go-srpm-macros-2-17.el8.noarch 21/174 Installing : ghc-srpm-macros-1.4.2-7.el8.noarch 22/174 Installing : centos-gpg-keys-1:8-6.el8.noarch 23/174 Installing : centos-stream-release-8.6-1.el8.noarch 24/174 Installing : centos-stream-repos-8-6.el8.noarch 25/174 warning: /etc/dnf/vars/contentdir created as /etc/dnf/vars/contentdir.rpmnew warning: /etc/dnf/vars/stream created as /etc/dnf/vars/stream.rpmnew Installing : setup-2.12.2-11.el8.noarch 26/174 warning: /etc/hosts created as /etc/hosts.rpmnew Running scriptlet: setup-2.12.2-11.el8.noarch 26/174 Installing : filesystem-3.8-6.el8.x86_64 27/174 Installing : basesystem-11-5.el8.noarch 28/174 Installing : pcre2-10.32-3.el8.x86_64 29/174 Installing : libselinux-2.9-8.el8.x86_64 30/174 Installing : ncurses-libs-6.1-10.20180224.el8.x86_64 31/174 Installing : glibc-all-langpacks-2.28-251.el8.2.x86_64 32/174 Installing : glibc-gconv-extra-2.28-251.el8.2.x86_64 33/174 Running scriptlet: glibc-gconv-extra-2.28-251.el8.2.x86_64 33/174 Installing : glibc-common-2.28-251.el8.2.x86_64 34/174 Running scriptlet: glibc-2.28-251.el8.2.x86_64 35/174 Installing : glibc-2.28-251.el8.2.x86_64 35/174 Running scriptlet: glibc-2.28-251.el8.2.x86_64 35/174 Installing : bash-4.4.20-5.el8.x86_64 36/174 Running scriptlet: bash-4.4.20-5.el8.x86_64 36/174 Installing : libsepol-2.9-3.el8.x86_64 37/174 Running scriptlet: libsepol-2.9-3.el8.x86_64 37/174 Installing : zlib-1.2.11-25.el8.x86_64 38/174 Installing : info-6.5-7.el8_5.x86_64 39/174 Installing : bzip2-libs-1.0.6-26.el8.x86_64 40/174 Installing : xz-libs-5.2.4-4.el8.x86_64 41/174 Installing : gmp-1:6.1.2-12.el8.x86_64 42/174 Running scriptlet: gmp-1:6.1.2-12.el8.x86_64 42/174 Installing : libstdc++-8.5.0-22.el8.x86_64 43/174 Running scriptlet: libstdc++-8.5.0-22.el8.x86_64 43/174 Installing : libxcrypt-4.1.1-6.el8.x86_64 44/174 Installing : libzstd-1.4.4-1.el8.x86_64 45/174 Installing : elfutils-libelf-0.190-2.el8.x86_64 46/174 Installing : mpfr-3.1.6-1.el8.x86_64 47/174 Running scriptlet: mpfr-3.1.6-1.el8.x86_64 47/174 Installing : readline-7.0-10.el8.x86_64 48/174 Running scriptlet: readline-7.0-10.el8.x86_64 48/174 Installing : sqlite-libs-3.26.0-19.el8.x86_64 49/174 Installing : libcap-2.48-5.el8.x86_64 50/174 Installing : popt-1.18-1.el8.x86_64 51/174 Installing : libcom_err-1.45.6-5.el8.x86_64 52/174 Running scriptlet: libcom_err-1.45.6-5.el8.x86_64 52/174 Installing : libuuid-2.32.1-46.el8.x86_64 53/174 Running scriptlet: libuuid-2.32.1-46.el8.x86_64 53/174 Installing : chkconfig-1.19.2-1.el8.x86_64 54/174 Installing : libunistring-0.9.9-3.el8.x86_64 55/174 Installing : libattr-2.4.48-3.el8.x86_64 56/174 Installing : libacl-2.2.53-3.el8.x86_64 57/174 Installing : sed-4.5-5.el8.x86_64 58/174 Running scriptlet: sed-4.5-5.el8.x86_64 58/174 Installing : libffi-3.1-24.el8.x86_64 59/174 Installing : libgpg-error-1.31-1.el8.x86_64 60/174 Installing : lua-libs-5.3.4-12.el8.x86_64 61/174 Installing : p11-kit-0.23.22-2.el8.x86_64 62/174 Installing : libidn2-2.2.0-1.el8.x86_64 63/174 Installing : libmpc-1.1.0-9.1.el8.x86_64 64/174 Installing : file-libs-5.33-26.el8.x86_64 65/174 Installing : file-5.33-26.el8.x86_64 66/174 Installing : libgcrypt-1.8.5-7.el8.x86_64 67/174 Running scriptlet: libgcrypt-1.8.5-7.el8.x86_64 67/174 Installing : unzip-6.0-46.el8.x86_64 68/174 Installing : findutils-1:4.6.0-22.el8.x86_64 69/174 Running scriptlet: findutils-1:4.6.0-22.el8.x86_64 69/174 Installing : elfutils-default-yama-scope-0.190-2.el8.noarch 70/174 Running scriptlet: elfutils-default-yama-scope-0.190-2.el8.noarch 70/174 Installing : elfutils-libs-0.190-2.el8.x86_64 71/174 Running scriptlet: glibc-headers-2.28-251.el8.2.x86_64 72/174 Installing : glibc-headers-2.28-251.el8.2.x86_64 72/174 Installing : expat-2.2.5-13.el8.x86_64 73/174 Installing : gdbm-libs-1:1.18-2.el8.x86_64 74/174 Installing : keyutils-libs-1.5.10-9.el8.x86_64 75/174 Installing : libcap-ng-0.7.11-1.el8.x86_64 76/174 Installing : audit-libs-3.1.2-1.el8.x86_64 77/174 Installing : libtasn1-4.13-4.el8.x86_64 78/174 Running scriptlet: libtasn1-4.13-4.el8.x86_64 78/174 Installing : p11-kit-trust-0.23.22-2.el8.x86_64 79/174 Running scriptlet: p11-kit-trust-0.23.22-2.el8.x86_64 79/174 Installing : lz4-libs-1.8.3-3.el8_4.x86_64 80/174 Installing : pcre-8.42-6.el8.x86_64 81/174 Installing : grep-3.1-6.el8.x86_64 82/174 Running scriptlet: grep-3.1-6.el8.x86_64 82/174 Installing : xz-5.2.4-4.el8.x86_64 83/174 Installing : libsemanage-2.9-9.el8.x86_64 84/174 Installing : gdbm-1:1.18-2.el8.x86_64 85/174 Installing : elfutils-0.190-2.el8.x86_64 86/174 Installing : zip-3.0-23.el8.x86_64 87/174 Installing : cpp-8.5.0-22.el8.x86_64 88/174 Running scriptlet: cpp-8.5.0-22.el8.x86_64 88/174 Installing : libpsl-0.20.2-6.el8.x86_64 89/174 Installing : libassuan-2.5.1-3.el8.x86_64 90/174 Installing : libksba-1.3.5-9.el8.x86_64 91/174 Installing : tar-2:1.30-9.el8.x86_64 92/174 Running scriptlet: tar-2:1.30-9.el8.x86_64 92/174 Installing : patch-2.7.6-11.el8.x86_64 93/174 Installing : dwz-0.12-10.el8.x86_64 94/174 Installing : libstdc++-devel-8.5.0-22.el8.x86_64 95/174 Installing : zstd-1.4.4-1.el8.x86_64 96/174 Installing : isl-0.16.1-6.el8.x86_64 97/174 Running scriptlet: isl-0.16.1-6.el8.x86_64 97/174 Installing : nettle-3.4.1-7.el8.x86_64 98/174 Running scriptlet: nettle-3.4.1-7.el8.x86_64 98/174 Installing : gnutls-3.6.16-8.el8.1.x86_64 99/174 Installing : libxml2-2.9.7-18.el8.x86_64 100/174 Installing : bzip2-1.0.6-26.el8.x86_64 101/174 Installing : coreutils-common-8.30-15.el8.x86_64 102/174 Running scriptlet: coreutils-common-8.30-15.el8.x86_64 102/174 Installing : diffutils-3.6-6.el8.x86_64 103/174 Running scriptlet: diffutils-3.6-6.el8.x86_64 103/174 Installing : libgomp-8.5.0-22.el8.x86_64 104/174 Running scriptlet: libgomp-8.5.0-22.el8.x86_64 104/174 Installing : brotli-1.0.6-3.el8.x86_64 105/174 Installing : cpio-2.12-11.el8.x86_64 106/174 Installing : libatomic_ops-7.6.2-3.el8.x86_64 107/174 Installing : gc-7.6.4-3.el8.x86_64 108/174 Installing : libipt-1.6.1-8.el8.x86_64 109/174 Installing : libnghttp2-1.33.0-6.el8.1.x86_64 110/174 Installing : libpkgconf-1.4.2-1.el8.x86_64 111/174 Installing : pkgconf-1.4.2-1.el8.x86_64 112/174 Installing : pkgconf-pkg-config-1.4.2-1.el8.x86_64 113/174 Installing : libxcrypt-devel-4.1.1-6.el8.x86_64 114/174 Installing : glibc-devel-2.28-251.el8.2.x86_64 115/174 Running scriptlet: glibc-devel-2.28-251.el8.2.x86_64 115/174 Installing : libsigsegv-2.11-5.el8.x86_64 116/174 Installing : gawk-4.2.1-4.el8.x86_64 117/174 Installing : libsmartcols-2.32.1-46.el8.x86_64 118/174 Running scriptlet: libsmartcols-2.32.1-46.el8.x86_64 118/174 Installing : libtool-ltdl-2.4.6-25.el8.x86_64 119/174 Running scriptlet: libtool-ltdl-2.4.6-25.el8.x86_64 119/174 Installing : libverto-0.3.2-2.el8.x86_64 120/174 Installing : ncurses-6.1-10.20180224.el8.x86_64 121/174 Installing : openssl-libs-1:1.1.1k-12.el8.x86_64 122/174 Running scriptlet: openssl-libs-1:1.1.1k-12.el8.x86_64 122/174 Installing : coreutils-8.30-15.el8.x86_64 123/174 Running scriptlet: ca-certificates-2023.2.60_v7.0.306-80.0.el8.noar 124/174 Installing : ca-certificates-2023.2.60_v7.0.306-80.0.el8.noar 124/174 Running scriptlet: ca-certificates-2023.2.60_v7.0.306-80.0.el8.noar 124/174 Installing : libdb-5.3.28-42.el8_4.x86_64 125/174 Running scriptlet: libdb-5.3.28-42.el8_4.x86_64 125/174 Installing : krb5-libs-1.18.2-27.el8.x86_64 126/174 Installing : libtirpc-1.1.4-12.el8.x86_64 127/174 Running scriptlet: libtirpc-1.1.4-12.el8.x86_64 127/174 Installing : libblkid-2.32.1-46.el8.x86_64 128/174 Running scriptlet: libblkid-2.32.1-46.el8.x86_64 128/174 Installing : libmount-2.32.1-46.el8.x86_64 129/174 Running scriptlet: libmount-2.32.1-46.el8.x86_64 129/174 Installing : systemd-libs-239-82.el8.1.x86_64 130/174 Running scriptlet: systemd-libs-239-82.el8.1.x86_64 130/174 Installing : libnsl2-1.2.0-2.20180605git4a062cf.el8.x86_64 131/174 Running scriptlet: libnsl2-1.2.0-2.20180605git4a062cf.el8.x86_64 131/174 Installing : platform-python-setuptools-39.2.0-7.el8.noarch 132/174 Installing : platform-python-3.6.8-62.el8.x86_64 133/174 Running scriptlet: platform-python-3.6.8-62.el8.x86_64 133/174 Installing : python3-libs-3.6.8-62.el8.x86_64 134/174 Installing : binutils-2.30-123.el8.x86_64 135/174 Running scriptlet: binutils-2.30-123.el8.x86_64 135/174 Installing : gcc-8.5.0-22.el8.x86_64 136/174 Running scriptlet: gcc-8.5.0-22.el8.x86_64 136/174 Installing : gzip-1.9-13.el8.x86_64 137/174 Running scriptlet: gzip-1.9-13.el8.x86_64 137/174 Installing : cracklib-2.9.6-15.el8.x86_64 138/174 Installing : cracklib-dicts-2.9.6-15.el8.x86_64 139/174 Installing : shadow-utils-2:4.6-22.el8.x86_64 140/174 Running scriptlet: libutempter-1.1.6-14.el8.x86_64 141/174 Installing : libutempter-1.1.6-14.el8.x86_64 141/174 Running scriptlet: tpm2-tss-2.3.2-6.el8.x86_64 142/174 Installing : tpm2-tss-2.3.2-6.el8.x86_64 142/174 Running scriptlet: tpm2-tss-2.3.2-6.el8.x86_64 142/174 Installing : ima-evm-utils-1.3.2-12.el8.x86_64 143/174 Installing : libpwquality-1.4.4-6.el8.x86_64 144/174 Installing : pam-1.3.1-33.el8.x86_64 145/174 Running scriptlet: pam-1.3.1-33.el8.x86_64 145/174 Installing : annobin-11.13-2.el8.x86_64 146/174 Installing : gcc-plugin-annobin-8.5.0-22.el8.x86_64 147/174 Installing : libusbx-1.0.23-4.el8.x86_64 148/174 Installing : glib2-2.56.4-163.el8.x86_64 149/174 Installing : libbabeltrace-1.5.4-4.el8.x86_64 150/174 Running scriptlet: libbabeltrace-1.5.4-4.el8.x86_64 150/174 Installing : libfdisk-2.32.1-46.el8.x86_64 151/174 Running scriptlet: libfdisk-2.32.1-46.el8.x86_64 151/174 Installing : cyrus-sasl-lib-2.1.27-6.el8_5.x86_64 152/174 Running scriptlet: cyrus-sasl-lib-2.1.27-6.el8_5.x86_64 152/174 Installing : openldap-2.4.46-18.el8.x86_64 153/174 Installing : libssh-0.9.6-14.el8.x86_64 154/174 Installing : libcurl-7.61.1-34.el8.x86_64 155/174 Installing : curl-7.61.1-34.el8.x86_64 156/174 Installing : libdb-utils-5.3.28-42.el8_4.x86_64 157/174 Installing : guile-5:2.0.14-7.el8.x86_64 158/174 Running scriptlet: guile-5:2.0.14-7.el8.x86_64 158/174 Installing : libarchive-3.3.3-5.el8.x86_64 159/174 Installing : rpm-4.14.3-31.el8.x86_64 160/174 Installing : rpm-libs-4.14.3-31.el8.x86_64 161/174 Running scriptlet: rpm-libs-4.14.3-31.el8.x86_64 161/174 Installing : gdb-headless-8.2-20.el8.x86_64 162/174 Installing : efi-srpm-macros-3-3.el8.noarch 163/174 Installing : redhat-rpm-config-131-1.el8.noarch 164/174 Running scriptlet: redhat-rpm-config-131-1.el8.noarch 164/174 Installing : lua-srpm-macros-1-13.el8.noarch 165/174 Installing : npth-1.5-4.el8.x86_64 166/174 Installing : gnupg2-2.2.20-3.el8.x86_64 167/174 Installing : rpm-build-libs-4.14.3-31.el8.x86_64 168/174 Running scriptlet: rpm-build-libs-4.14.3-31.el8.x86_64 168/174 Installing : rpm-build-4.14.3-31.el8.x86_64 169/174 Installing : epel-rpm-macros-8-41.noarch 170/174 Installing : util-linux-2.32.1-46.el8.x86_64 171/174 Running scriptlet: util-linux-2.32.1-46.el8.x86_64 171/174 Installing : gcc-c++-8.5.0-22.el8.x86_64 172/174 Installing : which-2.21-20.el8.x86_64 173/174 Installing : make-1:4.2.1-11.el8.x86_64 174/174 Running scriptlet: make-1:4.2.1-11.el8.x86_64 174/174 Running scriptlet: filesystem-3.8-6.el8.x86_64 174/174 Running scriptlet: glibc-all-langpacks-2.28-251.el8.2.x86_64 174/174 Running scriptlet: ca-certificates-2023.2.60_v7.0.306-80.0.el8.noar 174/174 Running scriptlet: guile-5:2.0.14-7.el8.x86_64 174/174 Running scriptlet: glibc-common-2.28-251.el8.2.x86_64 174/174 Running scriptlet: info-6.5-7.el8_5.x86_64 174/174 Running scriptlet: glib2-2.56.4-163.el8.x86_64 174/174 Verifying : annobin-11.13-2.el8.x86_64 1/174 Verifying : audit-libs-3.1.2-1.el8.x86_64 2/174 Verifying : basesystem-11-5.el8.noarch 3/174 Verifying : bash-4.4.20-5.el8.x86_64 4/174 Verifying : binutils-2.30-123.el8.x86_64 5/174 Verifying : brotli-1.0.6-3.el8.x86_64 6/174 Verifying : bzip2-1.0.6-26.el8.x86_64 7/174 Verifying : bzip2-libs-1.0.6-26.el8.x86_64 8/174 Verifying : ca-certificates-2023.2.60_v7.0.306-80.0.el8.noar 9/174 Verifying : centos-gpg-keys-1:8-6.el8.noarch 10/174 Verifying : centos-stream-release-8.6-1.el8.noarch 11/174 Verifying : centos-stream-repos-8-6.el8.noarch 12/174 Verifying : chkconfig-1.19.2-1.el8.x86_64 13/174 Verifying : coreutils-8.30-15.el8.x86_64 14/174 Verifying : coreutils-common-8.30-15.el8.x86_64 15/174 Verifying : cpio-2.12-11.el8.x86_64 16/174 Verifying : cpp-8.5.0-22.el8.x86_64 17/174 Verifying : cracklib-2.9.6-15.el8.x86_64 18/174 Verifying : cracklib-dicts-2.9.6-15.el8.x86_64 19/174 Verifying : crypto-policies-20230731-1.git3177e06.el8.noarch 20/174 Verifying : curl-7.61.1-34.el8.x86_64 21/174 Verifying : cyrus-sasl-lib-2.1.27-6.el8_5.x86_64 22/174 Verifying : diffutils-3.6-6.el8.x86_64 23/174 Verifying : dwz-0.12-10.el8.x86_64 24/174 Verifying : efi-srpm-macros-3-3.el8.noarch 25/174 Verifying : elfutils-0.190-2.el8.x86_64 26/174 Verifying : elfutils-default-yama-scope-0.190-2.el8.noarch 27/174 Verifying : elfutils-libelf-0.190-2.el8.x86_64 28/174 Verifying : elfutils-libs-0.190-2.el8.x86_64 29/174 Verifying : expat-2.2.5-13.el8.x86_64 30/174 Verifying : file-5.33-26.el8.x86_64 31/174 Verifying : file-libs-5.33-26.el8.x86_64 32/174 Verifying : filesystem-3.8-6.el8.x86_64 33/174 Verifying : findutils-1:4.6.0-22.el8.x86_64 34/174 Verifying : gawk-4.2.1-4.el8.x86_64 35/174 Verifying : gc-7.6.4-3.el8.x86_64 36/174 Verifying : gcc-8.5.0-22.el8.x86_64 37/174 Verifying : gcc-plugin-annobin-8.5.0-22.el8.x86_64 38/174 Verifying : gdbm-1:1.18-2.el8.x86_64 39/174 Verifying : gdbm-libs-1:1.18-2.el8.x86_64 40/174 Verifying : ghc-srpm-macros-1.4.2-7.el8.noarch 41/174 Verifying : glib2-2.56.4-163.el8.x86_64 42/174 Verifying : glibc-2.28-251.el8.2.x86_64 43/174 Verifying : glibc-all-langpacks-2.28-251.el8.2.x86_64 44/174 Verifying : glibc-common-2.28-251.el8.2.x86_64 45/174 Verifying : glibc-devel-2.28-251.el8.2.x86_64 46/174 Verifying : glibc-gconv-extra-2.28-251.el8.2.x86_64 47/174 Verifying : glibc-headers-2.28-251.el8.2.x86_64 48/174 Verifying : gmp-1:6.1.2-12.el8.x86_64 49/174 Verifying : gnupg2-2.2.20-3.el8.x86_64 50/174 Verifying : gnutls-3.6.16-8.el8.1.x86_64 51/174 Verifying : go-srpm-macros-2-17.el8.noarch 52/174 Verifying : grep-3.1-6.el8.x86_64 53/174 Verifying : guile-5:2.0.14-7.el8.x86_64 54/174 Verifying : gzip-1.9-13.el8.x86_64 55/174 Verifying : ima-evm-utils-1.3.2-12.el8.x86_64 56/174 Verifying : info-6.5-7.el8_5.x86_64 57/174 Verifying : isl-0.16.1-6.el8.x86_64 58/174 Verifying : kernel-headers-4.18.0-553.6.1.el8.x86_64 59/174 Verifying : keyutils-libs-1.5.10-9.el8.x86_64 60/174 Verifying : krb5-libs-1.18.2-27.el8.x86_64 61/174 Verifying : libacl-2.2.53-3.el8.x86_64 62/174 Verifying : libarchive-3.3.3-5.el8.x86_64 63/174 Verifying : libassuan-2.5.1-3.el8.x86_64 64/174 Verifying : libatomic_ops-7.6.2-3.el8.x86_64 65/174 Verifying : libattr-2.4.48-3.el8.x86_64 66/174 Verifying : libbabeltrace-1.5.4-4.el8.x86_64 67/174 Verifying : libblkid-2.32.1-46.el8.x86_64 68/174 Verifying : libcap-2.48-5.el8.x86_64 69/174 Verifying : libcap-ng-0.7.11-1.el8.x86_64 70/174 Verifying : libcom_err-1.45.6-5.el8.x86_64 71/174 Verifying : libcurl-7.61.1-34.el8.x86_64 72/174 Verifying : libdb-5.3.28-42.el8_4.x86_64 73/174 Verifying : libdb-utils-5.3.28-42.el8_4.x86_64 74/174 Verifying : libfdisk-2.32.1-46.el8.x86_64 75/174 Verifying : libffi-3.1-24.el8.x86_64 76/174 Verifying : libgcc-8.5.0-22.el8.x86_64 77/174 Verifying : libgcrypt-1.8.5-7.el8.x86_64 78/174 Verifying : libgomp-8.5.0-22.el8.x86_64 79/174 Verifying : libgpg-error-1.31-1.el8.x86_64 80/174 Verifying : libidn2-2.2.0-1.el8.x86_64 81/174 Verifying : libipt-1.6.1-8.el8.x86_64 82/174 Verifying : libksba-1.3.5-9.el8.x86_64 83/174 Verifying : libmount-2.32.1-46.el8.x86_64 84/174 Verifying : libmpc-1.1.0-9.1.el8.x86_64 85/174 Verifying : libnghttp2-1.33.0-6.el8.1.x86_64 86/174 Verifying : libnsl2-1.2.0-2.20180605git4a062cf.el8.x86_64 87/174 Verifying : libpkgconf-1.4.2-1.el8.x86_64 88/174 Verifying : libpsl-0.20.2-6.el8.x86_64 89/174 Verifying : libpwquality-1.4.4-6.el8.x86_64 90/174 Verifying : libselinux-2.9-8.el8.x86_64 91/174 Verifying : libsemanage-2.9-9.el8.x86_64 92/174 Verifying : libsepol-2.9-3.el8.x86_64 93/174 Verifying : libsigsegv-2.11-5.el8.x86_64 94/174 Verifying : libsmartcols-2.32.1-46.el8.x86_64 95/174 Verifying : libssh-0.9.6-14.el8.x86_64 96/174 Verifying : libssh-config-0.9.6-14.el8.noarch 97/174 Verifying : libstdc++-8.5.0-22.el8.x86_64 98/174 Verifying : libtasn1-4.13-4.el8.x86_64 99/174 Verifying : libtirpc-1.1.4-12.el8.x86_64 100/174 Verifying : libtool-ltdl-2.4.6-25.el8.x86_64 101/174 Verifying : libunistring-0.9.9-3.el8.x86_64 102/174 Verifying : libusbx-1.0.23-4.el8.x86_64 103/174 Verifying : libutempter-1.1.6-14.el8.x86_64 104/174 Verifying : libuuid-2.32.1-46.el8.x86_64 105/174 Verifying : libverto-0.3.2-2.el8.x86_64 106/174 Verifying : libxcrypt-4.1.1-6.el8.x86_64 107/174 Verifying : libxcrypt-devel-4.1.1-6.el8.x86_64 108/174 Verifying : libxml2-2.9.7-18.el8.x86_64 109/174 Verifying : libzstd-1.4.4-1.el8.x86_64 110/174 Verifying : lua-libs-5.3.4-12.el8.x86_64 111/174 Verifying : lz4-libs-1.8.3-3.el8_4.x86_64 112/174 Verifying : make-1:4.2.1-11.el8.x86_64 113/174 Verifying : mpfr-3.1.6-1.el8.x86_64 114/174 Verifying : ncurses-6.1-10.20180224.el8.x86_64 115/174 Verifying : ncurses-base-6.1-10.20180224.el8.noarch 116/174 Verifying : ncurses-libs-6.1-10.20180224.el8.x86_64 117/174 Verifying : nettle-3.4.1-7.el8.x86_64 118/174 Verifying : npth-1.5-4.el8.x86_64 119/174 Verifying : ocaml-srpm-macros-5-4.el8.noarch 120/174 Verifying : openblas-srpm-macros-2-2.el8.noarch 121/174 Verifying : openldap-2.4.46-18.el8.x86_64 122/174 Verifying : openssl-libs-1:1.1.1k-12.el8.x86_64 123/174 Verifying : p11-kit-0.23.22-2.el8.x86_64 124/174 Verifying : p11-kit-trust-0.23.22-2.el8.x86_64 125/174 Verifying : pam-1.3.1-33.el8.x86_64 126/174 Verifying : patch-2.7.6-11.el8.x86_64 127/174 Verifying : pcre-8.42-6.el8.x86_64 128/174 Verifying : pcre2-10.32-3.el8.x86_64 129/174 Verifying : perl-srpm-macros-1-25.el8.noarch 130/174 Verifying : pkgconf-1.4.2-1.el8.x86_64 131/174 Verifying : pkgconf-m4-1.4.2-1.el8.noarch 132/174 Verifying : pkgconf-pkg-config-1.4.2-1.el8.x86_64 133/174 Verifying : platform-python-3.6.8-62.el8.x86_64 134/174 Verifying : platform-python-setuptools-39.2.0-7.el8.noarch 135/174 Verifying : popt-1.18-1.el8.x86_64 136/174 Verifying : publicsuffix-list-dafsa-20180723-1.el8.noarch 137/174 Verifying : python-rpm-macros-3-45.el8.noarch 138/174 Verifying : python-srpm-macros-3-45.el8.noarch 139/174 Verifying : python3-libs-3.6.8-62.el8.x86_64 140/174 Verifying : python3-pip-wheel-9.0.3-24.el8.noarch 141/174 Verifying : python3-rpm-macros-3-45.el8.noarch 142/174 Verifying : python3-setuptools-wheel-39.2.0-7.el8.noarch 143/174 Verifying : qt5-srpm-macros-5.15.3-1.el8.noarch 144/174 Verifying : readline-7.0-10.el8.x86_64 145/174 Verifying : redhat-rpm-config-131-1.el8.noarch 146/174 Verifying : rpm-4.14.3-31.el8.x86_64 147/174 Verifying : rpm-build-libs-4.14.3-31.el8.x86_64 148/174 Verifying : rpm-libs-4.14.3-31.el8.x86_64 149/174 Verifying : rust-srpm-macros-5-2.el8.noarch 150/174 Verifying : sed-4.5-5.el8.x86_64 151/174 Verifying : setup-2.12.2-11.el8.noarch 152/174 Verifying : shadow-utils-2:4.6-22.el8.x86_64 153/174 Verifying : sqlite-libs-3.26.0-19.el8.x86_64 154/174 Verifying : systemd-libs-239-82.el8.1.x86_64 155/174 Verifying : tar-2:1.30-9.el8.x86_64 156/174 Verifying : tpm2-tss-2.3.2-6.el8.x86_64 157/174 Verifying : tzdata-2024a-1.el8.noarch 158/174 Verifying : unzip-6.0-46.el8.x86_64 159/174 Verifying : util-linux-2.32.1-46.el8.x86_64 160/174 Verifying : which-2.21-20.el8.x86_64 161/174 Verifying : xz-5.2.4-4.el8.x86_64 162/174 Verifying : xz-libs-5.2.4-4.el8.x86_64 163/174 Verifying : zip-3.0-23.el8.x86_64 164/174 Verifying : zlib-1.2.11-25.el8.x86_64 165/174 Verifying : gcc-c++-8.5.0-22.el8.x86_64 166/174 Verifying : gdb-headless-8.2-20.el8.x86_64 167/174 Verifying : libstdc++-devel-8.5.0-22.el8.x86_64 168/174 Verifying : rpm-build-4.14.3-31.el8.x86_64 169/174 Verifying : zstd-1.4.4-1.el8.x86_64 170/174 Verifying : ansible-srpm-macros-1-12.el8.noarch 171/174 Verifying : epel-rpm-macros-8-41.noarch 172/174 Verifying : fpc-srpm-macros-1.3-1.el8.noarch 173/174 Verifying : lua-srpm-macros-1-13.el8.noarch 174/174 Installed: annobin-11.13-2.el8.x86_64 ansible-srpm-macros-1-12.el8.noarch audit-libs-3.1.2-1.el8.x86_64 basesystem-11-5.el8.noarch bash-4.4.20-5.el8.x86_64 binutils-2.30-123.el8.x86_64 brotli-1.0.6-3.el8.x86_64 bzip2-1.0.6-26.el8.x86_64 bzip2-libs-1.0.6-26.el8.x86_64 ca-certificates-2023.2.60_v7.0.306-80.0.el8.noarch centos-gpg-keys-1:8-6.el8.noarch centos-stream-release-8.6-1.el8.noarch centos-stream-repos-8-6.el8.noarch chkconfig-1.19.2-1.el8.x86_64 coreutils-8.30-15.el8.x86_64 coreutils-common-8.30-15.el8.x86_64 cpio-2.12-11.el8.x86_64 cpp-8.5.0-22.el8.x86_64 cracklib-2.9.6-15.el8.x86_64 cracklib-dicts-2.9.6-15.el8.x86_64 crypto-policies-20230731-1.git3177e06.el8.noarch curl-7.61.1-34.el8.x86_64 cyrus-sasl-lib-2.1.27-6.el8_5.x86_64 diffutils-3.6-6.el8.x86_64 dwz-0.12-10.el8.x86_64 efi-srpm-macros-3-3.el8.noarch elfutils-0.190-2.el8.x86_64 elfutils-default-yama-scope-0.190-2.el8.noarch elfutils-libelf-0.190-2.el8.x86_64 elfutils-libs-0.190-2.el8.x86_64 epel-rpm-macros-8-41.noarch expat-2.2.5-13.el8.x86_64 file-5.33-26.el8.x86_64 file-libs-5.33-26.el8.x86_64 filesystem-3.8-6.el8.x86_64 findutils-1:4.6.0-22.el8.x86_64 fpc-srpm-macros-1.3-1.el8.noarch gawk-4.2.1-4.el8.x86_64 gc-7.6.4-3.el8.x86_64 gcc-8.5.0-22.el8.x86_64 gcc-c++-8.5.0-22.el8.x86_64 gcc-plugin-annobin-8.5.0-22.el8.x86_64 gdb-headless-8.2-20.el8.x86_64 gdbm-1:1.18-2.el8.x86_64 gdbm-libs-1:1.18-2.el8.x86_64 ghc-srpm-macros-1.4.2-7.el8.noarch glib2-2.56.4-163.el8.x86_64 glibc-2.28-251.el8.2.x86_64 glibc-all-langpacks-2.28-251.el8.2.x86_64 glibc-common-2.28-251.el8.2.x86_64 glibc-devel-2.28-251.el8.2.x86_64 glibc-gconv-extra-2.28-251.el8.2.x86_64 glibc-headers-2.28-251.el8.2.x86_64 gmp-1:6.1.2-12.el8.x86_64 gnupg2-2.2.20-3.el8.x86_64 gnutls-3.6.16-8.el8.1.x86_64 go-srpm-macros-2-17.el8.noarch grep-3.1-6.el8.x86_64 guile-5:2.0.14-7.el8.x86_64 gzip-1.9-13.el8.x86_64 ima-evm-utils-1.3.2-12.el8.x86_64 info-6.5-7.el8_5.x86_64 isl-0.16.1-6.el8.x86_64 kernel-headers-4.18.0-553.6.1.el8.x86_64 keyutils-libs-1.5.10-9.el8.x86_64 krb5-libs-1.18.2-27.el8.x86_64 libacl-2.2.53-3.el8.x86_64 libarchive-3.3.3-5.el8.x86_64 libassuan-2.5.1-3.el8.x86_64 libatomic_ops-7.6.2-3.el8.x86_64 libattr-2.4.48-3.el8.x86_64 libbabeltrace-1.5.4-4.el8.x86_64 libblkid-2.32.1-46.el8.x86_64 libcap-2.48-5.el8.x86_64 libcap-ng-0.7.11-1.el8.x86_64 libcom_err-1.45.6-5.el8.x86_64 libcurl-7.61.1-34.el8.x86_64 libdb-5.3.28-42.el8_4.x86_64 libdb-utils-5.3.28-42.el8_4.x86_64 libfdisk-2.32.1-46.el8.x86_64 libffi-3.1-24.el8.x86_64 libgcc-8.5.0-22.el8.x86_64 libgcrypt-1.8.5-7.el8.x86_64 libgomp-8.5.0-22.el8.x86_64 libgpg-error-1.31-1.el8.x86_64 libidn2-2.2.0-1.el8.x86_64 libipt-1.6.1-8.el8.x86_64 libksba-1.3.5-9.el8.x86_64 libmount-2.32.1-46.el8.x86_64 libmpc-1.1.0-9.1.el8.x86_64 libnghttp2-1.33.0-6.el8.1.x86_64 libnsl2-1.2.0-2.20180605git4a062cf.el8.x86_64 libpkgconf-1.4.2-1.el8.x86_64 libpsl-0.20.2-6.el8.x86_64 libpwquality-1.4.4-6.el8.x86_64 libselinux-2.9-8.el8.x86_64 libsemanage-2.9-9.el8.x86_64 libsepol-2.9-3.el8.x86_64 libsigsegv-2.11-5.el8.x86_64 libsmartcols-2.32.1-46.el8.x86_64 libssh-0.9.6-14.el8.x86_64 libssh-config-0.9.6-14.el8.noarch libstdc++-8.5.0-22.el8.x86_64 libstdc++-devel-8.5.0-22.el8.x86_64 libtasn1-4.13-4.el8.x86_64 libtirpc-1.1.4-12.el8.x86_64 libtool-ltdl-2.4.6-25.el8.x86_64 libunistring-0.9.9-3.el8.x86_64 libusbx-1.0.23-4.el8.x86_64 libutempter-1.1.6-14.el8.x86_64 libuuid-2.32.1-46.el8.x86_64 libverto-0.3.2-2.el8.x86_64 libxcrypt-4.1.1-6.el8.x86_64 libxcrypt-devel-4.1.1-6.el8.x86_64 libxml2-2.9.7-18.el8.x86_64 libzstd-1.4.4-1.el8.x86_64 lua-libs-5.3.4-12.el8.x86_64 lua-srpm-macros-1-13.el8.noarch lz4-libs-1.8.3-3.el8_4.x86_64 make-1:4.2.1-11.el8.x86_64 mpfr-3.1.6-1.el8.x86_64 ncurses-6.1-10.20180224.el8.x86_64 ncurses-base-6.1-10.20180224.el8.noarch ncurses-libs-6.1-10.20180224.el8.x86_64 nettle-3.4.1-7.el8.x86_64 npth-1.5-4.el8.x86_64 ocaml-srpm-macros-5-4.el8.noarch openblas-srpm-macros-2-2.el8.noarch openldap-2.4.46-18.el8.x86_64 openssl-libs-1:1.1.1k-12.el8.x86_64 p11-kit-0.23.22-2.el8.x86_64 p11-kit-trust-0.23.22-2.el8.x86_64 pam-1.3.1-33.el8.x86_64 patch-2.7.6-11.el8.x86_64 pcre-8.42-6.el8.x86_64 pcre2-10.32-3.el8.x86_64 perl-srpm-macros-1-25.el8.noarch pkgconf-1.4.2-1.el8.x86_64 pkgconf-m4-1.4.2-1.el8.noarch pkgconf-pkg-config-1.4.2-1.el8.x86_64 platform-python-3.6.8-62.el8.x86_64 platform-python-setuptools-39.2.0-7.el8.noarch popt-1.18-1.el8.x86_64 publicsuffix-list-dafsa-20180723-1.el8.noarch python-rpm-macros-3-45.el8.noarch python-srpm-macros-3-45.el8.noarch python3-libs-3.6.8-62.el8.x86_64 python3-pip-wheel-9.0.3-24.el8.noarch python3-rpm-macros-3-45.el8.noarch python3-setuptools-wheel-39.2.0-7.el8.noarch qt5-srpm-macros-5.15.3-1.el8.noarch readline-7.0-10.el8.x86_64 redhat-rpm-config-131-1.el8.noarch rpm-4.14.3-31.el8.x86_64 rpm-build-4.14.3-31.el8.x86_64 rpm-build-libs-4.14.3-31.el8.x86_64 rpm-libs-4.14.3-31.el8.x86_64 rust-srpm-macros-5-2.el8.noarch sed-4.5-5.el8.x86_64 setup-2.12.2-11.el8.noarch shadow-utils-2:4.6-22.el8.x86_64 sqlite-libs-3.26.0-19.el8.x86_64 systemd-libs-239-82.el8.1.x86_64 tar-2:1.30-9.el8.x86_64 tpm2-tss-2.3.2-6.el8.x86_64 tzdata-2024a-1.el8.noarch unzip-6.0-46.el8.x86_64 util-linux-2.32.1-46.el8.x86_64 which-2.21-20.el8.x86_64 xz-5.2.4-4.el8.x86_64 xz-libs-5.2.4-4.el8.x86_64 zip-3.0-23.el8.x86_64 zlib-1.2.11-25.el8.x86_64 zstd-1.4.4-1.el8.x86_64 Complete! Finish: installing minimal buildroot with dnf Start: creating root cache Finish: creating root cache Finish: chroot init INFO: Installed packages: INFO: annobin-11.13-2.el8.x86_64 ansible-srpm-macros-1-12.el8.noarch audit-libs-3.1.2-1.el8.x86_64 basesystem-11-5.el8.noarch bash-4.4.20-5.el8.x86_64 binutils-2.30-123.el8.x86_64 brotli-1.0.6-3.el8.x86_64 bzip2-1.0.6-26.el8.x86_64 bzip2-libs-1.0.6-26.el8.x86_64 ca-certificates-2023.2.60_v7.0.306-80.0.el8.noarch centos-gpg-keys-8-6.el8.noarch centos-stream-release-8.6-1.el8.noarch centos-stream-repos-8-6.el8.noarch chkconfig-1.19.2-1.el8.x86_64 coreutils-8.30-15.el8.x86_64 coreutils-common-8.30-15.el8.x86_64 cpio-2.12-11.el8.x86_64 cpp-8.5.0-22.el8.x86_64 cracklib-2.9.6-15.el8.x86_64 cracklib-dicts-2.9.6-15.el8.x86_64 crypto-policies-20230731-1.git3177e06.el8.noarch curl-7.61.1-34.el8.x86_64 cyrus-sasl-lib-2.1.27-6.el8_5.x86_64 diffutils-3.6-6.el8.x86_64 dwz-0.12-10.el8.x86_64 efi-srpm-macros-3-3.el8.noarch elfutils-0.190-2.el8.x86_64 elfutils-default-yama-scope-0.190-2.el8.noarch elfutils-libelf-0.190-2.el8.x86_64 elfutils-libs-0.190-2.el8.x86_64 epel-rpm-macros-8-41.noarch expat-2.2.5-13.el8.x86_64 file-5.33-26.el8.x86_64 file-libs-5.33-26.el8.x86_64 filesystem-3.8-6.el8.x86_64 findutils-4.6.0-22.el8.x86_64 fpc-srpm-macros-1.3-1.el8.noarch gawk-4.2.1-4.el8.x86_64 gc-7.6.4-3.el8.x86_64 gcc-8.5.0-22.el8.x86_64 gcc-c++-8.5.0-22.el8.x86_64 gcc-plugin-annobin-8.5.0-22.el8.x86_64 gdb-headless-8.2-20.el8.x86_64 gdbm-1.18-2.el8.x86_64 gdbm-libs-1.18-2.el8.x86_64 ghc-srpm-macros-1.4.2-7.el8.noarch glib2-2.56.4-163.el8.x86_64 glibc-2.28-251.el8.2.x86_64 glibc-all-langpacks-2.28-251.el8.2.x86_64 glibc-common-2.28-251.el8.2.x86_64 glibc-devel-2.28-251.el8.2.x86_64 glibc-gconv-extra-2.28-251.el8.2.x86_64 glibc-headers-2.28-251.el8.2.x86_64 gmp-6.1.2-12.el8.x86_64 gnupg2-2.2.20-3.el8.x86_64 gnutls-3.6.16-8.el8.1.x86_64 go-srpm-macros-2-17.el8.noarch gpg-pubkey-2f86d6a1-5cf7cefb gpg-pubkey-8483c65d-5ccc5b19 grep-3.1-6.el8.x86_64 guile-2.0.14-7.el8.x86_64 gzip-1.9-13.el8.x86_64 ima-evm-utils-1.3.2-12.el8.x86_64 info-6.5-7.el8_5.x86_64 isl-0.16.1-6.el8.x86_64 kernel-headers-4.18.0-553.6.1.el8.x86_64 keyutils-libs-1.5.10-9.el8.x86_64 krb5-libs-1.18.2-27.el8.x86_64 libacl-2.2.53-3.el8.x86_64 libarchive-3.3.3-5.el8.x86_64 libassuan-2.5.1-3.el8.x86_64 libatomic_ops-7.6.2-3.el8.x86_64 libattr-2.4.48-3.el8.x86_64 libbabeltrace-1.5.4-4.el8.x86_64 libblkid-2.32.1-46.el8.x86_64 libcap-2.48-5.el8.x86_64 libcap-ng-0.7.11-1.el8.x86_64 libcom_err-1.45.6-5.el8.x86_64 libcurl-7.61.1-34.el8.x86_64 libdb-5.3.28-42.el8_4.x86_64 libdb-utils-5.3.28-42.el8_4.x86_64 libfdisk-2.32.1-46.el8.x86_64 libffi-3.1-24.el8.x86_64 libgcc-8.5.0-22.el8.x86_64 libgcrypt-1.8.5-7.el8.x86_64 libgomp-8.5.0-22.el8.x86_64 libgpg-error-1.31-1.el8.x86_64 libidn2-2.2.0-1.el8.x86_64 libipt-1.6.1-8.el8.x86_64 libksba-1.3.5-9.el8.x86_64 libmount-2.32.1-46.el8.x86_64 libmpc-1.1.0-9.1.el8.x86_64 libnghttp2-1.33.0-6.el8.1.x86_64 libnsl2-1.2.0-2.20180605git4a062cf.el8.x86_64 libpkgconf-1.4.2-1.el8.x86_64 libpsl-0.20.2-6.el8.x86_64 libpwquality-1.4.4-6.el8.x86_64 libselinux-2.9-8.el8.x86_64 libsemanage-2.9-9.el8.x86_64 libsepol-2.9-3.el8.x86_64 libsigsegv-2.11-5.el8.x86_64 libsmartcols-2.32.1-46.el8.x86_64 libssh-0.9.6-14.el8.x86_64 libssh-config-0.9.6-14.el8.noarch libstdc++-8.5.0-22.el8.x86_64 libstdc++-devel-8.5.0-22.el8.x86_64 libtasn1-4.13-4.el8.x86_64 libtirpc-1.1.4-12.el8.x86_64 libtool-ltdl-2.4.6-25.el8.x86_64 libunistring-0.9.9-3.el8.x86_64 libusbx-1.0.23-4.el8.x86_64 libutempter-1.1.6-14.el8.x86_64 libuuid-2.32.1-46.el8.x86_64 libverto-0.3.2-2.el8.x86_64 libxcrypt-4.1.1-6.el8.x86_64 libxcrypt-devel-4.1.1-6.el8.x86_64 libxml2-2.9.7-18.el8.x86_64 libzstd-1.4.4-1.el8.x86_64 lua-libs-5.3.4-12.el8.x86_64 lua-srpm-macros-1-13.el8.noarch lz4-libs-1.8.3-3.el8_4.x86_64 make-4.2.1-11.el8.x86_64 mpfr-3.1.6-1.el8.x86_64 ncurses-6.1-10.20180224.el8.x86_64 ncurses-base-6.1-10.20180224.el8.noarch ncurses-libs-6.1-10.20180224.el8.x86_64 nettle-3.4.1-7.el8.x86_64 npth-1.5-4.el8.x86_64 ocaml-srpm-macros-5-4.el8.noarch openblas-srpm-macros-2-2.el8.noarch openldap-2.4.46-18.el8.x86_64 openssl-libs-1.1.1k-12.el8.x86_64 p11-kit-0.23.22-2.el8.x86_64 p11-kit-trust-0.23.22-2.el8.x86_64 pam-1.3.1-33.el8.x86_64 patch-2.7.6-11.el8.x86_64 pcre-8.42-6.el8.x86_64 pcre2-10.32-3.el8.x86_64 perl-srpm-macros-1-25.el8.noarch pkgconf-1.4.2-1.el8.x86_64 pkgconf-m4-1.4.2-1.el8.noarch pkgconf-pkg-config-1.4.2-1.el8.x86_64 platform-python-3.6.8-62.el8.x86_64 platform-python-setuptools-39.2.0-7.el8.noarch popt-1.18-1.el8.x86_64 publicsuffix-list-dafsa-20180723-1.el8.noarch python-rpm-macros-3-45.el8.noarch python-srpm-macros-3-45.el8.noarch python3-libs-3.6.8-62.el8.x86_64 python3-pip-wheel-9.0.3-24.el8.noarch python3-rpm-macros-3-45.el8.noarch python3-setuptools-wheel-39.2.0-7.el8.noarch qt5-srpm-macros-5.15.3-1.el8.noarch readline-7.0-10.el8.x86_64 redhat-rpm-config-131-1.el8.noarch rpm-4.14.3-31.el8.x86_64 rpm-build-4.14.3-31.el8.x86_64 rpm-build-libs-4.14.3-31.el8.x86_64 rpm-libs-4.14.3-31.el8.x86_64 rust-srpm-macros-5-2.el8.noarch sed-4.5-5.el8.x86_64 setup-2.12.2-11.el8.noarch shadow-utils-4.6-22.el8.x86_64 sqlite-libs-3.26.0-19.el8.x86_64 systemd-libs-239-82.el8.1.x86_64 tar-1.30-9.el8.x86_64 tpm2-tss-2.3.2-6.el8.x86_64 tzdata-2024a-1.el8.noarch unzip-6.0-46.el8.x86_64 util-linux-2.32.1-46.el8.x86_64 which-2.21-20.el8.x86_64 xz-5.2.4-4.el8.x86_64 xz-libs-5.2.4-4.el8.x86_64 zip-3.0-23.el8.x86_64 zlib-1.2.11-25.el8.x86_64 zstd-1.4.4-1.el8.x86_64 Start: buildsrpm Start: rpmbuild -bs Building target platforms: x86_64 Building for target x86_64 Wrote: /builddir/build/SRPMS/yosys-0.46-20241009.0.gita5968e40.el8.src.rpm Finish: rpmbuild -bs INFO: chroot_scan: 3 files copied to /var/lib/copr-rpmbuild/results/chroot_scan INFO: /var/lib/mock/centos-stream+epel-next-8-x86_64-1728478771.601187/root/var/log/dnf.rpm.log /var/lib/mock/centos-stream+epel-next-8-x86_64-1728478771.601187/root/var/log/dnf.librepo.log /var/lib/mock/centos-stream+epel-next-8-x86_64-1728478771.601187/root/var/log/dnf.log Finish: buildsrpm INFO: Done(/var/lib/copr-rpmbuild/workspace/workdir-gan0eygp/yosys/yosys.spec) Config(child) 1 minutes 12 seconds INFO: Results and/or logs in: /var/lib/copr-rpmbuild/results INFO: Cleaning up build root ('cleanup_on_success=True') Start: clean chroot INFO: unmounting tmpfs. Finish: clean chroot INFO: Start(/var/lib/copr-rpmbuild/results/yosys-0.46-20241009.0.gita5968e40.el8.src.rpm) Config(centos-stream+epel-next-8-x86_64) Start(bootstrap): chroot init INFO: mounting tmpfs at /var/lib/mock/centos-stream+epel-next-8-x86_64-bootstrap-1728478771.601187/root. INFO: reusing tmpfs at /var/lib/mock/centos-stream+epel-next-8-x86_64-bootstrap-1728478771.601187/root. INFO: calling preinit hooks INFO: enabled root cache INFO: enabled package manager cache Start(bootstrap): cleaning package manager metadata Finish(bootstrap): cleaning package manager metadata Finish(bootstrap): chroot init Start: chroot init INFO: mounting tmpfs at /var/lib/mock/centos-stream+epel-next-8-x86_64-1728478771.601187/root. INFO: calling preinit hooks INFO: enabled root cache Start: unpacking root cache Finish: unpacking root cache INFO: enabled package manager cache Start: cleaning package manager metadata Finish: cleaning package manager metadata INFO: enabled HW Info plugin INFO: Buildroot is handled by package management downloaded with a bootstrap image: rpm-4.14.3-31.el8.x86_64 python3-dnf-4.7.0-20.el8.noarch python3-dnf-plugins-core-4.0.21-25.el8.noarch yum-4.7.0-20.el8.noarch Finish: chroot init Start: build phase for yosys-0.46-20241009.0.gita5968e40.el8.src.rpm Start: build setup for yosys-0.46-20241009.0.gita5968e40.el8.src.rpm Building target platforms: x86_64 Building for target x86_64 Wrote: /builddir/build/SRPMS/yosys-0.46-20241009.0.gita5968e40.el8.src.rpm No matches found for the following disable plugin patterns: local, spacewalk, versionlock Copr repository 82 kB/s | 1.5 kB 00:00 CentOS Stream 8 - BaseOS 172 kB/s | 3.9 kB 00:00 CentOS Stream 8 - AppStream 420 kB/s | 4.4 kB 00:00 CentOS Stream 8 - Extras 271 kB/s | 2.9 kB 00:00 CentOS Stream 8 - PowerTools 55 kB/s | 4.4 kB 00:00 Extra Packages for Enterprise Linux 8 - x86_64 357 kB/s | 24 kB 00:00 Extra Packages for Enterprise Linux 8 - Next - 201 kB/s | 5.1 kB 00:00 Package gcc-c++-8.5.0-22.el8.x86_64 is already installed. Package pkgconf-pkg-config-1.4.2-1.el8.x86_64 is already installed. Dependencies resolved. =================================================================================================== Package Arch Version Repo Size =================================================================================================== Installing: abc x86_64 1.02-20241009.0.git707442e0.el8 copr_base 19 k bison x86_64 3.0.4-10.el8 appstream 688 k flex x86_64 2.6.1-9.el8 appstream 320 k git x86_64 2.43.0-1.el8 appstream 92 k graphviz x86_64 2.40.1-45.el8 appstream 1.9 M iverilog x86_64 13.0-20241009.0.git25a84d5c.el8 copr_base 2.3 M libffi-devel x86_64 3.1-24.el8 baseos 29 k python36 x86_64 3.6.8-39.module_el8+762+77bd8591 appstream 19 k readline-devel x86_64 7.0-10.el8 baseos 204 k tcl-devel x86_64 1:8.6.8-2.el8 baseos 190 k txt2man noarch 1.7.1-8.el8 copr_base 32 k Installing dependencies: abc-libs x86_64 1.02-20241009.0.git707442e0.el8 copr_base 5.1 M adobe-mappings-cmap noarch 20171205-3.el8 appstream 2.1 M adobe-mappings-cmap-deprecated noarch 20171205-3.el8 appstream 119 k adobe-mappings-pdf noarch 20180407-1.el8 appstream 707 k atk x86_64 2.28.1-1.el8 appstream 272 k avahi-libs x86_64 0.7-27.el8 baseos 62 k cairo x86_64 1.15.12-6.el8 appstream 719 k cups-libs x86_64 1:2.2.6-57.el8 baseos 436 k dbus-libs x86_64 1:1.12.8-26.el8 baseos 185 k emacs-filesystem noarch 1:26.1-11.el8 baseos 70 k fontconfig x86_64 2.13.1-4.el8 baseos 274 k fontpackages-filesystem noarch 1.44-22.el8 baseos 16 k freetype x86_64 2.9.1-9.el8 baseos 394 k fribidi x86_64 1.0.4-9.el8 appstream 89 k gd x86_64 2.2.5-7.el8 appstream 144 k gdk-pixbuf2 x86_64 2.36.12-5.el8 baseos 467 k gdk-pixbuf2-modules x86_64 2.36.12-5.el8 appstream 109 k git-core x86_64 2.43.0-1.el8 appstream 11 M git-core-doc noarch 2.43.0-1.el8 appstream 3.3 M google-droid-sans-fonts noarch 20120715-13.el8 appstream 2.5 M graphite2 x86_64 1.3.10-10.el8 appstream 122 k groff-base x86_64 1.22.3-18.el8 baseos 1.0 M gtk-update-icon-cache x86_64 3.22.30-11.el8 appstream 32 k gtk2 x86_64 2.24.32-5.el8 appstream 3.4 M harfbuzz x86_64 1.7.5-4.el8 appstream 296 k hicolor-icon-theme noarch 0.17-2.el8 appstream 49 k jasper-libs x86_64 2.0.14-5.el8 appstream 167 k jbig2dec-libs x86_64 0.16-1.el8 appstream 71 k jbigkit-libs x86_64 2.1-14.el8 appstream 55 k lcms2 x86_64 2.9-2.el8 appstream 165 k less x86_64 530-3.el8 baseos 165 k libICE x86_64 1.0.9-15.el8 appstream 74 k libSM x86_64 1.2.3-1.el8 appstream 48 k libX11 x86_64 1.6.8-8.el8 appstream 612 k libX11-common noarch 1.6.8-8.el8 appstream 211 k libXau x86_64 1.0.9-3.el8 appstream 37 k libXaw x86_64 1.0.13-10.el8 appstream 194 k libXcomposite x86_64 0.4.4-14.el8 appstream 28 k libXcursor x86_64 1.1.15-3.el8 appstream 36 k libXdamage x86_64 1.1.4-14.el8 appstream 27 k libXext x86_64 1.3.4-1.el8 appstream 45 k libXfixes x86_64 5.0.3-7.el8 appstream 25 k libXft x86_64 2.3.3-1.el8 appstream 67 k libXi x86_64 1.7.10-1.el8 appstream 49 k libXinerama x86_64 1.1.4-1.el8 appstream 16 k libXmu x86_64 1.1.3-1.el8 appstream 75 k libXpm x86_64 3.5.12-11.el8 appstream 59 k libXrandr x86_64 1.5.2-1.el8 appstream 34 k libXrender x86_64 0.9.10-7.el8 appstream 33 k libXt x86_64 1.1.5-12.el8 appstream 186 k libXxf86misc x86_64 1.0.4-1.el8 appstream 23 k libXxf86vm x86_64 1.1.4-9.el8 appstream 19 k libcroco x86_64 0.6.12-4.el8_2.1 baseos 113 k libdatrie x86_64 0.2.9-7.el8 appstream 33 k libedit x86_64 3.1-23.20170329cvs.el8 baseos 102 k libfontenc x86_64 1.1.3-8.el8 appstream 37 k libgs x86_64 9.27-12.el8 appstream 3.1 M libidn x86_64 1.34-5.el8 appstream 239 k libijs x86_64 0.35-5.el8 appstream 30 k libjpeg-turbo x86_64 1.5.3-12.el8 appstream 157 k libmcpp x86_64 2.7.2-20.el8 appstream 81 k libpaper x86_64 1.1.24-22.el8 appstream 45 k libpng x86_64 2:1.6.34-5.el8 baseos 126 k librsvg2 x86_64 2.42.7-5.el8 appstream 575 k libthai x86_64 0.1.27-2.el8 appstream 203 k libtiff x86_64 4.0.9-31.el8 appstream 190 k libwebp x86_64 1.0.0-9.el8 appstream 274 k libxcb x86_64 1.13.1-1.el8 appstream 229 k m4 x86_64 1.4.18-7.el8 baseos 223 k mcpp x86_64 2.7.2-20.el8 appstream 31 k ncurses-c++-libs x86_64 6.1-10.20180224.el8 baseos 58 k ncurses-devel x86_64 6.1-10.20180224.el8 baseos 736 k openjpeg2 x86_64 2.4.0-5.el8 appstream 165 k openssh x86_64 8.0p1-24.el8 baseos 529 k openssh-clients x86_64 8.0p1-24.el8 baseos 650 k openssl x86_64 1:1.1.1k-12.el8 baseos 738 k pango x86_64 1.42.4-8.el8 appstream 297 k perl-Carp noarch 1.42-396.el8 baseos 30 k perl-Data-Dumper x86_64 2.167-399.el8 baseos 58 k perl-Digest noarch 1.17-395.el8 baseos 27 k perl-Digest-MD5 x86_64 2.55-396.el8 baseos 37 k perl-Encode x86_64 4:2.97-3.el8 baseos 1.5 M perl-Errno x86_64 1.28-422.el8 baseos 76 k perl-Error noarch 1:0.17025-2.el8 appstream 46 k perl-Exporter noarch 5.72-396.el8 baseos 34 k perl-File-Path noarch 2.15-2.el8 baseos 38 k perl-File-Temp noarch 0.230.600-1.el8 baseos 63 k perl-Getopt-Long noarch 1:2.50-4.el8 baseos 63 k perl-Git noarch 2.43.0-1.el8 appstream 79 k perl-HTTP-Tiny noarch 0.074-3.el8 baseos 59 k perl-IO x86_64 1.38-422.el8 baseos 142 k perl-IO-Socket-IP noarch 0.39-5.el8 baseos 47 k perl-IO-Socket-SSL noarch 2.066-4.module_el8+339+1ec643e0 appstream 304 k perl-MIME-Base64 x86_64 3.15-396.el8 baseos 31 k perl-Mozilla-CA noarch 20160104-7.module_el8+645+9d809f8c appstream 15 k perl-Net-SSLeay x86_64 1.88-2.module_el8+339+1ec643e0 appstream 402 k perl-PathTools x86_64 3.74-1.el8 baseos 90 k perl-Pod-Escapes noarch 1:1.07-395.el8 baseos 20 k perl-Pod-Perldoc noarch 3.28-396.el8 baseos 86 k perl-Pod-Simple noarch 1:3.35-395.el8 baseos 213 k perl-Pod-Usage noarch 4:1.69-395.el8 baseos 34 k perl-Scalar-List-Utils x86_64 3:1.49-2.el8 baseos 68 k perl-Socket x86_64 4:2.027-3.el8 baseos 59 k perl-Storable x86_64 1:3.11-3.el8 baseos 98 k perl-Term-ANSIColor noarch 4.06-396.el8 baseos 46 k perl-Term-Cap noarch 1.17-395.el8 baseos 23 k perl-TermReadKey x86_64 2.37-7.el8 appstream 40 k perl-Text-ParseWords noarch 3.30-395.el8 baseos 18 k perl-Text-Tabs+Wrap noarch 2013.0523-395.el8 baseos 24 k perl-Time-Local noarch 1:1.280-1.el8 baseos 34 k perl-URI noarch 1.73-3.el8 baseos 116 k perl-Unicode-Normalize x86_64 1.25-396.el8 baseos 82 k perl-constant noarch 1.33-396.el8 baseos 25 k perl-interpreter x86_64 4:5.26.3-422.el8 baseos 6.3 M perl-libnet noarch 3.11-3.el8 baseos 121 k perl-libs x86_64 4:5.26.3-422.el8 baseos 1.6 M perl-macros x86_64 4:5.26.3-422.el8 baseos 73 k perl-parent noarch 1:0.237-1.el8 baseos 20 k perl-podlators noarch 4.11-1.el8 baseos 118 k perl-threads x86_64 1:2.21-2.el8 baseos 61 k perl-threads-shared x86_64 1.58-2.el8 baseos 48 k pixman x86_64 0.38.4-4.el8 appstream 258 k platform-python-pip noarch 9.0.3-24.el8 baseos 1.7 M python3-pip noarch 9.0.3-24.el8 appstream 20 k python3-setuptools noarch 39.2.0-7.el8 baseos 163 k shared-mime-info x86_64 1.9-4.el8 baseos 329 k tcl x86_64 1:8.6.8-2.el8 baseos 1.1 M urw-base35-bookman-fonts noarch 20170801-10.el8 appstream 857 k urw-base35-c059-fonts noarch 20170801-10.el8 appstream 884 k urw-base35-d050000l-fonts noarch 20170801-10.el8 appstream 79 k urw-base35-fonts noarch 20170801-10.el8 appstream 12 k urw-base35-fonts-common noarch 20170801-10.el8 appstream 23 k urw-base35-gothic-fonts noarch 20170801-10.el8 appstream 654 k urw-base35-nimbus-mono-ps-fonts noarch 20170801-10.el8 appstream 801 k urw-base35-nimbus-roman-fonts noarch 20170801-10.el8 appstream 865 k urw-base35-nimbus-sans-fonts noarch 20170801-10.el8 appstream 1.3 M urw-base35-p052-fonts noarch 20170801-10.el8 appstream 982 k urw-base35-standard-symbols-ps-fonts noarch 20170801-10.el8 appstream 44 k urw-base35-z003-fonts noarch 20170801-10.el8 appstream 279 k xorg-x11-font-utils x86_64 1:7.5-41.el8 appstream 104 k xorg-x11-fonts-ISO8859-1-100dpi noarch 7.5-19.el8 appstream 1.1 M xorg-x11-server-utils x86_64 7.7-27.el8 appstream 198 k zlib-devel x86_64 1.2.11-25.el8 baseos 59 k Enabling module streams: perl 5.26 perl-IO-Socket-SSL 2.066 perl-libwww-perl 6.34 python36 3.6 Transaction Summary =================================================================================================== Install 154 Packages Total download size: 74 M Installed size: 234 M Downloading Packages: (1/154): abc-1.02-20241009.0.git707442e0.el8.x8 1.1 MB/s | 19 kB 00:00 (2/154): txt2man-1.7.1-8.el8.noarch.rpm 5.9 MB/s | 32 kB 00:00 (3/154): iverilog-13.0-20241009.0.git25a84d5c.e 70 MB/s | 2.3 MB 00:00 (4/154): avahi-libs-0.7-27.el8.x86_64.rpm 3.6 MB/s | 62 kB 00:00 (5/154): dbus-libs-1.12.8-26.el8.x86_64.rpm 33 MB/s | 185 kB 00:00 (6/154): emacs-filesystem-26.1-11.el8.noarch.rp 32 MB/s | 70 kB 00:00 (7/154): cups-libs-2.2.6-57.el8.x86_64.rpm 27 MB/s | 436 kB 00:00 (8/154): abc-libs-1.02-20241009.0.git707442e0.e 93 MB/s | 5.1 MB 00:00 (9/154): fontpackages-filesystem-1.44-22.el8.no 2.6 MB/s | 16 kB 00:00 (10/154): fontconfig-2.13.1-4.el8.x86_64.rpm 32 MB/s | 274 kB 00:00 (11/154): gdk-pixbuf2-2.36.12-5.el8.x86_64.rpm 68 MB/s | 467 kB 00:00 (12/154): less-530-3.el8.x86_64.rpm 77 MB/s | 165 kB 00:00 (13/154): groff-base-1.22.3-18.el8.x86_64.rpm 126 MB/s | 1.0 MB 00:00 (14/154): libedit-3.1-23.20170329cvs.el8.x86_64 23 MB/s | 102 kB 00:00 (15/154): freetype-2.9.1-9.el8.x86_64.rpm 20 MB/s | 394 kB 00:00 (16/154): libffi-devel-3.1-24.el8.x86_64.rpm 13 MB/s | 29 kB 00:00 (17/154): libpng-1.6.34-5.el8.x86_64.rpm 44 MB/s | 126 kB 00:00 (18/154): m4-1.4.18-7.el8.x86_64.rpm 66 MB/s | 223 kB 00:00 (19/154): ncurses-c++-libs-6.1-10.20180224.el8. 24 MB/s | 58 kB 00:00 (20/154): ncurses-devel-6.1-10.20180224.el8.x86 124 MB/s | 736 kB 00:00 (21/154): openssh-8.0p1-24.el8.x86_64.rpm 85 MB/s | 529 kB 00:00 (22/154): openssh-clients-8.0p1-24.el8.x86_64.r 98 MB/s | 650 kB 00:00 (23/154): openssl-1.1.1k-12.el8.x86_64.rpm 96 MB/s | 738 kB 00:00 (24/154): libcroco-0.6.12-4.el8_2.1.x86_64.rpm 3.7 MB/s | 113 kB 00:00 (25/154): perl-Carp-1.42-396.el8.noarch.rpm 11 MB/s | 30 kB 00:00 (26/154): perl-Data-Dumper-2.167-399.el8.x86_64 22 MB/s | 58 kB 00:00 (27/154): perl-Digest-1.17-395.el8.noarch.rpm 11 MB/s | 27 kB 00:00 (28/154): perl-Digest-MD5-2.55-396.el8.x86_64.r 15 MB/s | 37 kB 00:00 (29/154): perl-Exporter-5.72-396.el8.noarch.rpm 15 MB/s | 34 kB 00:00 (30/154): perl-Errno-1.28-422.el8.x86_64.rpm 24 MB/s | 76 kB 00:00 (31/154): perl-File-Path-2.15-2.el8.noarch.rpm 14 MB/s | 38 kB 00:00 (32/154): perl-File-Temp-0.230.600-1.el8.noarch 27 MB/s | 63 kB 00:00 (33/154): perl-HTTP-Tiny-0.074-3.el8.noarch.rpm 33 MB/s | 59 kB 00:00 (34/154): perl-Getopt-Long-2.50-4.el8.noarch.rp 22 MB/s | 63 kB 00:00 (35/154): perl-Encode-2.97-3.el8.x86_64.rpm 138 MB/s | 1.5 MB 00:00 (36/154): perl-IO-1.38-422.el8.x86_64.rpm 46 MB/s | 142 kB 00:00 (37/154): perl-IO-Socket-IP-0.39-5.el8.noarch.r 15 MB/s | 47 kB 00:00 (38/154): perl-MIME-Base64-3.15-396.el8.x86_64. 13 MB/s | 31 kB 00:00 (39/154): perl-Pod-Escapes-1.07-395.el8.noarch. 11 MB/s | 20 kB 00:00 (40/154): perl-PathTools-3.74-1.el8.x86_64.rpm 33 MB/s | 90 kB 00:00 (41/154): perl-Pod-Perldoc-3.28-396.el8.noarch. 29 MB/s | 86 kB 00:00 (42/154): perl-Pod-Usage-1.69-395.el8.noarch.rp 15 MB/s | 34 kB 00:00 (43/154): perl-Pod-Simple-3.35-395.el8.noarch.r 61 MB/s | 213 kB 00:00 (44/154): perl-Scalar-List-Utils-1.49-2.el8.x86 32 MB/s | 68 kB 00:00 (45/154): perl-Socket-2.027-3.el8.x86_64.rpm 28 MB/s | 59 kB 00:00 (46/154): perl-Storable-3.11-3.el8.x86_64.rpm 42 MB/s | 98 kB 00:00 (47/154): perl-Term-ANSIColor-4.06-396.el8.noar 19 MB/s | 46 kB 00:00 (48/154): perl-Text-ParseWords-3.30-395.el8.noa 9.8 MB/s | 18 kB 00:00 (49/154): perl-Term-Cap-1.17-395.el8.noarch.rpm 7.3 MB/s | 23 kB 00:00 (50/154): perl-Text-Tabs+Wrap-2013.0523-395.el8 10 MB/s | 24 kB 00:00 (51/154): perl-Time-Local-1.280-1.el8.noarch.rp 15 MB/s | 34 kB 00:00 (52/154): perl-URI-1.73-3.el8.noarch.rpm 40 MB/s | 116 kB 00:00 (53/154): perl-Unicode-Normalize-1.25-396.el8.x 31 MB/s | 82 kB 00:00 (54/154): perl-constant-1.33-396.el8.noarch.rpm 9.8 MB/s | 25 kB 00:00 (55/154): perl-libnet-3.11-3.el8.noarch.rpm 38 MB/s | 121 kB 00:00 (56/154): perl-macros-5.26.3-422.el8.x86_64.rpm 26 MB/s | 73 kB 00:00 (57/154): perl-parent-0.237-1.el8.noarch.rpm 9.7 MB/s | 20 kB 00:00 (58/154): perl-libs-5.26.3-422.el8.x86_64.rpm 153 MB/s | 1.6 MB 00:00 (59/154): perl-podlators-4.11-1.el8.noarch.rpm 35 MB/s | 118 kB 00:00 (60/154): perl-threads-shared-1.58-2.el8.x86_64 22 MB/s | 48 kB 00:00 (61/154): perl-threads-2.21-2.el8.x86_64.rpm 18 MB/s | 61 kB 00:00 (62/154): python3-setuptools-39.2.0-7.el8.noarc 45 MB/s | 163 kB 00:00 (63/154): readline-devel-7.0-10.el8.x86_64.rpm 63 MB/s | 204 kB 00:00 (64/154): perl-interpreter-5.26.3-422.el8.x86_6 204 MB/s | 6.3 MB 00:00 (65/154): platform-python-pip-9.0.3-24.el8.noar 97 MB/s | 1.7 MB 00:00 (66/154): shared-mime-info-1.9-4.el8.x86_64.rpm 28 MB/s | 329 kB 00:00 (67/154): tcl-devel-8.6.8-2.el8.x86_64.rpm 64 MB/s | 190 kB 00:00 (68/154): tcl-8.6.8-2.el8.x86_64.rpm 146 MB/s | 1.1 MB 00:00 (69/154): zlib-devel-1.2.11-25.el8.x86_64.rpm 10 MB/s | 59 kB 00:00 (70/154): adobe-mappings-cmap-deprecated-201712 30 MB/s | 119 kB 00:00 (71/154): adobe-mappings-pdf-20180407-1.el8.noa 121 MB/s | 707 kB 00:00 (72/154): adobe-mappings-cmap-20171205-3.el8.no 173 MB/s | 2.1 MB 00:00 (73/154): atk-2.28.1-1.el8.x86_64.rpm 40 MB/s | 272 kB 00:00 (74/154): bison-3.0.4-10.el8.x86_64.rpm 127 MB/s | 688 kB 00:00 (75/154): cairo-1.15.12-6.el8.x86_64.rpm 131 MB/s | 719 kB 00:00 (76/154): fribidi-1.0.4-9.el8.x86_64.rpm 31 MB/s | 89 kB 00:00 (77/154): flex-2.6.1-9.el8.x86_64.rpm 53 MB/s | 320 kB 00:00 (78/154): gd-2.2.5-7.el8.x86_64.rpm 52 MB/s | 144 kB 00:00 (79/154): gdk-pixbuf2-modules-2.36.12-5.el8.x86 38 MB/s | 109 kB 00:00 (80/154): git-2.43.0-1.el8.x86_64.rpm 31 MB/s | 92 kB 00:00 (81/154): google-droid-sans-fonts-20120715-13.e 154 MB/s | 2.5 MB 00:00 (82/154): git-core-doc-2.43.0-1.el8.noarch.rpm 155 MB/s | 3.3 MB 00:00 (83/154): graphite2-1.3.10-10.el8.x86_64.rpm 27 MB/s | 122 kB 00:00 (84/154): gtk-update-icon-cache-3.22.30-11.el8. 12 MB/s | 32 kB 00:00 (85/154): graphviz-2.40.1-45.el8.x86_64.rpm 152 MB/s | 1.9 MB 00:00 (86/154): harfbuzz-1.7.5-4.el8.x86_64.rpm 94 MB/s | 296 kB 00:00 (87/154): hicolor-icon-theme-0.17-2.el8.noarch. 27 MB/s | 49 kB 00:00 (88/154): jasper-libs-2.0.14-5.el8.x86_64.rpm 52 MB/s | 167 kB 00:00 (89/154): gtk2-2.24.32-5.el8.x86_64.rpm 154 MB/s | 3.4 MB 00:00 (90/154): jbig2dec-libs-0.16-1.el8.x86_64.rpm 13 MB/s | 71 kB 00:00 (91/154): jbigkit-libs-2.1-14.el8.x86_64.rpm 19 MB/s | 55 kB 00:00 (92/154): lcms2-2.9-2.el8.x86_64.rpm 49 MB/s | 165 kB 00:00 (93/154): libICE-1.0.9-15.el8.x86_64.rpm 28 MB/s | 74 kB 00:00 (94/154): libSM-1.2.3-1.el8.x86_64.rpm 19 MB/s | 48 kB 00:00 (95/154): git-core-2.43.0-1.el8.x86_64.rpm 164 MB/s | 11 MB 00:00 (96/154): libX11-common-1.6.8-8.el8.noarch.rpm 15 MB/s | 211 kB 00:00 (97/154): libX11-1.6.8-8.el8.x86_64.rpm 37 MB/s | 612 kB 00:00 (98/154): libXau-1.0.9-3.el8.x86_64.rpm 13 MB/s | 37 kB 00:00 (99/154): libXaw-1.0.13-10.el8.x86_64.rpm 65 MB/s | 194 kB 00:00 (100/154): libXcomposite-0.4.4-14.el8.x86_64.rp 14 MB/s | 28 kB 00:00 (101/154): libXcursor-1.1.15-3.el8.x86_64.rpm 16 MB/s | 36 kB 00:00 (102/154): libXdamage-1.1.4-14.el8.x86_64.rpm 15 MB/s | 27 kB 00:00 (103/154): libXext-1.3.4-1.el8.x86_64.rpm 22 MB/s | 45 kB 00:00 (104/154): libXft-2.3.3-1.el8.x86_64.rpm 40 MB/s | 67 kB 00:00 (105/154): libXfixes-5.0.3-7.el8.x86_64.rpm 11 MB/s | 25 kB 00:00 (106/154): libXinerama-1.1.4-1.el8.x86_64.rpm 7.4 MB/s | 16 kB 00:00 (107/154): libXi-1.7.10-1.el8.x86_64.rpm 16 MB/s | 49 kB 00:00 (108/154): libXmu-1.1.3-1.el8.x86_64.rpm 25 MB/s | 75 kB 00:00 (109/154): libXpm-3.5.12-11.el8.x86_64.rpm 29 MB/s | 59 kB 00:00 (110/154): libXrender-0.9.10-7.el8.x86_64.rpm 15 MB/s | 33 kB 00:00 (111/154): libXt-1.1.5-12.el8.x86_64.rpm 74 MB/s | 186 kB 00:00 (112/154): libXxf86misc-1.0.4-1.el8.x86_64.rpm 8.5 MB/s | 23 kB 00:00 (113/154): libXxf86vm-1.1.4-9.el8.x86_64.rpm 8.7 MB/s | 19 kB 00:00 (114/154): libdatrie-0.2.9-7.el8.x86_64.rpm 17 MB/s | 33 kB 00:00 (115/154): libfontenc-1.1.3-8.el8.x86_64.rpm 13 MB/s | 37 kB 00:00 (116/154): libidn-1.34-5.el8.x86_64.rpm 67 MB/s | 239 kB 00:00 (117/154): libijs-0.35-5.el8.x86_64.rpm 13 MB/s | 30 kB 00:00 (118/154): libXrandr-1.5.2-1.el8.x86_64.rpm 1.8 MB/s | 34 kB 00:00 (119/154): libjpeg-turbo-1.5.3-12.el8.x86_64.rp 51 MB/s | 157 kB 00:00 (120/154): libmcpp-2.7.2-20.el8.x86_64.rpm 33 MB/s | 81 kB 00:00 (121/154): libgs-9.27-12.el8.x86_64.rpm 189 MB/s | 3.1 MB 00:00 (122/154): libpaper-1.1.24-22.el8.x86_64.rpm 8.1 MB/s | 45 kB 00:00 (123/154): librsvg2-2.42.7-5.el8.x86_64.rpm 99 MB/s | 575 kB 00:00 (124/154): libtiff-4.0.9-31.el8.x86_64.rpm 73 MB/s | 190 kB 00:00 (125/154): libthai-0.1.27-2.el8.x86_64.rpm 54 MB/s | 203 kB 00:00 (126/154): libwebp-1.0.0-9.el8.x86_64.rpm 91 MB/s | 274 kB 00:00 (127/154): libxcb-1.13.1-1.el8.x86_64.rpm 73 MB/s | 229 kB 00:00 (128/154): mcpp-2.7.2-20.el8.x86_64.rpm 12 MB/s | 31 kB 00:00 (129/154): openjpeg2-2.4.0-5.el8.x86_64.rpm 53 MB/s | 165 kB 00:00 (130/154): pango-1.42.4-8.el8.x86_64.rpm 97 MB/s | 297 kB 00:00 (131/154): perl-Error-0.17025-2.el8.noarch.rpm 14 MB/s | 46 kB 00:00 (132/154): perl-Git-2.43.0-1.el8.noarch.rpm 30 MB/s | 79 kB 00:00 (133/154): perl-IO-Socket-SSL-2.066-4.module_el 90 MB/s | 304 kB 00:00 (134/154): perl-Mozilla-CA-20160104-7.module_el 3.9 MB/s | 15 kB 00:00 (135/154): perl-Net-SSLeay-1.88-2.module_el8+33 113 MB/s | 402 kB 00:00 (136/154): perl-TermReadKey-2.37-7.el8.x86_64.r 14 MB/s | 40 kB 00:00 (137/154): pixman-0.38.4-4.el8.x86_64.rpm 93 MB/s | 258 kB 00:00 (138/154): python3-pip-9.0.3-24.el8.noarch.rpm 7.3 MB/s | 20 kB 00:00 (139/154): python36-3.6.8-39.module_el8+762+77b 8.0 MB/s | 19 kB 00:00 (140/154): urw-base35-d050000l-fonts-20170801-1 30 MB/s | 79 kB 00:00 (141/154): urw-base35-bookman-fonts-20170801-10 151 MB/s | 857 kB 00:00 (142/154): urw-base35-c059-fonts-20170801-10.el 117 MB/s | 884 kB 00:00 (143/154): urw-base35-fonts-20170801-10.el8.noa 3.0 MB/s | 12 kB 00:00 (144/154): urw-base35-fonts-common-20170801-10. 7.6 MB/s | 23 kB 00:00 (145/154): urw-base35-gothic-fonts-20170801-10. 127 MB/s | 654 kB 00:00 (146/154): urw-base35-nimbus-mono-ps-fonts-2017 116 MB/s | 801 kB 00:00 (147/154): urw-base35-nimbus-roman-fonts-201708 105 MB/s | 865 kB 00:00 (148/154): urw-base35-standard-symbols-ps-fonts 18 MB/s | 44 kB 00:00 (149/154): urw-base35-nimbus-sans-fonts-2017080 144 MB/s | 1.3 MB 00:00 (150/154): urw-base35-p052-fonts-20170801-10.el 107 MB/s | 982 kB 00:00 (151/154): urw-base35-z003-fonts-20170801-10.el 54 MB/s | 279 kB 00:00 (152/154): xorg-x11-server-utils-7.7-27.el8.x86 62 MB/s | 198 kB 00:00 (153/154): xorg-x11-font-utils-7.5-41.el8.x86_6 16 MB/s | 104 kB 00:00 (154/154): xorg-x11-fonts-ISO8859-1-100dpi-7.5- 159 MB/s | 1.1 MB 00:00 -------------------------------------------------------------------------------- Total 217 MB/s | 74 MB 00:00 Running transaction check Transaction check succeeded. Running transaction test Transaction test succeeded. Running transaction Preparing : 1/1 Installing : libpng-2:1.6.34-5.el8.x86_64 1/154 Installing : freetype-2.9.1-9.el8.x86_64 2/154 Installing : libjpeg-turbo-1.5.3-12.el8.x86_64 3/154 Installing : libICE-1.0.9-15.el8.x86_64 4/154 Installing : fontpackages-filesystem-1.44-22.el8.noarch 5/154 Installing : urw-base35-fonts-common-20170801-10.el8.noarch 6/154 Installing : google-droid-sans-fonts-20120715-13.el8.noarch 7/154 Installing : fontconfig-2.13.1-4.el8.x86_64 8/154 Running scriptlet: fontconfig-2.13.1-4.el8.x86_64 8/154 Installing : libSM-1.2.3-1.el8.x86_64 9/154 Installing : atk-2.28.1-1.el8.x86_64 10/154 Installing : adobe-mappings-cmap-20171205-3.el8.noarch 11/154 Installing : m4-1.4.18-7.el8.x86_64 12/154 Running scriptlet: m4-1.4.18-7.el8.x86_64 12/154 Installing : emacs-filesystem-1:26.1-11.el8.noarch 13/154 Installing : libidn-1.34-5.el8.x86_64 14/154 Running scriptlet: libidn-1.34-5.el8.x86_64 14/154 Installing : adobe-mappings-cmap-deprecated-20171205-3.el8.no 15/154 Installing : jasper-libs-2.0.14-5.el8.x86_64 16/154 Installing : pixman-0.38.4-4.el8.x86_64 17/154 Installing : openjpeg2-2.4.0-5.el8.x86_64 18/154 Installing : libwebp-1.0.0-9.el8.x86_64 19/154 Installing : libpaper-1.1.24-22.el8.x86_64 20/154 Installing : libmcpp-2.7.2-20.el8.x86_64 21/154 Running scriptlet: libmcpp-2.7.2-20.el8.x86_64 21/154 Installing : mcpp-2.7.2-20.el8.x86_64 22/154 Installing : libijs-0.35-5.el8.x86_64 23/154 Installing : libfontenc-1.1.3-8.el8.x86_64 24/154 Installing : xorg-x11-font-utils-1:7.5-41.el8.x86_64 25/154 Installing : xorg-x11-fonts-ISO8859-1-100dpi-7.5-19.el8.noarc 26/154 Running scriptlet: xorg-x11-fonts-ISO8859-1-100dpi-7.5-19.el8.noarc 26/154 Installing : libdatrie-0.2.9-7.el8.x86_64 27/154 Running scriptlet: libdatrie-0.2.9-7.el8.x86_64 27/154 Installing : libthai-0.1.27-2.el8.x86_64 28/154 Running scriptlet: libthai-0.1.27-2.el8.x86_64 28/154 Installing : libXau-1.0.9-3.el8.x86_64 29/154 Installing : libxcb-1.13.1-1.el8.x86_64 30/154 Installing : libX11-common-1.6.8-8.el8.noarch 31/154 Installing : libX11-1.6.8-8.el8.x86_64 32/154 Installing : libXext-1.3.4-1.el8.x86_64 33/154 Installing : libXrender-0.9.10-7.el8.x86_64 34/154 Installing : cairo-1.15.12-6.el8.x86_64 35/154 Installing : libXt-1.1.5-12.el8.x86_64 36/154 Installing : libXmu-1.1.3-1.el8.x86_64 37/154 Installing : libXfixes-5.0.3-7.el8.x86_64 38/154 Installing : libXpm-3.5.12-11.el8.x86_64 39/154 Installing : libXcursor-1.1.15-3.el8.x86_64 40/154 Installing : libXrandr-1.5.2-1.el8.x86_64 41/154 Installing : libXi-1.7.10-1.el8.x86_64 42/154 Installing : libXinerama-1.1.4-1.el8.x86_64 43/154 Installing : libXaw-1.0.13-10.el8.x86_64 44/154 Installing : libXdamage-1.1.4-14.el8.x86_64 45/154 Installing : libXft-2.3.3-1.el8.x86_64 46/154 Installing : libXxf86misc-1.0.4-1.el8.x86_64 47/154 Installing : libXxf86vm-1.1.4-9.el8.x86_64 48/154 Installing : xorg-x11-server-utils-7.7-27.el8.x86_64 49/154 Installing : urw-base35-bookman-fonts-20170801-10.el8.noarch 50/154 Running scriptlet: urw-base35-bookman-fonts-20170801-10.el8.noarch 50/154 Installing : urw-base35-c059-fonts-20170801-10.el8.noarch 51/154 Running scriptlet: urw-base35-c059-fonts-20170801-10.el8.noarch 51/154 Installing : urw-base35-d050000l-fonts-20170801-10.el8.noarch 52/154 Running scriptlet: urw-base35-d050000l-fonts-20170801-10.el8.noarch 52/154 Installing : urw-base35-gothic-fonts-20170801-10.el8.noarch 53/154 Running scriptlet: urw-base35-gothic-fonts-20170801-10.el8.noarch 53/154 Installing : urw-base35-nimbus-mono-ps-fonts-20170801-10.el8. 54/154 Running scriptlet: urw-base35-nimbus-mono-ps-fonts-20170801-10.el8. 54/154 Installing : urw-base35-nimbus-roman-fonts-20170801-10.el8.no 55/154 Running scriptlet: urw-base35-nimbus-roman-fonts-20170801-10.el8.no 55/154 Installing : urw-base35-nimbus-sans-fonts-20170801-10.el8.noa 56/154 Running scriptlet: urw-base35-nimbus-sans-fonts-20170801-10.el8.noa 56/154 Installing : urw-base35-p052-fonts-20170801-10.el8.noarch 57/154 Running scriptlet: urw-base35-p052-fonts-20170801-10.el8.noarch 57/154 Installing : urw-base35-standard-symbols-ps-fonts-20170801-10 58/154 Running scriptlet: urw-base35-standard-symbols-ps-fonts-20170801-10 58/154 Installing : urw-base35-z003-fonts-20170801-10.el8.noarch 59/154 Running scriptlet: urw-base35-z003-fonts-20170801-10.el8.noarch 59/154 Installing : urw-base35-fonts-20170801-10.el8.noarch 60/154 Installing : libXcomposite-0.4.4-14.el8.x86_64 61/154 Installing : lcms2-2.9-2.el8.x86_64 62/154 Running scriptlet: lcms2-2.9-2.el8.x86_64 62/154 Installing : jbigkit-libs-2.1-14.el8.x86_64 63/154 Running scriptlet: jbigkit-libs-2.1-14.el8.x86_64 63/154 Installing : libtiff-4.0.9-31.el8.x86_64 64/154 Installing : gd-2.2.5-7.el8.x86_64 65/154 Running scriptlet: gd-2.2.5-7.el8.x86_64 65/154 Installing : jbig2dec-libs-0.16-1.el8.x86_64 66/154 Running scriptlet: jbig2dec-libs-0.16-1.el8.x86_64 66/154 Installing : hicolor-icon-theme-0.17-2.el8.noarch 67/154 Installing : graphite2-1.3.10-10.el8.x86_64 68/154 Installing : harfbuzz-1.7.5-4.el8.x86_64 69/154 Running scriptlet: harfbuzz-1.7.5-4.el8.x86_64 69/154 Installing : fribidi-1.0.4-9.el8.x86_64 70/154 Installing : pango-1.42.4-8.el8.x86_64 71/154 Running scriptlet: pango-1.42.4-8.el8.x86_64 71/154 Installing : adobe-mappings-pdf-20180407-1.el8.noarch 72/154 Installing : zlib-devel-1.2.11-25.el8.x86_64 73/154 Installing : tcl-1:8.6.8-2.el8.x86_64 74/154 Running scriptlet: tcl-1:8.6.8-2.el8.x86_64 74/154 Installing : shared-mime-info-1.9-4.el8.x86_64 75/154 Running scriptlet: shared-mime-info-1.9-4.el8.x86_64 75/154 Installing : gdk-pixbuf2-2.36.12-5.el8.x86_64 76/154 Running scriptlet: gdk-pixbuf2-2.36.12-5.el8.x86_64 76/154 Installing : gdk-pixbuf2-modules-2.36.12-5.el8.x86_64 77/154 Installing : gtk-update-icon-cache-3.22.30-11.el8.x86_64 78/154 Installing : python3-setuptools-39.2.0-7.el8.noarch 79/154 Installing : platform-python-pip-9.0.3-24.el8.noarch 80/154 Installing : python36-3.6.8-39.module_el8+762+77bd8591.x86_64 81/154 Running scriptlet: python36-3.6.8-39.module_el8+762+77bd8591.x86_64 81/154 Installing : python3-pip-9.0.3-24.el8.noarch 82/154 Installing : openssl-1:1.1.1k-12.el8.x86_64 83/154 Running scriptlet: openssh-8.0p1-24.el8.x86_64 84/154 Installing : openssh-8.0p1-24.el8.x86_64 84/154 Installing : ncurses-c++-libs-6.1-10.20180224.el8.x86_64 85/154 Installing : ncurses-devel-6.1-10.20180224.el8.x86_64 86/154 Installing : libedit-3.1-23.20170329cvs.el8.x86_64 87/154 Installing : openssh-clients-8.0p1-24.el8.x86_64 88/154 Installing : libcroco-0.6.12-4.el8_2.1.x86_64 89/154 Running scriptlet: libcroco-0.6.12-4.el8_2.1.x86_64 89/154 Installing : librsvg2-2.42.7-5.el8.x86_64 90/154 Installing : less-530-3.el8.x86_64 91/154 Installing : git-core-2.43.0-1.el8.x86_64 92/154 Installing : git-core-doc-2.43.0-1.el8.noarch 93/154 Installing : groff-base-1.22.3-18.el8.x86_64 94/154 Installing : perl-Digest-1.17-395.el8.noarch 95/154 Installing : perl-Digest-MD5-2.55-396.el8.x86_64 96/154 Installing : perl-Data-Dumper-2.167-399.el8.x86_64 97/154 Installing : perl-libnet-3.11-3.el8.noarch 98/154 Installing : perl-URI-1.73-3.el8.noarch 99/154 Installing : perl-Pod-Escapes-1:1.07-395.el8.noarch 100/154 Installing : perl-IO-Socket-IP-0.39-5.el8.noarch 101/154 Installing : perl-Time-Local-1:1.280-1.el8.noarch 102/154 Installing : perl-Mozilla-CA-20160104-7.module_el8+645+9d809f 103/154 Installing : perl-IO-Socket-SSL-2.066-4.module_el8+339+1ec643 104/154 Installing : perl-Net-SSLeay-1.88-2.module_el8+339+1ec643e0.x 105/154 Installing : perl-Term-ANSIColor-4.06-396.el8.noarch 106/154 Installing : perl-Term-Cap-1.17-395.el8.noarch 107/154 Installing : perl-File-Temp-0.230.600-1.el8.noarch 108/154 Installing : perl-HTTP-Tiny-0.074-3.el8.noarch 109/154 Installing : perl-Pod-Simple-1:3.35-395.el8.noarch 110/154 Installing : perl-podlators-4.11-1.el8.noarch 111/154 Installing : perl-Pod-Perldoc-3.28-396.el8.noarch 112/154 Installing : perl-Text-ParseWords-3.30-395.el8.noarch 113/154 Installing : perl-Pod-Usage-4:1.69-395.el8.noarch 114/154 Installing : perl-MIME-Base64-3.15-396.el8.x86_64 115/154 Installing : perl-Storable-1:3.11-3.el8.x86_64 116/154 Installing : perl-Getopt-Long-1:2.50-4.el8.noarch 117/154 Installing : perl-Errno-1.28-422.el8.x86_64 118/154 Installing : perl-Socket-4:2.027-3.el8.x86_64 119/154 Installing : perl-Encode-4:2.97-3.el8.x86_64 120/154 Installing : perl-Carp-1.42-396.el8.noarch 121/154 Installing : perl-Exporter-5.72-396.el8.noarch 122/154 Installing : perl-libs-4:5.26.3-422.el8.x86_64 123/154 Installing : perl-Scalar-List-Utils-3:1.49-2.el8.x86_64 124/154 Installing : perl-parent-1:0.237-1.el8.noarch 125/154 Installing : perl-macros-4:5.26.3-422.el8.x86_64 126/154 Installing : perl-Text-Tabs+Wrap-2013.0523-395.el8.noarch 127/154 Installing : perl-Unicode-Normalize-1.25-396.el8.x86_64 128/154 Installing : perl-File-Path-2.15-2.el8.noarch 129/154 Installing : perl-IO-1.38-422.el8.x86_64 130/154 Installing : perl-PathTools-3.74-1.el8.x86_64 131/154 Installing : perl-constant-1.33-396.el8.noarch 132/154 Installing : perl-threads-1:2.21-2.el8.x86_64 133/154 Installing : perl-threads-shared-1.58-2.el8.x86_64 134/154 Installing : perl-interpreter-4:5.26.3-422.el8.x86_64 135/154 Installing : perl-Error-1:0.17025-2.el8.noarch 136/154 Installing : perl-TermReadKey-2.37-7.el8.x86_64 137/154 Installing : perl-Git-2.43.0-1.el8.noarch 138/154 Installing : git-2.43.0-1.el8.x86_64 139/154 Installing : dbus-libs-1:1.12.8-26.el8.x86_64 140/154 Running scriptlet: dbus-libs-1:1.12.8-26.el8.x86_64 140/154 Installing : avahi-libs-0.7-27.el8.x86_64 141/154 Installing : cups-libs-1:2.2.6-57.el8.x86_64 142/154 Installing : gtk2-2.24.32-5.el8.x86_64 143/154 Running scriptlet: gtk2-2.24.32-5.el8.x86_64 143/154 Installing : libgs-9.27-12.el8.x86_64 144/154 Installing : abc-libs-1.02-20241009.0.git707442e0.el8.x86_64 145/154 Installing : abc-1.02-20241009.0.git707442e0.el8.x86_64 146/154 Installing : graphviz-2.40.1-45.el8.x86_64 147/154 Running scriptlet: graphviz-2.40.1-45.el8.x86_64 147/154 Installing : readline-devel-7.0-10.el8.x86_64 148/154 Running scriptlet: readline-devel-7.0-10.el8.x86_64 148/154 Installing : tcl-devel-1:8.6.8-2.el8.x86_64 149/154 Installing : bison-3.0.4-10.el8.x86_64 150/154 Running scriptlet: bison-3.0.4-10.el8.x86_64 150/154 Installing : flex-2.6.1-9.el8.x86_64 151/154 Running scriptlet: flex-2.6.1-9.el8.x86_64 151/154 Installing : libffi-devel-3.1-24.el8.x86_64 152/154 Running scriptlet: libffi-devel-3.1-24.el8.x86_64 152/154 Installing : txt2man-1.7.1-8.el8.noarch 153/154 Installing : iverilog-13.0-20241009.0.git25a84d5c.el8.x86_64 154/154 Running scriptlet: urw-base35-bookman-fonts-20170801-10.el8.noarch 154/154 Running scriptlet: urw-base35-c059-fonts-20170801-10.el8.noarch 154/154 Running scriptlet: urw-base35-d050000l-fonts-20170801-10.el8.noarch 154/154 Running scriptlet: urw-base35-gothic-fonts-20170801-10.el8.noarch 154/154 Running scriptlet: urw-base35-nimbus-mono-ps-fonts-20170801-10.el8. 154/154 Running scriptlet: urw-base35-nimbus-roman-fonts-20170801-10.el8.no 154/154 Running scriptlet: urw-base35-nimbus-sans-fonts-20170801-10.el8.noa 154/154 Running scriptlet: urw-base35-p052-fonts-20170801-10.el8.noarch 154/154 Running scriptlet: urw-base35-standard-symbols-ps-fonts-20170801-10 154/154 Running scriptlet: urw-base35-z003-fonts-20170801-10.el8.noarch 154/154 Running scriptlet: iverilog-13.0-20241009.0.git25a84d5c.el8.x86_64 154/154 Running scriptlet: fontconfig-2.13.1-4.el8.x86_64 154/154 Running scriptlet: hicolor-icon-theme-0.17-2.el8.noarch 154/154 Running scriptlet: shared-mime-info-1.9-4.el8.x86_64 154/154 Running scriptlet: gdk-pixbuf2-2.36.12-5.el8.x86_64 154/154 Verifying : abc-1.02-20241009.0.git707442e0.el8.x86_64 1/154 Verifying : abc-libs-1.02-20241009.0.git707442e0.el8.x86_64 2/154 Verifying : iverilog-13.0-20241009.0.git25a84d5c.el8.x86_64 3/154 Verifying : txt2man-1.7.1-8.el8.noarch 4/154 Verifying : avahi-libs-0.7-27.el8.x86_64 5/154 Verifying : cups-libs-1:2.2.6-57.el8.x86_64 6/154 Verifying : dbus-libs-1:1.12.8-26.el8.x86_64 7/154 Verifying : emacs-filesystem-1:26.1-11.el8.noarch 8/154 Verifying : fontconfig-2.13.1-4.el8.x86_64 9/154 Verifying : fontpackages-filesystem-1.44-22.el8.noarch 10/154 Verifying : freetype-2.9.1-9.el8.x86_64 11/154 Verifying : gdk-pixbuf2-2.36.12-5.el8.x86_64 12/154 Verifying : groff-base-1.22.3-18.el8.x86_64 13/154 Verifying : less-530-3.el8.x86_64 14/154 Verifying : libcroco-0.6.12-4.el8_2.1.x86_64 15/154 Verifying : libedit-3.1-23.20170329cvs.el8.x86_64 16/154 Verifying : libffi-devel-3.1-24.el8.x86_64 17/154 Verifying : libpng-2:1.6.34-5.el8.x86_64 18/154 Verifying : m4-1.4.18-7.el8.x86_64 19/154 Verifying : ncurses-c++-libs-6.1-10.20180224.el8.x86_64 20/154 Verifying : ncurses-devel-6.1-10.20180224.el8.x86_64 21/154 Verifying : openssh-8.0p1-24.el8.x86_64 22/154 Verifying : openssh-clients-8.0p1-24.el8.x86_64 23/154 Verifying : openssl-1:1.1.1k-12.el8.x86_64 24/154 Verifying : perl-Carp-1.42-396.el8.noarch 25/154 Verifying : perl-Data-Dumper-2.167-399.el8.x86_64 26/154 Verifying : perl-Digest-1.17-395.el8.noarch 27/154 Verifying : perl-Digest-MD5-2.55-396.el8.x86_64 28/154 Verifying : perl-Encode-4:2.97-3.el8.x86_64 29/154 Verifying : perl-Errno-1.28-422.el8.x86_64 30/154 Verifying : perl-Exporter-5.72-396.el8.noarch 31/154 Verifying : perl-File-Path-2.15-2.el8.noarch 32/154 Verifying : perl-File-Temp-0.230.600-1.el8.noarch 33/154 Verifying : perl-Getopt-Long-1:2.50-4.el8.noarch 34/154 Verifying : perl-HTTP-Tiny-0.074-3.el8.noarch 35/154 Verifying : perl-IO-1.38-422.el8.x86_64 36/154 Verifying : perl-IO-Socket-IP-0.39-5.el8.noarch 37/154 Verifying : perl-MIME-Base64-3.15-396.el8.x86_64 38/154 Verifying : perl-PathTools-3.74-1.el8.x86_64 39/154 Verifying : perl-Pod-Escapes-1:1.07-395.el8.noarch 40/154 Verifying : perl-Pod-Perldoc-3.28-396.el8.noarch 41/154 Verifying : perl-Pod-Simple-1:3.35-395.el8.noarch 42/154 Verifying : perl-Pod-Usage-4:1.69-395.el8.noarch 43/154 Verifying : perl-Scalar-List-Utils-3:1.49-2.el8.x86_64 44/154 Verifying : perl-Socket-4:2.027-3.el8.x86_64 45/154 Verifying : perl-Storable-1:3.11-3.el8.x86_64 46/154 Verifying : perl-Term-ANSIColor-4.06-396.el8.noarch 47/154 Verifying : perl-Term-Cap-1.17-395.el8.noarch 48/154 Verifying : perl-Text-ParseWords-3.30-395.el8.noarch 49/154 Verifying : perl-Text-Tabs+Wrap-2013.0523-395.el8.noarch 50/154 Verifying : perl-Time-Local-1:1.280-1.el8.noarch 51/154 Verifying : perl-URI-1.73-3.el8.noarch 52/154 Verifying : perl-Unicode-Normalize-1.25-396.el8.x86_64 53/154 Verifying : perl-constant-1.33-396.el8.noarch 54/154 Verifying : perl-interpreter-4:5.26.3-422.el8.x86_64 55/154 Verifying : perl-libnet-3.11-3.el8.noarch 56/154 Verifying : perl-libs-4:5.26.3-422.el8.x86_64 57/154 Verifying : perl-macros-4:5.26.3-422.el8.x86_64 58/154 Verifying : perl-parent-1:0.237-1.el8.noarch 59/154 Verifying : perl-podlators-4.11-1.el8.noarch 60/154 Verifying : perl-threads-1:2.21-2.el8.x86_64 61/154 Verifying : perl-threads-shared-1.58-2.el8.x86_64 62/154 Verifying : platform-python-pip-9.0.3-24.el8.noarch 63/154 Verifying : python3-setuptools-39.2.0-7.el8.noarch 64/154 Verifying : readline-devel-7.0-10.el8.x86_64 65/154 Verifying : shared-mime-info-1.9-4.el8.x86_64 66/154 Verifying : tcl-1:8.6.8-2.el8.x86_64 67/154 Verifying : tcl-devel-1:8.6.8-2.el8.x86_64 68/154 Verifying : zlib-devel-1.2.11-25.el8.x86_64 69/154 Verifying : adobe-mappings-cmap-20171205-3.el8.noarch 70/154 Verifying : adobe-mappings-cmap-deprecated-20171205-3.el8.no 71/154 Verifying : adobe-mappings-pdf-20180407-1.el8.noarch 72/154 Verifying : atk-2.28.1-1.el8.x86_64 73/154 Verifying : bison-3.0.4-10.el8.x86_64 74/154 Verifying : cairo-1.15.12-6.el8.x86_64 75/154 Verifying : flex-2.6.1-9.el8.x86_64 76/154 Verifying : fribidi-1.0.4-9.el8.x86_64 77/154 Verifying : gd-2.2.5-7.el8.x86_64 78/154 Verifying : gdk-pixbuf2-modules-2.36.12-5.el8.x86_64 79/154 Verifying : git-2.43.0-1.el8.x86_64 80/154 Verifying : git-core-2.43.0-1.el8.x86_64 81/154 Verifying : git-core-doc-2.43.0-1.el8.noarch 82/154 Verifying : google-droid-sans-fonts-20120715-13.el8.noarch 83/154 Verifying : graphite2-1.3.10-10.el8.x86_64 84/154 Verifying : graphviz-2.40.1-45.el8.x86_64 85/154 Verifying : gtk-update-icon-cache-3.22.30-11.el8.x86_64 86/154 Verifying : gtk2-2.24.32-5.el8.x86_64 87/154 Verifying : harfbuzz-1.7.5-4.el8.x86_64 88/154 Verifying : hicolor-icon-theme-0.17-2.el8.noarch 89/154 Verifying : jasper-libs-2.0.14-5.el8.x86_64 90/154 Verifying : jbig2dec-libs-0.16-1.el8.x86_64 91/154 Verifying : jbigkit-libs-2.1-14.el8.x86_64 92/154 Verifying : lcms2-2.9-2.el8.x86_64 93/154 Verifying : libICE-1.0.9-15.el8.x86_64 94/154 Verifying : libSM-1.2.3-1.el8.x86_64 95/154 Verifying : libX11-1.6.8-8.el8.x86_64 96/154 Verifying : libX11-common-1.6.8-8.el8.noarch 97/154 Verifying : libXau-1.0.9-3.el8.x86_64 98/154 Verifying : libXaw-1.0.13-10.el8.x86_64 99/154 Verifying : libXcomposite-0.4.4-14.el8.x86_64 100/154 Verifying : libXcursor-1.1.15-3.el8.x86_64 101/154 Verifying : libXdamage-1.1.4-14.el8.x86_64 102/154 Verifying : libXext-1.3.4-1.el8.x86_64 103/154 Verifying : libXfixes-5.0.3-7.el8.x86_64 104/154 Verifying : libXft-2.3.3-1.el8.x86_64 105/154 Verifying : libXi-1.7.10-1.el8.x86_64 106/154 Verifying : libXinerama-1.1.4-1.el8.x86_64 107/154 Verifying : libXmu-1.1.3-1.el8.x86_64 108/154 Verifying : libXpm-3.5.12-11.el8.x86_64 109/154 Verifying : libXrandr-1.5.2-1.el8.x86_64 110/154 Verifying : libXrender-0.9.10-7.el8.x86_64 111/154 Verifying : libXt-1.1.5-12.el8.x86_64 112/154 Verifying : libXxf86misc-1.0.4-1.el8.x86_64 113/154 Verifying : libXxf86vm-1.1.4-9.el8.x86_64 114/154 Verifying : libdatrie-0.2.9-7.el8.x86_64 115/154 Verifying : libfontenc-1.1.3-8.el8.x86_64 116/154 Verifying : libgs-9.27-12.el8.x86_64 117/154 Verifying : libidn-1.34-5.el8.x86_64 118/154 Verifying : libijs-0.35-5.el8.x86_64 119/154 Verifying : libjpeg-turbo-1.5.3-12.el8.x86_64 120/154 Verifying : libmcpp-2.7.2-20.el8.x86_64 121/154 Verifying : libpaper-1.1.24-22.el8.x86_64 122/154 Verifying : librsvg2-2.42.7-5.el8.x86_64 123/154 Verifying : libthai-0.1.27-2.el8.x86_64 124/154 Verifying : libtiff-4.0.9-31.el8.x86_64 125/154 Verifying : libwebp-1.0.0-9.el8.x86_64 126/154 Verifying : libxcb-1.13.1-1.el8.x86_64 127/154 Verifying : mcpp-2.7.2-20.el8.x86_64 128/154 Verifying : openjpeg2-2.4.0-5.el8.x86_64 129/154 Verifying : pango-1.42.4-8.el8.x86_64 130/154 Verifying : perl-Error-1:0.17025-2.el8.noarch 131/154 Verifying : perl-Git-2.43.0-1.el8.noarch 132/154 Verifying : perl-IO-Socket-SSL-2.066-4.module_el8+339+1ec643 133/154 Verifying : perl-Mozilla-CA-20160104-7.module_el8+645+9d809f 134/154 Verifying : perl-Net-SSLeay-1.88-2.module_el8+339+1ec643e0.x 135/154 Verifying : perl-TermReadKey-2.37-7.el8.x86_64 136/154 Verifying : pixman-0.38.4-4.el8.x86_64 137/154 Verifying : python3-pip-9.0.3-24.el8.noarch 138/154 Verifying : python36-3.6.8-39.module_el8+762+77bd8591.x86_64 139/154 Verifying : urw-base35-bookman-fonts-20170801-10.el8.noarch 140/154 Verifying : urw-base35-c059-fonts-20170801-10.el8.noarch 141/154 Verifying : urw-base35-d050000l-fonts-20170801-10.el8.noarch 142/154 Verifying : urw-base35-fonts-20170801-10.el8.noarch 143/154 Verifying : urw-base35-fonts-common-20170801-10.el8.noarch 144/154 Verifying : urw-base35-gothic-fonts-20170801-10.el8.noarch 145/154 Verifying : urw-base35-nimbus-mono-ps-fonts-20170801-10.el8. 146/154 Verifying : urw-base35-nimbus-roman-fonts-20170801-10.el8.no 147/154 Verifying : urw-base35-nimbus-sans-fonts-20170801-10.el8.noa 148/154 Verifying : urw-base35-p052-fonts-20170801-10.el8.noarch 149/154 Verifying : urw-base35-standard-symbols-ps-fonts-20170801-10 150/154 Verifying : urw-base35-z003-fonts-20170801-10.el8.noarch 151/154 Verifying : xorg-x11-font-utils-1:7.5-41.el8.x86_64 152/154 Verifying : xorg-x11-fonts-ISO8859-1-100dpi-7.5-19.el8.noarc 153/154 Verifying : xorg-x11-server-utils-7.7-27.el8.x86_64 154/154 Installed: abc-1.02-20241009.0.git707442e0.el8.x86_64 abc-libs-1.02-20241009.0.git707442e0.el8.x86_64 adobe-mappings-cmap-20171205-3.el8.noarch adobe-mappings-cmap-deprecated-20171205-3.el8.noarch adobe-mappings-pdf-20180407-1.el8.noarch atk-2.28.1-1.el8.x86_64 avahi-libs-0.7-27.el8.x86_64 bison-3.0.4-10.el8.x86_64 cairo-1.15.12-6.el8.x86_64 cups-libs-1:2.2.6-57.el8.x86_64 dbus-libs-1:1.12.8-26.el8.x86_64 emacs-filesystem-1:26.1-11.el8.noarch flex-2.6.1-9.el8.x86_64 fontconfig-2.13.1-4.el8.x86_64 fontpackages-filesystem-1.44-22.el8.noarch freetype-2.9.1-9.el8.x86_64 fribidi-1.0.4-9.el8.x86_64 gd-2.2.5-7.el8.x86_64 gdk-pixbuf2-2.36.12-5.el8.x86_64 gdk-pixbuf2-modules-2.36.12-5.el8.x86_64 git-2.43.0-1.el8.x86_64 git-core-2.43.0-1.el8.x86_64 git-core-doc-2.43.0-1.el8.noarch google-droid-sans-fonts-20120715-13.el8.noarch graphite2-1.3.10-10.el8.x86_64 graphviz-2.40.1-45.el8.x86_64 groff-base-1.22.3-18.el8.x86_64 gtk-update-icon-cache-3.22.30-11.el8.x86_64 gtk2-2.24.32-5.el8.x86_64 harfbuzz-1.7.5-4.el8.x86_64 hicolor-icon-theme-0.17-2.el8.noarch iverilog-13.0-20241009.0.git25a84d5c.el8.x86_64 jasper-libs-2.0.14-5.el8.x86_64 jbig2dec-libs-0.16-1.el8.x86_64 jbigkit-libs-2.1-14.el8.x86_64 lcms2-2.9-2.el8.x86_64 less-530-3.el8.x86_64 libICE-1.0.9-15.el8.x86_64 libSM-1.2.3-1.el8.x86_64 libX11-1.6.8-8.el8.x86_64 libX11-common-1.6.8-8.el8.noarch libXau-1.0.9-3.el8.x86_64 libXaw-1.0.13-10.el8.x86_64 libXcomposite-0.4.4-14.el8.x86_64 libXcursor-1.1.15-3.el8.x86_64 libXdamage-1.1.4-14.el8.x86_64 libXext-1.3.4-1.el8.x86_64 libXfixes-5.0.3-7.el8.x86_64 libXft-2.3.3-1.el8.x86_64 libXi-1.7.10-1.el8.x86_64 libXinerama-1.1.4-1.el8.x86_64 libXmu-1.1.3-1.el8.x86_64 libXpm-3.5.12-11.el8.x86_64 libXrandr-1.5.2-1.el8.x86_64 libXrender-0.9.10-7.el8.x86_64 libXt-1.1.5-12.el8.x86_64 libXxf86misc-1.0.4-1.el8.x86_64 libXxf86vm-1.1.4-9.el8.x86_64 libcroco-0.6.12-4.el8_2.1.x86_64 libdatrie-0.2.9-7.el8.x86_64 libedit-3.1-23.20170329cvs.el8.x86_64 libffi-devel-3.1-24.el8.x86_64 libfontenc-1.1.3-8.el8.x86_64 libgs-9.27-12.el8.x86_64 libidn-1.34-5.el8.x86_64 libijs-0.35-5.el8.x86_64 libjpeg-turbo-1.5.3-12.el8.x86_64 libmcpp-2.7.2-20.el8.x86_64 libpaper-1.1.24-22.el8.x86_64 libpng-2:1.6.34-5.el8.x86_64 librsvg2-2.42.7-5.el8.x86_64 libthai-0.1.27-2.el8.x86_64 libtiff-4.0.9-31.el8.x86_64 libwebp-1.0.0-9.el8.x86_64 libxcb-1.13.1-1.el8.x86_64 m4-1.4.18-7.el8.x86_64 mcpp-2.7.2-20.el8.x86_64 ncurses-c++-libs-6.1-10.20180224.el8.x86_64 ncurses-devel-6.1-10.20180224.el8.x86_64 openjpeg2-2.4.0-5.el8.x86_64 openssh-8.0p1-24.el8.x86_64 openssh-clients-8.0p1-24.el8.x86_64 openssl-1:1.1.1k-12.el8.x86_64 pango-1.42.4-8.el8.x86_64 perl-Carp-1.42-396.el8.noarch perl-Data-Dumper-2.167-399.el8.x86_64 perl-Digest-1.17-395.el8.noarch perl-Digest-MD5-2.55-396.el8.x86_64 perl-Encode-4:2.97-3.el8.x86_64 perl-Errno-1.28-422.el8.x86_64 perl-Error-1:0.17025-2.el8.noarch perl-Exporter-5.72-396.el8.noarch perl-File-Path-2.15-2.el8.noarch perl-File-Temp-0.230.600-1.el8.noarch perl-Getopt-Long-1:2.50-4.el8.noarch perl-Git-2.43.0-1.el8.noarch perl-HTTP-Tiny-0.074-3.el8.noarch perl-IO-1.38-422.el8.x86_64 perl-IO-Socket-IP-0.39-5.el8.noarch perl-IO-Socket-SSL-2.066-4.module_el8+339+1ec643e0.noarch perl-MIME-Base64-3.15-396.el8.x86_64 perl-Mozilla-CA-20160104-7.module_el8+645+9d809f8c.noarch perl-Net-SSLeay-1.88-2.module_el8+339+1ec643e0.x86_64 perl-PathTools-3.74-1.el8.x86_64 perl-Pod-Escapes-1:1.07-395.el8.noarch perl-Pod-Perldoc-3.28-396.el8.noarch perl-Pod-Simple-1:3.35-395.el8.noarch perl-Pod-Usage-4:1.69-395.el8.noarch perl-Scalar-List-Utils-3:1.49-2.el8.x86_64 perl-Socket-4:2.027-3.el8.x86_64 perl-Storable-1:3.11-3.el8.x86_64 perl-Term-ANSIColor-4.06-396.el8.noarch perl-Term-Cap-1.17-395.el8.noarch perl-TermReadKey-2.37-7.el8.x86_64 perl-Text-ParseWords-3.30-395.el8.noarch perl-Text-Tabs+Wrap-2013.0523-395.el8.noarch perl-Time-Local-1:1.280-1.el8.noarch perl-URI-1.73-3.el8.noarch perl-Unicode-Normalize-1.25-396.el8.x86_64 perl-constant-1.33-396.el8.noarch perl-interpreter-4:5.26.3-422.el8.x86_64 perl-libnet-3.11-3.el8.noarch perl-libs-4:5.26.3-422.el8.x86_64 perl-macros-4:5.26.3-422.el8.x86_64 perl-parent-1:0.237-1.el8.noarch perl-podlators-4.11-1.el8.noarch perl-threads-1:2.21-2.el8.x86_64 perl-threads-shared-1.58-2.el8.x86_64 pixman-0.38.4-4.el8.x86_64 platform-python-pip-9.0.3-24.el8.noarch python3-pip-9.0.3-24.el8.noarch python3-setuptools-39.2.0-7.el8.noarch python36-3.6.8-39.module_el8+762+77bd8591.x86_64 readline-devel-7.0-10.el8.x86_64 shared-mime-info-1.9-4.el8.x86_64 tcl-1:8.6.8-2.el8.x86_64 tcl-devel-1:8.6.8-2.el8.x86_64 txt2man-1.7.1-8.el8.noarch urw-base35-bookman-fonts-20170801-10.el8.noarch urw-base35-c059-fonts-20170801-10.el8.noarch urw-base35-d050000l-fonts-20170801-10.el8.noarch urw-base35-fonts-20170801-10.el8.noarch urw-base35-fonts-common-20170801-10.el8.noarch urw-base35-gothic-fonts-20170801-10.el8.noarch urw-base35-nimbus-mono-ps-fonts-20170801-10.el8.noarch urw-base35-nimbus-roman-fonts-20170801-10.el8.noarch urw-base35-nimbus-sans-fonts-20170801-10.el8.noarch urw-base35-p052-fonts-20170801-10.el8.noarch urw-base35-standard-symbols-ps-fonts-20170801-10.el8.noarch urw-base35-z003-fonts-20170801-10.el8.noarch xorg-x11-font-utils-1:7.5-41.el8.x86_64 xorg-x11-fonts-ISO8859-1-100dpi-7.5-19.el8.noarch xorg-x11-server-utils-7.7-27.el8.x86_64 zlib-devel-1.2.11-25.el8.x86_64 Complete! Finish: build setup for yosys-0.46-20241009.0.gita5968e40.el8.src.rpm Start: rpmbuild yosys-0.46-20241009.0.gita5968e40.el8.src.rpm Building target platforms: x86_64 Building for target x86_64 Executing(%prep): /bin/sh -e /var/tmp/rpm-tmp.Iny2ZG + umask 022 + cd /builddir/build/BUILD + cd /builddir/build/BUILD + rm -rf yosys + /usr/bin/mkdir -p yosys + cd yosys + /usr/bin/chmod -Rf a+rX,u+w,g-w,o-w . + git clone --depth 1 -n -b main https://github.com/YosysHQ/yosys.git . Cloning into '.'... + git fetch --depth 1 origin a5968e404756487c67863907b02d5a182a6007be From https://github.com/YosysHQ/yosys * branch a5968e404756487c67863907b02d5a182a6007be -> FETCH_HEAD + git reset --hard a5968e404756487c67863907b02d5a182a6007be HEAD is now at a5968e4 Merge pull request #4642 from YosysHQ/fix_ci + git log --format=fuller commit a5968e404756487c67863907b02d5a182a6007be Author: Miodrag Milanović AuthorDate: Wed Oct 9 10:30:42 2024 +0200 Commit: GitHub CommitDate: Wed Oct 9 10:30:42 2024 +0200 Merge pull request #4642 from YosysHQ/fix_ci Fix CI by adding lld as brew package Patch #1 (yosys-cfginc.patch): + echo 'Patch #1 (yosys-cfginc.patch):' + /usr/bin/patch --no-backup-if-mismatch -p1 -b --suffix .cfginc --fuzz=100 patching file Makefile Hunk #1 succeeded at 781 with fuzz 3 (offset -9 lines). + find . -name '*.py' -exec sed -i 's|/usr/bin/env python3|/usr/bin/python3|' '{}' + + exit 0 Executing(%build): /bin/sh -e /var/tmp/rpm-tmp.1Uahf8 + umask 022 + cd /builddir/build/BUILD + cd yosys + make config-gcc rm -rf share rm -rf kernel/*.pyh rm -f kernel/version_a5968e404.o kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o kernel/binding.o kernel/cellaigs.o kernel/celledges.o kernel/cost.o kernel/satgen.o kernel/scopeinfo.o kernel/qcsat.o kernel/mem.o kernel/ffmerge.o kernel/ff.o kernel/yw.o kernel/json.o kernel/fmt.o kernel/sexpr.o kernel/drivertools.o kernel/functional.o kernel/fstdata.o libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o libs/sha1/sha1.o libs/json11/json11.o libs/ezsat/ezsat.o libs/ezsat/ezminisat.o libs/minisat/Options.o libs/minisat/SimpSolver.o libs/minisat/Solver.o libs/minisat/System.o libs/fst/fstapi.o libs/fst/fastlz.o libs/fst/lz4.o libs/subcircuit/subcircuit.o frontends/aiger2/xaiger.o frontends/aiger/aigerparse.o frontends/rpc/rpc_frontend.o frontends/verific/verific.o frontends/json/jsonparse.o frontends/verilog/verilog_parser.tab.o frontends/verilog/verilog_lexer.o frontends/verilog/preproc.o frontends/verilog/verilog_frontend.o frontends/verilog/const2ast.o frontends/ast/ast.o frontends/ast/simplify.o frontends/ast/genrtlil.o frontends/ast/dpicall.o frontends/ast/ast_binding.o frontends/blif/blifparse.o frontends/liberty/liberty.o frontends/rtlil/rtlil_parser.tab.o frontends/rtlil/rtlil_lexer.o frontends/rtlil/rtlil_frontend.o passes/tests/test_autotb.o passes/tests/test_cell.o passes/tests/test_abcloop.o passes/sat/sat.o passes/sat/freduce.o passes/sat/eval.o passes/sat/sim.o passes/sat/miter.o passes/sat/expose.o passes/sat/assertpmux.o passes/sat/clk2fflogic.o passes/sat/async2sync.o passes/sat/formalff.o passes/sat/supercover.o passes/sat/fmcombine.o passes/sat/mutate.o passes/sat/cutpoint.o passes/sat/fminit.o passes/sat/recover_names.o passes/sat/qbfsat.o passes/sat/synthprop.o passes/opt/opt.o passes/opt/opt_merge.o passes/opt/opt_mem.o passes/opt/opt_mem_feedback.o passes/opt/opt_mem_priority.o passes/opt/opt_mem_widen.o passes/opt/opt_muxtree.o passes/opt/opt_reduce.o passes/opt/opt_dff.o passes/opt/opt_share.o passes/opt/opt_clean.o passes/opt/opt_expr.o passes/opt/share.o passes/opt/wreduce.o passes/opt/opt_demorgan.o passes/opt/rmports.o passes/opt/opt_lut.o passes/opt/opt_lut_ins.o passes/opt/opt_ffinv.o passes/opt/pmux2shiftx.o passes/opt/muxpack.o passes/memory/memory.o passes/memory/memory_dff.o passes/memory/memory_share.o passes/memory/memory_collect.o passes/memory/memory_unpack.o passes/memory/memory_bram.o passes/memory/memory_map.o passes/memory/memory_memx.o passes/memory/memory_nordff.o passes/memory/memory_narrow.o passes/memory/memory_libmap.o passes/memory/memory_bmux2rom.o passes/memory/memlib.o passes/techmap/flatten.o passes/techmap/techmap.o passes/techmap/simplemap.o passes/techmap/dfflibmap.o passes/techmap/maccmap.o passes/techmap/booth.o passes/techmap/libparse.o passes/techmap/abc.o passes/techmap/abc9.o passes/techmap/abc9_exe.o passes/techmap/abc9_ops.o passes/techmap/abc_new.o passes/techmap/iopadmap.o passes/techmap/clkbufmap.o passes/techmap/hilomap.o passes/techmap/extract.o passes/techmap/extract_fa.o passes/techmap/extract_counter.o passes/techmap/extract_reduce.o passes/techmap/alumacc.o passes/techmap/dffinit.o passes/techmap/pmuxtree.o passes/techmap/bmuxmap.o passes/techmap/demuxmap.o passes/techmap/bwmuxmap.o passes/techmap/muxcover.o passes/techmap/aigmap.o passes/techmap/tribuf.o passes/techmap/lut2mux.o passes/techmap/nlutmap.o passes/techmap/shregmap.o passes/techmap/deminout.o passes/techmap/insbuf.o passes/techmap/bufnorm.o passes/techmap/attrmvcp.o passes/techmap/attrmap.o passes/techmap/zinit.o passes/techmap/dfflegalize.o passes/techmap/dffunmap.o passes/techmap/flowmap.o passes/techmap/extractinv.o passes/techmap/cellmatch.o passes/techmap/clockgate.o passes/pmgen/test_pmgen.o passes/pmgen/ice40_dsp.o passes/pmgen/ice40_wrapcarry.o passes/pmgen/xilinx_dsp.o passes/pmgen/microchip_dsp.o passes/pmgen/peepopt.o passes/pmgen/xilinx_srl.o passes/cmds/exec.o passes/cmds/add.o passes/cmds/delete.o passes/cmds/design.o passes/cmds/select.o passes/cmds/show.o passes/cmds/viz.o passes/cmds/rename.o passes/cmds/autoname.o passes/cmds/connect.o passes/cmds/scatter.o passes/cmds/setundef.o passes/cmds/splitnets.o passes/cmds/splitcells.o passes/cmds/stat.o passes/cmds/internal_stats.o passes/cmds/setattr.o passes/cmds/copy.o passes/cmds/splice.o passes/cmds/scc.o passes/cmds/glift.o passes/cmds/torder.o passes/cmds/logcmd.o passes/cmds/tee.o passes/cmds/write_file.o passes/cmds/connwrappers.o passes/cmds/cover.o passes/cmds/trace.o passes/cmds/plugin.o passes/cmds/check.o passes/cmds/qwp.o passes/cmds/edgetypes.o passes/cmds/portlist.o passes/cmds/chformal.o passes/cmds/chtype.o passes/cmds/blackbox.o passes/cmds/ltp.o passes/cmds/bugpoint.o passes/cmds/scratchpad.o passes/cmds/logger.o passes/cmds/printattrs.o passes/cmds/sta.o passes/cmds/clean_zerowidth.o passes/cmds/xprop.o passes/cmds/dft_tag.o passes/cmds/future.o passes/cmds/box_derive.o passes/cmds/example_dt.o passes/hierarchy/hierarchy.o passes/hierarchy/uniquify.o passes/hierarchy/submod.o passes/hierarchy/keep_hierarchy.o passes/equiv/equiv_make.o passes/equiv/equiv_miter.o passes/equiv/equiv_simple.o passes/equiv/equiv_status.o passes/equiv/equiv_add.o passes/equiv/equiv_remove.o passes/equiv/equiv_induct.o passes/equiv/equiv_struct.o passes/equiv/equiv_purge.o passes/equiv/equiv_mark.o passes/equiv/equiv_opt.o passes/fsm/fsm.o passes/fsm/fsm_detect.o passes/fsm/fsm_extract.o passes/fsm/fsm_opt.o passes/fsm/fsm_expand.o passes/fsm/fsm_recode.o passes/fsm/fsm_info.o passes/fsm/fsm_export.o passes/fsm/fsm_map.o passes/proc/proc.o passes/proc/proc_prune.o passes/proc/proc_clean.o passes/proc/proc_rmdead.o passes/proc/proc_init.o passes/proc/proc_arst.o passes/proc/proc_rom.o passes/proc/proc_mux.o passes/proc/proc_dlatch.o passes/proc/proc_dff.o passes/proc/proc_memwr.o backends/firrtl/firrtl.o backends/jny/jny.o backends/simplec/simplec.o backends/edif/edif.o backends/aiger2/aiger.o backends/cxxrtl/cxxrtl_backend.o backends/aiger/aiger.o backends/aiger/xaiger.o backends/smt2/smt2.o backends/table/table.o backends/btor/btor.o backends/intersynth/intersynth.o backends/json/json.o backends/verilog/verilog_backend.o backends/smv/smv.o backends/functional/cxx.o backends/functional/smtlib.o backends/functional/smtlib_rosette.o backends/functional/test_generic.o backends/blif/blif.o backends/rtlil/rtlil_backend.o backends/spice/spice.o techlibs/easic/synth_easic.o techlibs/xilinx/synth_xilinx.o techlibs/xilinx/xilinx_dffopt.o techlibs/gowin/synth_gowin.o techlibs/fabulous/synth_fabulous.o techlibs/ice40/synth_ice40.o techlibs/ice40/ice40_braminit.o techlibs/ice40/ice40_opt.o techlibs/nexus/synth_nexus.o techlibs/lattice/synth_lattice.o techlibs/lattice/lattice_gsr.o techlibs/intel/synth_intel.o techlibs/ecp5/synth_ecp5.o techlibs/coolrunner2/synth_coolrunner2.o techlibs/coolrunner2/coolrunner2_sop.o techlibs/coolrunner2/coolrunner2_fixup.o techlibs/efinix/synth_efinix.o techlibs/efinix/efinix_fixcarry.o techlibs/nanoxplore/synth_nanoxplore.o techlibs/nanoxplore/nx_carry.o techlibs/gatemate/synth_gatemate.o techlibs/gatemate/gatemate_foldinv.o techlibs/anlogic/synth_anlogic.o techlibs/anlogic/anlogic_eqn.o techlibs/anlogic/anlogic_fixcarry.o techlibs/microchip/synth_microchip.o techlibs/microchip/microchip_dffopt.o techlibs/achronix/synth_achronix.o techlibs/common/synth.o techlibs/common/prep.o techlibs/greenpak4/synth_greenpak4.o techlibs/greenpak4/greenpak4_dffinv.o techlibs/quicklogic/synth_quicklogic.o techlibs/quicklogic/ql_bram_merge.o techlibs/quicklogic/ql_bram_types.o techlibs/quicklogic/ql_dsp_simd.o techlibs/quicklogic/ql_dsp_io_regs.o techlibs/quicklogic/ql_dsp_macc.o techlibs/intel_alm/synth_intel_alm.o techlibs/sf2/synth_sf2.o frontends/verilog/verilog_parser.tab.cc frontends/verilog/verilog_parser.tab.hh frontends/verilog/verilog_parser.output frontends/verilog/verilog_lexer.cc frontends/rtlil/rtlil_parser.tab.cc frontends/rtlil/rtlil_parser.tab.hh frontends/rtlil/rtlil_parser.output frontends/rtlil/rtlil_lexer.cc passes/pmgen/test_pmgen_pm.h passes/pmgen/ice40_dsp_pm.h passes/pmgen/ice40_wrapcarry_pm.h passes/pmgen/xilinx_dsp_pm.h passes/pmgen/xilinx_dsp48a_pm.h passes/pmgen/xilinx_dsp_CREG_pm.h passes/pmgen/xilinx_dsp_cascade_pm.h passes/pmgen/microchip_dsp_pm.h passes/pmgen/microchip_dsp_CREG_pm.h passes/pmgen/microchip_dsp_cascade_pm.h passes/pmgen/peepopt_pm.h passes/pmgen/xilinx_srl_pm.h techlibs/common/simlib_help.inc techlibs/common/simcells_help.inc techlibs/quicklogic/ql_dsp_macc_pm.h techlibs/quicklogic/qlf_k6n10f/bram_types_sim.v yosys-abc yosys yosys-config yosys-filterlib yosys-smtbmc yosys-witness share/include/kernel/binding.h share/include/kernel/bitpattern.h share/include/kernel/cellaigs.h share/include/kernel/celledges.h share/include/kernel/celltypes.h share/include/kernel/consteval.h share/include/kernel/constids.inc share/include/kernel/cost.h share/include/kernel/drivertools.h share/include/kernel/ff.h share/include/kernel/ffinit.h share/include/kernel/ffmerge.h share/include/kernel/fmt.h share/include/kernel/fstdata.h share/include/kernel/hashlib.h share/include/kernel/json.h share/include/kernel/log.h share/include/kernel/macc.h share/include/kernel/modtools.h share/include/kernel/mem.h share/include/kernel/qcsat.h share/include/kernel/register.h share/include/kernel/rtlil.h share/include/kernel/satgen.h share/include/kernel/scopeinfo.h share/include/kernel/sexpr.h share/include/kernel/sigtools.h share/include/kernel/timinginfo.h share/include/kernel/utils.h share/include/kernel/yosys.h share/include/kernel/yosys_common.h share/include/kernel/yw.h share/include/libs/ezsat/ezsat.h share/include/libs/ezsat/ezminisat.h share/include/libs/fst/fstapi.h share/include/libs/sha1/sha1.h share/include/libs/json11/json11.hpp share/include/passes/fsm/fsmdata.h share/include/frontends/ast/ast.h share/include/frontends/ast/ast_binding.h share/include/frontends/blif/blifparse.h share/include/backends/rtlil/rtlil_backend.h share/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl.h share/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl_vcd.h share/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl_time.h share/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl_replay.h share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.cc share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.h share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi_vcd.cc share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi_vcd.h share/python3/smtio.py share/python3/ywio.py share/xilinx/cells_map.v share/xilinx/cells_sim.v share/xilinx/cells_xtra.v share/xilinx/lutrams_xcv.txt share/xilinx/lutrams_xcv_map.v share/xilinx/lutrams_xc5v.txt share/xilinx/lutrams_xcu.txt share/xilinx/lutrams_xc5v_map.v share/xilinx/brams_xcv.txt share/xilinx/brams_xcv_map.v share/xilinx/brams_defs.vh share/xilinx/brams_xc2v.txt share/xilinx/brams_xc2v_map.v share/xilinx/brams_xc3sda.txt share/xilinx/brams_xc3sda_map.v share/xilinx/brams_xc4v.txt share/xilinx/brams_xc4v_map.v share/xilinx/brams_xc5v_map.v share/xilinx/brams_xc6v_map.v share/xilinx/brams_xcu_map.v share/xilinx/urams.txt share/xilinx/urams_map.v share/xilinx/arith_map.v share/xilinx/ff_map.v share/xilinx/lut_map.v share/xilinx/mux_map.v share/xilinx/xc3s_mult_map.v share/xilinx/xc3sda_dsp_map.v share/xilinx/xc6s_dsp_map.v share/xilinx/xc4v_dsp_map.v share/xilinx/xc5v_dsp_map.v share/xilinx/xc7_dsp_map.v share/xilinx/xcu_dsp_map.v share/xilinx/abc9_model.v share/gowin/cells_map.v share/gowin/cells_sim.v share/gowin/cells_xtra.v share/gowin/arith_map.v share/gowin/brams_map.v share/gowin/brams.txt share/gowin/lutrams_map.v share/gowin/lutrams.txt share/fabulous/cells_map.v share/fabulous/prims.v share/fabulous/latches_map.v share/fabulous/ff_map.v share/fabulous/ram_regfile.txt share/fabulous/regfile_map.v share/fabulous/io_map.v share/fabulous/arith_map.v share/ice40/arith_map.v share/ice40/cells_map.v share/ice40/ff_map.v share/ice40/cells_sim.v share/ice40/latches_map.v share/ice40/brams.txt share/ice40/brams_map.v share/ice40/spram.txt share/ice40/spram_map.v share/ice40/dsp_map.v share/ice40/abc9_model.v share/nexus/cells_map.v share/nexus/cells_sim.v share/nexus/parse_init.vh share/nexus/cells_xtra.v share/nexus/lutrams_map.v share/nexus/lutrams.txt share/nexus/brams_map.v share/nexus/brams.txt share/nexus/lrams_map.v share/nexus/lrams.txt share/nexus/arith_map.v share/nexus/latches_map.v share/nexus/dsp_map.v share/lattice/cells_ff.vh share/lattice/cells_io.vh share/lattice/cells_map.v share/lattice/common_sim.vh share/lattice/ccu2d_sim.vh share/lattice/ccu2c_sim.vh share/lattice/cells_sim_ecp5.v share/lattice/cells_sim_xo2.v share/lattice/cells_sim_xo3.v share/lattice/cells_sim_xo3d.v share/lattice/cells_bb_ecp5.v share/lattice/cells_bb_xo2.v share/lattice/cells_bb_xo3.v share/lattice/cells_bb_xo3d.v share/lattice/lutrams_map.v share/lattice/lutrams.txt share/lattice/brams_map_16kd.v share/lattice/brams_16kd.txt share/lattice/brams_map_8kc.v share/lattice/brams_8kc.txt share/lattice/arith_map_ccu2c.v share/lattice/arith_map_ccu2d.v share/lattice/latches_map.v share/lattice/dsp_map_18x18.v share/intel/common/m9k_bb.v share/intel/common/altpll_bb.v share/intel/common/brams_m9k.txt share/intel/common/brams_map_m9k.v share/intel/common/ff_map.v share/intel/max10/cells_sim.v share/intel/cyclone10lp/cells_sim.v share/intel/cycloneiv/cells_sim.v share/intel/cycloneive/cells_sim.v share/intel/max10/cells_map.v share/intel/cyclone10lp/cells_map.v share/intel/cycloneiv/cells_map.v share/intel/cycloneive/cells_map.v share/ecp5/cells_ff.vh share/ecp5/cells_io.vh share/ecp5/cells_map.v share/ecp5/cells_sim.v share/ecp5/cells_bb.v share/ecp5/lutrams_map.v share/ecp5/lutrams.txt share/ecp5/brams_map.v share/ecp5/brams.txt share/ecp5/arith_map.v share/ecp5/latches_map.v share/ecp5/dsp_map.v share/coolrunner2/cells_latch.v share/coolrunner2/cells_sim.v share/coolrunner2/cells_counter_map.v share/coolrunner2/tff_extract.v share/coolrunner2/xc2_dff.lib share/efinix/cells_map.v share/efinix/arith_map.v share/efinix/cells_sim.v share/efinix/brams_map.v share/efinix/gbuf_map.v share/efinix/brams.txt share/nanoxplore/arith_map.v share/nanoxplore/brams_init.vh share/nanoxplore/brams_map.v share/nanoxplore/brams.txt share/nanoxplore/cells_bb.v share/nanoxplore/cells_bb_l.v share/nanoxplore/cells_bb_m.v share/nanoxplore/cells_bb_u.v share/nanoxplore/cells_map.v share/nanoxplore/cells_sim.v share/nanoxplore/cells_sim_l.v share/nanoxplore/cells_sim_m.v share/nanoxplore/cells_sim_u.v share/nanoxplore/cells_wrap.v share/nanoxplore/cells_wrap_l.v share/nanoxplore/cells_wrap_m.v share/nanoxplore/cells_wrap_u.v share/nanoxplore/io_map.v share/nanoxplore/latches_map.v share/nanoxplore/rf_init.vh share/nanoxplore/rf_rams_l.txt share/nanoxplore/rf_rams_m.txt share/nanoxplore/rf_rams_u.txt share/nanoxplore/rf_rams_map_l.v share/nanoxplore/rf_rams_map_m.v share/nanoxplore/rf_rams_map_u.v share/gatemate/reg_map.v share/gatemate/mux_map.v share/gatemate/lut_map.v share/gatemate/mul_map.v share/gatemate/arith_map.v share/gatemate/cells_sim.v share/gatemate/cells_bb.v share/gatemate/brams_map.v share/gatemate/brams.txt share/gatemate/brams_init_20.vh share/gatemate/brams_init_40.vh share/gatemate/inv_map.v share/gatemate/lut_tree_cells.genlib share/gatemate/lut_tree_map.v share/anlogic/cells_map.v share/anlogic/arith_map.v share/anlogic/cells_sim.v share/anlogic/eagle_bb.v share/anlogic/lutrams.txt share/anlogic/lutrams_map.v share/anlogic/brams.txt share/anlogic/brams_map.v share/microchip/arith_map.v share/microchip/cells_map.v share/microchip/cells_sim.v share/microchip/polarfire_dsp_map.v share/microchip/brams_defs.vh share/microchip/LSRAM_map.v share/microchip/LSRAM.txt share/microchip/uSRAM_map.v share/microchip/uSRAM.txt share/achronix/speedster22i/cells_sim.v share/achronix/speedster22i/cells_map.v share/simlib.v share/simcells.v share/techmap.v share/smtmap.v share/pmux2mux.v share/adff2dff.v share/dff2ff.v share/gate2lut.v share/cmp2lut.v share/cells.lib share/mul2dsp.v share/abc9_model.v share/abc9_map.v share/abc9_unmap.v share/cmp2lcu.v share/cmp2softlogic.v share/choices/kogge-stone.v share/greenpak4/cells_blackbox.v share/greenpak4/cells_latch.v share/greenpak4/cells_map.v share/greenpak4/cells_sim.v share/greenpak4/cells_sim_ams.v share/greenpak4/cells_sim_digital.v share/greenpak4/cells_sim_wip.v share/greenpak4/gp_dff.lib share/quicklogic/common/cells_sim.v share/quicklogic/pp3/ffs_map.v share/quicklogic/pp3/lut_map.v share/quicklogic/pp3/latches_map.v share/quicklogic/pp3/cells_map.v share/quicklogic/pp3/cells_sim.v share/quicklogic/pp3/abc9_model.v share/quicklogic/pp3/abc9_map.v share/quicklogic/pp3/abc9_unmap.v share/quicklogic/qlf_k6n10f/arith_map.v share/quicklogic/qlf_k6n10f/libmap_brams.txt share/quicklogic/qlf_k6n10f/libmap_brams_map.v share/quicklogic/qlf_k6n10f/brams_map.v share/quicklogic/qlf_k6n10f/brams_sim.v share/quicklogic/qlf_k6n10f/bram_types_sim.v share/quicklogic/qlf_k6n10f/cells_sim.v share/quicklogic/qlf_k6n10f/ffs_map.v share/quicklogic/qlf_k6n10f/dsp_sim.v share/quicklogic/qlf_k6n10f/dsp_map.v share/quicklogic/qlf_k6n10f/dsp_final_map.v share/quicklogic/qlf_k6n10f/TDP18K_FIFO.v share/quicklogic/qlf_k6n10f/ufifo_ctl.v share/quicklogic/qlf_k6n10f/sram1024x18_mem.v share/intel_alm/common/abc9_map.v share/intel_alm/common/abc9_unmap.v share/intel_alm/common/abc9_model.v share/intel_alm/common/alm_map.v share/intel_alm/common/alm_sim.v share/intel_alm/common/arith_alm_map.v share/intel_alm/common/dff_map.v share/intel_alm/common/dff_sim.v share/intel_alm/common/dsp_sim.v share/intel_alm/common/dsp_map.v share/intel_alm/common/mem_sim.v share/intel_alm/common/misc_sim.v share/intel_alm/cyclonev/cells_sim.v share/intel_alm/common/bram_m10k.txt share/intel_alm/common/bram_m10k_map.v share/intel_alm/common/lutram_mlab.txt share/intel_alm/common/megafunction_bb.v share/sf2/arith_map.v share/sf2/cells_map.v share/sf2/cells_sim.v passes/techmap/filterlib.o passes/pmgen/test_pmgen_pm.h passes/pmgen/ice40_dsp_pm.h passes/pmgen/ice40_wrapcarry_pm.h passes/pmgen/xilinx_dsp_pm.h passes/pmgen/xilinx_dsp48a_pm.h passes/pmgen/xilinx_dsp_CREG_pm.h passes/pmgen/xilinx_dsp_cascade_pm.h passes/pmgen/microchip_dsp_pm.h passes/pmgen/microchip_dsp_CREG_pm.h passes/pmgen/microchip_dsp_cascade_pm.h passes/pmgen/peepopt_pm.h passes/pmgen/xilinx_srl_pm.h techlibs/gatemate/lut_tree_lib.mk techlibs/quicklogic/ql_dsp_macc_pm.h .cc rm -f kernel/version_*.o kernel/version_*.cc rm -f libs/*/*.d frontends/*/*.d passes/*/*.d backends/*/*.d kernel/*.d techlibs/*/*.d rm -rf tests/asicworld/*.out tests/asicworld/*.log rm -rf tests/hana/*.out tests/hana/*.log rm -rf tests/simple/*.out tests/simple/*.log rm -rf tests/memories/*.out tests/memories/*.log tests/memories/*.dmp rm -rf tests/sat/*.log tests/techmap/*.log tests/various/*.log rm -rf tests/bram/temp tests/fsm/temp tests/realmath/temp tests/share/temp tests/smv/temp tests/various/temp rm -rf vloghtb/Makefile vloghtb/refdat vloghtb/rtl vloghtb/scripts vloghtb/spec vloghtb/check_yosys vloghtb/vloghammer_tb.tar.bz2 vloghtb/temp vloghtb/log_test_* rm -f tests/svinterfaces/*.log_stdout tests/svinterfaces/*.log_stderr tests/svinterfaces/dut_result.txt tests/svinterfaces/reference_result.txt tests/svinterfaces/a.out tests/svinterfaces/*_syn.v tests/svinterfaces/*.diff rm -f tests/tools/cmp_tbdata make -C docs clean make[1]: Entering directory '/builddir/build/BUILD/yosys/docs' make -C source/code_examples/show clean make[2]: Entering directory '/builddir/build/BUILD/yosys/docs/source/code_examples/show' rm -rf *.dot rm -f example.out make[2]: Leaving directory '/builddir/build/BUILD/yosys/docs/source/code_examples/show' make -C source/code_examples/extensions clean make[2]: Entering directory '/builddir/build/BUILD/yosys/docs/source/code_examples/extensions' rm -f *.d *.so *.dot make[2]: Leaving directory '/builddir/build/BUILD/yosys/docs/source/code_examples/extensions' make -C source/code_examples/opt clean make[2]: Entering directory '/builddir/build/BUILD/yosys/docs/source/code_examples/opt' rm -f *.dot make[2]: Leaving directory '/builddir/build/BUILD/yosys/docs/source/code_examples/opt' make -C source/code_examples/techmap clean make[2]: Entering directory '/builddir/build/BUILD/yosys/docs/source/code_examples/techmap' rm -f *.dot make[2]: Leaving directory '/builddir/build/BUILD/yosys/docs/source/code_examples/techmap' make -C source/code_examples/scrambler clean make[2]: Entering directory '/builddir/build/BUILD/yosys/docs/source/code_examples/scrambler' rm -f *.dot make[2]: Leaving directory '/builddir/build/BUILD/yosys/docs/source/code_examples/scrambler' make -C source/code_examples/macc clean make[2]: Entering directory '/builddir/build/BUILD/yosys/docs/source/code_examples/macc' rm -f *.dot make[2]: Leaving directory '/builddir/build/BUILD/yosys/docs/source/code_examples/macc' make -C source/code_examples/fifo clean make[2]: Entering directory '/builddir/build/BUILD/yosys/docs/source/code_examples/fifo' rm -f *.dot rm -f fifo.out fifo.stat make[2]: Leaving directory '/builddir/build/BUILD/yosys/docs/source/code_examples/fifo' make -C source/code_examples/stubnets clean make[2]: Entering directory '/builddir/build/BUILD/yosys/docs/source/code_examples/stubnets' rm -f test1.log test2.log test3.log rm -f stubnets.so stubnets.d make[2]: Leaving directory '/builddir/build/BUILD/yosys/docs/source/code_examples/stubnets' make -C source/code_examples/intro clean make[2]: Entering directory '/builddir/build/BUILD/yosys/docs/source/code_examples/intro' rm -f *.dot make[2]: Leaving directory '/builddir/build/BUILD/yosys/docs/source/code_examples/intro' make -C source/code_examples/selections clean make[2]: Entering directory '/builddir/build/BUILD/yosys/docs/source/code_examples/selections' rm -rf *.dot rm -f sumprod.out make[2]: Leaving directory '/builddir/build/BUILD/yosys/docs/source/code_examples/selections' make -C source/code_examples/synth_flow clean make[2]: Entering directory '/builddir/build/BUILD/yosys/docs/source/code_examples/synth_flow' rm -f *.dot make[2]: Leaving directory '/builddir/build/BUILD/yosys/docs/source/code_examples/synth_flow' rm -rf build/* rm -rf source/cmd util/__pycache__ rm -rf source/generated make -C source/_images clean make[2]: Entering directory '/builddir/build/BUILD/yosys/docs/source/_images' rm -f **/*.log **/*.aux rm -rf code_examples rm -f **/*.pdf **/*.svg make[2]: Leaving directory '/builddir/build/BUILD/yosys/docs/source/_images' make[1]: Leaving directory '/builddir/build/BUILD/yosys/docs' make -C docs/images clean make[1]: *** docs/images: No such file or directory. Stop. rm -rf docs/source/cmd docs/util/__pycache__ make: [Makefile:1044: clean] Error 2 (ignored) echo 'CONFIG := gcc' > Makefile.conf + CFLAGS='-O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection' + export CFLAGS + CXXFLAGS='-O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection' + export CXXFLAGS + FFLAGS='-O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -I/usr/lib64/gfortran/modules' + export FFLAGS + FCFLAGS='-O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -I/usr/lib64/gfortran/modules' + export FCFLAGS + LDFLAGS='-Wl,-z,relro -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld' + export LDFLAGS + make -j4 PREFIX=/usr ABCEXTERNAL=/usr/bin/abc PRETTY=0 all [Makefile.conf] CONFIG := gcc rm -f kernel/version_*.o kernel/version_*.d kernel/version_*.cc mkdir -p kernel/ mkdir -p techlibs/common g++ -o kernel/driver.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/driver.cc mkdir -p techlibs/common mkdir -p kernel && echo "namespace Yosys { extern const char *yosys_version_str; const char *yosys_version_str=\"Yosys 0.46+0 (git sha1 a5968e404, g++ 8.5.0 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -O3)\"; }" > kernel/version_a5968e404.cc python3 techlibs/common/cellhelp.py techlibs/common/simlib.v > techlibs/common/simlib_help.inc.new python3 techlibs/common/cellhelp.py techlibs/common/simcells.v > techlibs/common/simcells_help.inc.new mkdir -p kernel/ g++ -o kernel/rtlil.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/rtlil.cc mv techlibs/common/simlib_help.inc.new techlibs/common/simlib_help.inc mkdir -p kernel/ g++ -o kernel/log.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYOSYS_SRC='"./"' kernel/log.cc mv techlibs/common/simcells_help.inc.new techlibs/common/simcells_help.inc mkdir -p kernel/ g++ -o kernel/calc.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/calc.cc mkdir -p kernel/ g++ -o kernel/yosys.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYOSYS_DATDIR='"/usr/share/yosys"' -DYOSYS_PROGRAM_PREFIX='""' -DABCEXTERNAL='"/usr/bin/abc"' kernel/yosys.cc mkdir -p kernel/ g++ -o kernel/binding.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/binding.cc mkdir -p kernel/ g++ -o kernel/cellaigs.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/cellaigs.cc mkdir -p kernel/ g++ -o kernel/celledges.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/celledges.cc mkdir -p kernel/ g++ -o kernel/cost.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/cost.cc mkdir -p kernel/ g++ -o kernel/satgen.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/satgen.cc mkdir -p kernel/ g++ -o kernel/scopeinfo.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/scopeinfo.cc mkdir -p kernel/ g++ -o kernel/qcsat.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/qcsat.cc mkdir -p kernel/ g++ -o kernel/mem.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/mem.cc mkdir -p kernel/ g++ -o kernel/ffmerge.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/ffmerge.cc mkdir -p kernel/ g++ -o kernel/ff.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/ff.cc mkdir -p kernel/ g++ -o kernel/yw.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/yw.cc mkdir -p kernel/ g++ -o kernel/json.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/json.cc mkdir -p kernel/ g++ -o kernel/fmt.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/fmt.cc mkdir -p kernel/ g++ -o kernel/sexpr.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/sexpr.cc mkdir -p kernel/ g++ -o kernel/drivertools.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/drivertools.cc mkdir -p kernel/ g++ -o kernel/functional.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/functional.cc mkdir -p kernel/ g++ -o kernel/fstdata.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/fstdata.cc In file included from ./kernel/yosys_common.h:160, from ./kernel/rtlil.h:23, from ./kernel/drivertools.h:25, from kernel/drivertools.cc:20: ./kernel/hashlib.h: In member function 'unsigned int Yosys::DriveBit::hash() const': ./kernel/hashlib.h:30:26: warning: 'inner' may be used uninitialized in this function [-Wmaybe-uninitialized] return ((a << 5) + a) ^ b; ^ In file included from kernel/drivertools.cc:20: ./kernel/drivertools.h:367:16: note: 'inner' was declared here unsigned int inner; ^~~~~ In file included from ./kernel/yosys_common.h:160, from ./kernel/rtlil.h:23, from ./kernel/drivertools.h:25, from kernel/drivertools.cc:20: ./kernel/hashlib.h: In member function 'unsigned int Yosys::DriveChunk::hash() const': ./kernel/hashlib.h:30:26: warning: 'inner' may be used uninitialized in this function [-Wmaybe-uninitialized] return ((a << 5) + a) ^ b; ^ In file included from kernel/drivertools.cc:20: ./kernel/drivertools.h:915:16: note: 'inner' was declared here unsigned int inner; ^~~~~ mkdir -p libs/bigint/ g++ -o libs/bigint/BigIntegerAlgorithms.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigIntegerAlgorithms.cc mkdir -p libs/bigint/ g++ -o libs/bigint/BigInteger.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigInteger.cc In file included from ./kernel/yosys_common.h:160, from ./kernel/yosys.h:42, from ./kernel/functional.h:24, from kernel/functional.cc:21: ./kernel/hashlib.h: In member function 'unsigned int Yosys::DriveBit::hash() const': ./kernel/hashlib.h:30:26: warning: 'inner' may be used uninitialized in this function [-Wmaybe-uninitialized] return ((a << 5) + a) ^ b; ^ In file included from ./kernel/functional.h:26, from kernel/functional.cc:21: ./kernel/drivertools.h:367:16: note: 'inner' was declared here unsigned int inner; ^~~~~ In file included from ./kernel/yosys_common.h:160, from ./kernel/yosys.h:42, from ./kernel/functional.h:24, from kernel/functional.cc:21: ./kernel/hashlib.h: In member function 'unsigned int Yosys::DriveChunk::hash() const': ./kernel/hashlib.h:30:26: warning: 'inner' may be used uninitialized in this function [-Wmaybe-uninitialized] return ((a << 5) + a) ^ b; ^ In file included from ./kernel/functional.h:26, from kernel/functional.cc:21: ./kernel/drivertools.h:915:16: note: 'inner' was declared here unsigned int inner; ^~~~~ mkdir -p libs/bigint/ g++ -o libs/bigint/BigIntegerUtils.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigIntegerUtils.cc mkdir -p libs/bigint/ g++ -o libs/bigint/BigUnsigned.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigUnsigned.cc mkdir -p libs/bigint/ g++ -o libs/bigint/BigUnsignedInABase.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigUnsignedInABase.cc mkdir -p libs/sha1/ g++ -o libs/sha1/sha1.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/sha1/sha1.cpp mkdir -p libs/json11/ g++ -o libs/json11/json11.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/json11/json11.cpp mkdir -p libs/ezsat/ g++ -o libs/ezsat/ezsat.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/ezsat/ezsat.cc mkdir -p libs/ezsat/ g++ -o libs/ezsat/ezminisat.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/ezsat/ezminisat.cc mkdir -p libs/minisat/ g++ -o libs/minisat/Options.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/Options.cc mkdir -p libs/minisat/ g++ -o libs/minisat/SimpSolver.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/SimpSolver.cc mkdir -p libs/minisat/ g++ -o libs/minisat/Solver.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/Solver.cc mkdir -p libs/minisat/ g++ -o libs/minisat/System.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/System.cc mkdir -p libs/fst/ g++ -o libs/fst/fstapi.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/fst/fstapi.cc mkdir -p libs/fst/ g++ -o libs/fst/fastlz.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/fst/fastlz.cc mkdir -p libs/fst/ g++ -o libs/fst/lz4.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/fst/lz4.cc mkdir -p libs/subcircuit/ g++ -o libs/subcircuit/subcircuit.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/subcircuit/subcircuit.cc mkdir -p frontends/aiger2/ g++ -o frontends/aiger2/xaiger.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/aiger2/xaiger.cc mkdir -p frontends/aiger/ g++ -o frontends/aiger/aigerparse.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/aiger/aigerparse.cc frontends/aiger2/xaiger.cc: In member function 'void {anonymous}::Xaiger2Frontend::read_sc_mapping(std::istream*&, std::__cxx11::string, std::vector >, Yosys::RTLIL::Design*)': frontends/aiger2/xaiger.cc:201:15: warning: variable 'box_inputs' set but not used [-Wunused-but-set-variable] uint32_t box_inputs, box_outputs, box_id, box_seq; ^~~~~~~~~~ frontends/aiger2/xaiger.cc:201:40: warning: variable 'box_id' set but not used [-Wunused-but-set-variable] uint32_t box_inputs, box_outputs, box_id, box_seq; ^~~~~~ frontends/aiger2/xaiger.cc:340:27: warning: variable 'box_outputs' set but not used [-Wunused-but-set-variable] uint32_t box_inputs, box_outputs, box_id, box_seq; ^~~~~~~~~~~ frontends/aiger2/xaiger.cc:340:40: warning: variable 'box_id' set but not used [-Wunused-but-set-variable] uint32_t box_inputs, box_outputs, box_id, box_seq; ^~~~~~ mkdir -p frontends/rpc/ g++ -o frontends/rpc/rpc_frontend.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rpc/rpc_frontend.cc mkdir -p frontends/verific/ g++ -o frontends/verific/verific.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verific/verific.cc mkdir -p frontends/json/ g++ -o frontends/json/jsonparse.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/json/jsonparse.cc mkdir -p frontends/verilog/ bison -Wall -Werror -o frontends/verilog/verilog_parser.tab.cc -d -r all -b frontends/verilog/verilog_parser frontends/verilog/verilog_parser.y mkdir -p frontends/verilog/ g++ -o frontends/verilog/preproc.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/preproc.cc mkdir -p frontends/verilog/ g++ -o frontends/verilog/verilog_frontend.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/verilog_frontend.cc mkdir -p frontends/verilog/ g++ -o frontends/verilog/const2ast.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/const2ast.cc mkdir -p frontends/ast/ g++ -o frontends/ast/ast.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/ast.cc mkdir -p frontends/ast/ g++ -o frontends/ast/simplify.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/simplify.cc mkdir -p frontends/ast/ g++ -o frontends/ast/genrtlil.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/genrtlil.cc mkdir -p frontends/ast/ g++ -o frontends/ast/dpicall.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/dpicall.cc mkdir -p frontends/ast/ g++ -o frontends/ast/ast_binding.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/ast_binding.cc mkdir -p frontends/blif/ g++ -o frontends/blif/blifparse.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/blif/blifparse.cc mkdir -p frontends/liberty/ g++ -o frontends/liberty/liberty.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/liberty/liberty.cc mkdir -p frontends/rtlil/ bison -o frontends/rtlil/rtlil_parser.tab.cc -d -r all -b frontends/rtlil/rtlil_parser frontends/rtlil/rtlil_parser.y mkdir -p frontends/rtlil/ flex -o frontends/rtlil/rtlil_lexer.cc frontends/rtlil/rtlil_lexer.l mkdir -p frontends/rtlil/ g++ -o frontends/rtlil/rtlil_frontend.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rtlil/rtlil_frontend.cc mkdir -p passes/tests/ g++ -o passes/tests/test_autotb.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/tests/test_autotb.cc mkdir -p passes/tests/ g++ -o passes/tests/test_cell.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/tests/test_cell.cc mkdir -p passes/tests/ g++ -o passes/tests/test_abcloop.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/tests/test_abcloop.cc mkdir -p passes/sat/ g++ -o passes/sat/sat.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/sat.cc mkdir -p passes/sat/ g++ -o passes/sat/freduce.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/freduce.cc mkdir -p passes/sat/ g++ -o passes/sat/eval.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/eval.cc mkdir -p passes/sat/ g++ -o passes/sat/sim.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/sim.cc mkdir -p passes/sat/ g++ -o passes/sat/miter.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/miter.cc mkdir -p passes/sat/ g++ -o passes/sat/expose.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/expose.cc mkdir -p passes/sat/ g++ -o passes/sat/assertpmux.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/assertpmux.cc mkdir -p passes/sat/ g++ -o passes/sat/clk2fflogic.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/clk2fflogic.cc mkdir -p passes/sat/ g++ -o passes/sat/async2sync.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/async2sync.cc mkdir -p passes/sat/ g++ -o passes/sat/formalff.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/formalff.cc mkdir -p passes/sat/ g++ -o passes/sat/supercover.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/supercover.cc mkdir -p passes/sat/ g++ -o passes/sat/fmcombine.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/fmcombine.cc mkdir -p passes/sat/ g++ -o passes/sat/mutate.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/mutate.cc mkdir -p passes/sat/ g++ -o passes/sat/cutpoint.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/cutpoint.cc mkdir -p passes/sat/ g++ -o passes/sat/fminit.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/fminit.cc mkdir -p passes/sat/ g++ -o passes/sat/recover_names.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/recover_names.cc mkdir -p passes/sat/ g++ -o passes/sat/qbfsat.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/qbfsat.cc mkdir -p passes/sat/ g++ -o passes/sat/synthprop.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/synthprop.cc mkdir -p passes/opt/ g++ -o passes/opt/opt.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt.cc mkdir -p passes/opt/ g++ -o passes/opt/opt_merge.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_merge.cc mkdir -p passes/opt/ g++ -o passes/opt/opt_mem.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_mem.cc mkdir -p passes/opt/ g++ -o passes/opt/opt_mem_feedback.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_mem_feedback.cc mkdir -p passes/opt/ g++ -o passes/opt/opt_mem_priority.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_mem_priority.cc mkdir -p passes/opt/ g++ -o passes/opt/opt_mem_widen.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_mem_widen.cc mkdir -p passes/opt/ g++ -o passes/opt/opt_muxtree.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_muxtree.cc mkdir -p passes/opt/ g++ -o passes/opt/opt_reduce.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_reduce.cc mkdir -p passes/opt/ g++ -o passes/opt/opt_dff.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_dff.cc mkdir -p passes/opt/ g++ -o passes/opt/opt_share.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_share.cc mkdir -p passes/opt/ g++ -o passes/opt/opt_clean.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_clean.cc mkdir -p passes/opt/ g++ -o passes/opt/opt_expr.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_expr.cc mkdir -p passes/opt/ g++ -o passes/opt/share.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/share.cc mkdir -p passes/opt/ g++ -o passes/opt/wreduce.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/wreduce.cc mkdir -p passes/opt/ g++ -o passes/opt/opt_demorgan.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_demorgan.cc mkdir -p passes/opt/ g++ -o passes/opt/rmports.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/rmports.cc mkdir -p passes/opt/ g++ -o passes/opt/opt_lut.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_lut.cc mkdir -p passes/opt/ g++ -o passes/opt/opt_lut_ins.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_lut_ins.cc mkdir -p passes/opt/ g++ -o passes/opt/opt_ffinv.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_ffinv.cc mkdir -p passes/opt/ g++ -o passes/opt/pmux2shiftx.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/pmux2shiftx.cc mkdir -p passes/opt/ g++ -o passes/opt/muxpack.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/muxpack.cc mkdir -p passes/memory/ g++ -o passes/memory/memory.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory.cc mkdir -p passes/memory/ g++ -o passes/memory/memory_dff.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_dff.cc mkdir -p passes/memory/ g++ -o passes/memory/memory_share.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_share.cc mkdir -p passes/memory/ g++ -o passes/memory/memory_collect.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_collect.cc mkdir -p passes/memory/ g++ -o passes/memory/memory_unpack.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_unpack.cc mkdir -p passes/memory/ g++ -o passes/memory/memory_bram.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_bram.cc mkdir -p passes/memory/ g++ -o passes/memory/memory_map.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_map.cc mkdir -p passes/memory/ g++ -o passes/memory/memory_memx.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_memx.cc mkdir -p passes/memory/ g++ -o passes/memory/memory_nordff.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_nordff.cc mkdir -p passes/memory/ g++ -o passes/memory/memory_narrow.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_narrow.cc mkdir -p passes/memory/ g++ -o passes/memory/memory_libmap.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_libmap.cc mkdir -p passes/memory/ g++ -o passes/memory/memory_bmux2rom.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_bmux2rom.cc mkdir -p passes/memory/ g++ -o passes/memory/memlib.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memlib.cc mkdir -p passes/techmap/ g++ -o passes/techmap/flatten.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/flatten.cc mkdir -p passes/techmap/ g++ -o passes/techmap/techmap.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/techmap.cc mkdir -p passes/techmap/ g++ -o passes/techmap/simplemap.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/simplemap.cc mkdir -p passes/techmap/ g++ -o passes/techmap/dfflibmap.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dfflibmap.cc mkdir -p passes/techmap/ g++ -o passes/techmap/maccmap.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/maccmap.cc mkdir -p passes/techmap/ g++ -o passes/techmap/booth.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/booth.cc mkdir -p passes/techmap/ g++ -o passes/techmap/libparse.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/libparse.cc mkdir -p passes/techmap/ g++ -o passes/techmap/abc.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DABCEXTERNAL='"/usr/bin/abc"' passes/techmap/abc.cc mkdir -p passes/techmap/ g++ -o passes/techmap/abc9.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DABCEXTERNAL='"/usr/bin/abc"' passes/techmap/abc9.cc mkdir -p passes/techmap/ g++ -o passes/techmap/abc9_exe.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DABCEXTERNAL='"/usr/bin/abc"' passes/techmap/abc9_exe.cc mkdir -p passes/techmap/ g++ -o passes/techmap/abc9_ops.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/abc9_ops.cc mkdir -p passes/techmap/ g++ -o passes/techmap/abc_new.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DABCEXTERNAL='"/usr/bin/abc"' passes/techmap/abc_new.cc mkdir -p passes/techmap/ g++ -o passes/techmap/iopadmap.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/iopadmap.cc mkdir -p passes/techmap/ g++ -o passes/techmap/clkbufmap.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/clkbufmap.cc mkdir -p passes/techmap/ g++ -o passes/techmap/hilomap.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/hilomap.cc mkdir -p passes/techmap/ g++ -o passes/techmap/extract.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract.cc mkdir -p passes/techmap/ g++ -o passes/techmap/extract_fa.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract_fa.cc mkdir -p passes/techmap/ g++ -o passes/techmap/extract_counter.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract_counter.cc mkdir -p passes/techmap/ g++ -o passes/techmap/extract_reduce.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract_reduce.cc mkdir -p passes/techmap/ g++ -o passes/techmap/alumacc.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/alumacc.cc mkdir -p passes/techmap/ g++ -o passes/techmap/dffinit.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dffinit.cc mkdir -p passes/techmap/ g++ -o passes/techmap/pmuxtree.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/pmuxtree.cc mkdir -p passes/techmap/ g++ -o passes/techmap/bmuxmap.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/bmuxmap.cc mkdir -p passes/techmap/ g++ -o passes/techmap/demuxmap.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/demuxmap.cc mkdir -p passes/techmap/ g++ -o passes/techmap/bwmuxmap.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/bwmuxmap.cc mkdir -p passes/techmap/ g++ -o passes/techmap/muxcover.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/muxcover.cc mkdir -p passes/techmap/ g++ -o passes/techmap/aigmap.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/aigmap.cc mkdir -p passes/techmap/ g++ -o passes/techmap/tribuf.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/tribuf.cc mkdir -p passes/techmap/ g++ -o passes/techmap/lut2mux.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/lut2mux.cc mkdir -p passes/techmap/ g++ -o passes/techmap/nlutmap.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/nlutmap.cc mkdir -p passes/techmap/ g++ -o passes/techmap/shregmap.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/shregmap.cc mkdir -p passes/techmap/ g++ -o passes/techmap/deminout.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/deminout.cc mkdir -p passes/techmap/ g++ -o passes/techmap/insbuf.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/insbuf.cc mkdir -p passes/techmap/ g++ -o passes/techmap/bufnorm.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/bufnorm.cc mkdir -p passes/techmap/ g++ -o passes/techmap/attrmvcp.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/attrmvcp.cc mkdir -p passes/techmap/ g++ -o passes/techmap/attrmap.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/attrmap.cc mkdir -p passes/techmap/ g++ -o passes/techmap/zinit.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/zinit.cc mkdir -p passes/techmap/ g++ -o passes/techmap/dfflegalize.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dfflegalize.cc mkdir -p passes/techmap/ g++ -o passes/techmap/dffunmap.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dffunmap.cc mkdir -p passes/techmap/ g++ -o passes/techmap/flowmap.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/flowmap.cc mkdir -p passes/techmap/ g++ -o passes/techmap/extractinv.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extractinv.cc mkdir -p passes/techmap/ g++ -o passes/techmap/cellmatch.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/cellmatch.cc mkdir -p passes/techmap/ g++ -o passes/techmap/clockgate.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/clockgate.cc mkdir -p passes/pmgen/ && python3 passes/pmgen/pmgen.py -o passes/pmgen/test_pmgen_pm.h -p test_pmgen passes/pmgen/test_pmgen.pmg mkdir -p passes/pmgen/ && python3 passes/pmgen/pmgen.py -o passes/pmgen/ice40_dsp_pm.h -p ice40_dsp passes/pmgen/ice40_dsp.pmg mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/peepopt_pm.h -p peepopt passes/pmgen/peepopt_shiftmul_right.pmg passes/pmgen/peepopt_shiftmul_left.pmg passes/pmgen/peepopt_shiftadd.pmg passes/pmgen/peepopt_muldiv.pmg mkdir -p passes/pmgen/ && python3 passes/pmgen/pmgen.py -o passes/pmgen/xilinx_srl_pm.h -p xilinx_srl passes/pmgen/xilinx_srl.pmg mkdir -p passes/pmgen/ g++ -o passes/pmgen/ice40_dsp.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/ice40_dsp.cc mkdir -p passes/pmgen/ && python3 passes/pmgen/pmgen.py -o passes/pmgen/ice40_wrapcarry_pm.h -p ice40_wrapcarry passes/pmgen/ice40_wrapcarry.pmg mkdir -p passes/pmgen/ && python3 passes/pmgen/pmgen.py -o passes/pmgen/xilinx_dsp_pm.h -p xilinx_dsp passes/pmgen/xilinx_dsp.pmg mkdir -p passes/pmgen/ && python3 passes/pmgen/pmgen.py -o passes/pmgen/xilinx_dsp48a_pm.h -p xilinx_dsp48a passes/pmgen/xilinx_dsp48a.pmg mkdir -p passes/pmgen/ && python3 passes/pmgen/pmgen.py -o passes/pmgen/xilinx_dsp_CREG_pm.h -p xilinx_dsp_CREG passes/pmgen/xilinx_dsp_CREG.pmg mkdir -p passes/pmgen/ && python3 passes/pmgen/pmgen.py -o passes/pmgen/xilinx_dsp_cascade_pm.h -p xilinx_dsp_cascade passes/pmgen/xilinx_dsp_cascade.pmg mkdir -p passes/pmgen/ && python3 passes/pmgen/pmgen.py -o passes/pmgen/microchip_dsp_pm.h -p microchip_dsp passes/pmgen/microchip_dsp.pmg mkdir -p passes/pmgen/ && python3 passes/pmgen/pmgen.py -o passes/pmgen/microchip_dsp_CREG_pm.h -p microchip_dsp_CREG passes/pmgen/microchip_dsp_CREG.pmg mkdir -p passes/pmgen/ && python3 passes/pmgen/pmgen.py -o passes/pmgen/microchip_dsp_cascade_pm.h -p microchip_dsp_cascade passes/pmgen/microchip_dsp_cascade.pmg mkdir -p passes/pmgen/ g++ -o passes/pmgen/peepopt.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/peepopt.cc mkdir -p passes/pmgen/ g++ -o passes/pmgen/xilinx_srl.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/xilinx_srl.cc mkdir -p passes/cmds/ g++ -o passes/cmds/exec.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/exec.cc mkdir -p passes/cmds/ g++ -o passes/cmds/add.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/add.cc mkdir -p passes/cmds/ g++ -o passes/cmds/delete.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/delete.cc mkdir -p passes/cmds/ g++ -o passes/cmds/design.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/design.cc mkdir -p passes/cmds/ g++ -o passes/cmds/select.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/select.cc mkdir -p passes/cmds/ g++ -o passes/cmds/show.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/show.cc mkdir -p passes/cmds/ g++ -o passes/cmds/viz.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/viz.cc mkdir -p passes/cmds/ g++ -o passes/cmds/rename.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/rename.cc mkdir -p passes/cmds/ g++ -o passes/cmds/autoname.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/autoname.cc mkdir -p passes/cmds/ g++ -o passes/cmds/connect.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/connect.cc mkdir -p passes/cmds/ g++ -o passes/cmds/scatter.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/scatter.cc mkdir -p passes/cmds/ g++ -o passes/cmds/setundef.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/setundef.cc mkdir -p passes/cmds/ g++ -o passes/cmds/splitnets.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/splitnets.cc mkdir -p passes/cmds/ g++ -o passes/cmds/splitcells.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/splitcells.cc mkdir -p passes/cmds/ g++ -o passes/cmds/stat.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/stat.cc mkdir -p passes/cmds/ g++ -o passes/cmds/internal_stats.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/internal_stats.cc mkdir -p passes/cmds/ g++ -o passes/cmds/setattr.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/setattr.cc mkdir -p passes/cmds/ g++ -o passes/cmds/copy.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/copy.cc passes/cmds/internal_stats.cc: In member function 'virtual void {anonymous}::InternalStatsPass::execute(std::vector >, Yosys::RTLIL::Design*)': passes/cmds/internal_stats.cc:66:13: warning: '' may be used uninitialized in this function [-Wmaybe-uninitialized] return {}; ^ mkdir -p passes/cmds/ g++ -o passes/cmds/splice.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/splice.cc mkdir -p passes/cmds/ g++ -o passes/cmds/scc.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/scc.cc mkdir -p passes/cmds/ g++ -o passes/cmds/glift.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/glift.cc mkdir -p passes/cmds/ g++ -o passes/cmds/torder.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/torder.cc mkdir -p passes/cmds/ g++ -o passes/cmds/logcmd.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/logcmd.cc mkdir -p passes/cmds/ g++ -o passes/cmds/tee.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/tee.cc mkdir -p passes/cmds/ g++ -o passes/cmds/write_file.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/write_file.cc mkdir -p passes/cmds/ g++ -o passes/cmds/connwrappers.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/connwrappers.cc mkdir -p passes/cmds/ g++ -o passes/cmds/cover.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/cover.cc mkdir -p passes/cmds/ g++ -o passes/cmds/trace.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/trace.cc mkdir -p passes/cmds/ g++ -o passes/cmds/plugin.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/plugin.cc mkdir -p passes/cmds/ g++ -o passes/cmds/check.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/check.cc mkdir -p passes/cmds/ g++ -o passes/cmds/qwp.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/qwp.cc mkdir -p passes/cmds/ g++ -o passes/cmds/edgetypes.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/edgetypes.cc mkdir -p passes/cmds/ g++ -o passes/cmds/portlist.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/portlist.cc mkdir -p passes/cmds/ g++ -o passes/cmds/chformal.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/chformal.cc mkdir -p passes/cmds/ g++ -o passes/cmds/chtype.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/chtype.cc mkdir -p passes/cmds/ g++ -o passes/cmds/blackbox.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/blackbox.cc mkdir -p passes/cmds/ g++ -o passes/cmds/ltp.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/ltp.cc mkdir -p passes/cmds/ g++ -o passes/cmds/bugpoint.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/bugpoint.cc mkdir -p passes/cmds/ g++ -o passes/cmds/scratchpad.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/scratchpad.cc mkdir -p passes/cmds/ g++ -o passes/cmds/logger.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/logger.cc mkdir -p passes/cmds/ g++ -o passes/cmds/printattrs.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/printattrs.cc mkdir -p passes/cmds/ g++ -o passes/cmds/sta.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/sta.cc mkdir -p passes/cmds/ g++ -o passes/cmds/clean_zerowidth.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/clean_zerowidth.cc mkdir -p passes/cmds/ g++ -o passes/cmds/xprop.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/xprop.cc mkdir -p passes/cmds/ g++ -o passes/cmds/dft_tag.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/dft_tag.cc mkdir -p passes/cmds/ g++ -o passes/cmds/future.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/future.cc mkdir -p passes/cmds/ g++ -o passes/cmds/box_derive.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/box_derive.cc mkdir -p passes/cmds/ g++ -o passes/cmds/example_dt.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/example_dt.cc mkdir -p passes/hierarchy/ g++ -o passes/hierarchy/hierarchy.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/hierarchy/hierarchy.cc In file included from ./kernel/yosys_common.h:160, from ./kernel/yosys.h:42, from passes/cmds/example_dt.cc:1: ./kernel/hashlib.h: In member function 'unsigned int Yosys::DriveBit::hash() const': ./kernel/hashlib.h:30:26: warning: 'inner' may be used uninitialized in this function [-Wmaybe-uninitialized] return ((a << 5) + a) ^ b; ^ In file included from passes/cmds/example_dt.cc:2: ./kernel/drivertools.h:367:16: note: 'inner' was declared here unsigned int inner; ^~~~~ In file included from ./kernel/yosys_common.h:160, from ./kernel/yosys.h:42, from passes/cmds/example_dt.cc:1: ./kernel/hashlib.h: In member function 'unsigned int Yosys::DriveChunk::hash() const': ./kernel/hashlib.h:30:26: warning: 'inner' may be used uninitialized in this function [-Wmaybe-uninitialized] return ((a << 5) + a) ^ b; ^ In file included from passes/cmds/example_dt.cc:2: ./kernel/drivertools.h:915:16: note: 'inner' was declared here unsigned int inner; ^~~~~ mkdir -p passes/hierarchy/ g++ -o passes/hierarchy/uniquify.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/hierarchy/uniquify.cc mkdir -p passes/hierarchy/ g++ -o passes/hierarchy/submod.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/hierarchy/submod.cc mkdir -p passes/hierarchy/ g++ -o passes/hierarchy/keep_hierarchy.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/hierarchy/keep_hierarchy.cc mkdir -p passes/equiv/ g++ -o passes/equiv/equiv_make.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_make.cc mkdir -p passes/equiv/ g++ -o passes/equiv/equiv_miter.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_miter.cc mkdir -p passes/equiv/ g++ -o passes/equiv/equiv_simple.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_simple.cc mkdir -p passes/equiv/ g++ -o passes/equiv/equiv_status.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_status.cc mkdir -p passes/equiv/ g++ -o passes/equiv/equiv_add.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_add.cc mkdir -p passes/equiv/ g++ -o passes/equiv/equiv_remove.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_remove.cc mkdir -p passes/equiv/ g++ -o passes/equiv/equiv_induct.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_induct.cc mkdir -p passes/equiv/ g++ -o passes/equiv/equiv_struct.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_struct.cc mkdir -p passes/equiv/ g++ -o passes/equiv/equiv_purge.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_purge.cc mkdir -p passes/equiv/ g++ -o passes/equiv/equiv_mark.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_mark.cc mkdir -p passes/equiv/ g++ -o passes/equiv/equiv_opt.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_opt.cc mkdir -p passes/fsm/ g++ -o passes/fsm/fsm.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm.cc mkdir -p passes/fsm/ g++ -o passes/fsm/fsm_detect.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_detect.cc mkdir -p passes/fsm/ g++ -o passes/fsm/fsm_extract.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_extract.cc mkdir -p passes/fsm/ g++ -o passes/fsm/fsm_opt.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_opt.cc mkdir -p passes/fsm/ g++ -o passes/fsm/fsm_expand.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_expand.cc mkdir -p passes/fsm/ g++ -o passes/fsm/fsm_recode.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_recode.cc mkdir -p passes/fsm/ g++ -o passes/fsm/fsm_info.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_info.cc mkdir -p passes/fsm/ g++ -o passes/fsm/fsm_export.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_export.cc mkdir -p passes/fsm/ g++ -o passes/fsm/fsm_map.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_map.cc mkdir -p passes/proc/ g++ -o passes/proc/proc.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc.cc mkdir -p passes/proc/ g++ -o passes/proc/proc_prune.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_prune.cc mkdir -p passes/proc/ g++ -o passes/proc/proc_clean.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_clean.cc mkdir -p passes/proc/ g++ -o passes/proc/proc_rmdead.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_rmdead.cc mkdir -p passes/proc/ g++ -o passes/proc/proc_init.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_init.cc mkdir -p passes/proc/ g++ -o passes/proc/proc_arst.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_arst.cc mkdir -p passes/proc/ g++ -o passes/proc/proc_rom.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_rom.cc mkdir -p passes/proc/ g++ -o passes/proc/proc_mux.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_mux.cc mkdir -p passes/proc/ g++ -o passes/proc/proc_dlatch.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_dlatch.cc mkdir -p passes/proc/ g++ -o passes/proc/proc_dff.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_dff.cc mkdir -p passes/proc/ g++ -o passes/proc/proc_memwr.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_memwr.cc mkdir -p backends/firrtl/ g++ -o backends/firrtl/firrtl.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/firrtl/firrtl.cc mkdir -p backends/jny/ g++ -o backends/jny/jny.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/jny/jny.cc mkdir -p backends/simplec/ g++ -o backends/simplec/simplec.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/simplec/simplec.cc mkdir -p backends/edif/ g++ -o backends/edif/edif.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/edif/edif.cc mkdir -p backends/aiger2/ g++ -o backends/aiger2/aiger.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/aiger2/aiger.cc mkdir -p backends/cxxrtl/ g++ -o backends/cxxrtl/cxxrtl_backend.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/cxxrtl/cxxrtl_backend.cc backends/aiger2/aiger.cc: In member function 'Lit {anonymous}::Index::impl_op({anonymous}::Index::HierCursor&, Yosys::RTLIL::Cell*, Yosys::RTLIL::IdString, int) [with Writer = {anonymous}::XAigerAnalysis; Lit = int; Lit CFALSE = 0; Lit CTRUE = 0]': backends/aiger2/aiger.cc:273:33: warning: 'b' may be used uninitialized in this function [-Wmaybe-uninitialized] return XOR(carry, XNOR(a, b)); ^ backends/aiger2/aiger.cc:273:33: warning: 'a' may be used uninitialized in this function [-Wmaybe-uninitialized] mkdir -p backends/aiger/ g++ -o backends/aiger/aiger.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/aiger/aiger.cc backends/aiger2/aiger.cc: In member function 'Lit {anonymous}::Index::impl_op({anonymous}::Index::HierCursor&, Yosys::RTLIL::Cell*, Yosys::RTLIL::IdString, int) [with Writer = {anonymous}::AigerWriter; Lit = unsigned int; Lit CFALSE = 0; Lit CTRUE = 1]': backends/aiger2/aiger.cc:202:48: warning: 'b' may be used uninitialized in this function [-Wmaybe-uninitialized] return NOT(OR(AND(a, NOT(b)), AND(NOT(a), b))); ^ backends/aiger2/aiger.cc:265:12: note: 'b' was declared here Lit a, b; ^ backends/aiger2/aiger.cc:672:16: warning: 'a' may be used uninitialized in this function [-Wmaybe-uninitialized] return lit ^ 1; ^ backends/aiger2/aiger.cc:265:9: note: 'a' was declared here Lit a, b; ^ mkdir -p backends/aiger/ g++ -o backends/aiger/xaiger.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/aiger/xaiger.cc mkdir -p backends/smt2/ g++ -o backends/smt2/smt2.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/smt2/smt2.cc mkdir -p backends/table/ g++ -o backends/table/table.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/table/table.cc mkdir -p backends/btor/ g++ -o backends/btor/btor.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/btor/btor.cc mkdir -p backends/intersynth/ g++ -o backends/intersynth/intersynth.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/intersynth/intersynth.cc mkdir -p backends/json/ g++ -o backends/json/json.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/json/json.cc mkdir -p backends/verilog/ g++ -o backends/verilog/verilog_backend.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/verilog/verilog_backend.cc mkdir -p backends/smv/ g++ -o backends/smv/smv.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/smv/smv.cc mkdir -p backends/functional/ g++ -o backends/functional/cxx.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/functional/cxx.cc In file included from backends/functional/cxx.cc:22: ./kernel/functional.h: In instantiation of 'void Yosys::Functional::Writer::print_with(Fn, const char*, Args&& ...) [with Fn = {anonymous}::CxxModule::write_eval_def({anonymous}::CxxWriter&)::; Args = {std::__cxx11::basic_string, std::allocator >}]': backends/functional/cxx.cc:123:3: required from 'void {anonymous}::CxxPrintVisitor::print(const char*, Args&& ...) [with Args = {std::__cxx11::basic_string, std::allocator >}; NodePrinter = {anonymous}::CxxModule::write_eval_def({anonymous}::CxxWriter&)::]' backends/functional/cxx.cc:153:61: required from 'void {anonymous}::CxxPrintVisitor::constant({anonymous}::CxxPrintVisitor::Node, const Yosys::RTLIL::Const&) [with NodePrinter = {anonymous}::CxxModule::write_eval_def({anonymous}::CxxWriter&)::; {anonymous}::CxxPrintVisitor::Node = Yosys::Functional::Node]' ./kernel/functional.h:354:74: required from 'auto Yosys::Functional::Node::visit(Visitor) const [with Visitor = {anonymous}::CxxPrintVisitor<{anonymous}::CxxModule::write_eval_def({anonymous}::CxxWriter&):: >]' backends/functional/cxx.cc:230:27: required from here ./kernel/functional.h:626:22: warning: parameter 'fn' set but not used [-Wunused-but-set-parameter] void print_with(Fn fn, const char *fmt, Args&&... args) ~~~^~ mkdir -p backends/functional/ g++ -o backends/functional/smtlib.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/functional/smtlib.cc mkdir -p backends/functional/ g++ -o backends/functional/smtlib_rosette.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/functional/smtlib_rosette.cc mkdir -p backends/functional/ g++ -o backends/functional/test_generic.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/functional/test_generic.cc mkdir -p backends/blif/ g++ -o backends/blif/blif.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/blif/blif.cc mkdir -p backends/rtlil/ g++ -o backends/rtlil/rtlil_backend.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/rtlil/rtlil_backend.cc mkdir -p backends/spice/ g++ -o backends/spice/spice.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/spice/spice.cc mkdir -p techlibs/easic/ g++ -o techlibs/easic/synth_easic.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/easic/synth_easic.cc mkdir -p techlibs/xilinx/ g++ -o techlibs/xilinx/synth_xilinx.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/xilinx/synth_xilinx.cc mkdir -p techlibs/xilinx/ g++ -o techlibs/xilinx/xilinx_dffopt.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/xilinx/xilinx_dffopt.cc mkdir -p techlibs/gowin/ g++ -o techlibs/gowin/synth_gowin.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/gowin/synth_gowin.cc mkdir -p techlibs/fabulous/ mkdir -p techlibs/ice40/ g++ -o techlibs/fabulous/synth_fabulous.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/fabulous/synth_fabulous.cc g++ -o techlibs/ice40/synth_ice40.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/synth_ice40.cc mkdir -p techlibs/ice40/ g++ -o techlibs/ice40/ice40_braminit.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/ice40_braminit.cc mkdir -p techlibs/ice40/ g++ -o techlibs/ice40/ice40_opt.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/ice40_opt.cc mkdir -p techlibs/nexus/ g++ -o techlibs/nexus/synth_nexus.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/nexus/synth_nexus.cc mkdir -p techlibs/lattice/ g++ -o techlibs/lattice/synth_lattice.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/lattice/synth_lattice.cc mkdir -p techlibs/lattice/ g++ -o techlibs/lattice/lattice_gsr.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/lattice/lattice_gsr.cc mkdir -p techlibs/intel/ g++ -o techlibs/intel/synth_intel.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/intel/synth_intel.cc mkdir -p techlibs/ecp5/ g++ -o techlibs/ecp5/synth_ecp5.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ecp5/synth_ecp5.cc mkdir -p techlibs/coolrunner2/ g++ -o techlibs/coolrunner2/synth_coolrunner2.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/coolrunner2/synth_coolrunner2.cc mkdir -p techlibs/coolrunner2/ g++ -o techlibs/coolrunner2/coolrunner2_sop.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/coolrunner2/coolrunner2_sop.cc mkdir -p techlibs/coolrunner2/ g++ -o techlibs/coolrunner2/coolrunner2_fixup.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/coolrunner2/coolrunner2_fixup.cc mkdir -p techlibs/efinix/ g++ -o techlibs/efinix/synth_efinix.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/efinix/synth_efinix.cc mkdir -p techlibs/efinix/ g++ -o techlibs/efinix/efinix_fixcarry.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/efinix/efinix_fixcarry.cc mkdir -p techlibs/nanoxplore/ g++ -o techlibs/nanoxplore/synth_nanoxplore.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/nanoxplore/synth_nanoxplore.cc mkdir -p techlibs/nanoxplore/ g++ -o techlibs/nanoxplore/nx_carry.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/nanoxplore/nx_carry.cc mkdir -p techlibs/gatemate/ g++ -o techlibs/gatemate/synth_gatemate.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/gatemate/synth_gatemate.cc mkdir -p techlibs/gatemate/ g++ -o techlibs/gatemate/gatemate_foldinv.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/gatemate/gatemate_foldinv.cc mkdir -p techlibs/anlogic/ g++ -o techlibs/anlogic/synth_anlogic.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/anlogic/synth_anlogic.cc mkdir -p techlibs/anlogic/ g++ -o techlibs/anlogic/anlogic_eqn.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/anlogic/anlogic_eqn.cc mkdir -p techlibs/anlogic/ g++ -o techlibs/anlogic/anlogic_fixcarry.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/anlogic/anlogic_fixcarry.cc mkdir -p techlibs/microchip/ g++ -o techlibs/microchip/synth_microchip.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/microchip/synth_microchip.cc mkdir -p techlibs/microchip/ g++ -o techlibs/microchip/microchip_dffopt.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/microchip/microchip_dffopt.cc mkdir -p techlibs/achronix/ g++ -o techlibs/achronix/synth_achronix.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/achronix/synth_achronix.cc mkdir -p techlibs/common/ g++ -o techlibs/common/synth.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/common/synth.cc mkdir -p techlibs/common/ g++ -o techlibs/common/prep.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/common/prep.cc mkdir -p techlibs/greenpak4/ g++ -o techlibs/greenpak4/synth_greenpak4.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/greenpak4/synth_greenpak4.cc mkdir -p techlibs/greenpak4/ g++ -o techlibs/greenpak4/greenpak4_dffinv.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/greenpak4/greenpak4_dffinv.cc mkdir -p techlibs/quicklogic/ g++ -o techlibs/quicklogic/synth_quicklogic.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/quicklogic/synth_quicklogic.cc mkdir -p techlibs/quicklogic/ g++ -o techlibs/quicklogic/ql_bram_merge.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/quicklogic/ql_bram_merge.cc mkdir -p techlibs/quicklogic/ g++ -o techlibs/quicklogic/ql_bram_types.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/quicklogic/ql_bram_types.cc mkdir -p techlibs/quicklogic/ g++ -o techlibs/quicklogic/ql_dsp_simd.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/quicklogic/ql_dsp_simd.cc mkdir -p techlibs/quicklogic/ g++ -o techlibs/quicklogic/ql_dsp_io_regs.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/quicklogic/ql_dsp_io_regs.cc mkdir -p techlibs/quicklogic/ && python3 passes/pmgen/pmgen.py -o techlibs/quicklogic/ql_dsp_macc_pm.h -p ql_dsp_macc techlibs/quicklogic/ql_dsp_macc.pmg mkdir -p techlibs/intel_alm/ g++ -o techlibs/intel_alm/synth_intel_alm.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/intel_alm/synth_intel_alm.cc mkdir -p techlibs/sf2/ g++ -o techlibs/sf2/synth_sf2.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/sf2/synth_sf2.cc sed -e 's#@CXXFLAGS@#-O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I"/usr/share/yosys/include/yosys" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER#;' \ -e 's#@CXX@#g++#;' -e 's#@LINKFLAGS@#-rdynamic#;' -e 's#@LIBS@#-lstdc++ -lm -lrt -lreadline -lffi -ldl -lz -ltcl8.6 -ltclstub8.6#;' \ -e 's#@BINDIR@#/usr/bin#;' -e 's#@DATDIR@#/usr/share/yosys#;' < misc/yosys-config.in > yosys-config chmod +x yosys-config mkdir -p passes/techmap/ g++ -o passes/techmap/filterlib.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/filterlib.cc sed 's|##yosys-sys-path##|sys.path += [os.path.dirname(os.path.realpath(__file__)) + p for p in ["/share/python3", "/../share/yosys/python3"]]|;' < backends/smt2/smtbmc.py > yosys-smtbmc.new chmod +x yosys-smtbmc.new mv yosys-smtbmc.new yosys-smtbmc sed 's|##yosys-sys-path##|sys.path += [os.path.dirname(os.path.realpath(__file__)) + p for p in ["/share/python3", "/../share/yosys/python3"]]|;' < backends/smt2/witness.py > yosys-witness.new chmod +x yosys-witness.new mv yosys-witness.new yosys-witness mkdir -p share/include/kernel/ cp "./"/kernel/binding.h share/include/kernel/binding.h mkdir -p share/include/kernel/ cp "./"/kernel/bitpattern.h share/include/kernel/bitpattern.h mkdir -p share/include/kernel/ cp "./"/kernel/cellaigs.h share/include/kernel/cellaigs.h mkdir -p share/include/kernel/ cp "./"/kernel/celledges.h share/include/kernel/celledges.h mkdir -p share/include/kernel/ cp "./"/kernel/celltypes.h share/include/kernel/celltypes.h mkdir -p share/include/kernel/ cp "./"/kernel/consteval.h share/include/kernel/consteval.h mkdir -p share/include/kernel/ cp "./"/kernel/constids.inc share/include/kernel/constids.inc mkdir -p share/include/kernel/ cp "./"/kernel/cost.h share/include/kernel/cost.h mkdir -p share/include/kernel/ cp "./"/kernel/drivertools.h share/include/kernel/drivertools.h mkdir -p share/include/kernel/ cp "./"/kernel/ff.h share/include/kernel/ff.h mkdir -p share/include/kernel/ cp "./"/kernel/ffinit.h share/include/kernel/ffinit.h mkdir -p share/include/kernel/ cp "./"/kernel/ffmerge.h share/include/kernel/ffmerge.h mkdir -p share/include/kernel/ cp "./"/kernel/fmt.h share/include/kernel/fmt.h mkdir -p share/include/kernel/ cp "./"/kernel/fstdata.h share/include/kernel/fstdata.h mkdir -p share/include/kernel/ cp "./"/kernel/hashlib.h share/include/kernel/hashlib.h mkdir -p share/include/kernel/ cp "./"/kernel/json.h share/include/kernel/json.h mkdir -p share/include/kernel/ cp "./"/kernel/log.h share/include/kernel/log.h mkdir -p share/include/kernel/ cp "./"/kernel/macc.h share/include/kernel/macc.h mkdir -p share/include/kernel/ cp "./"/kernel/modtools.h share/include/kernel/modtools.h mkdir -p share/include/kernel/ cp "./"/kernel/mem.h share/include/kernel/mem.h mkdir -p share/include/kernel/ cp "./"/kernel/qcsat.h share/include/kernel/qcsat.h mkdir -p share/include/kernel/ cp "./"/kernel/register.h share/include/kernel/register.h mkdir -p share/include/kernel/ cp "./"/kernel/rtlil.h share/include/kernel/rtlil.h mkdir -p share/include/kernel/ cp "./"/kernel/satgen.h share/include/kernel/satgen.h mkdir -p share/include/kernel/ cp "./"/kernel/scopeinfo.h share/include/kernel/scopeinfo.h mkdir -p share/include/kernel/ cp "./"/kernel/sexpr.h share/include/kernel/sexpr.h mkdir -p share/include/kernel/ cp "./"/kernel/sigtools.h share/include/kernel/sigtools.h mkdir -p share/include/kernel/ cp "./"/kernel/timinginfo.h share/include/kernel/timinginfo.h mkdir -p share/include/kernel/ cp "./"/kernel/utils.h share/include/kernel/utils.h mkdir -p share/include/kernel/ cp "./"/kernel/yosys.h share/include/kernel/yosys.h mkdir -p share/include/kernel/ cp "./"/kernel/yosys_common.h share/include/kernel/yosys_common.h mkdir -p share/include/kernel/ cp "./"/kernel/yw.h share/include/kernel/yw.h mkdir -p share/include/libs/ezsat/ cp "./"/libs/ezsat/ezsat.h share/include/libs/ezsat/ezsat.h mkdir -p share/include/libs/ezsat/ cp "./"/libs/ezsat/ezminisat.h share/include/libs/ezsat/ezminisat.h mkdir -p share/include/libs/fst/ cp "./"/libs/fst/fstapi.h share/include/libs/fst/fstapi.h mkdir -p share/include/libs/sha1/ cp "./"/libs/sha1/sha1.h share/include/libs/sha1/sha1.h mkdir -p share/include/libs/json11/ cp "./"/libs/json11/json11.hpp share/include/libs/json11/json11.hpp mkdir -p share/include/passes/fsm/ cp "./"/passes/fsm/fsmdata.h share/include/passes/fsm/fsmdata.h mkdir -p share/include/frontends/ast/ cp "./"/frontends/ast/ast.h share/include/frontends/ast/ast.h mkdir -p share/include/frontends/ast/ cp "./"/frontends/ast/ast_binding.h share/include/frontends/ast/ast_binding.h mkdir -p share/include/frontends/blif/ cp "./"/frontends/blif/blifparse.h share/include/frontends/blif/blifparse.h mkdir -p share/include/backends/rtlil/ cp "./"/backends/rtlil/rtlil_backend.h share/include/backends/rtlil/rtlil_backend.h mkdir -p share/include/backends/cxxrtl/runtime/cxxrtl/ cp "./"/backends/cxxrtl/runtime/cxxrtl/cxxrtl.h share/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl.h mkdir -p share/include/backends/cxxrtl/runtime/cxxrtl/ cp "./"/backends/cxxrtl/runtime/cxxrtl/cxxrtl_vcd.h share/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl_vcd.h mkdir -p share/include/backends/cxxrtl/runtime/cxxrtl/ cp "./"/backends/cxxrtl/runtime/cxxrtl/cxxrtl_time.h share/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl_time.h mkdir -p share/include/backends/cxxrtl/runtime/cxxrtl/ cp "./"/backends/cxxrtl/runtime/cxxrtl/cxxrtl_replay.h share/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl_replay.h mkdir -p share/include/backends/cxxrtl/runtime/cxxrtl/capi/ cp "./"/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.cc share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.cc mkdir -p share/include/backends/cxxrtl/runtime/cxxrtl/capi/ cp "./"/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.h share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.h mkdir -p share/include/backends/cxxrtl/runtime/cxxrtl/capi/ cp "./"/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi_vcd.cc share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi_vcd.cc mkdir -p share/include/backends/cxxrtl/runtime/cxxrtl/capi/ cp "./"/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi_vcd.h share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi_vcd.h mkdir -p share/python3 cp "./"/backends/smt2/smtio.py share/python3/smtio.py mkdir -p share/python3 cp "./"/backends/smt2/ywio.py share/python3/ywio.py mkdir -p share/xilinx cp "./"/techlibs/xilinx/cells_map.v share/xilinx/cells_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/cells_sim.v share/xilinx/cells_sim.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/cells_xtra.v share/xilinx/cells_xtra.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/lutrams_xcv.txt share/xilinx/lutrams_xcv.txt mkdir -p share/xilinx cp "./"/techlibs/xilinx/lutrams_xcv_map.v share/xilinx/lutrams_xcv_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/lutrams_xc5v.txt share/xilinx/lutrams_xc5v.txt mkdir -p share/xilinx cp "./"/techlibs/xilinx/lutrams_xcu.txt share/xilinx/lutrams_xcu.txt mkdir -p share/xilinx cp "./"/techlibs/xilinx/lutrams_xc5v_map.v share/xilinx/lutrams_xc5v_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xcv.txt share/xilinx/brams_xcv.txt mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xcv_map.v share/xilinx/brams_xcv_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_defs.vh share/xilinx/brams_defs.vh mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xc2v.txt share/xilinx/brams_xc2v.txt mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xc2v_map.v share/xilinx/brams_xc2v_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xc3sda.txt share/xilinx/brams_xc3sda.txt mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xc3sda_map.v share/xilinx/brams_xc3sda_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xc4v.txt share/xilinx/brams_xc4v.txt mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xc4v_map.v share/xilinx/brams_xc4v_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xc5v_map.v share/xilinx/brams_xc5v_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xc6v_map.v share/xilinx/brams_xc6v_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xcu_map.v share/xilinx/brams_xcu_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/urams.txt share/xilinx/urams.txt mkdir -p share/xilinx cp "./"/techlibs/xilinx/urams_map.v share/xilinx/urams_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/arith_map.v share/xilinx/arith_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/ff_map.v share/xilinx/ff_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/lut_map.v share/xilinx/lut_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/mux_map.v share/xilinx/mux_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/xc3s_mult_map.v share/xilinx/xc3s_mult_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/xc3sda_dsp_map.v share/xilinx/xc3sda_dsp_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/xc6s_dsp_map.v share/xilinx/xc6s_dsp_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/xc4v_dsp_map.v share/xilinx/xc4v_dsp_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/xc5v_dsp_map.v share/xilinx/xc5v_dsp_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/xc7_dsp_map.v share/xilinx/xc7_dsp_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/xcu_dsp_map.v share/xilinx/xcu_dsp_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/abc9_model.v share/xilinx/abc9_model.v mkdir -p share/gowin cp "./"/techlibs/gowin/cells_map.v share/gowin/cells_map.v mkdir -p share/gowin cp "./"/techlibs/gowin/cells_sim.v share/gowin/cells_sim.v mkdir -p share/gowin cp "./"/techlibs/gowin/cells_xtra.v share/gowin/cells_xtra.v mkdir -p share/gowin cp "./"/techlibs/gowin/arith_map.v share/gowin/arith_map.v mkdir -p share/gowin cp "./"/techlibs/gowin/brams_map.v share/gowin/brams_map.v mkdir -p share/gowin cp "./"/techlibs/gowin/brams.txt share/gowin/brams.txt mkdir -p share/gowin cp "./"/techlibs/gowin/lutrams_map.v share/gowin/lutrams_map.v mkdir -p share/gowin cp "./"/techlibs/gowin/lutrams.txt share/gowin/lutrams.txt mkdir -p share/fabulous cp "./"/techlibs/fabulous/cells_map.v share/fabulous/cells_map.v mkdir -p share/fabulous cp "./"/techlibs/fabulous/prims.v share/fabulous/prims.v mkdir -p share/fabulous cp "./"/techlibs/fabulous/latches_map.v share/fabulous/latches_map.v mkdir -p share/fabulous cp "./"/techlibs/fabulous/ff_map.v share/fabulous/ff_map.v mkdir -p share/fabulous cp "./"/techlibs/fabulous/ram_regfile.txt share/fabulous/ram_regfile.txt mkdir -p share/fabulous cp "./"/techlibs/fabulous/regfile_map.v share/fabulous/regfile_map.v mkdir -p share/fabulous cp "./"/techlibs/fabulous/io_map.v share/fabulous/io_map.v mkdir -p share/fabulous cp "./"/techlibs/fabulous/arith_map.v share/fabulous/arith_map.v mkdir -p share/ice40 cp "./"/techlibs/ice40/arith_map.v share/ice40/arith_map.v mkdir -p share/ice40 cp "./"/techlibs/ice40/cells_map.v share/ice40/cells_map.v mkdir -p share/ice40 cp "./"/techlibs/ice40/ff_map.v share/ice40/ff_map.v mkdir -p share/ice40 cp "./"/techlibs/ice40/cells_sim.v share/ice40/cells_sim.v mkdir -p share/ice40 cp "./"/techlibs/ice40/latches_map.v share/ice40/latches_map.v mkdir -p share/ice40 cp "./"/techlibs/ice40/brams.txt share/ice40/brams.txt mkdir -p share/ice40 cp "./"/techlibs/ice40/brams_map.v share/ice40/brams_map.v mkdir -p share/ice40 cp "./"/techlibs/ice40/spram.txt share/ice40/spram.txt mkdir -p share/ice40 cp "./"/techlibs/ice40/spram_map.v share/ice40/spram_map.v mkdir -p share/ice40 cp "./"/techlibs/ice40/dsp_map.v share/ice40/dsp_map.v mkdir -p share/ice40 cp "./"/techlibs/ice40/abc9_model.v share/ice40/abc9_model.v mkdir -p share/nexus cp "./"/techlibs/nexus/cells_map.v share/nexus/cells_map.v mkdir -p share/nexus cp "./"/techlibs/nexus/cells_sim.v share/nexus/cells_sim.v mkdir -p share/nexus cp "./"/techlibs/nexus/parse_init.vh share/nexus/parse_init.vh mkdir -p share/nexus cp "./"/techlibs/nexus/cells_xtra.v share/nexus/cells_xtra.v mkdir -p share/nexus cp "./"/techlibs/nexus/lutrams_map.v share/nexus/lutrams_map.v mkdir -p share/nexus cp "./"/techlibs/nexus/lutrams.txt share/nexus/lutrams.txt mkdir -p share/nexus cp "./"/techlibs/nexus/brams_map.v share/nexus/brams_map.v mkdir -p share/nexus cp "./"/techlibs/nexus/brams.txt share/nexus/brams.txt mkdir -p share/nexus cp "./"/techlibs/nexus/lrams_map.v share/nexus/lrams_map.v mkdir -p share/nexus cp "./"/techlibs/nexus/lrams.txt share/nexus/lrams.txt mkdir -p share/nexus cp "./"/techlibs/nexus/arith_map.v share/nexus/arith_map.v mkdir -p share/nexus cp "./"/techlibs/nexus/latches_map.v share/nexus/latches_map.v mkdir -p share/nexus cp "./"/techlibs/nexus/dsp_map.v share/nexus/dsp_map.v mkdir -p share/lattice cp "./"/techlibs/lattice/cells_ff.vh share/lattice/cells_ff.vh mkdir -p share/lattice cp "./"/techlibs/lattice/cells_io.vh share/lattice/cells_io.vh mkdir -p share/lattice cp "./"/techlibs/lattice/cells_map.v share/lattice/cells_map.v mkdir -p share/lattice cp "./"/techlibs/lattice/common_sim.vh share/lattice/common_sim.vh mkdir -p share/lattice cp "./"/techlibs/lattice/ccu2d_sim.vh share/lattice/ccu2d_sim.vh mkdir -p share/lattice cp "./"/techlibs/lattice/ccu2c_sim.vh share/lattice/ccu2c_sim.vh mkdir -p share/lattice cp "./"/techlibs/lattice/cells_sim_ecp5.v share/lattice/cells_sim_ecp5.v mkdir -p share/lattice cp "./"/techlibs/lattice/cells_sim_xo2.v share/lattice/cells_sim_xo2.v mkdir -p share/lattice cp "./"/techlibs/lattice/cells_sim_xo3.v share/lattice/cells_sim_xo3.v mkdir -p share/lattice cp "./"/techlibs/lattice/cells_sim_xo3d.v share/lattice/cells_sim_xo3d.v mkdir -p share/lattice cp "./"/techlibs/lattice/cells_bb_ecp5.v share/lattice/cells_bb_ecp5.v mkdir -p share/lattice cp "./"/techlibs/lattice/cells_bb_xo2.v share/lattice/cells_bb_xo2.v mkdir -p share/lattice cp "./"/techlibs/lattice/cells_bb_xo3.v share/lattice/cells_bb_xo3.v mkdir -p share/lattice cp "./"/techlibs/lattice/cells_bb_xo3d.v share/lattice/cells_bb_xo3d.v mkdir -p share/lattice cp "./"/techlibs/lattice/lutrams_map.v share/lattice/lutrams_map.v mkdir -p share/lattice cp "./"/techlibs/lattice/lutrams.txt share/lattice/lutrams.txt mkdir -p share/lattice cp "./"/techlibs/lattice/brams_map_16kd.v share/lattice/brams_map_16kd.v mkdir -p share/lattice cp "./"/techlibs/lattice/brams_16kd.txt share/lattice/brams_16kd.txt mkdir -p share/lattice cp "./"/techlibs/lattice/brams_map_8kc.v share/lattice/brams_map_8kc.v mkdir -p share/lattice cp "./"/techlibs/lattice/brams_8kc.txt share/lattice/brams_8kc.txt mkdir -p share/lattice cp "./"/techlibs/lattice/arith_map_ccu2c.v share/lattice/arith_map_ccu2c.v mkdir -p share/lattice cp "./"/techlibs/lattice/arith_map_ccu2d.v share/lattice/arith_map_ccu2d.v mkdir -p share/lattice cp "./"/techlibs/lattice/latches_map.v share/lattice/latches_map.v mkdir -p share/lattice cp "./"/techlibs/lattice/dsp_map_18x18.v share/lattice/dsp_map_18x18.v mkdir -p share/intel/common cp "./"/techlibs/intel/common/m9k_bb.v share/intel/common/m9k_bb.v mkdir -p share/intel/common mkdir -p share/intel/common cp "./"/techlibs/intel/common/altpll_bb.v share/intel/common/altpll_bb.v cp "./"/techlibs/intel/common/brams_m9k.txt share/intel/common/brams_m9k.txt mkdir -p share/intel/common mkdir -p share/intel/common cp "./"/techlibs/intel/common/brams_map_m9k.v share/intel/common/brams_map_m9k.v cp "./"/techlibs/intel/common/ff_map.v share/intel/common/ff_map.v mkdir -p share/intel/max10 mkdir -p share/intel/cyclone10lp cp "./"/techlibs/intel/max10/cells_sim.v share/intel/max10/cells_sim.v cp "./"/techlibs/intel/cyclone10lp/cells_sim.v share/intel/cyclone10lp/cells_sim.v mkdir -p share/intel/cycloneiv mkdir -p share/intel/cycloneive cp "./"/techlibs/intel/cycloneiv/cells_sim.v share/intel/cycloneiv/cells_sim.v cp "./"/techlibs/intel/cycloneive/cells_sim.v share/intel/cycloneive/cells_sim.v mkdir -p share/intel/max10 mkdir -p share/intel/cyclone10lp cp "./"/techlibs/intel/max10/cells_map.v share/intel/max10/cells_map.v cp "./"/techlibs/intel/cyclone10lp/cells_map.v share/intel/cyclone10lp/cells_map.v mkdir -p share/intel/cycloneiv mkdir -p share/intel/cycloneive cp "./"/techlibs/intel/cycloneiv/cells_map.v share/intel/cycloneiv/cells_map.v cp "./"/techlibs/intel/cycloneive/cells_map.v share/intel/cycloneive/cells_map.v mkdir -p share/ecp5 mkdir -p share/ecp5 cp "./"/techlibs/ecp5/cells_ff.vh share/ecp5/cells_ff.vh cp "./"/techlibs/ecp5/cells_io.vh share/ecp5/cells_io.vh mkdir -p share/ecp5 mkdir -p share/ecp5 cp "./"/techlibs/ecp5/cells_map.v share/ecp5/cells_map.v cp "./"/techlibs/ecp5/cells_sim.v share/ecp5/cells_sim.v mkdir -p share/ecp5 mkdir -p share/ecp5 cp "./"/techlibs/ecp5/cells_bb.v share/ecp5/cells_bb.v cp "./"/techlibs/ecp5/lutrams_map.v share/ecp5/lutrams_map.v mkdir -p share/ecp5 mkdir -p share/ecp5 cp "./"/techlibs/ecp5/lutrams.txt share/ecp5/lutrams.txt cp "./"/techlibs/ecp5/brams_map.v share/ecp5/brams_map.v mkdir -p share/ecp5 mkdir -p share/ecp5 cp "./"/techlibs/ecp5/brams.txt share/ecp5/brams.txt cp "./"/techlibs/ecp5/arith_map.v share/ecp5/arith_map.v mkdir -p share/ecp5 mkdir -p share/ecp5 cp "./"/techlibs/ecp5/latches_map.v share/ecp5/latches_map.v cp "./"/techlibs/ecp5/dsp_map.v share/ecp5/dsp_map.v mkdir -p share/coolrunner2 mkdir -p share/coolrunner2 cp "./"/techlibs/coolrunner2/cells_latch.v share/coolrunner2/cells_latch.v cp "./"/techlibs/coolrunner2/cells_sim.v share/coolrunner2/cells_sim.v mkdir -p share/coolrunner2 mkdir -p share/coolrunner2 cp "./"/techlibs/coolrunner2/cells_counter_map.v share/coolrunner2/cells_counter_map.v cp "./"/techlibs/coolrunner2/tff_extract.v share/coolrunner2/tff_extract.v mkdir -p share/coolrunner2 mkdir -p share/efinix cp "./"/techlibs/coolrunner2/xc2_dff.lib share/coolrunner2/xc2_dff.lib cp "./"/techlibs/efinix/cells_map.v share/efinix/cells_map.v mkdir -p share/efinix mkdir -p share/efinix cp "./"/techlibs/efinix/arith_map.v share/efinix/arith_map.v cp "./"/techlibs/efinix/cells_sim.v share/efinix/cells_sim.v mkdir -p share/efinix mkdir -p share/efinix cp "./"/techlibs/efinix/brams_map.v share/efinix/brams_map.v cp "./"/techlibs/efinix/gbuf_map.v share/efinix/gbuf_map.v mkdir -p share/efinix mkdir -p share/nanoxplore cp "./"/techlibs/efinix/brams.txt share/efinix/brams.txt cp "./"/techlibs/nanoxplore/arith_map.v share/nanoxplore/arith_map.v mkdir -p share/nanoxplore mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/brams_init.vh share/nanoxplore/brams_init.vh cp "./"/techlibs/nanoxplore/brams_map.v share/nanoxplore/brams_map.v mkdir -p share/nanoxplore mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/brams.txt share/nanoxplore/brams.txt cp "./"/techlibs/nanoxplore/cells_bb.v share/nanoxplore/cells_bb.v mkdir -p share/nanoxplore mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/cells_bb_l.v share/nanoxplore/cells_bb_l.v cp "./"/techlibs/nanoxplore/cells_bb_m.v share/nanoxplore/cells_bb_m.v mkdir -p share/nanoxplore mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/cells_bb_u.v share/nanoxplore/cells_bb_u.v cp "./"/techlibs/nanoxplore/cells_map.v share/nanoxplore/cells_map.v mkdir -p share/nanoxplore mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/cells_sim.v share/nanoxplore/cells_sim.v cp "./"/techlibs/nanoxplore/cells_sim_l.v share/nanoxplore/cells_sim_l.v mkdir -p share/nanoxplore mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/cells_sim_m.v share/nanoxplore/cells_sim_m.v cp "./"/techlibs/nanoxplore/cells_sim_u.v share/nanoxplore/cells_sim_u.v mkdir -p share/nanoxplore mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/cells_wrap.v share/nanoxplore/cells_wrap.v cp "./"/techlibs/nanoxplore/cells_wrap_l.v share/nanoxplore/cells_wrap_l.v mkdir -p share/nanoxplore mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/cells_wrap_m.v share/nanoxplore/cells_wrap_m.v cp "./"/techlibs/nanoxplore/cells_wrap_u.v share/nanoxplore/cells_wrap_u.v mkdir -p share/nanoxplore mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/io_map.v share/nanoxplore/io_map.v cp "./"/techlibs/nanoxplore/latches_map.v share/nanoxplore/latches_map.v mkdir -p share/nanoxplore mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/rf_init.vh share/nanoxplore/rf_init.vh cp "./"/techlibs/nanoxplore/rf_rams_l.txt share/nanoxplore/rf_rams_l.txt mkdir -p share/nanoxplore mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/rf_rams_m.txt share/nanoxplore/rf_rams_m.txt cp "./"/techlibs/nanoxplore/rf_rams_u.txt share/nanoxplore/rf_rams_u.txt mkdir -p share/nanoxplore mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/rf_rams_map_l.v share/nanoxplore/rf_rams_map_l.v cp "./"/techlibs/nanoxplore/rf_rams_map_m.v share/nanoxplore/rf_rams_map_m.v mkdir -p share/nanoxplore mkdir -p share/gatemate cp "./"/techlibs/nanoxplore/rf_rams_map_u.v share/nanoxplore/rf_rams_map_u.v cp "./"/techlibs/gatemate/reg_map.v share/gatemate/reg_map.v mkdir -p share/gatemate mkdir -p share/gatemate cp "./"/techlibs/gatemate/mux_map.v share/gatemate/mux_map.v cp "./"/techlibs/gatemate/lut_map.v share/gatemate/lut_map.v mkdir -p share/gatemate mkdir -p share/gatemate cp "./"/techlibs/gatemate/mul_map.v share/gatemate/mul_map.v cp "./"/techlibs/gatemate/arith_map.v share/gatemate/arith_map.v mkdir -p share/gatemate mkdir -p share/gatemate cp "./"/techlibs/gatemate/cells_sim.v share/gatemate/cells_sim.v cp "./"/techlibs/gatemate/cells_bb.v share/gatemate/cells_bb.v mkdir -p share/gatemate mkdir -p share/gatemate cp "./"/techlibs/gatemate/brams_map.v share/gatemate/brams_map.v cp "./"/techlibs/gatemate/brams.txt share/gatemate/brams.txt mkdir -p share/gatemate mkdir -p share/gatemate cp "./"/techlibs/gatemate/brams_init_20.vh share/gatemate/brams_init_20.vh cp "./"/techlibs/gatemate/brams_init_40.vh share/gatemate/brams_init_40.vh mkdir -p share/gatemate mkdir -p techlibs/gatemate cp "./"/techlibs/gatemate/inv_map.v share/gatemate/inv_map.v python3 techlibs/gatemate/make_lut_tree_lib.py mkdir -p share/anlogic cp "./"/techlibs/anlogic/cells_map.v share/anlogic/cells_map.v mkdir -p share/anlogic cp "./"/techlibs/anlogic/arith_map.v share/anlogic/arith_map.v mkdir -p share/anlogic cp "./"/techlibs/anlogic/cells_sim.v share/anlogic/cells_sim.v mkdir -p share/anlogic cp "./"/techlibs/anlogic/eagle_bb.v share/anlogic/eagle_bb.v mkdir -p share/anlogic cp "./"/techlibs/anlogic/lutrams.txt share/anlogic/lutrams.txt mkdir -p share/anlogic cp "./"/techlibs/anlogic/lutrams_map.v share/anlogic/lutrams_map.v mkdir -p share/anlogic cp "./"/techlibs/anlogic/brams.txt share/anlogic/brams.txt mkdir -p share/anlogic cp "./"/techlibs/anlogic/brams_map.v share/anlogic/brams_map.v touch techlibs/gatemate/lut_tree_lib.mk mkdir -p share/microchip mkdir -p share/microchip cp "./"/techlibs/microchip/arith_map.v share/microchip/arith_map.v cp "./"/techlibs/microchip/cells_map.v share/microchip/cells_map.v mkdir -p share/microchip mkdir -p share/microchip cp "./"/techlibs/microchip/cells_sim.v share/microchip/cells_sim.v cp "./"/techlibs/microchip/polarfire_dsp_map.v share/microchip/polarfire_dsp_map.v mkdir -p share/microchip mkdir -p share/microchip cp "./"/techlibs/microchip/brams_defs.vh share/microchip/brams_defs.vh cp "./"/techlibs/microchip/LSRAM_map.v share/microchip/LSRAM_map.v mkdir -p share/microchip mkdir -p share/microchip cp "./"/techlibs/microchip/LSRAM.txt share/microchip/LSRAM.txt cp "./"/techlibs/microchip/uSRAM_map.v share/microchip/uSRAM_map.v mkdir -p share/microchip mkdir -p share/achronix/speedster22i/ cp "./"/techlibs/microchip/uSRAM.txt share/microchip/uSRAM.txt cp "./"/techlibs/achronix/speedster22i/cells_sim.v share/achronix/speedster22i/cells_sim.v mkdir -p share/achronix/speedster22i/ mkdir -p share cp "./"/techlibs/achronix/speedster22i/cells_map.v share/achronix/speedster22i/cells_map.v cp "./"/techlibs/common/simlib.v share/simlib.v mkdir -p share mkdir -p share cp "./"/techlibs/common/simcells.v share/simcells.v cp "./"/techlibs/common/techmap.v share/techmap.v mkdir -p share mkdir -p share cp "./"/techlibs/common/smtmap.v share/smtmap.v cp "./"/techlibs/common/pmux2mux.v share/pmux2mux.v mkdir -p share mkdir -p share cp "./"/techlibs/common/adff2dff.v share/adff2dff.v cp "./"/techlibs/common/dff2ff.v share/dff2ff.v mkdir -p share mkdir -p share cp "./"/techlibs/common/gate2lut.v share/gate2lut.v cp "./"/techlibs/common/cmp2lut.v share/cmp2lut.v mkdir -p share mkdir -p share cp "./"/techlibs/common/cells.lib share/cells.lib cp "./"/techlibs/common/mul2dsp.v share/mul2dsp.v mkdir -p share mkdir -p share cp "./"/techlibs/common/abc9_model.v share/abc9_model.v cp "./"/techlibs/common/abc9_map.v share/abc9_map.v mkdir -p share mkdir -p share cp "./"/techlibs/common/abc9_unmap.v share/abc9_unmap.v cp "./"/techlibs/common/cmp2lcu.v share/cmp2lcu.v mkdir -p share mkdir -p share/choices cp "./"/techlibs/common/cmp2softlogic.v share/cmp2softlogic.v cp "./"/techlibs/common/choices/kogge-stone.v share/choices/kogge-stone.v mkdir -p share/greenpak4 mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_blackbox.v share/greenpak4/cells_blackbox.v cp "./"/techlibs/greenpak4/cells_latch.v share/greenpak4/cells_latch.v mkdir -p share/greenpak4 mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_map.v share/greenpak4/cells_map.v cp "./"/techlibs/greenpak4/cells_sim.v share/greenpak4/cells_sim.v mkdir -p share/greenpak4 mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_sim_ams.v share/greenpak4/cells_sim_ams.v cp "./"/techlibs/greenpak4/cells_sim_digital.v share/greenpak4/cells_sim_digital.v mkdir -p share/greenpak4 mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_sim_wip.v share/greenpak4/cells_sim_wip.v cp "./"/techlibs/greenpak4/gp_dff.lib share/greenpak4/gp_dff.lib mkdir -p share/quicklogic/common mkdir -p share/quicklogic/pp3 cp "./"/techlibs/quicklogic/common/cells_sim.v share/quicklogic/common/cells_sim.v cp "./"/techlibs/quicklogic/pp3/ffs_map.v share/quicklogic/pp3/ffs_map.v mkdir -p share/quicklogic/pp3 mkdir -p share/quicklogic/pp3 cp "./"/techlibs/quicklogic/pp3/lut_map.v share/quicklogic/pp3/lut_map.v cp "./"/techlibs/quicklogic/pp3/latches_map.v share/quicklogic/pp3/latches_map.v mkdir -p share/quicklogic/pp3 mkdir -p share/quicklogic/pp3 cp "./"/techlibs/quicklogic/pp3/cells_map.v share/quicklogic/pp3/cells_map.v cp "./"/techlibs/quicklogic/pp3/cells_sim.v share/quicklogic/pp3/cells_sim.v mkdir -p share/quicklogic/pp3 mkdir -p share/quicklogic/pp3 cp "./"/techlibs/quicklogic/pp3/abc9_model.v share/quicklogic/pp3/abc9_model.v cp "./"/techlibs/quicklogic/pp3/abc9_map.v share/quicklogic/pp3/abc9_map.v mkdir -p share/quicklogic/pp3 mkdir -p share/quicklogic/qlf_k6n10f cp "./"/techlibs/quicklogic/pp3/abc9_unmap.v share/quicklogic/pp3/abc9_unmap.v cp "./"/techlibs/quicklogic/qlf_k6n10f/arith_map.v share/quicklogic/qlf_k6n10f/arith_map.v mkdir -p share/quicklogic/qlf_k6n10f mkdir -p share/quicklogic/qlf_k6n10f cp "./"/techlibs/quicklogic/qlf_k6n10f/libmap_brams.txt share/quicklogic/qlf_k6n10f/libmap_brams.txt cp "./"/techlibs/quicklogic/qlf_k6n10f/libmap_brams_map.v share/quicklogic/qlf_k6n10f/libmap_brams_map.v mkdir -p share/quicklogic/qlf_k6n10f mkdir -p share/quicklogic/qlf_k6n10f cp "./"/techlibs/quicklogic/qlf_k6n10f/brams_map.v share/quicklogic/qlf_k6n10f/brams_map.v cp "./"/techlibs/quicklogic/qlf_k6n10f/brams_sim.v share/quicklogic/qlf_k6n10f/brams_sim.v mkdir -p techlibs/quicklogic/qlf_k6n10f/ && python3 techlibs/quicklogic/qlf_k6n10f/generate_bram_types_sim.py techlibs/quicklogic/qlf_k6n10f/bram_types_sim.v mkdir -p share/quicklogic/qlf_k6n10f cp "./"/techlibs/quicklogic/qlf_k6n10f/cells_sim.v share/quicklogic/qlf_k6n10f/cells_sim.v mkdir -p share/quicklogic/qlf_k6n10f cp "./"/techlibs/quicklogic/qlf_k6n10f/ffs_map.v share/quicklogic/qlf_k6n10f/ffs_map.v mkdir -p share/quicklogic/qlf_k6n10f cp "./"/techlibs/quicklogic/qlf_k6n10f/dsp_sim.v share/quicklogic/qlf_k6n10f/dsp_sim.v mkdir -p share/quicklogic/qlf_k6n10f cp "./"/techlibs/quicklogic/qlf_k6n10f/dsp_map.v share/quicklogic/qlf_k6n10f/dsp_map.v mkdir -p share/quicklogic/qlf_k6n10f cp "./"/techlibs/quicklogic/qlf_k6n10f/dsp_final_map.v share/quicklogic/qlf_k6n10f/dsp_final_map.v mkdir -p share/quicklogic/qlf_k6n10f cp "./"/techlibs/quicklogic/qlf_k6n10f/TDP18K_FIFO.v share/quicklogic/qlf_k6n10f/TDP18K_FIFO.v mkdir -p share/quicklogic/qlf_k6n10f mkdir -p share/quicklogic/qlf_k6n10f cp "./"/techlibs/quicklogic/qlf_k6n10f/ufifo_ctl.v share/quicklogic/qlf_k6n10f/ufifo_ctl.v cp "./"/techlibs/quicklogic/qlf_k6n10f/sram1024x18_mem.v share/quicklogic/qlf_k6n10f/sram1024x18_mem.v mkdir -p share/intel_alm/common mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/abc9_map.v share/intel_alm/common/abc9_map.v cp "./"/techlibs/intel_alm/common/abc9_unmap.v share/intel_alm/common/abc9_unmap.v mkdir -p share/intel_alm/common mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/abc9_model.v share/intel_alm/common/abc9_model.v cp "./"/techlibs/intel_alm/common/alm_map.v share/intel_alm/common/alm_map.v mkdir -p share/intel_alm/common mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/alm_sim.v share/intel_alm/common/alm_sim.v cp "./"/techlibs/intel_alm/common/arith_alm_map.v share/intel_alm/common/arith_alm_map.v mkdir -p share/intel_alm/common mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/dff_map.v share/intel_alm/common/dff_map.v cp "./"/techlibs/intel_alm/common/dff_sim.v share/intel_alm/common/dff_sim.v mkdir -p share/intel_alm/common mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/dsp_map.v share/intel_alm/common/dsp_map.v cp "./"/techlibs/intel_alm/common/dsp_sim.v share/intel_alm/common/dsp_sim.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/mem_sim.v share/intel_alm/common/mem_sim.v mkdir -p share/intel_alm/common mkdir -p share/intel_alm/cyclonev cp "./"/techlibs/intel_alm/common/misc_sim.v share/intel_alm/common/misc_sim.v cp "./"/techlibs/intel_alm/cyclonev/cells_sim.v share/intel_alm/cyclonev/cells_sim.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/bram_m10k.txt share/intel_alm/common/bram_m10k.txt mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/bram_m10k_map.v share/intel_alm/common/bram_m10k_map.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/lutram_mlab.txt share/intel_alm/common/lutram_mlab.txt mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/megafunction_bb.v share/intel_alm/common/megafunction_bb.v mkdir -p share/sf2 mkdir -p share/sf2 cp "./"/techlibs/sf2/arith_map.v share/sf2/arith_map.v cp "./"/techlibs/sf2/cells_map.v share/sf2/cells_map.v mkdir -p share/sf2 mkdir -p kernel/ cp "./"/techlibs/sf2/cells_sim.v share/sf2/cells_sim.v g++ -o kernel/version_a5968e404.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/version_a5968e404.cc mkdir -p kernel/ g++ -o kernel/register.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/register.cc mkdir -p frontends/verilog/ g++ -o frontends/verilog/verilog_parser.tab.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYYMAXDEPTH=10000000 frontends/verilog/verilog_parser.tab.cc mkdir -p frontends/verilog/ flex -o frontends/verilog/verilog_lexer.cc frontends/verilog/verilog_lexer.l mkdir -p frontends/rtlil/ g++ -o frontends/rtlil/rtlil_parser.tab.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rtlil/rtlil_parser.tab.cc mkdir -p frontends/rtlil/ g++ -o frontends/rtlil/rtlil_lexer.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rtlil/rtlil_lexer.cc frontends/rtlil/rtlil_lexer.cc: In function 'int rtlil_frontend_yylex()': frontends/rtlil/rtlil_lexer.cc:938:35: warning: comparison of integer expressions of different signedness: 'yy_size_t' {aka 'long unsigned int'} and 'int' [-Wsign-compare] for ( yyl = (yy_more_len); yyl < rtlil_frontend_yyleng; ++yyl ) ~~~~^~~~~~~~~~~~~~~~~~~~~~~ frontends/rtlil/rtlil_lexer.cc: In function 'int yy_get_next_buffer()': frontends/rtlil/rtlil_lexer.cc:1472:23: warning: comparison of integer expressions of different signedness: 'yy_size_t' {aka 'long unsigned int'} and 'int' [-Wsign-compare] if ( number_to_move == YY_MORE_ADJ ) mkdir -p passes/pmgen/ g++ -o passes/pmgen/test_pmgen.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/test_pmgen.cc mkdir -p passes/pmgen/ g++ -o passes/pmgen/ice40_wrapcarry.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/ice40_wrapcarry.cc mkdir -p passes/pmgen/ g++ -o passes/pmgen/xilinx_dsp.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/xilinx_dsp.cc mkdir -p passes/pmgen/ g++ -o passes/pmgen/microchip_dsp.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/microchip_dsp.cc mkdir -p techlibs/quicklogic/ g++ -o techlibs/quicklogic/ql_dsp_macc.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/quicklogic/ql_dsp_macc.cc mkdir -p ./ g++ -o yosys-filterlib -rdynamic passes/techmap/filterlib.o -lstdc++ -lm -lrt -lreadline -lffi -ldl -lz -ltcl8.6 -ltclstub8.6 mkdir -p share/gatemate cp techlibs/gatemate/lut_tree_cells.genlib share/gatemate/lut_tree_cells.genlib mkdir -p share/gatemate cp techlibs/gatemate/lut_tree_map.v share/gatemate/lut_tree_map.v mkdir -p share/quicklogic/qlf_k6n10f cp techlibs/quicklogic/qlf_k6n10f/bram_types_sim.v share/quicklogic/qlf_k6n10f/bram_types_sim.v mkdir -p frontends/verilog/ g++ -o frontends/verilog/verilog_lexer.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/verilog_lexer.cc frontends/verilog/verilog_lexer.cc: In function 'int frontend_verilog_yylex(FRONTEND_VERILOG_YYSTYPE*, FRONTEND_VERILOG_YYLTYPE*)': frontends/verilog/verilog_lexer.cc:2553:35: warning: comparison of integer expressions of different signedness: 'yy_size_t' {aka 'long unsigned int'} and 'int' [-Wsign-compare] for ( yyl = (yy_more_len); yyl < frontend_verilog_yyleng; ++yyl ) ~~~~^~~~~~~~~~~~~~~~~~~~~~~~~ frontends/verilog/verilog_lexer.cc:195:36: warning: comparison of integer expressions of different signedness: 'yy_size_t' {aka 'long unsigned int'} and 'int' [-Wsign-compare] for ( yyl = n; yyl < frontend_verilog_yyleng; ++yyl )\ ~~~~^~~~~~~~~~~~~~~~~~~~~~~~~ frontends/verilog/verilog_lexer.cc:213:9: note: in expansion of macro 'YY_LESS_LINENO' YY_LESS_LINENO(yyless_macro_arg);\ ^~~~~~~~~~~~~~ frontends/verilog/verilog_lexer.l:419:3: note: in expansion of macro 'yyless' yyless(len); ^~~~~~ frontends/verilog/verilog_lexer.cc: In function 'int yy_get_next_buffer()': frontends/verilog/verilog_lexer.cc:3942:23: warning: comparison of integer expressions of different signedness: 'yy_size_t' {aka 'long unsigned int'} and 'int' [-Wsign-compare] if ( number_to_move == YY_MORE_ADJ ) g++ -o yosys -rdynamic kernel/version_a5968e404.o kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o kernel/binding.o kernel/cellaigs.o kernel/celledges.o kernel/cost.o kernel/satgen.o kernel/scopeinfo.o kernel/qcsat.o kernel/mem.o kernel/ffmerge.o kernel/ff.o kernel/yw.o kernel/json.o kernel/fmt.o kernel/sexpr.o kernel/drivertools.o kernel/functional.o kernel/fstdata.o libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o libs/sha1/sha1.o libs/json11/json11.o libs/ezsat/ezsat.o libs/ezsat/ezminisat.o libs/minisat/Options.o libs/minisat/SimpSolver.o libs/minisat/Solver.o libs/minisat/System.o libs/fst/fstapi.o libs/fst/fastlz.o libs/fst/lz4.o libs/subcircuit/subcircuit.o frontends/aiger2/xaiger.o frontends/aiger/aigerparse.o frontends/rpc/rpc_frontend.o frontends/verific/verific.o frontends/json/jsonparse.o frontends/verilog/verilog_parser.tab.o frontends/verilog/verilog_lexer.o frontends/verilog/preproc.o frontends/verilog/verilog_frontend.o frontends/verilog/const2ast.o frontends/ast/ast.o frontends/ast/simplify.o frontends/ast/genrtlil.o frontends/ast/dpicall.o frontends/ast/ast_binding.o frontends/blif/blifparse.o frontends/liberty/liberty.o frontends/rtlil/rtlil_parser.tab.o frontends/rtlil/rtlil_lexer.o frontends/rtlil/rtlil_frontend.o passes/tests/test_autotb.o passes/tests/test_cell.o passes/tests/test_abcloop.o passes/sat/sat.o passes/sat/freduce.o passes/sat/eval.o passes/sat/sim.o passes/sat/miter.o passes/sat/expose.o passes/sat/assertpmux.o passes/sat/clk2fflogic.o passes/sat/async2sync.o passes/sat/formalff.o passes/sat/supercover.o passes/sat/fmcombine.o passes/sat/mutate.o passes/sat/cutpoint.o passes/sat/fminit.o passes/sat/recover_names.o passes/sat/qbfsat.o passes/sat/synthprop.o passes/opt/opt.o passes/opt/opt_merge.o passes/opt/opt_mem.o passes/opt/opt_mem_feedback.o passes/opt/opt_mem_priority.o passes/opt/opt_mem_widen.o passes/opt/opt_muxtree.o passes/opt/opt_reduce.o passes/opt/opt_dff.o passes/opt/opt_share.o passes/opt/opt_clean.o passes/opt/opt_expr.o passes/opt/share.o passes/opt/wreduce.o passes/opt/opt_demorgan.o passes/opt/rmports.o passes/opt/opt_lut.o passes/opt/opt_lut_ins.o passes/opt/opt_ffinv.o passes/opt/pmux2shiftx.o passes/opt/muxpack.o passes/memory/memory.o passes/memory/memory_dff.o passes/memory/memory_share.o passes/memory/memory_collect.o passes/memory/memory_unpack.o passes/memory/memory_bram.o passes/memory/memory_map.o passes/memory/memory_memx.o passes/memory/memory_nordff.o passes/memory/memory_narrow.o passes/memory/memory_libmap.o passes/memory/memory_bmux2rom.o passes/memory/memlib.o passes/techmap/flatten.o passes/techmap/techmap.o passes/techmap/simplemap.o passes/techmap/dfflibmap.o passes/techmap/maccmap.o passes/techmap/booth.o passes/techmap/libparse.o passes/techmap/abc.o passes/techmap/abc9.o passes/techmap/abc9_exe.o passes/techmap/abc9_ops.o passes/techmap/abc_new.o passes/techmap/iopadmap.o passes/techmap/clkbufmap.o passes/techmap/hilomap.o passes/techmap/extract.o passes/techmap/extract_fa.o passes/techmap/extract_counter.o passes/techmap/extract_reduce.o passes/techmap/alumacc.o passes/techmap/dffinit.o passes/techmap/pmuxtree.o passes/techmap/bmuxmap.o passes/techmap/demuxmap.o passes/techmap/bwmuxmap.o passes/techmap/muxcover.o passes/techmap/aigmap.o passes/techmap/tribuf.o passes/techmap/lut2mux.o passes/techmap/nlutmap.o passes/techmap/shregmap.o passes/techmap/deminout.o passes/techmap/insbuf.o passes/techmap/bufnorm.o passes/techmap/attrmvcp.o passes/techmap/attrmap.o passes/techmap/zinit.o passes/techmap/dfflegalize.o passes/techmap/dffunmap.o passes/techmap/flowmap.o passes/techmap/extractinv.o passes/techmap/cellmatch.o passes/techmap/clockgate.o passes/pmgen/test_pmgen.o passes/pmgen/ice40_dsp.o passes/pmgen/ice40_wrapcarry.o passes/pmgen/xilinx_dsp.o passes/pmgen/microchip_dsp.o passes/pmgen/peepopt.o passes/pmgen/xilinx_srl.o passes/cmds/exec.o passes/cmds/add.o passes/cmds/delete.o passes/cmds/design.o passes/cmds/select.o passes/cmds/show.o passes/cmds/viz.o passes/cmds/rename.o passes/cmds/autoname.o passes/cmds/connect.o passes/cmds/scatter.o passes/cmds/setundef.o passes/cmds/splitnets.o passes/cmds/splitcells.o passes/cmds/stat.o passes/cmds/internal_stats.o passes/cmds/setattr.o passes/cmds/copy.o passes/cmds/splice.o passes/cmds/scc.o passes/cmds/glift.o passes/cmds/torder.o passes/cmds/logcmd.o passes/cmds/tee.o passes/cmds/write_file.o passes/cmds/connwrappers.o passes/cmds/cover.o passes/cmds/trace.o passes/cmds/plugin.o passes/cmds/check.o passes/cmds/qwp.o passes/cmds/edgetypes.o passes/cmds/portlist.o passes/cmds/chformal.o passes/cmds/chtype.o passes/cmds/blackbox.o passes/cmds/ltp.o passes/cmds/bugpoint.o passes/cmds/scratchpad.o passes/cmds/logger.o passes/cmds/printattrs.o passes/cmds/sta.o passes/cmds/clean_zerowidth.o passes/cmds/xprop.o passes/cmds/dft_tag.o passes/cmds/future.o passes/cmds/box_derive.o passes/cmds/example_dt.o passes/hierarchy/hierarchy.o passes/hierarchy/uniquify.o passes/hierarchy/submod.o passes/hierarchy/keep_hierarchy.o passes/equiv/equiv_make.o passes/equiv/equiv_miter.o passes/equiv/equiv_simple.o passes/equiv/equiv_status.o passes/equiv/equiv_add.o passes/equiv/equiv_remove.o passes/equiv/equiv_induct.o passes/equiv/equiv_struct.o passes/equiv/equiv_purge.o passes/equiv/equiv_mark.o passes/equiv/equiv_opt.o passes/fsm/fsm.o passes/fsm/fsm_detect.o passes/fsm/fsm_extract.o passes/fsm/fsm_opt.o passes/fsm/fsm_expand.o passes/fsm/fsm_recode.o passes/fsm/fsm_info.o passes/fsm/fsm_export.o passes/fsm/fsm_map.o passes/proc/proc.o passes/proc/proc_prune.o passes/proc/proc_clean.o passes/proc/proc_rmdead.o passes/proc/proc_init.o passes/proc/proc_arst.o passes/proc/proc_rom.o passes/proc/proc_mux.o passes/proc/proc_dlatch.o passes/proc/proc_dff.o passes/proc/proc_memwr.o backends/firrtl/firrtl.o backends/jny/jny.o backends/simplec/simplec.o backends/edif/edif.o backends/aiger2/aiger.o backends/cxxrtl/cxxrtl_backend.o backends/aiger/aiger.o backends/aiger/xaiger.o backends/smt2/smt2.o backends/table/table.o backends/btor/btor.o backends/intersynth/intersynth.o backends/json/json.o backends/verilog/verilog_backend.o backends/smv/smv.o backends/functional/cxx.o backends/functional/smtlib.o backends/functional/smtlib_rosette.o backends/functional/test_generic.o backends/blif/blif.o backends/rtlil/rtlil_backend.o backends/spice/spice.o techlibs/easic/synth_easic.o techlibs/xilinx/synth_xilinx.o techlibs/xilinx/xilinx_dffopt.o techlibs/gowin/synth_gowin.o techlibs/fabulous/synth_fabulous.o techlibs/ice40/synth_ice40.o techlibs/ice40/ice40_braminit.o techlibs/ice40/ice40_opt.o techlibs/nexus/synth_nexus.o techlibs/lattice/synth_lattice.o techlibs/lattice/lattice_gsr.o techlibs/intel/synth_intel.o techlibs/ecp5/synth_ecp5.o techlibs/coolrunner2/synth_coolrunner2.o techlibs/coolrunner2/coolrunner2_sop.o techlibs/coolrunner2/coolrunner2_fixup.o techlibs/efinix/synth_efinix.o techlibs/efinix/efinix_fixcarry.o techlibs/nanoxplore/synth_nanoxplore.o techlibs/nanoxplore/nx_carry.o techlibs/gatemate/synth_gatemate.o techlibs/gatemate/gatemate_foldinv.o techlibs/anlogic/synth_anlogic.o techlibs/anlogic/anlogic_eqn.o techlibs/anlogic/anlogic_fixcarry.o techlibs/microchip/synth_microchip.o techlibs/microchip/microchip_dffopt.o techlibs/achronix/synth_achronix.o techlibs/common/synth.o techlibs/common/prep.o techlibs/greenpak4/synth_greenpak4.o techlibs/greenpak4/greenpak4_dffinv.o techlibs/quicklogic/synth_quicklogic.o techlibs/quicklogic/ql_bram_merge.o techlibs/quicklogic/ql_bram_types.o techlibs/quicklogic/ql_dsp_simd.o techlibs/quicklogic/ql_dsp_io_regs.o techlibs/quicklogic/ql_dsp_macc.o techlibs/intel_alm/synth_intel_alm.o techlibs/sf2/synth_sf2.o -lstdc++ -lm -lrt -lreadline -lffi -ldl -lz -ltcl8.6 -ltclstub8.6 Build successful. + exit 0 Executing(%install): /bin/sh -e /var/tmp/rpm-tmp.j4GTEW + umask 022 + cd /builddir/build/BUILD + '[' /builddir/build/BUILDROOT/yosys-0.46-20241009.0.gita5968e40.el8.x86_64 '!=' / ']' + rm -rf /builddir/build/BUILDROOT/yosys-0.46-20241009.0.gita5968e40.el8.x86_64 ++ dirname /builddir/build/BUILDROOT/yosys-0.46-20241009.0.gita5968e40.el8.x86_64 + mkdir -p /builddir/build/BUILDROOT + mkdir /builddir/build/BUILDROOT/yosys-0.46-20241009.0.gita5968e40.el8.x86_64 + cd yosys + /usr/bin/make install DESTDIR=/builddir/build/BUILDROOT/yosys-0.46-20241009.0.gita5968e40.el8.x86_64 'INSTALL=/usr/bin/install -p' PREFIX=/usr ABCEXTERNAL=/usr/bin/abc STRIP=/bin/true [Makefile.conf] CONFIG := gcc mkdir -p /builddir/build/BUILDROOT/yosys-0.46-20241009.0.gita5968e40.el8.x86_64/usr/bin cp yosys yosys-config yosys-filterlib yosys-smtbmc yosys-witness /builddir/build/BUILDROOT/yosys-0.46-20241009.0.gita5968e40.el8.x86_64/usr/bin /bin/true -S /builddir/build/BUILDROOT/yosys-0.46-20241009.0.gita5968e40.el8.x86_64/usr/bin/yosys /bin/true /builddir/build/BUILDROOT/yosys-0.46-20241009.0.gita5968e40.el8.x86_64/usr/bin/yosys-filterlib mkdir -p /builddir/build/BUILDROOT/yosys-0.46-20241009.0.gita5968e40.el8.x86_64/usr/share/yosys cp -r share/. /builddir/build/BUILDROOT/yosys-0.46-20241009.0.gita5968e40.el8.x86_64/usr/share/yosys/. + install -d -m0755 /builddir/build/BUILDROOT/yosys-0.46-20241009.0.gita5968e40.el8.x86_64/usr/include + mv /builddir/build/BUILDROOT/yosys-0.46-20241009.0.gita5968e40.el8.x86_64/usr/share/yosys/include /builddir/build/BUILDROOT/yosys-0.46-20241009.0.gita5968e40.el8.x86_64/usr/include/yosys + /usr/lib/rpm/find-debuginfo.sh -j4 --strict-build-id -m -i --build-id-seed 0.46-20241009.0.gita5968e40.el8 --unique-debug-suffix -0.46-20241009.0.gita5968e40.el8.x86_64 --unique-debug-src-base yosys-0.46-20241009.0.gita5968e40.el8.x86_64 --run-dwz --dwz-low-mem-die-limit 10000000 --dwz-max-die-limit 110000000 -S debugsourcefiles.list /builddir/build/BUILD/yosys extracting debug info from /builddir/build/BUILDROOT/yosys-0.46-20241009.0.gita5968e40.el8.x86_64/usr/bin/yosys extracting debug info from /builddir/build/BUILDROOT/yosys-0.46-20241009.0.gita5968e40.el8.x86_64/usr/bin/yosys-filterlib dwz: Too few files for multifile optimization /usr/lib/rpm/sepdebugcrcfix: Updated 2 CRC32s, 0 CRC32s did match. 15087 blocks + /usr/lib/rpm/check-buildroot + /usr/lib/rpm/redhat/brp-ldconfig /sbin/ldconfig: Warning: ignoring configuration file that cannot be opened: /etc/ld.so.conf: No such file or directory + /usr/lib/rpm/brp-compress + /usr/lib/rpm/brp-strip-static-archive /usr/bin/strip + /usr/lib/rpm/brp-python-bytecompile '' 1 + /usr/lib/rpm/brp-python-hardlink + PYTHON3=/usr/bin/python3.6 + /usr/lib/rpm/redhat/brp-mangle-shebangs mangling shebang in /usr/bin/yosys-witness from /usr/bin/python3 to #!/usr/bin/python3.6 mangling shebang in /usr/bin/yosys-smtbmc from /usr/bin/python3 to #!/usr/bin/python3.6 mangling shebang in /usr/bin/yosys-config from /usr/bin/env bash to #!/usr/bin/bash Processing files: yosys-0.46-20241009.0.gita5968e40.el8.x86_64 Executing(%doc): /bin/sh -e /var/tmp/rpm-tmp.IM9smd + umask 022 + cd /builddir/build/BUILD + cd yosys + DOCDIR=/builddir/build/BUILDROOT/yosys-0.46-20241009.0.gita5968e40.el8.x86_64/usr/share/doc/yosys + export LC_ALL=C + LC_ALL=C + export DOCDIR + /usr/bin/mkdir -p /builddir/build/BUILDROOT/yosys-0.46-20241009.0.gita5968e40.el8.x86_64/usr/share/doc/yosys + cp -pr README.md /builddir/build/BUILDROOT/yosys-0.46-20241009.0.gita5968e40.el8.x86_64/usr/share/doc/yosys + exit 0 Executing(%license): /bin/sh -e /var/tmp/rpm-tmp.l4plit + umask 022 + cd /builddir/build/BUILD + cd yosys + LICENSEDIR=/builddir/build/BUILDROOT/yosys-0.46-20241009.0.gita5968e40.el8.x86_64/usr/share/licenses/yosys + export LC_ALL=C + LC_ALL=C + export LICENSEDIR + /usr/bin/mkdir -p /builddir/build/BUILDROOT/yosys-0.46-20241009.0.gita5968e40.el8.x86_64/usr/share/licenses/yosys + cp -pr COPYING /builddir/build/BUILDROOT/yosys-0.46-20241009.0.gita5968e40.el8.x86_64/usr/share/licenses/yosys + exit 0 Provides: yosys = 0.46-20241009.0.gita5968e40.el8 yosys(x86-64) = 0.46-20241009.0.gita5968e40.el8 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Requires: /usr/bin/bash /usr/bin/python3.6 libc.so.6()(64bit) libc.so.6(GLIBC_2.11)(64bit) libc.so.6(GLIBC_2.14)(64bit) libc.so.6(GLIBC_2.15)(64bit) libc.so.6(GLIBC_2.2.5)(64bit) libc.so.6(GLIBC_2.26)(64bit) libc.so.6(GLIBC_2.27)(64bit) libc.so.6(GLIBC_2.3)(64bit) libc.so.6(GLIBC_2.3.4)(64bit) libc.so.6(GLIBC_2.4)(64bit) libc.so.6(GLIBC_2.8)(64bit) libdl.so.2()(64bit) libdl.so.2(GLIBC_2.2.5)(64bit) libffi.so.6()(64bit) libgcc_s.so.1()(64bit) libgcc_s.so.1(GCC_3.0)(64bit) libm.so.6()(64bit) libm.so.6(GLIBC_2.2.5)(64bit) libreadline.so.7()(64bit) librt.so.1()(64bit) libstdc++.so.6()(64bit) libstdc++.so.6(CXXABI_1.3)(64bit) libstdc++.so.6(CXXABI_1.3.5)(64bit) libstdc++.so.6(CXXABI_1.3.9)(64bit) libstdc++.so.6(GLIBCXX_3.4)(64bit) libstdc++.so.6(GLIBCXX_3.4.11)(64bit) libstdc++.so.6(GLIBCXX_3.4.14)(64bit) libstdc++.so.6(GLIBCXX_3.4.15)(64bit) libstdc++.so.6(GLIBCXX_3.4.18)(64bit) libstdc++.so.6(GLIBCXX_3.4.20)(64bit) libstdc++.so.6(GLIBCXX_3.4.21)(64bit) libstdc++.so.6(GLIBCXX_3.4.5)(64bit) libstdc++.so.6(GLIBCXX_3.4.9)(64bit) libtcl8.6.so()(64bit) libz.so.1()(64bit) libz.so.1(ZLIB_1.2.0)(64bit) rtld(GNU_HASH) Processing files: yosys-doc-0.46-20241009.0.gita5968e40.el8.x86_64 Provides: yosys-doc = 0.46-20241009.0.gita5968e40.el8 yosys-doc(x86-64) = 0.46-20241009.0.gita5968e40.el8 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Processing files: yosys-share-0.46-20241009.0.gita5968e40.el8.noarch Provides: yosys-share = 0.46-20241009.0.gita5968e40.el8 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Processing files: yosys-devel-0.46-20241009.0.gita5968e40.el8.x86_64 Provides: yosys-devel = 0.46-20241009.0.gita5968e40.el8 yosys-devel(x86-64) = 0.46-20241009.0.gita5968e40.el8 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Requires: /usr/bin/bash Processing files: yosys-debugsource-0.46-20241009.0.gita5968e40.el8.x86_64 Provides: yosys-debugsource = 0.46-20241009.0.gita5968e40.el8 yosys-debugsource(x86-64) = 0.46-20241009.0.gita5968e40.el8 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Processing files: yosys-debuginfo-0.46-20241009.0.gita5968e40.el8.x86_64 Provides: debuginfo(build-id) = 1ccc2b4d91b1354703e44654a4223f02e6c9c0f4 debuginfo(build-id) = 209a73405aac2d04770b40fe032e0d2284d34fed yosys-debuginfo = 0.46-20241009.0.gita5968e40.el8 yosys-debuginfo(x86-64) = 0.46-20241009.0.gita5968e40.el8 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Recommends: yosys-debugsource(x86-64) = 0.46-20241009.0.gita5968e40.el8 Checking for unpackaged file(s): /usr/lib/rpm/check-files /builddir/build/BUILDROOT/yosys-0.46-20241009.0.gita5968e40.el8.x86_64 Wrote: /builddir/build/RPMS/yosys-0.46-20241009.0.gita5968e40.el8.x86_64.rpm Wrote: /builddir/build/RPMS/yosys-doc-0.46-20241009.0.gita5968e40.el8.x86_64.rpm Wrote: /builddir/build/RPMS/yosys-share-0.46-20241009.0.gita5968e40.el8.noarch.rpm Wrote: /builddir/build/RPMS/yosys-devel-0.46-20241009.0.gita5968e40.el8.x86_64.rpm Wrote: /builddir/build/RPMS/yosys-debugsource-0.46-20241009.0.gita5968e40.el8.x86_64.rpm Wrote: /builddir/build/RPMS/yosys-debuginfo-0.46-20241009.0.gita5968e40.el8.x86_64.rpm Executing(%clean): /bin/sh -e /var/tmp/rpm-tmp.2MRsJZ + umask 022 + cd /builddir/build/BUILD + cd yosys + /usr/bin/rm -rf /builddir/build/BUILDROOT/yosys-0.46-20241009.0.gita5968e40.el8.x86_64 + exit 0 Finish: rpmbuild yosys-0.46-20241009.0.gita5968e40.el8.src.rpm Finish: build phase for yosys-0.46-20241009.0.gita5968e40.el8.src.rpm INFO: chroot_scan: 3 files copied to /var/lib/copr-rpmbuild/results/chroot_scan INFO: /var/lib/mock/centos-stream+epel-next-8-x86_64-1728478771.601187/root/var/log/dnf.rpm.log /var/lib/mock/centos-stream+epel-next-8-x86_64-1728478771.601187/root/var/log/dnf.librepo.log /var/lib/mock/centos-stream+epel-next-8-x86_64-1728478771.601187/root/var/log/dnf.log INFO: Done(/var/lib/copr-rpmbuild/results/yosys-0.46-20241009.0.gita5968e40.el8.src.rpm) Config(child) 12 minutes 12 seconds INFO: Results and/or logs in: /var/lib/copr-rpmbuild/results INFO: Cleaning up build root ('cleanup_on_success=True') Start: clean chroot INFO: unmounting tmpfs. Finish: clean chroot Finish: run Running RPMResults tool Package info: { "packages": [ { "name": "yosys", "epoch": null, "version": "0.46", "release": "20241009.0.gita5968e40.el8", "arch": "src" }, { "name": "yosys", "epoch": null, "version": "0.46", "release": "20241009.0.gita5968e40.el8", "arch": "x86_64" }, { "name": "yosys-doc", "epoch": null, "version": "0.46", "release": "20241009.0.gita5968e40.el8", "arch": "x86_64" }, { "name": "yosys-share", "epoch": null, "version": "0.46", "release": "20241009.0.gita5968e40.el8", "arch": "noarch" }, { "name": "yosys-debugsource", "epoch": null, "version": "0.46", "release": "20241009.0.gita5968e40.el8", "arch": "x86_64" }, { "name": "yosys-devel", "epoch": null, "version": "0.46", "release": "20241009.0.gita5968e40.el8", "arch": "x86_64" }, { "name": "yosys-debuginfo", "epoch": null, "version": "0.46", "release": "20241009.0.gita5968e40.el8", "arch": "x86_64" } ] } RPMResults finished