Warning: Permanently added '2620:52:3:1:dead:beef:cafe:c151' (ED25519) to the list of known hosts. You can reproduce this build on your computer by running: sudo dnf install copr-rpmbuild /usr/bin/copr-rpmbuild --verbose --drop-resultdir --task-url https://copr.fedorainfracloud.org/backend/get-build-task/7390567-rhel-8-x86_64 --chroot rhel-8-x86_64 Version: 0.72 PID: 6235 Logging PID: 6236 Task: {'allow_user_ssh': False, 'appstream': False, 'background': False, 'build_id': 7390567, 'buildroot_pkgs': [], 'chroot': 'rhel-8-x86_64', 'enable_net': False, 'fedora_review': False, 'git_hash': '67ad5272589a930f0bc962b249d8d7910cb25095', 'git_repo': 'https://copr-dist-git.fedorainfracloud.org/git/vowstar/verilator/verilator', 'isolation': 'default', 'memory_reqs': 2048, 'package_name': 'verilator', 'package_version': '5.020-1', 'project_dirname': 'verilator', 'project_name': 'verilator', 'project_owner': 'vowstar', 'repo_priority': None, 'repos': [{'baseurl': 'https://download.copr.fedorainfracloud.org/results/vowstar/verilator/rhel-8-x86_64/', 'id': 'copr_base', 'name': 'Copr repository', 'priority': None}], 'sandbox': 'vowstar/verilator--vowstar', 'source_json': {}, 'source_type': None, 'ssh_public_keys': None, 'submitter': 'vowstar', 'tags': [], 'task_id': '7390567-rhel-8-x86_64', 'timeout': 18000, 'uses_devel_repo': False, 'with_opts': [], 'without_opts': []} Running: git clone https://copr-dist-git.fedorainfracloud.org/git/vowstar/verilator/verilator /var/lib/copr-rpmbuild/workspace/workdir-4dtoal28/verilator --depth 500 --no-single-branch --recursive cmd: ['git', 'clone', 'https://copr-dist-git.fedorainfracloud.org/git/vowstar/verilator/verilator', '/var/lib/copr-rpmbuild/workspace/workdir-4dtoal28/verilator', '--depth', '500', '--no-single-branch', '--recursive'] cwd: . rc: 0 stdout: stderr: Cloning into '/var/lib/copr-rpmbuild/workspace/workdir-4dtoal28/verilator'... Running: git checkout 67ad5272589a930f0bc962b249d8d7910cb25095 -- cmd: ['git', 'checkout', '67ad5272589a930f0bc962b249d8d7910cb25095', '--'] cwd: /var/lib/copr-rpmbuild/workspace/workdir-4dtoal28/verilator rc: 0 stdout: stderr: Note: switching to '67ad5272589a930f0bc962b249d8d7910cb25095'. You are in 'detached HEAD' state. You can look around, make experimental changes and commit them, and you can discard any commits you make in this state without impacting any branches by switching back to a branch. If you want to create a new branch to retain commits you create, you may do so (now or later) by using -c with the switch command. Example: git switch -c Or undo this operation with: git switch - Turn off this advice by setting config variable advice.detachedHead to false HEAD is now at 67ad527 automatic import of verilator Running: copr-distgit-client sources cmd: ['copr-distgit-client', 'sources'] cwd: /var/lib/copr-rpmbuild/workspace/workdir-4dtoal28/verilator rc: 0 stdout: Dload Upload Total Spent Left Speed 100 3443k 100 3443k 0 0 29.4M 0 --:--:-- --:--:-- --:--:-- 29.7M INFO: Reading stdout from command: md5sum verilator-5.020.tar.gz /usr/bin/tail: /var/lib/copr-rpmbuild/main.log: file truncated Running (timeout=18000): unbuffer mock --spec /var/lib/copr-rpmbuild/workspace/workdir-4dtoal28/verilator/verilator.spec --sources /var/lib/copr-rpmbuild/workspace/workdir-4dtoal28/verilator --resultdir /var/lib/copr-rpmbuild/results --uniqueext 1714412420.587854 -r /var/lib/copr-rpmbuild/results/configs/child.cfg INFO: mock.py version 5.5 starting (python version = 3.12.1, NVR = mock-5.5-1.fc39), args: /usr/libexec/mock/mock --spec /var/lib/copr-rpmbuild/workspace/workdir-4dtoal28/verilator/verilator.spec --sources /var/lib/copr-rpmbuild/workspace/workdir-4dtoal28/verilator --resultdir /var/lib/copr-rpmbuild/results --uniqueext 1714412420.587854 -r /var/lib/copr-rpmbuild/results/configs/child.cfg Start(bootstrap): init plugins INFO: tmpfs initialized INFO: selinux enabled INFO: chroot_scan: initialized INFO: compress_logs: initialized Finish(bootstrap): init plugins Start: init plugins INFO: tmpfs initialized INFO: selinux enabled INFO: chroot_scan: initialized INFO: compress_logs: initialized Finish: init plugins INFO: Signal handler active Start: run INFO: Start(/var/lib/copr-rpmbuild/workspace/workdir-4dtoal28/verilator/verilator.spec) Config(rhel-8-x86_64) Start: clean chroot Finish: clean chroot Mock Version: 5.5 INFO: Mock Version: 5.5 Start(bootstrap): chroot init INFO: mounting tmpfs at /var/lib/mock/rhel-8-x86_64-bootstrap-1714412420.587854/root. INFO: calling preinit hooks INFO: enabled root cache INFO: enabled package manager cache Start(bootstrap): cleaning package manager metadata Finish(bootstrap): cleaning package manager metadata INFO: Guessed host environment type: unknown INFO: Using bootstrap image: registry.access.redhat.com/ubi8/ubi INFO: Pulling image: registry.access.redhat.com/ubi8/ubi INFO: Copy content of container registry.access.redhat.com/ubi8/ubi to /var/lib/mock/rhel-8-x86_64-bootstrap-1714412420.587854/root INFO: Checking that registry.access.redhat.com/ubi8/ubi image matches host's architecture INFO: mounting registry.access.redhat.com/ubi8/ubi with podman image mount INFO: image registry.access.redhat.com/ubi8/ubi as /var/lib/containers/storage/overlay/31ef0364e9a5089fff79d6ab4a2ccac8398c4aadd2d838b72e7f5fe1b77a4562/merged INFO: umounting image registry.access.redhat.com/ubi8/ubi (/var/lib/containers/storage/overlay/31ef0364e9a5089fff79d6ab4a2ccac8398c4aadd2d838b72e7f5fe1b77a4562/merged) with podman image umount INFO: Package manager dnf detected and used (fallback) INFO: Not updating bootstrap chroot, bootstrap_image_ready=True Start(bootstrap): creating root cache Finish(bootstrap): creating root cache Finish(bootstrap): chroot init Start: chroot init INFO: mounting tmpfs at /var/lib/mock/rhel-8-x86_64-1714412420.587854/root. INFO: calling preinit hooks INFO: enabled root cache INFO: enabled package manager cache Start: cleaning package manager metadata Finish: cleaning package manager metadata INFO: enabled HW Info plugin INFO: Package manager dnf detected and used (direct choice) INFO: Buildroot is handled by package management downloaded with a bootstrap image: rpm-4.14.3-28.el8_9.x86_64 python3-dnf-4.7.0-19.el8.noarch python3-dnf-plugins-core-4.0.21-23.el8.noarch yum-4.7.0-19.el8.noarch Start: installing minimal buildroot with dnf No matches found for the following disable plugin patterns: local, spacewalk, versionlock Updating Subscription Management repositories. Unable to read consumer identity This system is not registered with an entitlement server. You can use subscription-manager to register. Copr repository 14 kB/s | 8.3 kB 00:00 Red Hat Enterprise Linux - BaseOS 25 MB/s | 67 MB 00:02 Red Hat Enterprise Linux - AppStream 60 MB/s | 61 MB 00:01 Red Hat Enterprise Linux - CodeReady Linux Buil 13 MB/s | 9.2 MB 00:00 Dependencies resolved. =========================================================================================== Package Arch Version Repository Size =========================================================================================== Installing: bash x86_64 4.4.20-4.el8_6 rhel-baseos 1.5 M bzip2 x86_64 1.0.6-26.el8 rhel-baseos 60 k coreutils x86_64 8.30-15.el8 rhel-baseos 1.2 M cpio x86_64 2.12-11.el8 rhel-baseos 266 k diffutils x86_64 3.6-6.el8 rhel-baseos 359 k findutils x86_64 1:4.6.0-21.el8 rhel-baseos 527 k gawk x86_64 4.2.1-4.el8 rhel-baseos 1.1 M gcc x86_64 8.5.0-20.el8 rhel-appstream 23 M gcc-c++ x86_64 8.5.0-20.el8 rhel-appstream 12 M grep x86_64 3.1-6.el8 rhel-baseos 274 k gzip x86_64 1.9-13.el8_5 rhel-baseos 167 k info x86_64 6.5-7.el8 rhel-baseos 198 k make x86_64 1:4.2.1-11.el8 rhel-baseos 498 k patch x86_64 2.7.6-11.el8 rhel-baseos 138 k redhat-release x86_64 8.9-0.1.el8 rhel-baseos 45 k redhat-rpm-config noarch 131-1.el8 rhel-appstream 91 k rpm-build x86_64 4.14.3-28.el8_9 rhel-appstream 174 k sed x86_64 4.5-5.el8 rhel-baseos 298 k tar x86_64 2:1.30-9.el8 rhel-baseos 839 k unzip x86_64 6.0-46.el8 rhel-baseos 196 k util-linux x86_64 2.32.1-44.el8_9.1 rhel-baseos 2.5 M which x86_64 2.21-20.el8 rhel-baseos 50 k xz x86_64 5.2.4-4.el8_6 rhel-baseos 153 k Installing dependencies: annobin x86_64 11.13-2.el8 rhel-appstream 972 k audit-libs x86_64 3.0.7-5.el8 rhel-baseos 123 k basesystem noarch 11-5.el8 rhel-baseos 11 k binutils x86_64 2.30-123.el8 rhel-baseos 5.8 M brotli x86_64 1.0.6-3.el8 rhel-baseos 323 k bzip2-libs x86_64 1.0.6-26.el8 rhel-baseos 48 k ca-certificates noarch 2023.2.60_v7.0.306-80.0.el8_8 rhel-baseos 935 k chkconfig x86_64 1.19.2-1.el8 rhel-baseos 199 k coreutils-common x86_64 8.30-15.el8 rhel-baseos 2.0 M cpp x86_64 8.5.0-20.el8 rhel-appstream 10 M cracklib x86_64 2.9.6-15.el8 rhel-baseos 93 k cracklib-dicts x86_64 2.9.6-15.el8 rhel-baseos 4.0 M crypto-policies noarch 20230731-1.git3177e06.el8 rhel-baseos 64 k curl x86_64 7.61.1-33.el8_9.5 rhel-baseos 354 k cyrus-sasl-lib x86_64 2.1.27-6.el8_5 rhel-baseos 123 k dwz x86_64 0.12-10.el8 rhel-appstream 109 k efi-srpm-macros noarch 3-3.el8 rhel-appstream 22 k elfutils x86_64 0.189-3.el8 rhel-baseos 553 k elfutils-default-yama-scope noarch 0.189-3.el8 rhel-baseos 52 k elfutils-libelf x86_64 0.189-3.el8 rhel-baseos 232 k elfutils-libs x86_64 0.189-3.el8 rhel-baseos 303 k expat x86_64 2.2.5-11.el8_9.1 rhel-baseos 114 k file x86_64 5.33-25.el8 rhel-baseos 77 k file-libs x86_64 5.33-25.el8 rhel-baseos 544 k filesystem x86_64 3.8-6.el8 rhel-baseos 1.1 M gc x86_64 7.6.4-3.el8 rhel-appstream 109 k gcc-plugin-annobin x86_64 8.5.0-20.el8 rhel-appstream 36 k gdb-headless x86_64 8.2-20.el8 rhel-appstream 3.7 M gdbm x86_64 1:1.18-2.el8 rhel-baseos 130 k gdbm-libs x86_64 1:1.18-2.el8 rhel-baseos 60 k ghc-srpm-macros noarch 1.4.2-7.el8 rhel-appstream 9.4 k glib2 x86_64 2.56.4-161.el8 rhel-baseos 2.5 M glibc x86_64 2.28-236.el8_9.12 rhel-baseos 2.2 M glibc-all-langpacks x86_64 2.28-236.el8_9.12 rhel-baseos 26 M glibc-common x86_64 2.28-236.el8_9.12 rhel-baseos 1.0 M glibc-devel x86_64 2.28-236.el8_9.12 rhel-baseos 86 k glibc-gconv-extra x86_64 2.28-236.el8_9.12 rhel-baseos 1.6 M glibc-headers x86_64 2.28-236.el8_9.12 rhel-baseos 491 k gmp x86_64 1:6.1.2-10.el8 rhel-baseos 321 k gnupg2 x86_64 2.2.20-3.el8_6 rhel-baseos 2.4 M gnutls x86_64 3.6.16-8.el8_9.3 rhel-baseos 1.0 M go-srpm-macros noarch 2-17.el8 rhel-appstream 13 k guile x86_64 5:2.0.14-7.el8 rhel-appstream 3.5 M ima-evm-utils x86_64 1.3.2-12.el8 rhel-baseos 64 k isl x86_64 0.16.1-6.el8 rhel-appstream 841 k kernel-headers x86_64 4.18.0-513.24.1.el8_9 rhel-baseos 11 M keyutils-libs x86_64 1.5.10-9.el8 rhel-baseos 34 k krb5-libs x86_64 1.18.2-26.el8_9 rhel-baseos 842 k libacl x86_64 2.2.53-1.el8 rhel-baseos 35 k libarchive x86_64 3.3.3-5.el8 rhel-baseos 360 k libassuan x86_64 2.5.1-3.el8 rhel-baseos 83 k libatomic_ops x86_64 7.6.2-3.el8 rhel-appstream 38 k libattr x86_64 2.4.48-3.el8 rhel-baseos 27 k libbabeltrace x86_64 1.5.4-4.el8 rhel-baseos 200 k libblkid x86_64 2.32.1-44.el8_9.1 rhel-baseos 221 k libcap x86_64 2.48-6.el8_9 rhel-baseos 74 k libcap-ng x86_64 0.7.11-1.el8 rhel-baseos 33 k libcom_err x86_64 1.45.6-5.el8 rhel-baseos 49 k libcurl x86_64 7.61.1-33.el8_9.5 rhel-baseos 304 k libdb x86_64 5.3.28-42.el8_4 rhel-baseos 751 k libdb-utils x86_64 5.3.28-42.el8_4 rhel-baseos 150 k libfdisk x86_64 2.32.1-44.el8_9.1 rhel-baseos 254 k libffi x86_64 3.1-24.el8 rhel-baseos 38 k libgcc x86_64 8.5.0-20.el8 rhel-baseos 81 k libgcrypt x86_64 1.8.5-7.el8_6 rhel-baseos 463 k libgomp x86_64 8.5.0-20.el8 rhel-baseos 208 k libgpg-error x86_64 1.31-1.el8 rhel-baseos 242 k libidn2 x86_64 2.2.0-1.el8 rhel-baseos 94 k libipt x86_64 1.6.1-8.el8 rhel-appstream 50 k libksba x86_64 1.3.5-9.el8_7 rhel-baseos 134 k libmount x86_64 2.32.1-44.el8_9.1 rhel-baseos 237 k libmpc x86_64 1.1.0-9.1.el8 rhel-appstream 61 k libnghttp2 x86_64 1.33.0-5.el8_9 rhel-baseos 78 k libnsl2 x86_64 1.2.0-2.20180605git4a062cf.el8 rhel-baseos 58 k libpkgconf x86_64 1.4.2-1.el8 rhel-baseos 35 k libpsl x86_64 0.20.2-6.el8 rhel-baseos 61 k libpwquality x86_64 1.4.4-6.el8 rhel-baseos 107 k libselinux x86_64 2.9-8.el8 rhel-baseos 166 k libsemanage x86_64 2.9-9.el8_6 rhel-baseos 168 k libsepol x86_64 2.9-3.el8 rhel-baseos 340 k libsigsegv x86_64 2.11-5.el8 rhel-baseos 30 k libsmartcols x86_64 2.32.1-44.el8_9.1 rhel-baseos 180 k libssh x86_64 0.9.6-13.el8_9 rhel-baseos 220 k libssh-config noarch 0.9.6-13.el8_9 rhel-baseos 21 k libstdc++ x86_64 8.5.0-20.el8 rhel-baseos 455 k libstdc++-devel x86_64 8.5.0-20.el8 rhel-appstream 2.1 M libtasn1 x86_64 4.13-4.el8_7 rhel-baseos 76 k libtirpc x86_64 1.1.4-8.el8 rhel-baseos 113 k libtool-ltdl x86_64 2.4.6-25.el8 rhel-baseos 58 k libunistring x86_64 0.9.9-3.el8 rhel-baseos 422 k libusbx x86_64 1.0.23-4.el8 rhel-baseos 74 k libutempter x86_64 1.1.6-14.el8 rhel-baseos 32 k libuuid x86_64 2.32.1-44.el8_9.1 rhel-baseos 99 k libverto x86_64 0.3.2-2.el8 rhel-baseos 24 k libxcrypt x86_64 4.1.1-6.el8 rhel-baseos 73 k libxcrypt-devel x86_64 4.1.1-6.el8 rhel-baseos 25 k libxml2 x86_64 2.9.7-18.el8_9 rhel-baseos 697 k libzstd x86_64 1.4.4-1.el8 rhel-baseos 266 k lua-libs x86_64 5.3.4-12.el8 rhel-baseos 118 k lz4-libs x86_64 1.8.3-3.el8_4 rhel-baseos 66 k mpfr x86_64 3.1.6-1.el8 rhel-baseos 221 k ncurses x86_64 6.1-10.20180224.el8 rhel-baseos 387 k ncurses-base noarch 6.1-10.20180224.el8 rhel-baseos 81 k ncurses-libs x86_64 6.1-10.20180224.el8 rhel-baseos 334 k nettle x86_64 3.4.1-7.el8 rhel-baseos 301 k npth x86_64 1.5-4.el8 rhel-baseos 26 k ocaml-srpm-macros noarch 5-4.el8 rhel-appstream 9.5 k openblas-srpm-macros noarch 2-2.el8 rhel-appstream 8.0 k openldap x86_64 2.4.46-18.el8 rhel-baseos 352 k openssl-libs x86_64 1:1.1.1k-12.el8_9 rhel-baseos 1.5 M p11-kit x86_64 0.23.22-1.el8 rhel-baseos 324 k p11-kit-trust x86_64 0.23.22-1.el8 rhel-baseos 137 k pam x86_64 1.3.1-27.el8 rhel-baseos 746 k pcre x86_64 8.42-6.el8 rhel-baseos 211 k pcre2 x86_64 10.32-3.el8_6 rhel-baseos 247 k perl-srpm-macros noarch 1-25.el8 rhel-appstream 11 k pkgconf x86_64 1.4.2-1.el8 rhel-baseos 38 k pkgconf-m4 noarch 1.4.2-1.el8 rhel-baseos 17 k pkgconf-pkg-config x86_64 1.4.2-1.el8 rhel-baseos 15 k platform-python x86_64 3.6.8-56.el8_9.3 rhel-baseos 87 k platform-python-setuptools noarch 39.2.0-7.el8 rhel-baseos 632 k popt x86_64 1.18-1.el8 rhel-baseos 61 k publicsuffix-list-dafsa noarch 20180723-1.el8 rhel-baseos 56 k python-rpm-macros noarch 3-45.el8 rhel-appstream 16 k python-srpm-macros noarch 3-45.el8 rhel-appstream 16 k python3-libs x86_64 3.6.8-56.el8_9.3 rhel-baseos 7.8 M python3-pip-wheel noarch 9.0.3-23.el8_9.1 rhel-baseos 866 k python3-rpm-macros noarch 3-45.el8 rhel-appstream 15 k python3-setuptools-wheel noarch 39.2.0-7.el8 rhel-baseos 289 k qt5-srpm-macros noarch 5.15.3-1.el8 rhel-appstream 11 k readline x86_64 7.0-10.el8 rhel-baseos 199 k rpm x86_64 4.14.3-28.el8_9 rhel-baseos 544 k rpm-build-libs x86_64 4.14.3-28.el8_9 rhel-baseos 157 k rpm-libs x86_64 4.14.3-28.el8_9 rhel-baseos 348 k rust-srpm-macros noarch 5-2.el8 rhel-appstream 9.3 k setup noarch 2.12.2-9.el8 rhel-baseos 181 k shadow-utils x86_64 2:4.6-19.el8 rhel-baseos 1.2 M sqlite-libs x86_64 3.26.0-19.el8_9 rhel-baseos 581 k systemd-libs x86_64 239-78.el8 rhel-baseos 1.1 M tpm2-tss x86_64 2.3.2-5.el8 rhel-baseos 275 k tzdata noarch 2024a-1.el8 rhel-baseos 475 k xz-libs x86_64 5.2.4-4.el8_6 rhel-baseos 94 k zip x86_64 3.0-23.el8 rhel-baseos 270 k zlib x86_64 1.2.11-25.el8 rhel-baseos 103 k zstd x86_64 1.4.4-1.el8 rhel-appstream 393 k Transaction Summary =========================================================================================== Install 168 Packages Total download size: 163 M Installed size: 813 M Downloading Packages: (1/168): bzip2-libs-1.0.6-26.el8.x86_64.rpm 293 kB/s | 48 kB 00:00 (2/168): cracklib-2.9.6-15.el8.x86_64.rpm 427 kB/s | 93 kB 00:00 (3/168): bzip2-1.0.6-26.el8.x86_64.rpm 226 kB/s | 60 kB 00:00 (4/168): libassuan-2.5.1-3.el8.x86_64.rpm 826 kB/s | 83 kB 00:00 (5/168): grep-3.1-6.el8.x86_64.rpm 1.3 MB/s | 274 kB 00:00 (6/168): libattr-2.4.48-3.el8.x86_64.rpm 259 kB/s | 27 kB 00:00 (7/168): libsigsegv-2.11-5.el8.x86_64.rpm 369 kB/s | 30 kB 00:00 (8/168): libutempter-1.1.6-14.el8.x86_64.rpm 237 kB/s | 32 kB 00:00 (9/168): cracklib-dicts-2.9.6-15.el8.x86_64.rpm 7.9 MB/s | 4.0 MB 00:00 (10/168): libunistring-0.9.9-3.el8.x86_64.rpm 2.1 MB/s | 422 kB 00:00 (11/168): pkgconf-1.4.2-1.el8.x86_64.rpm 594 kB/s | 38 kB 00:00 (12/168): mpfr-3.1.6-1.el8.x86_64.rpm 1.8 MB/s | 221 kB 00:00 (13/168): pkgconf-pkg-config-1.4.2-1.el8.x86_64 192 kB/s | 15 kB 00:00 (14/168): npth-1.5-4.el8.x86_64.rpm 137 kB/s | 26 kB 00:00 (15/168): readline-7.0-10.el8.x86_64.rpm 2.3 MB/s | 199 kB 00:00 (16/168): libacl-2.2.53-1.el8.x86_64.rpm 403 kB/s | 35 kB 00:00 (17/168): zip-3.0-23.el8.x86_64.rpm 1.9 MB/s | 270 kB 00:00 (18/168): libgpg-error-1.31-1.el8.x86_64.rpm 4.0 MB/s | 242 kB 00:00 (19/168): libnsl2-1.2.0-2.20180605git4a062cf.el 937 kB/s | 58 kB 00:00 (20/168): libtool-ltdl-2.4.6-25.el8.x86_64.rpm 939 kB/s | 58 kB 00:00 (21/168): basesystem-11-5.el8.noarch.rpm 47 kB/s | 11 kB 00:00 (22/168): libpkgconf-1.4.2-1.el8.x86_64.rpm 445 kB/s | 35 kB 00:00 (23/168): pkgconf-m4-1.4.2-1.el8.noarch.rpm 282 kB/s | 17 kB 00:00 (24/168): gmp-6.1.2-10.el8.x86_64.rpm 5.7 MB/s | 321 kB 00:00 (25/168): publicsuffix-list-dafsa-20180723-1.el 427 kB/s | 56 kB 00:00 (26/168): libidn2-2.2.0-1.el8.x86_64.rpm 846 kB/s | 94 kB 00:00 (27/168): patch-2.7.6-11.el8.x86_64.rpm 1.8 MB/s | 138 kB 00:00 (28/168): diffutils-3.6-6.el8.x86_64.rpm 2.3 MB/s | 359 kB 00:00 (29/168): libusbx-1.0.23-4.el8.x86_64.rpm 1.2 MB/s | 74 kB 00:00 (30/168): libpsl-0.20.2-6.el8.x86_64.rpm 1.2 MB/s | 61 kB 00:00 (31/168): libzstd-1.4.4-1.el8.x86_64.rpm 4.5 MB/s | 266 kB 00:00 (32/168): popt-1.18-1.el8.x86_64.rpm 1.3 MB/s | 61 kB 00:00 (33/168): p11-kit-trust-0.23.22-1.el8.x86_64.rp 1.5 MB/s | 137 kB 00:00 (34/168): lz4-libs-1.8.3-3.el8_4.x86_64.rpm 1.0 MB/s | 66 kB 00:00 (35/168): ima-evm-utils-1.3.2-12.el8.x86_64.rpm 594 kB/s | 64 kB 00:00 (36/168): p11-kit-0.23.22-1.el8.x86_64.rpm 5.4 MB/s | 324 kB 00:00 (37/168): brotli-1.0.6-3.el8.x86_64.rpm 1.7 MB/s | 323 kB 00:00 (38/168): filesystem-3.8-6.el8.x86_64.rpm 13 MB/s | 1.1 MB 00:00 (39/168): libcap-ng-0.7.11-1.el8.x86_64.rpm 601 kB/s | 33 kB 00:00 (40/168): libdb-5.3.28-42.el8_4.x86_64.rpm 8.4 MB/s | 751 kB 00:00 (41/168): libxcrypt-devel-4.1.1-6.el8.x86_64.rp 454 kB/s | 25 kB 00:00 (42/168): libdb-utils-5.3.28-42.el8_4.x86_64.rp 1.3 MB/s | 150 kB 00:00 (43/168): nettle-3.4.1-7.el8.x86_64.rpm 3.5 MB/s | 301 kB 00:00 (44/168): libxcrypt-4.1.1-6.el8.x86_64.rpm 414 kB/s | 73 kB 00:00 (45/168): cyrus-sasl-lib-2.1.27-6.el8_5.x86_64. 2.1 MB/s | 123 kB 00:00 (46/168): pcre-8.42-6.el8.x86_64.rpm 2.8 MB/s | 211 kB 00:00 (47/168): keyutils-libs-1.5.10-9.el8.x86_64.rpm 577 kB/s | 34 kB 00:00 (48/168): openldap-2.4.46-18.el8.x86_64.rpm 1.6 MB/s | 352 kB 00:00 (49/168): gzip-1.9-13.el8_5.x86_64.rpm 1.8 MB/s | 167 kB 00:00 (50/168): libsepol-2.9-3.el8.x86_64.rpm 5.1 MB/s | 340 kB 00:00 (51/168): lua-libs-5.3.4-12.el8.x86_64.rpm 1.7 MB/s | 118 kB 00:00 (52/168): cpio-2.12-11.el8.x86_64.rpm 3.2 MB/s | 266 kB 00:00 (53/168): info-6.5-7.el8.x86_64.rpm 3.0 MB/s | 198 kB 00:00 (54/168): make-4.2.1-11.el8.x86_64.rpm 3.5 MB/s | 498 kB 00:00 (55/168): gawk-4.2.1-4.el8.x86_64.rpm 5.9 MB/s | 1.1 MB 00:00 (56/168): unzip-6.0-46.el8.x86_64.rpm 3.8 MB/s | 196 kB 00:00 (57/168): sed-4.5-5.el8.x86_64.rpm 1.9 MB/s | 298 kB 00:00 (58/168): xz-5.2.4-4.el8_6.x86_64.rpm 2.7 MB/s | 153 kB 00:00 (59/168): xz-libs-5.2.4-4.el8_6.x86_64.rpm 1.8 MB/s | 94 kB 00:00 (60/168): bash-4.4.20-4.el8_6.x86_64.rpm 20 MB/s | 1.5 MB 00:00 (61/168): gdbm-libs-1.18-2.el8.x86_64.rpm 825 kB/s | 60 kB 00:00 (62/168): libcom_err-1.45.6-5.el8.x86_64.rpm 912 kB/s | 49 kB 00:00 (63/168): libbabeltrace-1.5.4-4.el8.x86_64.rpm 3.0 MB/s | 200 kB 00:00 (64/168): gnupg2-2.2.20-3.el8_6.x86_64.rpm 15 MB/s | 2.4 MB 00:00 (65/168): libgcrypt-1.8.5-7.el8_6.x86_64.rpm 7.8 MB/s | 463 kB 00:00 (66/168): libverto-0.3.2-2.el8.x86_64.rpm 472 kB/s | 24 kB 00:00 (67/168): libsemanage-2.9-9.el8_6.x86_64.rpm 1.3 MB/s | 168 kB 00:00 (68/168): libtirpc-1.1.4-8.el8.x86_64.rpm 1.3 MB/s | 113 kB 00:00 (69/168): gdbm-1.18-2.el8.x86_64.rpm 1.6 MB/s | 130 kB 00:00 (70/168): libksba-1.3.5-9.el8_7.x86_64.rpm 1.8 MB/s | 134 kB 00:00 (71/168): pcre2-10.32-3.el8_6.x86_64.rpm 2.1 MB/s | 247 kB 00:00 (72/168): libtasn1-4.13-4.el8_7.x86_64.rpm 1.3 MB/s | 76 kB 00:00 (73/168): coreutils-common-8.30-15.el8.x86_64.r 15 MB/s | 2.0 MB 00:00 (74/168): coreutils-8.30-15.el8.x86_64.rpm 8.0 MB/s | 1.2 MB 00:00 (75/168): glib2-2.56.4-161.el8.x86_64.rpm 21 MB/s | 2.5 MB 00:00 (76/168): libarchive-3.3.3-5.el8.x86_64.rpm 6.1 MB/s | 360 kB 00:00 (77/168): libpwquality-1.4.4-6.el8.x86_64.rpm 1.4 MB/s | 107 kB 00:00 (78/168): libffi-3.1-24.el8.x86_64.rpm 337 kB/s | 38 kB 00:00 (79/168): libselinux-2.9-8.el8.x86_64.rpm 1.7 MB/s | 166 kB 00:00 (80/168): python3-setuptools-wheel-39.2.0-7.el8 4.0 MB/s | 289 kB 00:00 (81/168): platform-python-setuptools-39.2.0-7.e 4.2 MB/s | 632 kB 00:00 (82/168): tar-1.30-9.el8.x86_64.rpm 8.9 MB/s | 839 kB 00:00 (83/168): setup-2.12.2-9.el8.noarch.rpm 1.2 MB/s | 181 kB 00:00 (84/168): audit-libs-3.0.7-5.el8.x86_64.rpm 2.1 MB/s | 123 kB 00:00 (85/168): ca-certificates-2023.2.60_v7.0.306-80 9.7 MB/s | 935 kB 00:00 (86/168): chkconfig-1.19.2-1.el8.x86_64.rpm 2.0 MB/s | 199 kB 00:00 (87/168): binutils-2.30-123.el8.x86_64.rpm 43 MB/s | 5.8 MB 00:00 (88/168): crypto-policies-20230731-1.git3177e06 915 kB/s | 64 kB 00:00 (89/168): elfutils-libelf-0.189-3.el8.x86_64.rp 3.6 MB/s | 232 kB 00:00 (90/168): file-5.33-25.el8.x86_64.rpm 1.2 MB/s | 77 kB 00:00 (91/168): elfutils-0.189-3.el8.x86_64.rpm 3.5 MB/s | 553 kB 00:00 (92/168): elfutils-libs-0.189-3.el8.x86_64.rpm 2.9 MB/s | 303 kB 00:00 (93/168): file-libs-5.33-25.el8.x86_64.rpm 10 MB/s | 544 kB 00:00 (94/168): findutils-4.6.0-21.el8.x86_64.rpm 7.5 MB/s | 527 kB 00:00 (95/168): libnghttp2-1.33.0-5.el8_9.x86_64.rpm 1.6 MB/s | 78 kB 00:00 (96/168): libgcc-8.5.0-20.el8.x86_64.rpm 750 kB/s | 81 kB 00:00 (97/168): libgomp-8.5.0-20.el8.x86_64.rpm 2.4 MB/s | 208 kB 00:00 (98/168): libstdc++-8.5.0-20.el8.x86_64.rpm 8.5 MB/s | 455 kB 00:00 (99/168): pam-1.3.1-27.el8.x86_64.rpm 13 MB/s | 746 kB 00:00 (100/168): which-2.21-20.el8.x86_64.rpm 714 kB/s | 50 kB 00:00 (101/168): elfutils-default-yama-scope-0.189-3. 632 kB/s | 52 kB 00:00 (102/168): ncurses-libs-6.1-10.20180224.el8.x86 2.0 MB/s | 334 kB 00:00 (103/168): krb5-libs-1.18.2-26.el8_9.x86_64.rpm 13 MB/s | 842 kB 00:00 (104/168): libcap-2.48-6.el8_9.x86_64.rpm 1.4 MB/s | 74 kB 00:00 (105/168): ncurses-base-6.1-10.20180224.el8.noa 1.6 MB/s | 81 kB 00:00 (106/168): ncurses-6.1-10.20180224.el8.x86_64.r 5.6 MB/s | 387 kB 00:00 (107/168): platform-python-3.6.8-56.el8_9.3.x86 627 kB/s | 87 kB 00:00 (108/168): libxml2-2.9.7-18.el8_9.x86_64.rpm 2.9 MB/s | 697 kB 00:00 (109/168): openssl-libs-1.1.1k-12.el8_9.x86_64. 9.4 MB/s | 1.5 MB 00:00 (110/168): shadow-utils-4.6-19.el8.x86_64.rpm 18 MB/s | 1.2 MB 00:00 (111/168): redhat-release-8.9-0.1.el8.x86_64.rp 603 kB/s | 45 kB 00:00 (112/168): systemd-libs-239-78.el8.x86_64.rpm 12 MB/s | 1.1 MB 00:00 (113/168): sqlite-libs-3.26.0-19.el8_9.x86_64.r 3.7 MB/s | 581 kB 00:00 (114/168): python3-libs-3.6.8-56.el8_9.3.x86_64 32 MB/s | 7.8 MB 00:00 (115/168): tpm2-tss-2.3.2-5.el8.x86_64.rpm 2.8 MB/s | 275 kB 00:00 (116/168): zlib-1.2.11-25.el8.x86_64.rpm 1.1 MB/s | 103 kB 00:00 (117/168): libssh-config-0.9.6-13.el8_9.noarch. 311 kB/s | 21 kB 00:00 (118/168): libssh-0.9.6-13.el8_9.x86_64.rpm 1.7 MB/s | 220 kB 00:00 (119/168): rpm-4.14.3-28.el8_9.x86_64.rpm 5.9 MB/s | 544 kB 00:00 (120/168): rpm-build-libs-4.14.3-28.el8_9.x86_6 1.9 MB/s | 157 kB 00:00 (121/168): rpm-libs-4.14.3-28.el8_9.x86_64.rpm 3.7 MB/s | 348 kB 00:00 (122/168): tzdata-2024a-1.el8.noarch.rpm 5.7 MB/s | 475 kB 00:00 (123/168): glibc-2.28-236.el8_9.12.x86_64.rpm 25 MB/s | 2.2 MB 00:00 (124/168): glibc-devel-2.28-236.el8_9.12.x86_64 1.2 MB/s | 86 kB 00:00 (125/168): glibc-common-2.28-236.el8_9.12.x86_6 3.9 MB/s | 1.0 MB 00:00 (126/168): glibc-gconv-extra-2.28-236.el8_9.12. 8.9 MB/s | 1.6 MB 00:00 (127/168): curl-7.61.1-33.el8_9.5.x86_64.rpm 3.3 MB/s | 354 kB 00:00 (128/168): glibc-all-langpacks-2.28-236.el8_9.1 50 MB/s | 26 MB 00:00 (129/168): glibc-headers-2.28-236.el8_9.12.x86_ 1.5 MB/s | 491 kB 00:00 (130/168): libblkid-2.32.1-44.el8_9.1.x86_64.rp 2.0 MB/s | 221 kB 00:00 (131/168): libcurl-7.61.1-33.el8_9.5.x86_64.rpm 4.4 MB/s | 304 kB 00:00 (132/168): kernel-headers-4.18.0-513.24.1.el8_9 40 MB/s | 11 MB 00:00 (133/168): libfdisk-2.32.1-44.el8_9.1.x86_64.rp 3.5 MB/s | 254 kB 00:00 (134/168): libmount-2.32.1-44.el8_9.1.x86_64.rp 3.2 MB/s | 237 kB 00:00 (135/168): libuuid-2.32.1-44.el8_9.1.x86_64.rpm 1.4 MB/s | 99 kB 00:00 (136/168): libsmartcols-2.32.1-44.el8_9.1.x86_6 2.2 MB/s | 180 kB 00:00 (137/168): python3-pip-wheel-9.0.3-23.el8_9.1.n 12 MB/s | 866 kB 00:00 (138/168): expat-2.2.5-11.el8_9.1.x86_64.rpm 1.6 MB/s | 114 kB 00:00 (139/168): util-linux-2.32.1-44.el8_9.1.x86_64. 22 MB/s | 2.5 MB 00:00 (140/168): ghc-srpm-macros-1.4.2-7.el8.noarch.r 148 kB/s | 9.4 kB 00:00 (141/168): ocaml-srpm-macros-5-4.el8.noarch.rpm 148 kB/s | 9.5 kB 00:00 (142/168): gnutls-3.6.16-8.el8_9.3.x86_64.rpm 7.8 MB/s | 1.0 MB 00:00 (143/168): openblas-srpm-macros-2-2.el8.noarch. 148 kB/s | 8.0 kB 00:00 (144/168): perl-srpm-macros-1-25.el8.noarch.rpm 188 kB/s | 11 kB 00:00 (145/168): rust-srpm-macros-5-2.el8.noarch.rpm 159 kB/s | 9.3 kB 00:00 (146/168): libatomic_ops-7.6.2-3.el8.x86_64.rpm 537 kB/s | 38 kB 00:00 (147/168): gc-7.6.4-3.el8.x86_64.rpm 1.0 MB/s | 109 kB 00:00 (148/168): guile-2.0.14-7.el8.x86_64.rpm 21 MB/s | 3.5 MB 00:00 (149/168): isl-0.16.1-6.el8.x86_64.rpm 5.6 MB/s | 841 kB 00:00 (150/168): libipt-1.6.1-8.el8.x86_64.rpm 682 kB/s | 50 kB 00:00 (151/168): libmpc-1.1.0-9.1.el8.x86_64.rpm 869 kB/s | 61 kB 00:00 (152/168): efi-srpm-macros-3-3.el8.noarch.rpm 330 kB/s | 22 kB 00:00 (153/168): zstd-1.4.4-1.el8.x86_64.rpm 4.2 MB/s | 393 kB 00:00 (154/168): dwz-0.12-10.el8.x86_64.rpm 1.8 MB/s | 109 kB 00:00 (155/168): go-srpm-macros-2-17.el8.noarch.rpm 171 kB/s | 13 kB 00:00 (156/168): qt5-srpm-macros-5.15.3-1.el8.noarch. 170 kB/s | 11 kB 00:00 (157/168): python-rpm-macros-3-45.el8.noarch.rp 258 kB/s | 16 kB 00:00 (158/168): python3-rpm-macros-3-45.el8.noarch.r 278 kB/s | 15 kB 00:00 (159/168): redhat-rpm-config-131-1.el8.noarch.r 1.2 MB/s | 91 kB 00:00 (160/168): python-srpm-macros-3-45.el8.noarch.r 331 kB/s | 16 kB 00:00 (161/168): gcc-plugin-annobin-8.5.0-20.el8.x86_ 426 kB/s | 36 kB 00:00 (162/168): annobin-11.13-2.el8.x86_64.rpm 8.8 MB/s | 972 kB 00:00 (163/168): cpp-8.5.0-20.el8.x86_64.rpm 21 MB/s | 10 MB 00:00 (164/168): gcc-c++-8.5.0-20.el8.x86_64.rpm 19 MB/s | 12 MB 00:00 (165/168): libstdc++-devel-8.5.0-20.el8.x86_64. 11 MB/s | 2.1 MB 00:00 (166/168): gcc-8.5.0-20.el8.x86_64.rpm 32 MB/s | 23 MB 00:00 (167/168): gdb-headless-8.2-20.el8.x86_64.rpm 14 MB/s | 3.7 MB 00:00 (168/168): rpm-build-4.14.3-28.el8_9.x86_64.rpm 2.9 MB/s | 174 kB 00:00 -------------------------------------------------------------------------------- Total 25 MB/s | 163 MB 00:06 Red Hat Enterprise Linux - BaseOS 3.1 MB/s | 3.1 kB 00:00 Importing GPG key 0xFD431D51: Userid : "Red Hat, Inc. (release key 2) " Fingerprint: 567E 347A D004 4ADE 55BA 8A5F 199E 2F91 FD43 1D51 From : /usr/share/distribution-gpg-keys/redhat/RPM-GPG-KEY-redhat8-release Key imported successfully Key imported successfully Importing GPG key 0x2FA658E0: Userid : "Red Hat, Inc. (auxiliary key) " Fingerprint: 43A6 E49C 4A38 F4BE 9ABF 2A53 4568 9C88 2FA6 58E0 From : /usr/share/distribution-gpg-keys/redhat/RPM-GPG-KEY-redhat8-release Running transaction check Transaction check succeeded. Running transaction test Transaction test succeeded. Running transaction Running scriptlet: filesystem-3.8-6.el8.x86_64 1/1 Preparing : 1/1 Installing : libgcc-8.5.0-20.el8.x86_64 1/168 Running scriptlet: libgcc-8.5.0-20.el8.x86_64 1/168 Installing : crypto-policies-20230731-1.git3177e06.el8.noarch 2/168 Running scriptlet: crypto-policies-20230731-1.git3177e06.el8.noarch 2/168 Installing : python-srpm-macros-3-45.el8.noarch 3/168 Installing : python3-pip-wheel-9.0.3-23.el8_9.1.noarch 4/168 Installing : python3-setuptools-wheel-39.2.0-7.el8.noarch 5/168 Installing : python-rpm-macros-3-45.el8.noarch 6/168 Installing : python3-rpm-macros-3-45.el8.noarch 7/168 Installing : qt5-srpm-macros-5.15.3-1.el8.noarch 8/168 Installing : go-srpm-macros-2-17.el8.noarch 9/168 Installing : rust-srpm-macros-5-2.el8.noarch 10/168 Installing : perl-srpm-macros-1-25.el8.noarch 11/168 Installing : openblas-srpm-macros-2-2.el8.noarch 12/168 Installing : ocaml-srpm-macros-5-4.el8.noarch 13/168 Installing : ghc-srpm-macros-1.4.2-7.el8.noarch 14/168 Installing : kernel-headers-4.18.0-513.24.1.el8_9.x86_64 15/168 Installing : tzdata-2024a-1.el8.noarch 16/168 Installing : libssh-config-0.9.6-13.el8_9.noarch 17/168 Installing : redhat-release-8.9-0.1.el8.x86_64 18/168 Installing : setup-2.12.2-9.el8.noarch 19/168 warning: /etc/hosts created as /etc/hosts.rpmnew Running scriptlet: setup-2.12.2-9.el8.noarch 19/168 Installing : filesystem-3.8-6.el8.x86_64 20/168 Installing : basesystem-11-5.el8.noarch 21/168 Installing : ncurses-base-6.1-10.20180224.el8.noarch 22/168 Installing : pcre2-10.32-3.el8_6.x86_64 23/168 Installing : libselinux-2.9-8.el8.x86_64 24/168 Installing : ncurses-libs-6.1-10.20180224.el8.x86_64 25/168 Installing : glibc-all-langpacks-2.28-236.el8_9.12.x86_64 26/168 Installing : glibc-common-2.28-236.el8_9.12.x86_64 27/168 Installing : glibc-gconv-extra-2.28-236.el8_9.12.x86_64 28/168 Running scriptlet: glibc-gconv-extra-2.28-236.el8_9.12.x86_64 28/168 Running scriptlet: glibc-2.28-236.el8_9.12.x86_64 29/168 Installing : glibc-2.28-236.el8_9.12.x86_64 29/168 Running scriptlet: glibc-2.28-236.el8_9.12.x86_64 29/168 Installing : bash-4.4.20-4.el8_6.x86_64 30/168 Running scriptlet: bash-4.4.20-4.el8_6.x86_64 30/168 Installing : libsepol-2.9-3.el8.x86_64 31/168 Running scriptlet: libsepol-2.9-3.el8.x86_64 31/168 Installing : zlib-1.2.11-25.el8.x86_64 32/168 Installing : info-6.5-7.el8.x86_64 33/168 Installing : bzip2-libs-1.0.6-26.el8.x86_64 34/168 Installing : xz-libs-5.2.4-4.el8_6.x86_64 35/168 Installing : gmp-1:6.1.2-10.el8.x86_64 36/168 Running scriptlet: gmp-1:6.1.2-10.el8.x86_64 36/168 Installing : libstdc++-8.5.0-20.el8.x86_64 37/168 Running scriptlet: libstdc++-8.5.0-20.el8.x86_64 37/168 Installing : libzstd-1.4.4-1.el8.x86_64 38/168 Installing : elfutils-libelf-0.189-3.el8.x86_64 39/168 Installing : libxcrypt-4.1.1-6.el8.x86_64 40/168 Installing : mpfr-3.1.6-1.el8.x86_64 41/168 Running scriptlet: mpfr-3.1.6-1.el8.x86_64 41/168 Installing : readline-7.0-10.el8.x86_64 42/168 Running scriptlet: readline-7.0-10.el8.x86_64 42/168 Installing : sqlite-libs-3.26.0-19.el8_9.x86_64 43/168 Installing : popt-1.18-1.el8.x86_64 44/168 Installing : libcap-2.48-6.el8_9.x86_64 45/168 Installing : libcom_err-1.45.6-5.el8.x86_64 46/168 Running scriptlet: libcom_err-1.45.6-5.el8.x86_64 46/168 Installing : libuuid-2.32.1-44.el8_9.1.x86_64 47/168 Running scriptlet: libuuid-2.32.1-44.el8_9.1.x86_64 47/168 Installing : chkconfig-1.19.2-1.el8.x86_64 48/168 Installing : libunistring-0.9.9-3.el8.x86_64 49/168 Installing : libattr-2.4.48-3.el8.x86_64 50/168 Installing : libacl-2.2.53-1.el8.x86_64 51/168 Installing : sed-4.5-5.el8.x86_64 52/168 Running scriptlet: sed-4.5-5.el8.x86_64 52/168 Installing : libgpg-error-1.31-1.el8.x86_64 53/168 Installing : lua-libs-5.3.4-12.el8.x86_64 54/168 Installing : libffi-3.1-24.el8.x86_64 55/168 Installing : p11-kit-0.23.22-1.el8.x86_64 56/168 Installing : libidn2-2.2.0-1.el8.x86_64 57/168 Installing : libmpc-1.1.0-9.1.el8.x86_64 58/168 Installing : file-libs-5.33-25.el8.x86_64 59/168 Installing : file-5.33-25.el8.x86_64 60/168 Installing : libgcrypt-1.8.5-7.el8_6.x86_64 61/168 Running scriptlet: libgcrypt-1.8.5-7.el8_6.x86_64 61/168 Installing : unzip-6.0-46.el8.x86_64 62/168 Installing : findutils-1:4.6.0-21.el8.x86_64 63/168 Running scriptlet: findutils-1:4.6.0-21.el8.x86_64 63/168 Installing : elfutils-default-yama-scope-0.189-3.el8.noarch 64/168 Running scriptlet: elfutils-default-yama-scope-0.189-3.el8.noarch 64/168 Installing : elfutils-libs-0.189-3.el8.x86_64 65/168 Running scriptlet: glibc-headers-2.28-236.el8_9.12.x86_64 66/168 Installing : glibc-headers-2.28-236.el8_9.12.x86_64 66/168 Installing : lz4-libs-1.8.3-3.el8_4.x86_64 67/168 Installing : libcap-ng-0.7.11-1.el8.x86_64 68/168 Installing : audit-libs-3.0.7-5.el8.x86_64 69/168 Installing : pcre-8.42-6.el8.x86_64 70/168 Installing : grep-3.1-6.el8.x86_64 71/168 Running scriptlet: grep-3.1-6.el8.x86_64 71/168 Installing : keyutils-libs-1.5.10-9.el8.x86_64 72/168 Installing : gdbm-libs-1:1.18-2.el8.x86_64 73/168 Installing : libtasn1-4.13-4.el8_7.x86_64 74/168 Running scriptlet: libtasn1-4.13-4.el8_7.x86_64 74/168 Installing : p11-kit-trust-0.23.22-1.el8.x86_64 75/168 Running scriptlet: p11-kit-trust-0.23.22-1.el8.x86_64 75/168 Installing : expat-2.2.5-11.el8_9.1.x86_64 76/168 Installing : gdbm-1:1.18-2.el8.x86_64 77/168 Installing : xz-5.2.4-4.el8_6.x86_64 78/168 Installing : libsemanage-2.9-9.el8_6.x86_64 79/168 Installing : elfutils-0.189-3.el8.x86_64 80/168 Installing : zip-3.0-23.el8.x86_64 81/168 Installing : cpp-8.5.0-20.el8.x86_64 82/168 Running scriptlet: cpp-8.5.0-20.el8.x86_64 82/168 Installing : libassuan-2.5.1-3.el8.x86_64 83/168 Installing : libksba-1.3.5-9.el8_7.x86_64 84/168 Installing : tar-2:1.30-9.el8.x86_64 85/168 Running scriptlet: tar-2:1.30-9.el8.x86_64 85/168 Installing : patch-2.7.6-11.el8.x86_64 86/168 Installing : dwz-0.12-10.el8.x86_64 87/168 Installing : zstd-1.4.4-1.el8.x86_64 88/168 Installing : libstdc++-devel-8.5.0-20.el8.x86_64 89/168 Installing : nettle-3.4.1-7.el8.x86_64 90/168 Running scriptlet: nettle-3.4.1-7.el8.x86_64 90/168 Installing : gnutls-3.6.16-8.el8_9.3.x86_64 91/168 Installing : isl-0.16.1-6.el8.x86_64 92/168 Running scriptlet: isl-0.16.1-6.el8.x86_64 92/168 Installing : libxml2-2.9.7-18.el8_9.x86_64 93/168 Installing : bzip2-1.0.6-26.el8.x86_64 94/168 Installing : diffutils-3.6-6.el8.x86_64 95/168 Running scriptlet: diffutils-3.6-6.el8.x86_64 95/168 Installing : coreutils-common-8.30-15.el8.x86_64 96/168 Running scriptlet: coreutils-common-8.30-15.el8.x86_64 96/168 Installing : libgomp-8.5.0-20.el8.x86_64 97/168 Running scriptlet: libgomp-8.5.0-20.el8.x86_64 97/168 Installing : libsigsegv-2.11-5.el8.x86_64 98/168 Installing : gawk-4.2.1-4.el8.x86_64 99/168 Installing : npth-1.5-4.el8.x86_64 100/168 Installing : libpkgconf-1.4.2-1.el8.x86_64 101/168 Installing : pkgconf-1.4.2-1.el8.x86_64 102/168 Installing : libtool-ltdl-2.4.6-25.el8.x86_64 103/168 Running scriptlet: libtool-ltdl-2.4.6-25.el8.x86_64 103/168 Installing : brotli-1.0.6-3.el8.x86_64 104/168 Installing : cpio-2.12-11.el8.x86_64 105/168 Installing : libverto-0.3.2-2.el8.x86_64 106/168 Installing : libnghttp2-1.33.0-5.el8_9.x86_64 107/168 Installing : ncurses-6.1-10.20180224.el8.x86_64 108/168 Installing : openssl-libs-1:1.1.1k-12.el8_9.x86_64 109/168 Running scriptlet: openssl-libs-1:1.1.1k-12.el8_9.x86_64 109/168 Installing : coreutils-8.30-15.el8.x86_64 110/168 Running scriptlet: ca-certificates-2023.2.60_v7.0.306-80.0.el8_8.no 111/168 Installing : ca-certificates-2023.2.60_v7.0.306-80.0.el8_8.no 111/168 Running scriptlet: ca-certificates-2023.2.60_v7.0.306-80.0.el8_8.no 111/168 Installing : libdb-5.3.28-42.el8_4.x86_64 112/168 Running scriptlet: libdb-5.3.28-42.el8_4.x86_64 112/168 Installing : krb5-libs-1.18.2-26.el8_9.x86_64 113/168 Installing : libtirpc-1.1.4-8.el8.x86_64 114/168 Running scriptlet: libtirpc-1.1.4-8.el8.x86_64 114/168 Installing : libblkid-2.32.1-44.el8_9.1.x86_64 115/168 Running scriptlet: libblkid-2.32.1-44.el8_9.1.x86_64 115/168 Installing : libmount-2.32.1-44.el8_9.1.x86_64 116/168 Running scriptlet: libmount-2.32.1-44.el8_9.1.x86_64 116/168 Installing : systemd-libs-239-78.el8.x86_64 117/168 Running scriptlet: systemd-libs-239-78.el8.x86_64 117/168 Installing : libnsl2-1.2.0-2.20180605git4a062cf.el8.x86_64 118/168 Running scriptlet: libnsl2-1.2.0-2.20180605git4a062cf.el8.x86_64 118/168 Installing : platform-python-setuptools-39.2.0-7.el8.noarch 119/168 Installing : platform-python-3.6.8-56.el8_9.3.x86_64 120/168 Running scriptlet: platform-python-3.6.8-56.el8_9.3.x86_64 120/168 Installing : python3-libs-3.6.8-56.el8_9.3.x86_64 121/168 Installing : gzip-1.9-13.el8_5.x86_64 122/168 Running scriptlet: gzip-1.9-13.el8_5.x86_64 122/168 Installing : cracklib-2.9.6-15.el8.x86_64 123/168 Installing : cracklib-dicts-2.9.6-15.el8.x86_64 124/168 Installing : binutils-2.30-123.el8.x86_64 125/168 Running scriptlet: binutils-2.30-123.el8.x86_64 125/168 Installing : shadow-utils-2:4.6-19.el8.x86_64 126/168 Running scriptlet: libutempter-1.1.6-14.el8.x86_64 127/168 Installing : libutempter-1.1.6-14.el8.x86_64 127/168 Running scriptlet: tpm2-tss-2.3.2-5.el8.x86_64 128/168 Installing : tpm2-tss-2.3.2-5.el8.x86_64 128/168 Running scriptlet: tpm2-tss-2.3.2-5.el8.x86_64 128/168 Installing : ima-evm-utils-1.3.2-12.el8.x86_64 129/168 Installing : libpwquality-1.4.4-6.el8.x86_64 130/168 Installing : pam-1.3.1-27.el8.x86_64 131/168 Running scriptlet: pam-1.3.1-27.el8.x86_64 131/168 Installing : libusbx-1.0.23-4.el8.x86_64 132/168 Installing : glib2-2.56.4-161.el8.x86_64 133/168 Installing : libbabeltrace-1.5.4-4.el8.x86_64 134/168 Running scriptlet: libbabeltrace-1.5.4-4.el8.x86_64 134/168 Installing : libfdisk-2.32.1-44.el8_9.1.x86_64 135/168 Running scriptlet: libfdisk-2.32.1-44.el8_9.1.x86_64 135/168 Installing : cyrus-sasl-lib-2.1.27-6.el8_5.x86_64 136/168 Running scriptlet: cyrus-sasl-lib-2.1.27-6.el8_5.x86_64 136/168 Installing : openldap-2.4.46-18.el8.x86_64 137/168 Installing : gnupg2-2.2.20-3.el8_6.x86_64 138/168 Installing : libssh-0.9.6-13.el8_9.x86_64 139/168 Installing : libdb-utils-5.3.28-42.el8_4.x86_64 140/168 Installing : libarchive-3.3.3-5.el8.x86_64 141/168 Installing : libsmartcols-2.32.1-44.el8_9.1.x86_64 142/168 Running scriptlet: libsmartcols-2.32.1-44.el8_9.1.x86_64 142/168 Installing : libatomic_ops-7.6.2-3.el8.x86_64 143/168 Installing : gc-7.6.4-3.el8.x86_64 144/168 Installing : guile-5:2.0.14-7.el8.x86_64 145/168 Running scriptlet: guile-5:2.0.14-7.el8.x86_64 145/168 Installing : libipt-1.6.1-8.el8.x86_64 146/168 Installing : publicsuffix-list-dafsa-20180723-1.el8.noarch 147/168 Installing : libpsl-0.20.2-6.el8.x86_64 148/168 Installing : libcurl-7.61.1-33.el8_9.5.x86_64 149/168 Installing : curl-7.61.1-33.el8_9.5.x86_64 150/168 Installing : rpm-4.14.3-28.el8_9.x86_64 151/168 Installing : rpm-libs-4.14.3-28.el8_9.x86_64 152/168 Running scriptlet: rpm-libs-4.14.3-28.el8_9.x86_64 152/168 Installing : rpm-build-libs-4.14.3-28.el8_9.x86_64 153/168 Running scriptlet: rpm-build-libs-4.14.3-28.el8_9.x86_64 153/168 Installing : gdb-headless-8.2-20.el8.x86_64 154/168 Installing : efi-srpm-macros-3-3.el8.noarch 155/168 Installing : pkgconf-m4-1.4.2-1.el8.noarch 156/168 Installing : pkgconf-pkg-config-1.4.2-1.el8.x86_64 157/168 Installing : glibc-devel-2.28-236.el8_9.12.x86_64 158/168 Running scriptlet: glibc-devel-2.28-236.el8_9.12.x86_64 158/168 Installing : libxcrypt-devel-4.1.1-6.el8.x86_64 159/168 Installing : gcc-8.5.0-20.el8.x86_64 160/168 Running scriptlet: gcc-8.5.0-20.el8.x86_64 160/168 Installing : gcc-plugin-annobin-8.5.0-20.el8.x86_64 161/168 Installing : annobin-11.13-2.el8.x86_64 162/168 Installing : redhat-rpm-config-131-1.el8.noarch 163/168 Running scriptlet: redhat-rpm-config-131-1.el8.noarch 163/168 Installing : rpm-build-4.14.3-28.el8_9.x86_64 164/168 Installing : gcc-c++-8.5.0-20.el8.x86_64 165/168 Installing : util-linux-2.32.1-44.el8_9.1.x86_64 166/168 Running scriptlet: util-linux-2.32.1-44.el8_9.1.x86_64 166/168 Installing : which-2.21-20.el8.x86_64 167/168 Installing : make-1:4.2.1-11.el8.x86_64 168/168 Running scriptlet: make-1:4.2.1-11.el8.x86_64 168/168 Running scriptlet: filesystem-3.8-6.el8.x86_64 168/168 Running scriptlet: glibc-all-langpacks-2.28-236.el8_9.12.x86_64 168/168 Running scriptlet: ca-certificates-2023.2.60_v7.0.306-80.0.el8_8.no 168/168 Running scriptlet: guile-5:2.0.14-7.el8.x86_64 168/168 Running scriptlet: glibc-common-2.28-236.el8_9.12.x86_64 168/168 Running scriptlet: info-6.5-7.el8.x86_64 168/168 Running scriptlet: glib2-2.56.4-161.el8.x86_64 168/168 Verifying : bzip2-1.0.6-26.el8.x86_64 1/168 Verifying : bzip2-libs-1.0.6-26.el8.x86_64 2/168 Verifying : cracklib-2.9.6-15.el8.x86_64 3/168 Verifying : cracklib-dicts-2.9.6-15.el8.x86_64 4/168 Verifying : grep-3.1-6.el8.x86_64 5/168 Verifying : libassuan-2.5.1-3.el8.x86_64 6/168 Verifying : libattr-2.4.48-3.el8.x86_64 7/168 Verifying : libsigsegv-2.11-5.el8.x86_64 8/168 Verifying : libunistring-0.9.9-3.el8.x86_64 9/168 Verifying : libutempter-1.1.6-14.el8.x86_64 10/168 Verifying : mpfr-3.1.6-1.el8.x86_64 11/168 Verifying : npth-1.5-4.el8.x86_64 12/168 Verifying : pkgconf-1.4.2-1.el8.x86_64 13/168 Verifying : pkgconf-pkg-config-1.4.2-1.el8.x86_64 14/168 Verifying : readline-7.0-10.el8.x86_64 15/168 Verifying : zip-3.0-23.el8.x86_64 16/168 Verifying : basesystem-11-5.el8.noarch 17/168 Verifying : libacl-2.2.53-1.el8.x86_64 18/168 Verifying : libgpg-error-1.31-1.el8.x86_64 19/168 Verifying : libnsl2-1.2.0-2.20180605git4a062cf.el8.x86_64 20/168 Verifying : libpkgconf-1.4.2-1.el8.x86_64 21/168 Verifying : libtool-ltdl-2.4.6-25.el8.x86_64 22/168 Verifying : pkgconf-m4-1.4.2-1.el8.noarch 23/168 Verifying : publicsuffix-list-dafsa-20180723-1.el8.noarch 24/168 Verifying : gmp-1:6.1.2-10.el8.x86_64 25/168 Verifying : diffutils-3.6-6.el8.x86_64 26/168 Verifying : libidn2-2.2.0-1.el8.x86_64 27/168 Verifying : patch-2.7.6-11.el8.x86_64 28/168 Verifying : libusbx-1.0.23-4.el8.x86_64 29/168 Verifying : libzstd-1.4.4-1.el8.x86_64 30/168 Verifying : libpsl-0.20.2-6.el8.x86_64 31/168 Verifying : p11-kit-trust-0.23.22-1.el8.x86_64 32/168 Verifying : popt-1.18-1.el8.x86_64 33/168 Verifying : brotli-1.0.6-3.el8.x86_64 34/168 Verifying : ima-evm-utils-1.3.2-12.el8.x86_64 35/168 Verifying : lz4-libs-1.8.3-3.el8_4.x86_64 36/168 Verifying : p11-kit-0.23.22-1.el8.x86_64 37/168 Verifying : filesystem-3.8-6.el8.x86_64 38/168 Verifying : libcap-ng-0.7.11-1.el8.x86_64 39/168 Verifying : libdb-5.3.28-42.el8_4.x86_64 40/168 Verifying : libdb-utils-5.3.28-42.el8_4.x86_64 41/168 Verifying : libxcrypt-4.1.1-6.el8.x86_64 42/168 Verifying : libxcrypt-devel-4.1.1-6.el8.x86_64 43/168 Verifying : nettle-3.4.1-7.el8.x86_64 44/168 Verifying : openldap-2.4.46-18.el8.x86_64 45/168 Verifying : pcre-8.42-6.el8.x86_64 46/168 Verifying : cyrus-sasl-lib-2.1.27-6.el8_5.x86_64 47/168 Verifying : gzip-1.9-13.el8_5.x86_64 48/168 Verifying : keyutils-libs-1.5.10-9.el8.x86_64 49/168 Verifying : libsepol-2.9-3.el8.x86_64 50/168 Verifying : lua-libs-5.3.4-12.el8.x86_64 51/168 Verifying : cpio-2.12-11.el8.x86_64 52/168 Verifying : gawk-4.2.1-4.el8.x86_64 53/168 Verifying : info-6.5-7.el8.x86_64 54/168 Verifying : make-1:4.2.1-11.el8.x86_64 55/168 Verifying : sed-4.5-5.el8.x86_64 56/168 Verifying : unzip-6.0-46.el8.x86_64 57/168 Verifying : xz-5.2.4-4.el8_6.x86_64 58/168 Verifying : xz-libs-5.2.4-4.el8_6.x86_64 59/168 Verifying : bash-4.4.20-4.el8_6.x86_64 60/168 Verifying : gdbm-libs-1:1.18-2.el8.x86_64 61/168 Verifying : gnupg2-2.2.20-3.el8_6.x86_64 62/168 Verifying : libbabeltrace-1.5.4-4.el8.x86_64 63/168 Verifying : libcom_err-1.45.6-5.el8.x86_64 64/168 Verifying : libgcrypt-1.8.5-7.el8_6.x86_64 65/168 Verifying : libsemanage-2.9-9.el8_6.x86_64 66/168 Verifying : libtirpc-1.1.4-8.el8.x86_64 67/168 Verifying : libverto-0.3.2-2.el8.x86_64 68/168 Verifying : pcre2-10.32-3.el8_6.x86_64 69/168 Verifying : gdbm-1:1.18-2.el8.x86_64 70/168 Verifying : libksba-1.3.5-9.el8_7.x86_64 71/168 Verifying : libtasn1-4.13-4.el8_7.x86_64 72/168 Verifying : coreutils-8.30-15.el8.x86_64 73/168 Verifying : coreutils-common-8.30-15.el8.x86_64 74/168 Verifying : glib2-2.56.4-161.el8.x86_64 75/168 Verifying : libarchive-3.3.3-5.el8.x86_64 76/168 Verifying : libffi-3.1-24.el8.x86_64 77/168 Verifying : libpwquality-1.4.4-6.el8.x86_64 78/168 Verifying : libselinux-2.9-8.el8.x86_64 79/168 Verifying : platform-python-setuptools-39.2.0-7.el8.noarch 80/168 Verifying : python3-setuptools-wheel-39.2.0-7.el8.noarch 81/168 Verifying : setup-2.12.2-9.el8.noarch 82/168 Verifying : tar-2:1.30-9.el8.x86_64 83/168 Verifying : audit-libs-3.0.7-5.el8.x86_64 84/168 Verifying : binutils-2.30-123.el8.x86_64 85/168 Verifying : ca-certificates-2023.2.60_v7.0.306-80.0.el8_8.no 86/168 Verifying : chkconfig-1.19.2-1.el8.x86_64 87/168 Verifying : crypto-policies-20230731-1.git3177e06.el8.noarch 88/168 Verifying : elfutils-0.189-3.el8.x86_64 89/168 Verifying : elfutils-libelf-0.189-3.el8.x86_64 90/168 Verifying : elfutils-libs-0.189-3.el8.x86_64 91/168 Verifying : file-5.33-25.el8.x86_64 92/168 Verifying : file-libs-5.33-25.el8.x86_64 93/168 Verifying : findutils-1:4.6.0-21.el8.x86_64 94/168 Verifying : libgcc-8.5.0-20.el8.x86_64 95/168 Verifying : libgomp-8.5.0-20.el8.x86_64 96/168 Verifying : libnghttp2-1.33.0-5.el8_9.x86_64 97/168 Verifying : libstdc++-8.5.0-20.el8.x86_64 98/168 Verifying : ncurses-libs-6.1-10.20180224.el8.x86_64 99/168 Verifying : pam-1.3.1-27.el8.x86_64 100/168 Verifying : which-2.21-20.el8.x86_64 101/168 Verifying : elfutils-default-yama-scope-0.189-3.el8.noarch 102/168 Verifying : krb5-libs-1.18.2-26.el8_9.x86_64 103/168 Verifying : libcap-2.48-6.el8_9.x86_64 104/168 Verifying : libxml2-2.9.7-18.el8_9.x86_64 105/168 Verifying : ncurses-6.1-10.20180224.el8.x86_64 106/168 Verifying : ncurses-base-6.1-10.20180224.el8.noarch 107/168 Verifying : openssl-libs-1:1.1.1k-12.el8_9.x86_64 108/168 Verifying : platform-python-3.6.8-56.el8_9.3.x86_64 109/168 Verifying : python3-libs-3.6.8-56.el8_9.3.x86_64 110/168 Verifying : redhat-release-8.9-0.1.el8.x86_64 111/168 Verifying : shadow-utils-2:4.6-19.el8.x86_64 112/168 Verifying : sqlite-libs-3.26.0-19.el8_9.x86_64 113/168 Verifying : systemd-libs-239-78.el8.x86_64 114/168 Verifying : tpm2-tss-2.3.2-5.el8.x86_64 115/168 Verifying : zlib-1.2.11-25.el8.x86_64 116/168 Verifying : libssh-0.9.6-13.el8_9.x86_64 117/168 Verifying : libssh-config-0.9.6-13.el8_9.noarch 118/168 Verifying : rpm-4.14.3-28.el8_9.x86_64 119/168 Verifying : rpm-build-libs-4.14.3-28.el8_9.x86_64 120/168 Verifying : rpm-libs-4.14.3-28.el8_9.x86_64 121/168 Verifying : tzdata-2024a-1.el8.noarch 122/168 Verifying : glibc-2.28-236.el8_9.12.x86_64 123/168 Verifying : glibc-all-langpacks-2.28-236.el8_9.12.x86_64 124/168 Verifying : glibc-common-2.28-236.el8_9.12.x86_64 125/168 Verifying : glibc-devel-2.28-236.el8_9.12.x86_64 126/168 Verifying : glibc-gconv-extra-2.28-236.el8_9.12.x86_64 127/168 Verifying : glibc-headers-2.28-236.el8_9.12.x86_64 128/168 Verifying : curl-7.61.1-33.el8_9.5.x86_64 129/168 Verifying : kernel-headers-4.18.0-513.24.1.el8_9.x86_64 130/168 Verifying : libblkid-2.32.1-44.el8_9.1.x86_64 131/168 Verifying : libcurl-7.61.1-33.el8_9.5.x86_64 132/168 Verifying : libfdisk-2.32.1-44.el8_9.1.x86_64 133/168 Verifying : libmount-2.32.1-44.el8_9.1.x86_64 134/168 Verifying : libsmartcols-2.32.1-44.el8_9.1.x86_64 135/168 Verifying : libuuid-2.32.1-44.el8_9.1.x86_64 136/168 Verifying : python3-pip-wheel-9.0.3-23.el8_9.1.noarch 137/168 Verifying : util-linux-2.32.1-44.el8_9.1.x86_64 138/168 Verifying : expat-2.2.5-11.el8_9.1.x86_64 139/168 Verifying : gnutls-3.6.16-8.el8_9.3.x86_64 140/168 Verifying : ghc-srpm-macros-1.4.2-7.el8.noarch 141/168 Verifying : ocaml-srpm-macros-5-4.el8.noarch 142/168 Verifying : openblas-srpm-macros-2-2.el8.noarch 143/168 Verifying : perl-srpm-macros-1-25.el8.noarch 144/168 Verifying : rust-srpm-macros-5-2.el8.noarch 145/168 Verifying : libatomic_ops-7.6.2-3.el8.x86_64 146/168 Verifying : gc-7.6.4-3.el8.x86_64 147/168 Verifying : guile-5:2.0.14-7.el8.x86_64 148/168 Verifying : isl-0.16.1-6.el8.x86_64 149/168 Verifying : libipt-1.6.1-8.el8.x86_64 150/168 Verifying : zstd-1.4.4-1.el8.x86_64 151/168 Verifying : libmpc-1.1.0-9.1.el8.x86_64 152/168 Verifying : efi-srpm-macros-3-3.el8.noarch 153/168 Verifying : go-srpm-macros-2-17.el8.noarch 154/168 Verifying : dwz-0.12-10.el8.x86_64 155/168 Verifying : qt5-srpm-macros-5.15.3-1.el8.noarch 156/168 Verifying : python-rpm-macros-3-45.el8.noarch 157/168 Verifying : python3-rpm-macros-3-45.el8.noarch 158/168 Verifying : redhat-rpm-config-131-1.el8.noarch 159/168 Verifying : python-srpm-macros-3-45.el8.noarch 160/168 Verifying : gcc-c++-8.5.0-20.el8.x86_64 161/168 Verifying : gcc-plugin-annobin-8.5.0-20.el8.x86_64 162/168 Verifying : annobin-11.13-2.el8.x86_64 163/168 Verifying : cpp-8.5.0-20.el8.x86_64 164/168 Verifying : gcc-8.5.0-20.el8.x86_64 165/168 Verifying : gdb-headless-8.2-20.el8.x86_64 166/168 Verifying : libstdc++-devel-8.5.0-20.el8.x86_64 167/168 Verifying : rpm-build-4.14.3-28.el8_9.x86_64 168/168 Installed products updated. Installed: annobin-11.13-2.el8.x86_64 audit-libs-3.0.7-5.el8.x86_64 basesystem-11-5.el8.noarch bash-4.4.20-4.el8_6.x86_64 binutils-2.30-123.el8.x86_64 brotli-1.0.6-3.el8.x86_64 bzip2-1.0.6-26.el8.x86_64 bzip2-libs-1.0.6-26.el8.x86_64 ca-certificates-2023.2.60_v7.0.306-80.0.el8_8.noarch chkconfig-1.19.2-1.el8.x86_64 coreutils-8.30-15.el8.x86_64 coreutils-common-8.30-15.el8.x86_64 cpio-2.12-11.el8.x86_64 cpp-8.5.0-20.el8.x86_64 cracklib-2.9.6-15.el8.x86_64 cracklib-dicts-2.9.6-15.el8.x86_64 crypto-policies-20230731-1.git3177e06.el8.noarch curl-7.61.1-33.el8_9.5.x86_64 cyrus-sasl-lib-2.1.27-6.el8_5.x86_64 diffutils-3.6-6.el8.x86_64 dwz-0.12-10.el8.x86_64 efi-srpm-macros-3-3.el8.noarch elfutils-0.189-3.el8.x86_64 elfutils-default-yama-scope-0.189-3.el8.noarch elfutils-libelf-0.189-3.el8.x86_64 elfutils-libs-0.189-3.el8.x86_64 expat-2.2.5-11.el8_9.1.x86_64 file-5.33-25.el8.x86_64 file-libs-5.33-25.el8.x86_64 filesystem-3.8-6.el8.x86_64 findutils-1:4.6.0-21.el8.x86_64 gawk-4.2.1-4.el8.x86_64 gc-7.6.4-3.el8.x86_64 gcc-8.5.0-20.el8.x86_64 gcc-c++-8.5.0-20.el8.x86_64 gcc-plugin-annobin-8.5.0-20.el8.x86_64 gdb-headless-8.2-20.el8.x86_64 gdbm-1:1.18-2.el8.x86_64 gdbm-libs-1:1.18-2.el8.x86_64 ghc-srpm-macros-1.4.2-7.el8.noarch glib2-2.56.4-161.el8.x86_64 glibc-2.28-236.el8_9.12.x86_64 glibc-all-langpacks-2.28-236.el8_9.12.x86_64 glibc-common-2.28-236.el8_9.12.x86_64 glibc-devel-2.28-236.el8_9.12.x86_64 glibc-gconv-extra-2.28-236.el8_9.12.x86_64 glibc-headers-2.28-236.el8_9.12.x86_64 gmp-1:6.1.2-10.el8.x86_64 gnupg2-2.2.20-3.el8_6.x86_64 gnutls-3.6.16-8.el8_9.3.x86_64 go-srpm-macros-2-17.el8.noarch grep-3.1-6.el8.x86_64 guile-5:2.0.14-7.el8.x86_64 gzip-1.9-13.el8_5.x86_64 ima-evm-utils-1.3.2-12.el8.x86_64 info-6.5-7.el8.x86_64 isl-0.16.1-6.el8.x86_64 kernel-headers-4.18.0-513.24.1.el8_9.x86_64 keyutils-libs-1.5.10-9.el8.x86_64 krb5-libs-1.18.2-26.el8_9.x86_64 libacl-2.2.53-1.el8.x86_64 libarchive-3.3.3-5.el8.x86_64 libassuan-2.5.1-3.el8.x86_64 libatomic_ops-7.6.2-3.el8.x86_64 libattr-2.4.48-3.el8.x86_64 libbabeltrace-1.5.4-4.el8.x86_64 libblkid-2.32.1-44.el8_9.1.x86_64 libcap-2.48-6.el8_9.x86_64 libcap-ng-0.7.11-1.el8.x86_64 libcom_err-1.45.6-5.el8.x86_64 libcurl-7.61.1-33.el8_9.5.x86_64 libdb-5.3.28-42.el8_4.x86_64 libdb-utils-5.3.28-42.el8_4.x86_64 libfdisk-2.32.1-44.el8_9.1.x86_64 libffi-3.1-24.el8.x86_64 libgcc-8.5.0-20.el8.x86_64 libgcrypt-1.8.5-7.el8_6.x86_64 libgomp-8.5.0-20.el8.x86_64 libgpg-error-1.31-1.el8.x86_64 libidn2-2.2.0-1.el8.x86_64 libipt-1.6.1-8.el8.x86_64 libksba-1.3.5-9.el8_7.x86_64 libmount-2.32.1-44.el8_9.1.x86_64 libmpc-1.1.0-9.1.el8.x86_64 libnghttp2-1.33.0-5.el8_9.x86_64 libnsl2-1.2.0-2.20180605git4a062cf.el8.x86_64 libpkgconf-1.4.2-1.el8.x86_64 libpsl-0.20.2-6.el8.x86_64 libpwquality-1.4.4-6.el8.x86_64 libselinux-2.9-8.el8.x86_64 libsemanage-2.9-9.el8_6.x86_64 libsepol-2.9-3.el8.x86_64 libsigsegv-2.11-5.el8.x86_64 libsmartcols-2.32.1-44.el8_9.1.x86_64 libssh-0.9.6-13.el8_9.x86_64 libssh-config-0.9.6-13.el8_9.noarch libstdc++-8.5.0-20.el8.x86_64 libstdc++-devel-8.5.0-20.el8.x86_64 libtasn1-4.13-4.el8_7.x86_64 libtirpc-1.1.4-8.el8.x86_64 libtool-ltdl-2.4.6-25.el8.x86_64 libunistring-0.9.9-3.el8.x86_64 libusbx-1.0.23-4.el8.x86_64 libutempter-1.1.6-14.el8.x86_64 libuuid-2.32.1-44.el8_9.1.x86_64 libverto-0.3.2-2.el8.x86_64 libxcrypt-4.1.1-6.el8.x86_64 libxcrypt-devel-4.1.1-6.el8.x86_64 libxml2-2.9.7-18.el8_9.x86_64 libzstd-1.4.4-1.el8.x86_64 lua-libs-5.3.4-12.el8.x86_64 lz4-libs-1.8.3-3.el8_4.x86_64 make-1:4.2.1-11.el8.x86_64 mpfr-3.1.6-1.el8.x86_64 ncurses-6.1-10.20180224.el8.x86_64 ncurses-base-6.1-10.20180224.el8.noarch ncurses-libs-6.1-10.20180224.el8.x86_64 nettle-3.4.1-7.el8.x86_64 npth-1.5-4.el8.x86_64 ocaml-srpm-macros-5-4.el8.noarch openblas-srpm-macros-2-2.el8.noarch openldap-2.4.46-18.el8.x86_64 openssl-libs-1:1.1.1k-12.el8_9.x86_64 p11-kit-0.23.22-1.el8.x86_64 p11-kit-trust-0.23.22-1.el8.x86_64 pam-1.3.1-27.el8.x86_64 patch-2.7.6-11.el8.x86_64 pcre-8.42-6.el8.x86_64 pcre2-10.32-3.el8_6.x86_64 perl-srpm-macros-1-25.el8.noarch pkgconf-1.4.2-1.el8.x86_64 pkgconf-m4-1.4.2-1.el8.noarch pkgconf-pkg-config-1.4.2-1.el8.x86_64 platform-python-3.6.8-56.el8_9.3.x86_64 platform-python-setuptools-39.2.0-7.el8.noarch popt-1.18-1.el8.x86_64 publicsuffix-list-dafsa-20180723-1.el8.noarch python-rpm-macros-3-45.el8.noarch python-srpm-macros-3-45.el8.noarch python3-libs-3.6.8-56.el8_9.3.x86_64 python3-pip-wheel-9.0.3-23.el8_9.1.noarch python3-rpm-macros-3-45.el8.noarch python3-setuptools-wheel-39.2.0-7.el8.noarch qt5-srpm-macros-5.15.3-1.el8.noarch readline-7.0-10.el8.x86_64 redhat-release-8.9-0.1.el8.x86_64 redhat-rpm-config-131-1.el8.noarch rpm-4.14.3-28.el8_9.x86_64 rpm-build-4.14.3-28.el8_9.x86_64 rpm-build-libs-4.14.3-28.el8_9.x86_64 rpm-libs-4.14.3-28.el8_9.x86_64 rust-srpm-macros-5-2.el8.noarch sed-4.5-5.el8.x86_64 setup-2.12.2-9.el8.noarch shadow-utils-2:4.6-19.el8.x86_64 sqlite-libs-3.26.0-19.el8_9.x86_64 systemd-libs-239-78.el8.x86_64 tar-2:1.30-9.el8.x86_64 tpm2-tss-2.3.2-5.el8.x86_64 tzdata-2024a-1.el8.noarch unzip-6.0-46.el8.x86_64 util-linux-2.32.1-44.el8_9.1.x86_64 which-2.21-20.el8.x86_64 xz-5.2.4-4.el8_6.x86_64 xz-libs-5.2.4-4.el8_6.x86_64 zip-3.0-23.el8.x86_64 zlib-1.2.11-25.el8.x86_64 zstd-1.4.4-1.el8.x86_64 Complete! Finish: installing minimal buildroot with dnf Start: creating root cache Finish: creating root cache Finish: chroot init INFO: Installed packages: INFO: annobin-11.13-2.el8.x86_64 audit-libs-3.0.7-5.el8.x86_64 basesystem-11-5.el8.noarch bash-4.4.20-4.el8_6.x86_64 binutils-2.30-123.el8.x86_64 brotli-1.0.6-3.el8.x86_64 bzip2-1.0.6-26.el8.x86_64 bzip2-libs-1.0.6-26.el8.x86_64 ca-certificates-2023.2.60_v7.0.306-80.0.el8_8.noarch chkconfig-1.19.2-1.el8.x86_64 coreutils-8.30-15.el8.x86_64 coreutils-common-8.30-15.el8.x86_64 cpio-2.12-11.el8.x86_64 cpp-8.5.0-20.el8.x86_64 cracklib-2.9.6-15.el8.x86_64 cracklib-dicts-2.9.6-15.el8.x86_64 crypto-policies-20230731-1.git3177e06.el8.noarch curl-7.61.1-33.el8_9.5.x86_64 cyrus-sasl-lib-2.1.27-6.el8_5.x86_64 diffutils-3.6-6.el8.x86_64 dwz-0.12-10.el8.x86_64 efi-srpm-macros-3-3.el8.noarch elfutils-0.189-3.el8.x86_64 elfutils-default-yama-scope-0.189-3.el8.noarch elfutils-libelf-0.189-3.el8.x86_64 elfutils-libs-0.189-3.el8.x86_64 expat-2.2.5-11.el8_9.1.x86_64 file-5.33-25.el8.x86_64 file-libs-5.33-25.el8.x86_64 filesystem-3.8-6.el8.x86_64 findutils-4.6.0-21.el8.x86_64 gawk-4.2.1-4.el8.x86_64 gc-7.6.4-3.el8.x86_64 gcc-8.5.0-20.el8.x86_64 gcc-c++-8.5.0-20.el8.x86_64 gcc-plugin-annobin-8.5.0-20.el8.x86_64 gdb-headless-8.2-20.el8.x86_64 gdbm-1.18-2.el8.x86_64 gdbm-libs-1.18-2.el8.x86_64 ghc-srpm-macros-1.4.2-7.el8.noarch glib2-2.56.4-161.el8.x86_64 glibc-2.28-236.el8_9.12.x86_64 glibc-all-langpacks-2.28-236.el8_9.12.x86_64 glibc-common-2.28-236.el8_9.12.x86_64 glibc-devel-2.28-236.el8_9.12.x86_64 glibc-gconv-extra-2.28-236.el8_9.12.x86_64 glibc-headers-2.28-236.el8_9.12.x86_64 gmp-6.1.2-10.el8.x86_64 gnupg2-2.2.20-3.el8_6.x86_64 gnutls-3.6.16-8.el8_9.3.x86_64 go-srpm-macros-2-17.el8.noarch gpg-pubkey-2fa658e0-45700c69 gpg-pubkey-fd431d51-4ae0493b grep-3.1-6.el8.x86_64 guile-2.0.14-7.el8.x86_64 gzip-1.9-13.el8_5.x86_64 ima-evm-utils-1.3.2-12.el8.x86_64 info-6.5-7.el8.x86_64 isl-0.16.1-6.el8.x86_64 kernel-headers-4.18.0-513.24.1.el8_9.x86_64 keyutils-libs-1.5.10-9.el8.x86_64 krb5-libs-1.18.2-26.el8_9.x86_64 libacl-2.2.53-1.el8.x86_64 libarchive-3.3.3-5.el8.x86_64 libassuan-2.5.1-3.el8.x86_64 libatomic_ops-7.6.2-3.el8.x86_64 libattr-2.4.48-3.el8.x86_64 libbabeltrace-1.5.4-4.el8.x86_64 libblkid-2.32.1-44.el8_9.1.x86_64 libcap-2.48-6.el8_9.x86_64 libcap-ng-0.7.11-1.el8.x86_64 libcom_err-1.45.6-5.el8.x86_64 libcurl-7.61.1-33.el8_9.5.x86_64 libdb-5.3.28-42.el8_4.x86_64 libdb-utils-5.3.28-42.el8_4.x86_64 libfdisk-2.32.1-44.el8_9.1.x86_64 libffi-3.1-24.el8.x86_64 libgcc-8.5.0-20.el8.x86_64 libgcrypt-1.8.5-7.el8_6.x86_64 libgomp-8.5.0-20.el8.x86_64 libgpg-error-1.31-1.el8.x86_64 libidn2-2.2.0-1.el8.x86_64 libipt-1.6.1-8.el8.x86_64 libksba-1.3.5-9.el8_7.x86_64 libmount-2.32.1-44.el8_9.1.x86_64 libmpc-1.1.0-9.1.el8.x86_64 libnghttp2-1.33.0-5.el8_9.x86_64 libnsl2-1.2.0-2.20180605git4a062cf.el8.x86_64 libpkgconf-1.4.2-1.el8.x86_64 libpsl-0.20.2-6.el8.x86_64 libpwquality-1.4.4-6.el8.x86_64 libselinux-2.9-8.el8.x86_64 libsemanage-2.9-9.el8_6.x86_64 libsepol-2.9-3.el8.x86_64 libsigsegv-2.11-5.el8.x86_64 libsmartcols-2.32.1-44.el8_9.1.x86_64 libssh-0.9.6-13.el8_9.x86_64 libssh-config-0.9.6-13.el8_9.noarch libstdc++-8.5.0-20.el8.x86_64 libstdc++-devel-8.5.0-20.el8.x86_64 libtasn1-4.13-4.el8_7.x86_64 libtirpc-1.1.4-8.el8.x86_64 libtool-ltdl-2.4.6-25.el8.x86_64 libunistring-0.9.9-3.el8.x86_64 libusbx-1.0.23-4.el8.x86_64 libutempter-1.1.6-14.el8.x86_64 libuuid-2.32.1-44.el8_9.1.x86_64 libverto-0.3.2-2.el8.x86_64 libxcrypt-4.1.1-6.el8.x86_64 libxcrypt-devel-4.1.1-6.el8.x86_64 libxml2-2.9.7-18.el8_9.x86_64 libzstd-1.4.4-1.el8.x86_64 lua-libs-5.3.4-12.el8.x86_64 lz4-libs-1.8.3-3.el8_4.x86_64 make-4.2.1-11.el8.x86_64 mpfr-3.1.6-1.el8.x86_64 ncurses-6.1-10.20180224.el8.x86_64 ncurses-base-6.1-10.20180224.el8.noarch ncurses-libs-6.1-10.20180224.el8.x86_64 nettle-3.4.1-7.el8.x86_64 npth-1.5-4.el8.x86_64 ocaml-srpm-macros-5-4.el8.noarch openblas-srpm-macros-2-2.el8.noarch openldap-2.4.46-18.el8.x86_64 openssl-libs-1.1.1k-12.el8_9.x86_64 p11-kit-0.23.22-1.el8.x86_64 p11-kit-trust-0.23.22-1.el8.x86_64 pam-1.3.1-27.el8.x86_64 patch-2.7.6-11.el8.x86_64 pcre-8.42-6.el8.x86_64 pcre2-10.32-3.el8_6.x86_64 perl-srpm-macros-1-25.el8.noarch pkgconf-1.4.2-1.el8.x86_64 pkgconf-m4-1.4.2-1.el8.noarch pkgconf-pkg-config-1.4.2-1.el8.x86_64 platform-python-3.6.8-56.el8_9.3.x86_64 platform-python-setuptools-39.2.0-7.el8.noarch popt-1.18-1.el8.x86_64 publicsuffix-list-dafsa-20180723-1.el8.noarch python-rpm-macros-3-45.el8.noarch python-srpm-macros-3-45.el8.noarch python3-libs-3.6.8-56.el8_9.3.x86_64 python3-pip-wheel-9.0.3-23.el8_9.1.noarch python3-rpm-macros-3-45.el8.noarch python3-setuptools-wheel-39.2.0-7.el8.noarch qt5-srpm-macros-5.15.3-1.el8.noarch readline-7.0-10.el8.x86_64 redhat-release-8.9-0.1.el8.x86_64 redhat-rpm-config-131-1.el8.noarch rpm-4.14.3-28.el8_9.x86_64 rpm-build-4.14.3-28.el8_9.x86_64 rpm-build-libs-4.14.3-28.el8_9.x86_64 rpm-libs-4.14.3-28.el8_9.x86_64 rust-srpm-macros-5-2.el8.noarch sed-4.5-5.el8.x86_64 setup-2.12.2-9.el8.noarch shadow-utils-4.6-19.el8.x86_64 sqlite-libs-3.26.0-19.el8_9.x86_64 systemd-libs-239-78.el8.x86_64 tar-1.30-9.el8.x86_64 tpm2-tss-2.3.2-5.el8.x86_64 tzdata-2024a-1.el8.noarch unzip-6.0-46.el8.x86_64 util-linux-2.32.1-44.el8_9.1.x86_64 which-2.21-20.el8.x86_64 xz-5.2.4-4.el8_6.x86_64 xz-libs-5.2.4-4.el8_6.x86_64 zip-3.0-23.el8.x86_64 zlib-1.2.11-25.el8.x86_64 zstd-1.4.4-1.el8.x86_64 Start: buildsrpm Start: rpmbuild -bs Building target platforms: x86_64 Building for target x86_64 Wrote: /builddir/build/SRPMS/verilator-5.020-1.el8.src.rpm Finish: rpmbuild -bs cp: preserving permissions for ‘/var/lib/copr-rpmbuild/results/chroot_scan/var/lib/mock/rhel-8-x86_64-1714412420.587854/root/var/log’: No such file or directory INFO: chroot_scan: 3 files copied to /var/lib/copr-rpmbuild/results/chroot_scan INFO: /var/lib/mock/rhel-8-x86_64-1714412420.587854/root/var/log/dnf.log /var/lib/mock/rhel-8-x86_64-1714412420.587854/root/var/log/dnf.librepo.log /var/lib/mock/rhel-8-x86_64-1714412420.587854/root/var/log/dnf.rpm.log Finish: buildsrpm INFO: Done(/var/lib/copr-rpmbuild/workspace/workdir-4dtoal28/verilator/verilator.spec) Config(child) 1 minutes 59 seconds INFO: Results and/or logs in: /var/lib/copr-rpmbuild/results INFO: Cleaning up build root ('cleanup_on_success=True') Start: clean chroot INFO: unmounting tmpfs. Finish: clean chroot INFO: Start(/var/lib/copr-rpmbuild/results/verilator-5.020-1.el8.src.rpm) Config(rhel-8-x86_64) Start(bootstrap): chroot init INFO: mounting tmpfs at /var/lib/mock/rhel-8-x86_64-bootstrap-1714412420.587854/root. INFO: reusing tmpfs at /var/lib/mock/rhel-8-x86_64-bootstrap-1714412420.587854/root. INFO: calling preinit hooks INFO: enabled root cache INFO: enabled package manager cache Start(bootstrap): cleaning package manager metadata Finish(bootstrap): cleaning package manager metadata Finish(bootstrap): chroot init Start: chroot init INFO: mounting tmpfs at /var/lib/mock/rhel-8-x86_64-1714412420.587854/root. INFO: calling preinit hooks INFO: enabled root cache Start: unpacking root cache Finish: unpacking root cache INFO: enabled package manager cache Start: cleaning package manager metadata Finish: cleaning package manager metadata INFO: enabled HW Info plugin INFO: Buildroot is handled by package management downloaded with a bootstrap image: rpm-4.14.3-28.el8_9.x86_64 python3-dnf-4.7.0-19.el8.noarch python3-dnf-plugins-core-4.0.21-23.el8.noarch yum-4.7.0-19.el8.noarch Finish: chroot init Start: build phase for verilator-5.020-1.el8.src.rpm Start: build setup for verilator-5.020-1.el8.src.rpm Building target platforms: x86_64 Building for target x86_64 Wrote: /builddir/build/SRPMS/verilator-5.020-1.el8.src.rpm No matches found for the following disable plugin patterns: local, spacewalk, versionlock Updating Subscription Management repositories. Unable to read consumer identity This system is not registered with an entitlement server. You can use subscription-manager to register. Copr repository 5.8 kB/s | 1.5 kB 00:00 Red Hat Enterprise Linux - BaseOS 15 kB/s | 4.1 kB 00:00 Red Hat Enterprise Linux - AppStream 47 kB/s | 4.5 kB 00:00 Red Hat Enterprise Linux - CodeReady Linux Buil 17 kB/s | 4.5 kB 00:00 Package coreutils-8.30-15.el8.x86_64 is already installed. Package findutils-1:4.6.0-21.el8.x86_64 is already installed. Package gcc-8.5.0-20.el8.x86_64 is already installed. Package gcc-c++-8.5.0-20.el8.x86_64 is already installed. Package make-1:4.2.1-11.el8.x86_64 is already installed. Package sed-4.5-5.el8.x86_64 is already installed. Dependencies resolved. ================================================================================================== Package Arch Version Repository Size ================================================================================================== Installing: autoconf noarch 2.69-29.el8 rhel-appstream 711 k bison x86_64 3.0.4-10.el8 rhel-appstream 688 k flex x86_64 2.6.1-9.el8 rhel-appstream 320 k gdb x86_64 8.2-20.el8 rhel-appstream 299 k help2man noarch 1.47.6-1.el8 codeready-builder 186 k perl-Data-Dumper x86_64 2.167-399.el8 rhel-baseos 58 k perl-Digest-MD5 x86_64 2.55-396.el8 rhel-baseos 37 k perl-Getopt-Long noarch 1:2.50-4.el8 rhel-baseos 63 k perl-IO x86_64 1.38-422.el8 rhel-baseos 142 k perl-Pod-Usage noarch 4:1.69-395.el8 rhel-baseos 34 k perl-Time-HiRes x86_64 4:1.9758-2.el8 rhel-appstream 61 k perl-generators noarch 1.10-9.el8 rhel-appstream 18 k perl-interpreter x86_64 4:5.26.3-422.el8 rhel-baseos 6.3 M perl-libs x86_64 4:5.26.3-422.el8 rhel-baseos 1.6 M perl-version x86_64 6:0.99.24-1.el8 rhel-appstream 67 k python36-devel x86_64 3.6.8-38.module+el8.9.0+20976+d3c38525 rhel-appstream 17 k Installing dependencies: emacs-filesystem noarch 1:26.1-11.el8 rhel-baseos 70 k groff-base x86_64 1.22.3-18.el8 rhel-baseos 1.0 M m4 x86_64 1.4.18-7.el8 rhel-baseos 223 k openssl x86_64 1:1.1.1k-12.el8_9 rhel-baseos 711 k perl-Carp noarch 1.42-396.el8 rhel-baseos 30 k perl-Digest noarch 1.17-395.el8 rhel-baseos 27 k perl-Encode x86_64 4:2.97-3.el8 rhel-baseos 1.5 M perl-Errno x86_64 1.28-422.el8 rhel-baseos 77 k perl-Exporter noarch 5.72-396.el8 rhel-baseos 34 k perl-Fedora-VSP noarch 0.001-9.el8 rhel-appstream 25 k perl-File-Path noarch 2.15-2.el8 rhel-baseos 38 k perl-File-Temp noarch 0.230.600-1.el8 rhel-baseos 63 k perl-HTTP-Tiny noarch 0.074-2.el8_9.1 rhel-baseos 59 k perl-IO-Socket-IP noarch 0.39-5.el8 rhel-baseos 47 k perl-IO-Socket-SSL noarch 2.066-4.module+el8.3.0+6446+594cad75 rhel-appstream 298 k perl-MIME-Base64 x86_64 3.15-396.el8 rhel-baseos 31 k perl-Mozilla-CA noarch 20160104-7.module+el8.3.0+6498+9eecfe51 rhel-appstream 15 k perl-Net-SSLeay x86_64 1.88-2.module+el8.6.0+13392+f0897f98 rhel-appstream 379 k perl-PathTools x86_64 3.74-1.el8 rhel-baseos 90 k perl-Pod-Escapes noarch 1:1.07-395.el8 rhel-baseos 20 k perl-Pod-Perldoc noarch 3.28-396.el8 rhel-baseos 88 k perl-Pod-Simple noarch 1:3.35-395.el8 rhel-baseos 213 k perl-Scalar-List-Utils x86_64 3:1.49-2.el8 rhel-baseos 68 k perl-Socket x86_64 4:2.027-3.el8 rhel-baseos 59 k perl-Storable x86_64 1:3.11-3.el8 rhel-baseos 98 k perl-Term-ANSIColor noarch 4.06-396.el8 rhel-baseos 46 k perl-Term-Cap noarch 1.17-395.el8 rhel-baseos 23 k perl-Text-ParseWords noarch 3.30-395.el8 rhel-baseos 18 k perl-Text-Tabs+Wrap noarch 2013.0523-395.el8 rhel-baseos 24 k perl-Time-Local noarch 1:1.280-1.el8 rhel-baseos 34 k perl-URI noarch 1.73-3.el8 rhel-baseos 116 k perl-Unicode-Normalize x86_64 1.25-396.el8 rhel-baseos 82 k perl-constant noarch 1.33-396.el8 rhel-baseos 25 k perl-libnet noarch 3.11-3.el8 rhel-baseos 121 k perl-macros x86_64 4:5.26.3-422.el8 rhel-baseos 73 k perl-parent noarch 1:0.237-1.el8 rhel-baseos 20 k perl-podlators noarch 4.11-1.el8 rhel-baseos 118 k perl-threads x86_64 1:2.21-2.el8 rhel-baseos 61 k perl-threads-shared x86_64 1.58-2.el8 rhel-baseos 48 k platform-python-devel x86_64 3.6.8-56.el8_9.3 rhel-appstream 241 k platform-python-pip noarch 9.0.3-23.el8_9.1 rhel-baseos 1.6 M python3-pip noarch 9.0.3-23.el8_9.1 rhel-appstream 20 k python3-rpm-generators noarch 5-8.el8 rhel-appstream 25 k python3-setuptools noarch 39.2.0-7.el8 rhel-baseos 163 k python36 x86_64 3.6.8-38.module+el8.9.0+20976+d3c38525 rhel-appstream 19 k Enabling module streams: perl 5.26 perl-IO-Socket-SSL 2.066 perl-libwww-perl 6.34 python36 3.6 Transaction Summary ================================================================================================== Install 61 Packages Total download size: 19 M Installed size: 55 M Downloading Packages: (1/61): perl-Data-Dumper-2.167-399.el8.x86_64.r 270 kB/s | 58 kB 00:00 (2/61): perl-Encode-2.97-3.el8.x86_64.rpm 5.1 MB/s | 1.5 MB 00:00 (3/61): perl-MIME-Base64-3.15-396.el8.x86_64.rp 379 kB/s | 31 kB 00:00 (4/61): groff-base-1.22.3-18.el8.x86_64.rpm 3.4 MB/s | 1.0 MB 00:00 (5/61): perl-Scalar-List-Utils-1.49-2.el8.x86_6 685 kB/s | 68 kB 00:00 (6/61): perl-Unicode-Normalize-1.25-396.el8.x86 654 kB/s | 82 kB 00:00 (7/61): perl-PathTools-3.74-1.el8.x86_64.rpm 666 kB/s | 90 kB 00:00 (8/61): perl-threads-shared-1.58-2.el8.x86_64.r 688 kB/s | 48 kB 00:00 (9/61): m4-1.4.18-7.el8.x86_64.rpm 3.4 MB/s | 223 kB 00:00 (10/61): perl-Exporter-5.72-396.el8.noarch.rpm 462 kB/s | 34 kB 00:00 (11/61): perl-File-Path-2.15-2.el8.noarch.rpm 248 kB/s | 38 kB 00:00 (12/61): perl-File-Temp-0.230.600-1.el8.noarch. 607 kB/s | 63 kB 00:00 (13/61): perl-Carp-1.42-396.el8.noarch.rpm 127 kB/s | 30 kB 00:00 (14/61): perl-Pod-Escapes-1.07-395.el8.noarch.r 387 kB/s | 20 kB 00:00 (15/61): perl-Getopt-Long-2.50-4.el8.noarch.rpm 1.1 MB/s | 63 kB 00:00 (16/61): perl-Pod-Perldoc-3.28-396.el8.noarch.r 992 kB/s | 88 kB 00:00 (17/61): perl-Pod-Simple-3.35-395.el8.noarch.rp 3.5 MB/s | 213 kB 00:00 (18/61): perl-Pod-Usage-1.69-395.el8.noarch.rpm 552 kB/s | 34 kB 00:00 (19/61): perl-Term-ANSIColor-4.06-396.el8.noarc 535 kB/s | 46 kB 00:00 (20/61): perl-Term-Cap-1.17-395.el8.noarch.rpm 229 kB/s | 23 kB 00:00 (21/61): perl-Text-ParseWords-3.30-395.el8.noar 366 kB/s | 18 kB 00:00 (22/61): perl-Text-Tabs+Wrap-2013.0523-395.el8. 360 kB/s | 24 kB 00:00 (23/61): perl-Storable-3.11-3.el8.x86_64.rpm 557 kB/s | 98 kB 00:00 (24/61): perl-Time-Local-1.280-1.el8.noarch.rpm 516 kB/s | 34 kB 00:00 (25/61): perl-constant-1.33-396.el8.noarch.rpm 512 kB/s | 25 kB 00:00 (26/61): perl-threads-2.21-2.el8.x86_64.rpm 1.2 MB/s | 61 kB 00:00 (27/61): perl-podlators-4.11-1.el8.noarch.rpm 1.3 MB/s | 118 kB 00:00 (28/61): perl-parent-0.237-1.el8.noarch.rpm 151 kB/s | 20 kB 00:00 (29/61): perl-Socket-2.027-3.el8.x86_64.rpm 1.2 MB/s | 59 kB 00:00 (30/61): perl-IO-1.38-422.el8.x86_64.rpm 2.4 MB/s | 142 kB 00:00 (31/61): perl-Errno-1.28-422.el8.x86_64.rpm 959 kB/s | 77 kB 00:00 (32/61): perl-macros-5.26.3-422.el8.x86_64.rpm 813 kB/s | 73 kB 00:00 (33/61): perl-libs-5.26.3-422.el8.x86_64.rpm 12 MB/s | 1.6 MB 00:00 (34/61): perl-interpreter-5.26.3-422.el8.x86_64 32 MB/s | 6.3 MB 00:00 (35/61): emacs-filesystem-26.1-11.el8.noarch.rp 825 kB/s | 70 kB 00:00 (36/61): python3-setuptools-39.2.0-7.el8.noarch 1.0 MB/s | 163 kB 00:00 (37/61): perl-URI-1.73-3.el8.noarch.rpm 2.1 MB/s | 116 kB 00:00 (38/61): perl-Digest-MD5-2.55-396.el8.x86_64.rp 312 kB/s | 37 kB 00:00 (39/61): perl-libnet-3.11-3.el8.noarch.rpm 1.9 MB/s | 121 kB 00:00 (40/61): perl-IO-Socket-IP-0.39-5.el8.noarch.rp 788 kB/s | 47 kB 00:00 (41/61): perl-HTTP-Tiny-0.074-2.el8_9.1.noarch. 928 kB/s | 59 kB 00:00 (42/61): openssl-1.1.1k-12.el8_9.x86_64.rpm 3.5 MB/s | 711 kB 00:00 (43/61): platform-python-pip-9.0.3-23.el8_9.1.n 20 MB/s | 1.6 MB 00:00 (44/61): perl-Digest-1.17-395.el8.noarch.rpm 102 kB/s | 27 kB 00:00 (45/61): perl-Fedora-VSP-0.001-9.el8.noarch.rpm 285 kB/s | 25 kB 00:00 (46/61): bison-3.0.4-10.el8.x86_64.rpm 9.4 MB/s | 688 kB 00:00 (47/61): perl-version-0.99.24-1.el8.x86_64.rpm 1.0 MB/s | 67 kB 00:00 (48/61): perl-generators-1.10-9.el8.noarch.rpm 363 kB/s | 18 kB 00:00 (49/61): flex-2.6.1-9.el8.x86_64.rpm 2.7 MB/s | 320 kB 00:00 (50/61): perl-Mozilla-CA-20160104-7.module+el8. 257 kB/s | 15 kB 00:00 (51/61): perl-Time-HiRes-1.9758-2.el8.x86_64.rp 1.2 MB/s | 61 kB 00:00 (52/61): perl-IO-Socket-SSL-2.066-4.module+el8. 2.7 MB/s | 298 kB 00:00 (53/61): autoconf-2.69-29.el8.noarch.rpm 13 MB/s | 711 kB 00:00 (54/61): perl-Net-SSLeay-1.88-2.module+el8.6.0+ 6.7 MB/s | 379 kB 00:00 (55/61): platform-python-devel-3.6.8-56.el8_9.3 2.6 MB/s | 241 kB 00:00 (56/61): gdb-8.2-20.el8.x86_64.rpm 2.6 MB/s | 299 kB 00:00 (57/61): python3-rpm-generators-5-8.el8.noarch. 170 kB/s | 25 kB 00:00 (58/61): python36-3.6.8-38.module+el8.9.0+20976 375 kB/s | 19 kB 00:00 (59/61): python3-pip-9.0.3-23.el8_9.1.noarch.rp 236 kB/s | 20 kB 00:00 (60/61): help2man-1.47.6-1.el8.noarch.rpm 855 kB/s | 186 kB 00:00 (61/61): python36-devel-3.6.8-38.module+el8.9.0 56 kB/s | 17 kB 00:00 -------------------------------------------------------------------------------- Total 8.1 MB/s | 19 MB 00:02 Running transaction check Transaction check succeeded. Running transaction test Transaction test succeeded. Running transaction Preparing : 1/1 Installing : m4-1.4.18-7.el8.x86_64 1/61 Running scriptlet: m4-1.4.18-7.el8.x86_64 1/61 Installing : python3-rpm-generators-5-8.el8.noarch 2/61 Installing : platform-python-devel-3.6.8-56.el8_9.3.x86_64 3/61 Installing : platform-python-pip-9.0.3-23.el8_9.1.noarch 4/61 Installing : openssl-1:1.1.1k-12.el8_9.x86_64 5/61 Installing : emacs-filesystem-1:26.1-11.el8.noarch 6/61 Installing : python3-setuptools-39.2.0-7.el8.noarch 7/61 Installing : python3-pip-9.0.3-23.el8_9.1.noarch 8/61 Installing : python36-3.6.8-38.module+el8.9.0+20976+d3c38525.x8 9/61 Running scriptlet: python36-3.6.8-38.module+el8.9.0+20976+d3c38525.x8 9/61 Installing : groff-base-1.22.3-18.el8.x86_64 10/61 Installing : perl-Digest-1.17-395.el8.noarch 11/61 Installing : perl-Digest-MD5-2.55-396.el8.x86_64 12/61 Installing : perl-Data-Dumper-2.167-399.el8.x86_64 13/61 Installing : perl-libnet-3.11-3.el8.noarch 14/61 Installing : perl-URI-1.73-3.el8.noarch 15/61 Installing : perl-Pod-Escapes-1:1.07-395.el8.noarch 16/61 Installing : perl-Time-Local-1:1.280-1.el8.noarch 17/61 Installing : perl-IO-Socket-IP-0.39-5.el8.noarch 18/61 Installing : perl-Mozilla-CA-20160104-7.module+el8.3.0+6498+9ee 19/61 Installing : perl-Net-SSLeay-1.88-2.module+el8.6.0+13392+f0897f 20/61 Installing : perl-IO-Socket-SSL-2.066-4.module+el8.3.0+6446+594 21/61 Installing : perl-Term-ANSIColor-4.06-396.el8.noarch 22/61 Installing : perl-Term-Cap-1.17-395.el8.noarch 23/61 Installing : perl-File-Temp-0.230.600-1.el8.noarch 24/61 Installing : perl-HTTP-Tiny-0.074-2.el8_9.1.noarch 25/61 Installing : perl-Pod-Simple-1:3.35-395.el8.noarch 26/61 Installing : perl-podlators-4.11-1.el8.noarch 27/61 Installing : perl-Pod-Perldoc-3.28-396.el8.noarch 28/61 Installing : perl-Text-ParseWords-3.30-395.el8.noarch 29/61 Installing : perl-Pod-Usage-4:1.69-395.el8.noarch 30/61 Installing : perl-MIME-Base64-3.15-396.el8.x86_64 31/61 Installing : perl-Storable-1:3.11-3.el8.x86_64 32/61 Installing : perl-Getopt-Long-1:2.50-4.el8.noarch 33/61 Installing : perl-Socket-4:2.027-3.el8.x86_64 34/61 Installing : perl-Errno-1.28-422.el8.x86_64 35/61 Installing : perl-Encode-4:2.97-3.el8.x86_64 36/61 Installing : perl-Scalar-List-Utils-3:1.49-2.el8.x86_64 37/61 Installing : perl-Carp-1.42-396.el8.noarch 38/61 Installing : perl-Exporter-5.72-396.el8.noarch 39/61 Installing : perl-libs-4:5.26.3-422.el8.x86_64 40/61 Installing : perl-parent-1:0.237-1.el8.noarch 41/61 Installing : perl-macros-4:5.26.3-422.el8.x86_64 42/61 Installing : perl-Unicode-Normalize-1.25-396.el8.x86_64 43/61 Installing : perl-Text-Tabs+Wrap-2013.0523-395.el8.noarch 44/61 Installing : perl-constant-1.33-396.el8.noarch 45/61 Installing : perl-PathTools-3.74-1.el8.x86_64 46/61 Installing : perl-threads-shared-1.58-2.el8.x86_64 47/61 Installing : perl-threads-1:2.21-2.el8.x86_64 48/61 Installing : perl-File-Path-2.15-2.el8.noarch 49/61 Installing : perl-IO-1.38-422.el8.x86_64 50/61 Installing : perl-interpreter-4:5.26.3-422.el8.x86_64 51/61 Installing : perl-Fedora-VSP-0.001-9.el8.noarch 52/61 Installing : perl-generators-1.10-9.el8.noarch 53/61 Installing : perl-version-6:0.99.24-1.el8.x86_64 54/61 Installing : autoconf-2.69-29.el8.noarch 55/61 Running scriptlet: autoconf-2.69-29.el8.noarch 55/61 Installing : help2man-1.47.6-1.el8.noarch 56/61 Running scriptlet: help2man-1.47.6-1.el8.noarch 56/61 Installing : perl-Time-HiRes-4:1.9758-2.el8.x86_64 57/61 Installing : python36-devel-3.6.8-38.module+el8.9.0+20976+d3c38 58/61 Running scriptlet: python36-devel-3.6.8-38.module+el8.9.0+20976+d3c38 58/61 Installing : bison-3.0.4-10.el8.x86_64 59/61 Running scriptlet: bison-3.0.4-10.el8.x86_64 59/61 Installing : flex-2.6.1-9.el8.x86_64 60/61 Running scriptlet: flex-2.6.1-9.el8.x86_64 60/61 Installing : gdb-8.2-20.el8.x86_64 61/61 Running scriptlet: gdb-8.2-20.el8.x86_64 61/61 Verifying : groff-base-1.22.3-18.el8.x86_64 1/61 Verifying : perl-Data-Dumper-2.167-399.el8.x86_64 2/61 Verifying : perl-Encode-4:2.97-3.el8.x86_64 3/61 Verifying : perl-MIME-Base64-3.15-396.el8.x86_64 4/61 Verifying : perl-PathTools-3.74-1.el8.x86_64 5/61 Verifying : perl-Scalar-List-Utils-3:1.49-2.el8.x86_64 6/61 Verifying : perl-Unicode-Normalize-1.25-396.el8.x86_64 7/61 Verifying : perl-threads-shared-1.58-2.el8.x86_64 8/61 Verifying : m4-1.4.18-7.el8.x86_64 9/61 Verifying : perl-Carp-1.42-396.el8.noarch 10/61 Verifying : perl-Exporter-5.72-396.el8.noarch 11/61 Verifying : perl-File-Path-2.15-2.el8.noarch 12/61 Verifying : perl-File-Temp-0.230.600-1.el8.noarch 13/61 Verifying : perl-Getopt-Long-1:2.50-4.el8.noarch 14/61 Verifying : perl-Pod-Escapes-1:1.07-395.el8.noarch 15/61 Verifying : perl-Pod-Perldoc-3.28-396.el8.noarch 16/61 Verifying : perl-Pod-Simple-1:3.35-395.el8.noarch 17/61 Verifying : perl-Pod-Usage-4:1.69-395.el8.noarch 18/61 Verifying : perl-Storable-1:3.11-3.el8.x86_64 19/61 Verifying : perl-Term-ANSIColor-4.06-396.el8.noarch 20/61 Verifying : perl-Term-Cap-1.17-395.el8.noarch 21/61 Verifying : perl-Text-ParseWords-3.30-395.el8.noarch 22/61 Verifying : perl-Text-Tabs+Wrap-2013.0523-395.el8.noarch 23/61 Verifying : perl-Time-Local-1:1.280-1.el8.noarch 24/61 Verifying : perl-constant-1.33-396.el8.noarch 25/61 Verifying : perl-parent-1:0.237-1.el8.noarch 26/61 Verifying : perl-podlators-4.11-1.el8.noarch 27/61 Verifying : perl-threads-1:2.21-2.el8.x86_64 28/61 Verifying : perl-Socket-4:2.027-3.el8.x86_64 29/61 Verifying : perl-Errno-1.28-422.el8.x86_64 30/61 Verifying : perl-IO-1.38-422.el8.x86_64 31/61 Verifying : perl-interpreter-4:5.26.3-422.el8.x86_64 32/61 Verifying : perl-libs-4:5.26.3-422.el8.x86_64 33/61 Verifying : perl-macros-4:5.26.3-422.el8.x86_64 34/61 Verifying : python3-setuptools-39.2.0-7.el8.noarch 35/61 Verifying : emacs-filesystem-1:26.1-11.el8.noarch 36/61 Verifying : perl-Digest-MD5-2.55-396.el8.x86_64 37/61 Verifying : perl-URI-1.73-3.el8.noarch 38/61 Verifying : perl-libnet-3.11-3.el8.noarch 39/61 Verifying : openssl-1:1.1.1k-12.el8_9.x86_64 40/61 Verifying : perl-Digest-1.17-395.el8.noarch 41/61 Verifying : perl-IO-Socket-IP-0.39-5.el8.noarch 42/61 Verifying : perl-HTTP-Tiny-0.074-2.el8_9.1.noarch 43/61 Verifying : platform-python-pip-9.0.3-23.el8_9.1.noarch 44/61 Verifying : perl-Fedora-VSP-0.001-9.el8.noarch 45/61 Verifying : bison-3.0.4-10.el8.x86_64 46/61 Verifying : flex-2.6.1-9.el8.x86_64 47/61 Verifying : perl-version-6:0.99.24-1.el8.x86_64 48/61 Verifying : perl-generators-1.10-9.el8.noarch 49/61 Verifying : perl-IO-Socket-SSL-2.066-4.module+el8.3.0+6446+594 50/61 Verifying : perl-Mozilla-CA-20160104-7.module+el8.3.0+6498+9ee 51/61 Verifying : perl-Time-HiRes-4:1.9758-2.el8.x86_64 52/61 Verifying : autoconf-2.69-29.el8.noarch 53/61 Verifying : perl-Net-SSLeay-1.88-2.module+el8.6.0+13392+f0897f 54/61 Verifying : python3-rpm-generators-5-8.el8.noarch 55/61 Verifying : gdb-8.2-20.el8.x86_64 56/61 Verifying : platform-python-devel-3.6.8-56.el8_9.3.x86_64 57/61 Verifying : python36-3.6.8-38.module+el8.9.0+20976+d3c38525.x8 58/61 Verifying : python36-devel-3.6.8-38.module+el8.9.0+20976+d3c38 59/61 Verifying : python3-pip-9.0.3-23.el8_9.1.noarch 60/61 Verifying : help2man-1.47.6-1.el8.noarch 61/61 Installed products updated. Installed: autoconf-2.69-29.el8.noarch bison-3.0.4-10.el8.x86_64 emacs-filesystem-1:26.1-11.el8.noarch flex-2.6.1-9.el8.x86_64 gdb-8.2-20.el8.x86_64 groff-base-1.22.3-18.el8.x86_64 help2man-1.47.6-1.el8.noarch m4-1.4.18-7.el8.x86_64 openssl-1:1.1.1k-12.el8_9.x86_64 perl-Carp-1.42-396.el8.noarch perl-Data-Dumper-2.167-399.el8.x86_64 perl-Digest-1.17-395.el8.noarch perl-Digest-MD5-2.55-396.el8.x86_64 perl-Encode-4:2.97-3.el8.x86_64 perl-Errno-1.28-422.el8.x86_64 perl-Exporter-5.72-396.el8.noarch perl-Fedora-VSP-0.001-9.el8.noarch perl-File-Path-2.15-2.el8.noarch perl-File-Temp-0.230.600-1.el8.noarch perl-Getopt-Long-1:2.50-4.el8.noarch perl-HTTP-Tiny-0.074-2.el8_9.1.noarch perl-IO-1.38-422.el8.x86_64 perl-IO-Socket-IP-0.39-5.el8.noarch perl-IO-Socket-SSL-2.066-4.module+el8.3.0+6446+594cad75.noarch perl-MIME-Base64-3.15-396.el8.x86_64 perl-Mozilla-CA-20160104-7.module+el8.3.0+6498+9eecfe51.noarch perl-Net-SSLeay-1.88-2.module+el8.6.0+13392+f0897f98.x86_64 perl-PathTools-3.74-1.el8.x86_64 perl-Pod-Escapes-1:1.07-395.el8.noarch perl-Pod-Perldoc-3.28-396.el8.noarch perl-Pod-Simple-1:3.35-395.el8.noarch perl-Pod-Usage-4:1.69-395.el8.noarch perl-Scalar-List-Utils-3:1.49-2.el8.x86_64 perl-Socket-4:2.027-3.el8.x86_64 perl-Storable-1:3.11-3.el8.x86_64 perl-Term-ANSIColor-4.06-396.el8.noarch perl-Term-Cap-1.17-395.el8.noarch perl-Text-ParseWords-3.30-395.el8.noarch perl-Text-Tabs+Wrap-2013.0523-395.el8.noarch perl-Time-HiRes-4:1.9758-2.el8.x86_64 perl-Time-Local-1:1.280-1.el8.noarch perl-URI-1.73-3.el8.noarch perl-Unicode-Normalize-1.25-396.el8.x86_64 perl-constant-1.33-396.el8.noarch perl-generators-1.10-9.el8.noarch perl-interpreter-4:5.26.3-422.el8.x86_64 perl-libnet-3.11-3.el8.noarch perl-libs-4:5.26.3-422.el8.x86_64 perl-macros-4:5.26.3-422.el8.x86_64 perl-parent-1:0.237-1.el8.noarch perl-podlators-4.11-1.el8.noarch perl-threads-1:2.21-2.el8.x86_64 perl-threads-shared-1.58-2.el8.x86_64 perl-version-6:0.99.24-1.el8.x86_64 platform-python-devel-3.6.8-56.el8_9.3.x86_64 platform-python-pip-9.0.3-23.el8_9.1.noarch python3-pip-9.0.3-23.el8_9.1.noarch python3-rpm-generators-5-8.el8.noarch python3-setuptools-39.2.0-7.el8.noarch python36-3.6.8-38.module+el8.9.0+20976+d3c38525.x86_64 python36-devel-3.6.8-38.module+el8.9.0+20976+d3c38525.x86_64 Complete! Finish: build setup for verilator-5.020-1.el8.src.rpm Start: rpmbuild verilator-5.020-1.el8.src.rpm Building target platforms: x86_64 Building for target x86_64 Executing(%prep): /bin/sh -e /var/tmp/rpm-tmp.KWE6ou + umask 022 + cd /builddir/build/BUILD + cd /builddir/build/BUILD + rm -rf verilator-5.020 + /usr/bin/gzip -dc /builddir/build/SOURCES/verilator-5.020.tar.gz + /usr/bin/tar -xof - + STATUS=0 + '[' 0 -ne 0 ']' + cd verilator-5.020 + /usr/bin/chmod -Rf a+rX,u+w,g-w,o-w . + find . -name .gitignore -delete + export VERILATOR_ROOT=/usr/share + VERILATOR_ROOT=/usr/share + autoconf + CFLAGS='-O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection' + export CFLAGS + CXXFLAGS='-O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection' + export CXXFLAGS + FFLAGS='-O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -I/usr/lib64/gfortran/modules' + export FFLAGS + FCFLAGS='-O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -I/usr/lib64/gfortran/modules' + export FCFLAGS + LDFLAGS='-Wl,-z,relro -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld' + export LDFLAGS + '[' 1 = 1 ']' +++ dirname ./configure ++ find . -name config.guess -o -name config.sub + '[' 1 = 1 ']' + '[' x '!=' 'x-Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld' ']' ++ find . -name ltmain.sh + ./configure --build=x86_64-redhat-linux-gnu --host=x86_64-redhat-linux-gnu --program-prefix= --disable-dependency-tracking --prefix=/usr --exec-prefix=/usr --bindir=/usr/bin --sbindir=/usr/sbin --sysconfdir=/etc --datadir=/usr/share --includedir=/usr/include --libdir=/usr/lib64 --libexecdir=/usr/libexec --localstatedir=/var --sharedstatedir=/var/lib --mandir=/usr/share/man --infodir=/usr/share/info --disable-ccwarn --enable-defenv --disable-longtests configuring for Verilator 5.020 2024-01-01 checking whether to perform partial static linking of Verilator binary... yes checking whether to use tcmalloc... check checking whether to use -m32... no checking whether to build for coverage collection... no checking whether to use hardcoded paths... yes checking whether to show and stop on compilation warnings... no checking whether to run long tests... no checking for x86_64-redhat-linux-gnu-gcc... no checking for gcc... gcc checking whether the C compiler works... yes checking for C compiler default output file name... a.out checking for suffix of executables... checking whether we are cross compiling... no checking for suffix of object files... o checking whether we are using the GNU C compiler... yes checking whether gcc accepts -g... yes checking for gcc option to accept ISO C89... none needed checking for x86_64-redhat-linux-gnu-g++... no checking for x86_64-redhat-linux-gnu-c++... no checking for x86_64-redhat-linux-gnu-gpp... no checking for x86_64-redhat-linux-gnu-aCC... no checking for x86_64-redhat-linux-gnu-CC... no checking for x86_64-redhat-linux-gnu-cxx... no checking for x86_64-redhat-linux-gnu-cc++... no checking for x86_64-redhat-linux-gnu-cl.exe... no checking for x86_64-redhat-linux-gnu-FCC... no checking for x86_64-redhat-linux-gnu-KCC... no checking for x86_64-redhat-linux-gnu-RCC... no checking for x86_64-redhat-linux-gnu-xlC_r... no checking for x86_64-redhat-linux-gnu-xlC... no checking for g++... g++ checking whether we are using the GNU C++ compiler... yes checking whether g++ accepts -g... yes checking for a BSD-compatible install... /usr/bin/install -c compiler is g++ --version = g++ (GCC) 8.5.0 20210514 (Red Hat 8.5.0-20) checking that C++ compiler can compile simple program... yes checking for ar... ar checking for perl... /usr/bin/perl checking for python3... /usr/bin/python3 checking for flex... /usr/bin/flex /usr/bin/flex --version = flex 2.6.1 checking for bison... /usr/bin/bison /usr/bin/bison --version = bison (GNU Bison) 3.0.4 checking for ccache... no checking how to run the C++ preprocessor... g++ -E checking for grep that handles long lines and -e... /usr/bin/grep checking for egrep... /usr/bin/grep -E checking for ANSI C header files... yes checking for sys/types.h... yes checking for sys/stat.h... yes checking for stdlib.h... yes checking for string.h... yes checking for memory.h... yes checking for strings.h... yes checking for inttypes.h... yes checking for stdint.h... yes checking for unistd.h... yes checking for size_t... yes checking for size_t... (cached) yes checking for inline... inline checking whether g++ accepts -pg... yes checking whether g++ accepts -std=gnu++17... yes checking whether g++ accepts -Wextra... yes checking whether g++ accepts -Wfloat-conversion... yes checking whether g++ accepts -Wlogical-op... yes checking whether g++ accepts -Wthread-safety... no checking whether g++ accepts -fcoroutines-ts... no checking whether g++ accepts -fcoroutines... no checking whether g++ accepts -fcoroutines-ts -Wno-deprecated-experimental-coroutine... no checking whether coroutines are supported by g++... no checking whether g++ accepts -Qunused-arguments... no checking whether g++ accepts -faligned-new... yes checking whether g++ accepts -Wno-unused-parameter... yes checking whether g++ accepts -Wno-shadow... yes checking whether g++ accepts -Wno-char-subscripts... yes checking whether g++ accepts -Wno-null-conversion... no checking whether g++ accepts -Wno-parentheses-equality... no checking whether g++ accepts -Wno-unused... yes checking whether g++ accepts -Og... yes checking whether g++ accepts -ggdb... yes checking whether g++ accepts -gz... yes checking whether g++ linker accepts -gz... yes checking whether g++ accepts -faligned-new... yes checking whether g++ accepts -fbracket-depth=4096... no checking whether g++ accepts -fcf-protection=none... yes checking whether g++ accepts -mno-cet... no checking whether g++ accepts -Qunused-arguments... no checking whether g++ accepts -Wno-bool-operation... yes checking whether g++ accepts -Wno-c++11-narrowing... no checking whether g++ accepts -Wno-constant-logical-operand... no checking whether g++ accepts -Wno-non-pod-varargs... no checking whether g++ accepts -Wno-overloaded-virtual... yes checking whether g++ accepts -Wno-parentheses-equality... no checking whether g++ accepts -Wno-shadow... yes checking whether g++ accepts -Wno-sign-compare... yes checking whether g++ accepts -Wno-tautological-bitwise-compare... no checking whether g++ accepts -Wno-uninitialized... yes checking whether g++ accepts -Wno-unused-but-set-parameter... yes checking whether g++ accepts -Wno-unused-but-set-variable... yes checking whether g++ accepts -Wno-unused-parameter... yes checking whether g++ accepts -Wno-unused-variable... yes checking whether g++ linker accepts -mt... no checking whether g++ linker accepts -pthread... yes checking whether g++ linker accepts -lpthread... yes checking whether g++ linker accepts -latomic... no checking whether g++ linker accepts -fuse-ld=mold... no checking whether g++ linker accepts -fuse-ld=mold... no checking whether g++ linker accepts -static-libgcc... yes checking whether g++ linker accepts -static-libstdc++... no checking whether g++ linker accepts -Xlinker -gc-sections... yes checking whether g++ linker accepts -lpthread... yes checking whether g++ linker accepts -lbcrypt... no checking whether g++ linker accepts -lpsapi... no checking whether g++ linker accepts -l:libtcmalloc_minimal.a... no checking whether g++ supports C++14... yes checking for g++ precompile header include option... -include checking for struct stat.st_mtim.tv_nsec... yes checking whether SystemC is found (in system path)... no configure: creating ./config.status config.status: creating Makefile config.status: creating src/Makefile config.status: creating src/Makefile_obj config.status: creating include/verilated.mk config.status: creating include/verilated_config.h config.status: creating verilator.pc config.status: creating verilator-config.cmake config.status: creating verilator-config-version.cmake config.status: creating src/config_package.h Now type 'make' (or sometimes 'gmake') to build Verilator. + find -name Makefile_obj -exec sed -i -e 's|^\(COPT = .*\)|\1 -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection|' -e 's|^#LDFLAGS += .*|LDFLAGS += -Wl,-z,relro -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld|' '{}' ';' + exit 0 Executing(%build): /bin/sh -e /var/tmp/rpm-tmp.fSLsSy + umask 022 + cd /builddir/build/BUILD + cd verilator-5.020 + /usr/bin/make -O -j2 ------------------------------------------------------------ making verilator in src /usr/bin/make -C src make[1]: Entering directory '/builddir/build/BUILD/verilator-5.020/src' mkdir -p obj_dbg make[1]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src' pod2man bin/verilator verilator.1 make[1]: Entering directory '/builddir/build/BUILD/verilator-5.020/src' /usr/bin/python3 ./config_rev . >config_rev.h make[1]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src' /bin/sh: git: command not found /bin/sh: git: command not found %Warning: No git revision found in config_rev.py make[1]: Entering directory '/builddir/build/BUILD/verilator-5.020/src' mkdir -p obj_opt make[1]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src' /usr/bin/make -C obj_dbg -j 1 TGT=../../bin/verilator_bin_dbg VL_DEBUG=1 -f ../Makefile_obj serial make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' /usr/bin/python3 ../astgen -I .. --astdef V3AstNodeDType.h --astdef V3AstNodeExpr.h --astdef V3AstNodeOther.h --dfgdef V3DfgVertices.h --classes make[2]: warning: -j1 forced in submake: resetting jobserver mode. pod2man bin/verilator_coverage verilator_coverage.1 /usr/bin/make -C obj_dbg TGT=../../bin/verilator_coverage_bin_dbg VL_DEBUG=1 VL_VLCOV=1 -f ../Makefile_obj serial_vlcov make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' /usr/bin/python3 ../vlcovgen --srcdir .. touch vlcovgen.d make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' /usr/bin/make -C obj_dbg TGT=../../bin/verilator_coverage_bin_dbg VL_DEBUG=1 VL_VLCOV=1 -f ../Makefile_obj make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' Compile flags: g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' If you get errors from verilog.y below, try upgrading bison to version 1.875 or newer. /usr/bin/python3 ../bisonpre --yacc /usr/bin/bison -d -v -o V3ParseBison.c ../verilog.y make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../VlcMain.cpp -o VlcMain.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' Linking ../../bin/verilator_coverage_bin_dbg... g++ -Wl,-z,relro -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -gz -static-libgcc -Xlinker -gc-sections -o ../../bin/verilator_coverage_bin_dbg VlcMain.o -lpthread -lm make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' help2man --no-info --no-discard-stderr --version-string=- bin/verilator_gantt -o verilator_gantt.1 help2man --no-info --no-discard-stderr --version-string=- bin/verilator_profcfunc -o verilator_profcfunc.1 /usr/bin/make -C obj_opt -j 1 TGT=../../bin/verilator_bin -f ../Makefile_obj serial make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: warning: -j1 forced in submake: resetting jobserver mode. make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' /usr/bin/python3 ../astgen -I .. --astdef V3AstNodeDType.h --astdef V3AstNodeExpr.h --astdef V3AstNodeOther.h --dfgdef V3DfgVertices.h --classes If you get errors from verilog.y below, try upgrading bison to version 1.875 or newer. /usr/bin/python3 ../bisonpre --yacc /usr/bin/bison -d -v -o V3ParseBison.c ../verilog.y edit ../verilog.y V3ParseBison_pretmp.y /usr/bin/bison -d -v --report=itemset --report=lookahead -b V3ParseBison_pretmp -o V3ParseBison_pretmp.c V3ParseBison_pretmp.y edit V3ParseBison_pretmp.output V3ParseBison.output edit V3ParseBison_pretmp.c V3ParseBison.c edit V3ParseBison_pretmp.h V3ParseBison.h make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' /usr/bin/make -C obj_dbg TGT=../../bin/verilator_bin_dbg VL_DEBUG=1 -f ../Makefile_obj make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' Compile flags: g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' /usr/bin/python3 ../astgen -I .. --astdef V3AstNodeDType.h --astdef V3AstNodeExpr.h --astdef V3AstNodeOther.h --dfgdef V3DfgVertices.h V3Const.cpp make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Error.cpp -o V3Error.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' edit ../verilog.y V3ParseBison_pretmp.y /usr/bin/bison -d -v --report=itemset --report=lookahead -b V3ParseBison_pretmp -o V3ParseBison_pretmp.c V3ParseBison_pretmp.y edit V3ParseBison_pretmp.output V3ParseBison.output edit V3ParseBison_pretmp.c V3ParseBison.c edit V3ParseBison_pretmp.h V3ParseBison.h make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' /usr/bin/make -C obj_opt TGT=../../bin/verilator_bin -f ../Makefile_obj make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' Compile flags: g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' /usr/bin/python3 ../astgen -I .. --astdef V3AstNodeDType.h --astdef V3AstNodeExpr.h --astdef V3AstNodeOther.h --dfgdef V3DfgVertices.h V3Const.cpp make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3FileLine.cpp -o V3FileLine.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Graph.cpp -o V3Graph.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Error.cpp -o V3Error.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphAcyc.cpp -o V3GraphAcyc.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphAlg.cpp -o V3GraphAlg.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphPathChecker.cpp -o V3GraphPathChecker.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3FileLine.cpp -o V3FileLine.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphTest.cpp -o V3GraphTest.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Hash.cpp -o V3Hash.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Graph.cpp -o V3Graph.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3OptionParser.cpp -o V3OptionParser.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphAcyc.cpp -o V3GraphAcyc.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Os.cpp -o V3Os.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphAlg.cpp -o V3GraphAlg.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphPathChecker.cpp -o V3GraphPathChecker.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphTest.cpp -o V3GraphTest.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Hash.cpp -o V3Hash.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3OptionParser.cpp -o V3OptionParser.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Os.cpp -o V3Os.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -Wno-char-subscripts -Wno-unused -c ../V3ParseGrammar.cpp -o V3ParseGrammar.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -Wno-char-subscripts -Wno-unused -c ../V3ParseImp.cpp -o V3ParseImp.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' /usr/bin/flex --version flex 2.6.1 /usr/bin/flex -d -oV3Lexer_pregen.yy.cpp ../verilog.l make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' /usr/bin/flex --version flex 2.6.1 /usr/bin/flex -d -oV3PreLex_pregen.yy.cpp ../V3PreLex.l make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3PreShell.cpp -o V3PreShell.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3String.cpp -o V3String.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3ThreadPool.cpp -o V3ThreadPool.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Waiver.cpp -o V3Waiver.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../Verilator.cpp -o Verilator.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -x c++-header ../V3PchAstMT.h -o V3PchAstMT.h.gch make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -x c++-header ../V3PchAstNoMT.h -o V3PchAstNoMT.h.gch make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -Wno-char-subscripts -Wno-unused -c ../V3ParseGrammar.cpp -o V3ParseGrammar.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -Wno-char-subscripts -Wno-unused -c ../V3ParseImp.cpp -o V3ParseImp.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' /usr/bin/flex --version flex 2.6.1 /usr/bin/flex -d -oV3Lexer_pregen.yy.cpp ../verilog.l make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' /usr/bin/flex --version flex 2.6.1 /usr/bin/flex -d -oV3PreLex_pregen.yy.cpp ../V3PreLex.l make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c V3Const__gen.cpp -o V3Const__gen.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' /usr/bin/python3 ../flexfix V3Lexer V3Lexer.yy.cpp make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' /usr/bin/python3 ../flexfix V3PreLex V3PreLex.yy.cpp make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3PreShell.cpp -o V3PreShell.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3Ast.cpp -o V3Ast.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3String.cpp -o V3String.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3ThreadPool.cpp -o V3ThreadPool.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Waiver.cpp -o V3Waiver.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../Verilator.cpp -o Verilator.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -x c++-header ../V3PchAstMT.h -o V3PchAstMT.h.gch make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3AstNodes.cpp -o V3AstNodes.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3Broken.cpp -o V3Broken.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -x c++-header ../V3PchAstNoMT.h -o V3PchAstNoMT.h.gch make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3Config.cpp -o V3Config.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3EmitCBase.cpp -o V3EmitCBase.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3EmitCConstPool.cpp -o V3EmitCConstPool.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3EmitCFunc.cpp -o V3EmitCFunc.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3EmitCHeaders.cpp -o V3EmitCHeaders.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c V3Const__gen.cpp -o V3Const__gen.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' /usr/bin/python3 ../flexfix V3Lexer V3Lexer.yy.cpp make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' /usr/bin/python3 ../flexfix V3PreLex V3PreLex.yy.cpp make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3Ast.cpp -o V3Ast.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3EmitCImp.cpp -o V3EmitCImp.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3EmitCInlines.cpp -o V3EmitCInlines.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3EmitCPch.cpp -o V3EmitCPch.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3EmitV.cpp -o V3EmitV.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3File.cpp -o V3File.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3Global.cpp -o V3Global.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3Hasher.cpp -o V3Hasher.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3Number.cpp -o V3Number.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3AstNodes.cpp -o V3AstNodes.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3Options.cpp -o V3Options.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3Broken.cpp -o V3Broken.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3Stats.cpp -o V3Stats.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3StatsReport.cpp -o V3StatsReport.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3Config.cpp -o V3Config.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Active.cpp -o V3Active.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3EmitCBase.cpp -o V3EmitCBase.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3ActiveTop.cpp -o V3ActiveTop.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3EmitCConstPool.cpp -o V3EmitCConstPool.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Assert.cpp -o V3Assert.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3AssertPre.cpp -o V3AssertPre.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Begin.cpp -o V3Begin.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3EmitCFunc.cpp -o V3EmitCFunc.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Branch.cpp -o V3Branch.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3CCtors.cpp -o V3CCtors.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3EmitCHeaders.cpp -o V3EmitCHeaders.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3CUse.cpp -o V3CUse.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Case.cpp -o V3Case.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Cast.cpp -o V3Cast.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Class.cpp -o V3Class.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Clean.cpp -o V3Clean.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Clock.cpp -o V3Clock.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3EmitCImp.cpp -o V3EmitCImp.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Combine.cpp -o V3Combine.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3EmitCInlines.cpp -o V3EmitCInlines.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3EmitCPch.cpp -o V3EmitCPch.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Common.cpp -o V3Common.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Coverage.cpp -o V3Coverage.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3EmitV.cpp -o V3EmitV.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3CoverageJoin.cpp -o V3CoverageJoin.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3File.cpp -o V3File.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Dead.cpp -o V3Dead.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3Global.cpp -o V3Global.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Delayed.cpp -o V3Delayed.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Depth.cpp -o V3Depth.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3DepthBlock.cpp -o V3DepthBlock.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3Hasher.cpp -o V3Hasher.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Descope.cpp -o V3Descope.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3Number.cpp -o V3Number.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Dfg.cpp -o V3Dfg.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3DfgAstToDfg.cpp -o V3DfgAstToDfg.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3Options.cpp -o V3Options.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3DfgDecomposition.cpp -o V3DfgDecomposition.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3Stats.cpp -o V3Stats.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3StatsReport.cpp -o V3StatsReport.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Active.cpp -o V3Active.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3DfgDfgToAst.cpp -o V3DfgDfgToAst.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3ActiveTop.cpp -o V3ActiveTop.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3DfgOptimizer.cpp -o V3DfgOptimizer.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Assert.cpp -o V3Assert.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3DfgPasses.cpp -o V3DfgPasses.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3AssertPre.cpp -o V3AssertPre.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3DfgPeephole.cpp -o V3DfgPeephole.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Begin.cpp -o V3Begin.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Branch.cpp -o V3Branch.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3DupFinder.cpp -o V3DupFinder.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3EmitCMain.cpp -o V3EmitCMain.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3CCtors.cpp -o V3CCtors.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3CUse.cpp -o V3CUse.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3EmitCMake.cpp -o V3EmitCMake.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Case.cpp -o V3Case.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Cast.cpp -o V3Cast.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Class.cpp -o V3Class.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Clean.cpp -o V3Clean.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3EmitCModel.cpp -o V3EmitCModel.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Clock.cpp -o V3Clock.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Combine.cpp -o V3Combine.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Common.cpp -o V3Common.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Coverage.cpp -o V3Coverage.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3EmitCSyms.cpp -o V3EmitCSyms.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3CoverageJoin.cpp -o V3CoverageJoin.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3EmitMk.cpp -o V3EmitMk.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Dead.cpp -o V3Dead.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3EmitXml.cpp -o V3EmitXml.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Delayed.cpp -o V3Delayed.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Expand.cpp -o V3Expand.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Depth.cpp -o V3Depth.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3DepthBlock.cpp -o V3DepthBlock.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Force.cpp -o V3Force.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Descope.cpp -o V3Descope.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Fork.cpp -o V3Fork.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Dfg.cpp -o V3Dfg.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Gate.cpp -o V3Gate.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3HierBlock.cpp -o V3HierBlock.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3DfgAstToDfg.cpp -o V3DfgAstToDfg.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Inline.cpp -o V3Inline.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Inst.cpp -o V3Inst.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3DfgDecomposition.cpp -o V3DfgDecomposition.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3InstrCount.cpp -o V3InstrCount.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Interface.cpp -o V3Interface.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Life.cpp -o V3Life.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3LifePost.cpp -o V3LifePost.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3DfgDfgToAst.cpp -o V3DfgDfgToAst.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3LinkCells.cpp -o V3LinkCells.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3DfgOptimizer.cpp -o V3DfgOptimizer.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3DfgPasses.cpp -o V3DfgPasses.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3DfgPeephole.cpp -o V3DfgPeephole.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3DupFinder.cpp -o V3DupFinder.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3LinkDot.cpp -o V3LinkDot.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3EmitCMain.cpp -o V3EmitCMain.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3LinkInc.cpp -o V3LinkInc.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3LinkJump.cpp -o V3LinkJump.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3EmitCMake.cpp -o V3EmitCMake.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3LinkLValue.cpp -o V3LinkLValue.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3LinkLevel.cpp -o V3LinkLevel.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3LinkParse.cpp -o V3LinkParse.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3LinkResolve.cpp -o V3LinkResolve.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Localize.cpp -o V3Localize.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3EmitCModel.cpp -o V3EmitCModel.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3MergeCond.cpp -o V3MergeCond.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Name.cpp -o V3Name.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Order.cpp -o V3Order.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3EmitCSyms.cpp -o V3EmitCSyms.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Param.cpp -o V3Param.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3EmitMk.cpp -o V3EmitMk.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3EmitXml.cpp -o V3EmitXml.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Partition.cpp -o V3Partition.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Expand.cpp -o V3Expand.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Premit.cpp -o V3Premit.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Force.cpp -o V3Force.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3ProtectLib.cpp -o V3ProtectLib.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Fork.cpp -o V3Fork.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Randomize.cpp -o V3Randomize.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Reloop.cpp -o V3Reloop.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Gate.cpp -o V3Gate.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3HierBlock.cpp -o V3HierBlock.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Sched.cpp -o V3Sched.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Inline.cpp -o V3Inline.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3SchedAcyclic.cpp -o V3SchedAcyclic.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Inst.cpp -o V3Inst.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3SchedPartition.cpp -o V3SchedPartition.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3InstrCount.cpp -o V3InstrCount.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Interface.cpp -o V3Interface.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3SchedReplicate.cpp -o V3SchedReplicate.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Life.cpp -o V3Life.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3SchedTiming.cpp -o V3SchedTiming.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3LifePost.cpp -o V3LifePost.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3SchedVirtIface.cpp -o V3SchedVirtIface.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Scope.cpp -o V3Scope.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Scoreboard.cpp -o V3Scoreboard.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3LinkCells.cpp -o V3LinkCells.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Slice.cpp -o V3Slice.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Split.cpp -o V3Split.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3SplitAs.cpp -o V3SplitAs.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3SplitVar.cpp -o V3SplitVar.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3LinkDot.cpp -o V3LinkDot.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Subst.cpp -o V3Subst.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3LinkInc.cpp -o V3LinkInc.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3LinkJump.cpp -o V3LinkJump.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3LinkLValue.cpp -o V3LinkLValue.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3TSP.cpp -o V3TSP.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3LinkLevel.cpp -o V3LinkLevel.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Table.cpp -o V3Table.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3LinkParse.cpp -o V3LinkParse.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3LinkResolve.cpp -o V3LinkResolve.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Localize.cpp -o V3Localize.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Task.cpp -o V3Task.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3MergeCond.cpp -o V3MergeCond.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Timing.cpp -o V3Timing.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Trace.cpp -o V3Trace.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Name.cpp -o V3Name.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3TraceDecl.cpp -o V3TraceDecl.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Tristate.cpp -o V3Tristate.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Order.cpp -o V3Order.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Undriven.cpp -o V3Undriven.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Unknown.cpp -o V3Unknown.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Param.cpp -o V3Param.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Unroll.cpp -o V3Unroll.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3VariableOrder.cpp -o V3VariableOrder.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Partition.cpp -o V3Partition.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Premit.cpp -o V3Premit.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3ProtectLib.cpp -o V3ProtectLib.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Width.cpp -o V3Width.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3WidthCommit.cpp -o V3WidthCommit.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Randomize.cpp -o V3Randomize.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3WidthSel.cpp -o V3WidthSel.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Reloop.cpp -o V3Reloop.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -Wno-char-subscripts -Wno-unused -c ../V3ParseLex.cpp -o V3ParseLex.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Sched.cpp -o V3Sched.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3SchedAcyclic.cpp -o V3SchedAcyclic.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3SchedPartition.cpp -o V3SchedPartition.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -Wno-char-subscripts -Wno-unused -c ../V3PreProc.cpp -o V3PreProc.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3SchedReplicate.cpp -o V3SchedReplicate.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3SchedTiming.cpp -o V3SchedTiming.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3SchedVirtIface.cpp -o V3SchedVirtIface.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Scope.cpp -o V3Scope.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Scoreboard.cpp -o V3Scoreboard.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Slice.cpp -o V3Slice.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Split.cpp -o V3Split.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3SplitAs.cpp -o V3SplitAs.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' Linking ../../bin/verilator_bin_dbg... g++ -Wl,-z,relro -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -gz -static-libgcc -Xlinker -gc-sections -o ../../bin/verilator_bin_dbg V3Const__gen.o V3Error.o V3FileLine.o V3Graph.o V3GraphAcyc.o V3GraphAlg.o V3GraphPathChecker.o V3GraphTest.o V3Hash.o V3OptionParser.o V3Os.o V3ParseGrammar.o V3ParseImp.o V3ParseLex.o V3PreProc.o V3PreShell.o V3String.o V3ThreadPool.o V3Waiver.o Verilator.o V3Ast.o V3AstNodes.o V3Broken.o V3Config.o V3EmitCBase.o V3EmitCConstPool.o V3EmitCFunc.o V3EmitCHeaders.o V3EmitCImp.o V3EmitCInlines.o V3EmitCPch.o V3EmitV.o V3File.o V3Global.o V3Hasher.o V3Number.o V3Options.o V3Stats.o V3StatsReport.o V3Active.o V3ActiveTop.o V3Assert.o V3AssertPre.o V3Begin.o V3Branch.o V3CCtors.o V3CUse.o V3Case.o V3Cast.o V3Class.o V3Clean.o V3Clock.o V3Combine.o V3Common.o V3Coverage.o V3CoverageJoin.o V3Dead.o V3Delayed.o V3Depth.o V3DepthBlock.o V3Descope.o V3Dfg.o V3DfgAstToDfg.o V3DfgDecomposition.o V3DfgDfgToAst.o V3DfgOptimizer.o V3DfgPasses.o V3DfgPeephole.o V3DupFinder.o V3EmitCMain.o V3EmitCMake.o V3EmitCModel.o V3EmitCSyms.o V3EmitMk.o V3EmitXml.o V3Expand.o V3Force.o V3Fork.o V3Gate.o V3HierBlock.o V3Inline.o V3Inst.o V3InstrCount.o V3Interface.o V3Life.o V3LifePost.o V3LinkCells.o V3LinkDot.o V3LinkInc.o V3LinkJump.o V3LinkLValue.o V3LinkLevel.o V3LinkParse.o V3LinkResolve.o V3Localize.o V3MergeCond.o V3Name.o V3Order.o V3Param.o V3Partition.o V3Premit.o V3ProtectLib.o V3Randomize.o V3Reloop.o V3Sched.o V3SchedAcyclic.o V3SchedPartition.o V3SchedReplicate.o V3SchedTiming.o V3SchedVirtIface.o V3Scope.o V3Scoreboard.o V3Slice.o V3Split.o V3SplitAs.o V3SplitVar.o V3Subst.o V3TSP.o V3Table.o V3Task.o V3Timing.o V3Trace.o V3TraceDecl.o V3Tristate.o V3Undriven.o V3Unknown.o V3Unroll.o V3VariableOrder.o V3Width.o V3WidthCommit.o V3WidthSel.o -lpthread -lm make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Subst.cpp -o V3Subst.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3SplitVar.cpp -o V3SplitVar.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3TSP.cpp -o V3TSP.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Table.cpp -o V3Table.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Task.cpp -o V3Task.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Timing.cpp -o V3Timing.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Trace.cpp -o V3Trace.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3TraceDecl.cpp -o V3TraceDecl.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Tristate.cpp -o V3Tristate.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Undriven.cpp -o V3Undriven.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Unknown.cpp -o V3Unknown.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Unroll.cpp -o V3Unroll.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3VariableOrder.cpp -o V3VariableOrder.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3WidthCommit.cpp -o V3WidthCommit.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3WidthSel.cpp -o V3WidthSel.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -Wno-char-subscripts -Wno-unused -c ../V3ParseLex.cpp -o V3ParseLex.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Width.cpp -o V3Width.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -Wno-char-subscripts -Wno-unused -c ../V3PreProc.cpp -o V3PreProc.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' Linking ../../bin/verilator_bin... g++ -Wl,-z,relro -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -static-libgcc -Xlinker -gc-sections -o ../../bin/verilator_bin V3Const__gen.o V3Error.o V3FileLine.o V3Graph.o V3GraphAcyc.o V3GraphAlg.o V3GraphPathChecker.o V3GraphTest.o V3Hash.o V3OptionParser.o V3Os.o V3ParseGrammar.o V3ParseImp.o V3ParseLex.o V3PreProc.o V3PreShell.o V3String.o V3ThreadPool.o V3Waiver.o Verilator.o V3Ast.o V3AstNodes.o V3Broken.o V3Config.o V3EmitCBase.o V3EmitCConstPool.o V3EmitCFunc.o V3EmitCHeaders.o V3EmitCImp.o V3EmitCInlines.o V3EmitCPch.o V3EmitV.o V3File.o V3Global.o V3Hasher.o V3Number.o V3Options.o V3Stats.o V3StatsReport.o V3Active.o V3ActiveTop.o V3Assert.o V3AssertPre.o V3Begin.o V3Branch.o V3CCtors.o V3CUse.o V3Case.o V3Cast.o V3Class.o V3Clean.o V3Clock.o V3Combine.o V3Common.o V3Coverage.o V3CoverageJoin.o V3Dead.o V3Delayed.o V3Depth.o V3DepthBlock.o V3Descope.o V3Dfg.o V3DfgAstToDfg.o V3DfgDecomposition.o V3DfgDfgToAst.o V3DfgOptimizer.o V3DfgPasses.o V3DfgPeephole.o V3DupFinder.o V3EmitCMain.o V3EmitCMake.o V3EmitCModel.o V3EmitCSyms.o V3EmitMk.o V3EmitXml.o V3Expand.o V3Force.o V3Fork.o V3Gate.o V3HierBlock.o V3Inline.o V3Inst.o V3InstrCount.o V3Interface.o V3Life.o V3LifePost.o V3LinkCells.o V3LinkDot.o V3LinkInc.o V3LinkJump.o V3LinkLValue.o V3LinkLevel.o V3LinkParse.o V3LinkResolve.o V3Localize.o V3MergeCond.o V3Name.o V3Order.o V3Param.o V3Partition.o V3Premit.o V3ProtectLib.o V3Randomize.o V3Reloop.o V3Sched.o V3SchedAcyclic.o V3SchedPartition.o V3SchedReplicate.o V3SchedTiming.o V3SchedVirtIface.o V3Scope.o V3Scoreboard.o V3Slice.o V3Split.o V3SplitAs.o V3SplitVar.o V3Subst.o V3TSP.o V3Table.o V3Task.o V3Timing.o V3Trace.o V3TraceDecl.o V3Tristate.o V3Undriven.o V3Unknown.o V3Unroll.o V3VariableOrder.o V3Width.o V3WidthCommit.o V3WidthSel.o -lpthread -lm make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' Build complete! Now type 'make test' to test. + exit 0 Executing(%install): /bin/sh -e /var/tmp/rpm-tmp.MwRwAY + umask 022 + cd /builddir/build/BUILD + '[' /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64 '!=' / ']' + rm -rf /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64 ++ dirname /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64 + mkdir -p /builddir/build/BUILDROOT + mkdir /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64 + cd verilator-5.020 + /usr/bin/make install DESTDIR=/builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64 'INSTALL=/usr/bin/install -p' ------------------------------------------------------------ making verilator in src /usr/bin/make -C src make[1]: Entering directory '/builddir/build/BUILD/verilator-5.020/src' /usr/bin/make -C obj_dbg -j 1 TGT=../../bin/verilator_bin_dbg VL_DEBUG=1 -f ../Makefile_obj serial make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Nothing to be done for 'serial'. make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' /usr/bin/make -C obj_dbg TGT=../../bin/verilator_bin_dbg VL_DEBUG=1 -f ../Makefile_obj make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' Compile flags: g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' /usr/bin/make -C obj_dbg TGT=../../bin/verilator_coverage_bin_dbg VL_DEBUG=1 VL_VLCOV=1 -f ../Makefile_obj serial_vlcov make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Nothing to be done for 'serial_vlcov'. make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' /usr/bin/make -C obj_dbg TGT=../../bin/verilator_coverage_bin_dbg VL_DEBUG=1 VL_VLCOV=1 -f ../Makefile_obj make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' Compile flags: g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' /usr/bin/make -C obj_opt -j 1 TGT=../../bin/verilator_bin -f ../Makefile_obj serial make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Nothing to be done for 'serial'. make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' /usr/bin/make -C obj_opt TGT=../../bin/verilator_bin -f ../Makefile_obj make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' Compile flags: g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[1]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src' /bin/sh ./src/mkinstalldirs /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr/bin mkdir /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr mkdir /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr/bin ( cd ./bin ; /usr/bin/install -p verilator /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr/bin/verilator ) ( cd ./bin ; /usr/bin/install -p verilator_coverage /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr/bin/verilator_coverage ) ( cd ./bin ; /usr/bin/install -p verilator_gantt /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr/bin/verilator_gantt ) ( cd ./bin ; /usr/bin/install -p verilator_profcfunc /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr/bin/verilator_profcfunc ) ( cd bin ; /usr/bin/install -p verilator_bin /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr/bin/verilator_bin ) ( cd bin ; /usr/bin/install -p verilator_bin_dbg /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr/bin/verilator_bin_dbg ) ( cd bin ; /usr/bin/install -p verilator_coverage_bin_dbg /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr/bin/verilator_coverage_bin_dbg ) /bin/sh ./src/mkinstalldirs /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr/share/verilator/bin mkdir /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr/share mkdir /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr/share/verilator mkdir /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr/share/verilator/bin ( cd ./bin ; /usr/bin/install -p verilator_includer /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr/share/verilator/bin/verilator_includer ) ( cd ./bin ; /usr/bin/install -p verilator_ccache_report /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr/share/verilator/bin/verilator_ccache_report ) ( cd ./bin ; /usr/bin/install -p verilator_difftree /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr/share/verilator/bin/verilator_difftree ) /bin/sh ./src/mkinstalldirs /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr/share/man/man1 mkdir /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr/share/man mkdir /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr/share/man/man1 for p in verilator.1 verilator_coverage.1 verilator_gantt.1 verilator_profcfunc.1 ; do \ /usr/bin/install -p -m 644 $p /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr/share/man/man1/$p; \ done /bin/sh ./src/mkinstalldirs /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr/share/verilator/include/gtkwave mkdir /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr/share/verilator/include mkdir /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr/share/verilator/include/gtkwave /bin/sh ./src/mkinstalldirs /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr/share/verilator/include/vltstd mkdir /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr/share/verilator/include/vltstd for p in include/verilated_config.h include/verilated.mk ; do \ /usr/bin/install -p -m 644 $p /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr/share/verilator/$p; \ done cd . \ ; for p in include/*.[chv]* include/*.sv include/gtkwave/*.[chv]* include/vltstd/*.[chv]* ; do \ /usr/bin/install -p -m 644 $p /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr/share/verilator/$p; \ done /bin/sh ./src/mkinstalldirs /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr/share/verilator/examples/make_hello_binary mkdir /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr/share/verilator/examples mkdir /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr/share/verilator/examples/make_hello_binary /bin/sh ./src/mkinstalldirs /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr/share/verilator/examples/make_hello_c mkdir /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr/share/verilator/examples/make_hello_c /bin/sh ./src/mkinstalldirs /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr/share/verilator/examples/make_hello_sc mkdir /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr/share/verilator/examples/make_hello_sc /bin/sh ./src/mkinstalldirs /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr/share/verilator/examples/make_tracing_c /bin/sh ./src/mkinstalldirs /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr/share/verilator/examples/make_tracing_sc /bin/sh ./src/mkinstalldirs /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr/share/verilator/examples/make_protect_lib mkdir /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr/share/verilator/examples/make_tracing_c mkdir /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr/share/verilator/examples/make_tracing_sc /bin/sh ./src/mkinstalldirs /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr/share/verilator/examples/cmake_hello_c mkdir /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr/share/verilator/examples/make_protect_lib /bin/sh ./src/mkinstalldirs /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr/share/verilator/examples/cmake_hello_sc mkdir /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr/share/verilator/examples/cmake_hello_c /bin/sh ./src/mkinstalldirs /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr/share/verilator/examples/cmake_tracing_c mkdir /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr/share/verilator/examples/cmake_hello_sc /bin/sh ./src/mkinstalldirs /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr/share/verilator/examples/cmake_tracing_sc mkdir /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr/share/verilator/examples/cmake_tracing_c /bin/sh ./src/mkinstalldirs /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr/share/verilator/examples/cmake_protect_lib mkdir /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr/share/verilator/examples/cmake_tracing_sc mkdir /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr/share/verilator/examples/cmake_protect_lib /bin/sh ./src/mkinstalldirs /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr/share/verilator/examples/xml_py mkdir /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr/share/verilator/examples/xml_py cd . \ ; for p in examples/*/*.[chv]* examples/*/CMakeLists.txt examples/*/Makefile* examples/*/vl_* ; do \ /usr/bin/install -p -m 644 $p /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr/share/verilator/$p; \ done /bin/sh ./src/mkinstalldirs /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr/share/pkgconfig mkdir /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr/share/pkgconfig /usr/bin/install -p -m 644 verilator.pc /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr/share/pkgconfig /usr/bin/install -p -m 644 verilator-config.cmake /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr/share/verilator /usr/bin/install -p -m 644 verilator-config-version.cmake /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr/share/verilator Installed binaries to /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr/bin/verilator Installed man to /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr/share/man/man1 Installed examples to /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr/share/verilator/examples For documentation see 'man verilator' or 'verilator --help' For forums and to report bugs see https://verilator.org + rm -rf /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr/share/verilator/examples + rm -rf /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr/share/verilator/src + rm -rf /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr/bin/verilator_includer + mkdir -p /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr/lib64/pkgconfig + mv /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr/share/pkgconfig/verilator.pc /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr/lib64/pkgconfig + /usr/lib/rpm/find-debuginfo.sh -j2 --strict-build-id -m -i --build-id-seed 5.020-1.el8 --unique-debug-suffix -5.020-1.el8.x86_64 --unique-debug-src-base verilator-5.020-1.el8.x86_64 --run-dwz --dwz-low-mem-die-limit 10000000 --dwz-max-die-limit 110000000 -S debugsourcefiles.list /builddir/build/BUILD/verilator-5.020 extracting debug info from /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr/bin/verilator_bin extracting debug info from /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr/bin/verilator_bin_dbg /usr/lib/rpm/debugedit: /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr/bin/verilator_bin_dbg: DWARF version 0 unhandled BFD: /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr/bin/verilator_bin_dbg: unable to initialize decompress status for section .debug_aranges BFD: /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr/bin/verilator_bin_dbg: unable to initialize decompress status for section .debug_aranges "/builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr/bin/verilator_bin_dbg": not in executable format: file format not recognized gdb-add-index: No index was created for /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr/bin/verilator_bin_dbg gdb-add-index: [Was there no debuginfo? Was there already an index?] extracting debug info from /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr/bin/verilator_coverage_bin_dbg /usr/lib/rpm/debugedit: /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr/bin/verilator_coverage_bin_dbg: DWARF version 0 unhandled BFD: /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr/bin/verilator_coverage_bin_dbg: unable to initialize decompress status for section .debug_aranges BFD: /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr/bin/verilator_coverage_bin_dbg: unable to initialize decompress status for section .debug_aranges "/builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr/bin/verilator_coverage_bin_dbg": not in executable format: file format not recognized gdb-add-index: No index was created for /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr/bin/verilator_coverage_bin_dbg gdb-add-index: [Was there no debuginfo? Was there already an index?] dwz: ./usr/bin/verilator_bin_dbg-5.020-1.el8.x86_64.debug: DWARF version 0 unhandled dwz: ./usr/bin/verilator_coverage_bin_dbg-5.020-1.el8.x86_64.debug: DWARF version 0 unhandled dwz: Too few files for multifile optimization /usr/lib/rpm/sepdebugcrcfix: Updated 1 CRC32s, 2 CRC32s did match. cpio: src/obj_opt/verilog.c: Cannot stat: No such file or directory cpio: src/obj_opt/verilog.y: Cannot stat: No such file or directory 13052 blocks + /usr/lib/rpm/check-buildroot + /usr/lib/rpm/redhat/brp-ldconfig /sbin/ldconfig: Warning: ignoring configuration file that cannot be opened: /etc/ld.so.conf: No such file or directory + /usr/lib/rpm/brp-compress + /usr/lib/rpm/brp-strip-static-archive /usr/bin/strip + /usr/lib/rpm/brp-python-bytecompile '' 1 + /usr/lib/rpm/brp-python-hardlink + PYTHON3=/usr/libexec/platform-python + /usr/lib/rpm/redhat/brp-mangle-shebangs mangling shebang in /usr/bin/verilator from /usr/bin/env perl to #!/usr/bin/perl mangling shebang in /usr/bin/verilator_coverage from /usr/bin/env perl to #!/usr/bin/perl mangling shebang in /usr/bin/verilator_gantt from /usr/bin/env python3 to #!/usr/libexec/platform-python mangling shebang in /usr/bin/verilator_profcfunc from /usr/bin/env python3 to #!/usr/libexec/platform-python mangling shebang in /usr/share/verilator/bin/verilator_includer from /usr/bin/env python3 to #!/usr/libexec/platform-python mangling shebang in /usr/share/verilator/bin/verilator_ccache_report from /usr/bin/env python3 to #!/usr/libexec/platform-python mangling shebang in /usr/share/verilator/bin/verilator_difftree from /usr/bin/env python3 to #!/usr/libexec/platform-python Executing(%check): /bin/sh -e /var/tmp/rpm-tmp.IDCf8M + umask 022 + cd /builddir/build/BUILD + cd verilator-5.020 + make test ------------------------------------------------------------ making verilator in src make -C src make[1]: Entering directory '/builddir/build/BUILD/verilator-5.020/src' make -C obj_dbg -j 1 TGT=../../bin/verilator_bin_dbg VL_DEBUG=1 -f ../Makefile_obj serial make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Nothing to be done for 'serial'. make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make -C obj_dbg TGT=../../bin/verilator_bin_dbg VL_DEBUG=1 -f ../Makefile_obj make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' Compile flags: g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make -C obj_dbg TGT=../../bin/verilator_coverage_bin_dbg VL_DEBUG=1 VL_VLCOV=1 -f ../Makefile_obj serial_vlcov make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Nothing to be done for 'serial_vlcov'. make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make -C obj_dbg TGT=../../bin/verilator_coverage_bin_dbg VL_DEBUG=1 VL_VLCOV=1 -f ../Makefile_obj make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' Compile flags: g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make -C obj_opt -j 1 TGT=../../bin/verilator_bin -f ../Makefile_obj serial make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Nothing to be done for 'serial'. make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make -C obj_opt TGT=../../bin/verilator_bin -f ../Makefile_obj make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' Compile flags: g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[1]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src' test_regress/t/t_a1_first_cc.pl ====================================================================== dist/t_a1_first_cc: ================================================== -Skip: dist/t_a1_first_cc: scenario 'dist' not enabled for test dist/t_a1_first_cc: -Skip: Skip: scenario 'dist' not enabled for test ==SUMMARY: Passed 0 Failed 0 Time 0:00 ====================================================================== vlt/t_a1_first_cc: ================================================== perl ../bin/verilator --debug --debugi 0 --gdbbt --no-dump-tree -V warning: File "/builddir/build/BUILD/verilator-5.020/test_regress/.gdbinit" auto-loading has been declined by your `auto-load safe-path' set to "$debugdir:$datadir/auto-load". No stack. warning: File "/builddir/build/BUILD/verilator-5.020/test_regress/.gdbinit" auto-loading has been declined by your `auto-load safe-path' set to "$debugdir:$datadir/auto-load". To enable execution of this file add add-auto-load-safe-path /builddir/build/BUILD/verilator-5.020/test_regress/.gdbinit line to your configuration file "/builddir/.gdbinit". To completely disable this security protection add set auto-load safe-path / line to your configuration file "/builddir/.gdbinit". For more information about this security protection see the "Auto-loading safe path" section in the GDB manual. E.g., run from the shell: info "(gdb)Auto-loading safe path" [Thread debugging using libthread_db enabled] Using host libthread_db library "/lib64/libthread_db.so.1". Starting Verilator 5.020 2024-01-01 rev UNKNOWN.REV Starting Verilator 5.020 2024-01-01 rev UNKNOWN.REV Verilator 5.020 2024-01-01 rev UNKNOWN.REV Copyright 2003-2024 by Wilson Snyder. Verilator is free software; you can redistribute it and/or modify the Verilator internals under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. See https://verilator.org for documentation Summary of configuration: Compiled in defaults if not in environment: SYSTEMC = SYSTEMC_ARCH = SYSTEMC_INCLUDE = SYSTEMC_LIBDIR = VERILATOR_ROOT = /usr/share/verilator SystemC system-wide = 0 Environment: MAKE = make PERL = SYSTEMC = SYSTEMC_ARCH = SYSTEMC_INCLUDE = SYSTEMC_LIBDIR = VERILATOR_BIN = VERILATOR_ROOT = /builddir/build/BUILD/verilator-5.020/test_regress/.. Supported features (compiled-in or forced by environment): COROUTINES = SYSTEMC = [Inferior 1 (process 3573) exited normally] No stack. perl /builddir/build/BUILD/verilator-5.020/test_regress/../bin/verilator --prefix Vt_a1_first_cc ../obj_vlt/t_a1_first_cc/Vt_a1_first_cc__main.cpp --exe --make gmake --x-assign unique -cc -Mdir obj_vlt/t_a1_first_cc --fdedup --debug-check --comp-limit-members 10 --debug --debugi 0 --gdbbt --no-dump-tree --trace --clk clk -f input.vc +define+TEST_OBJ_DIR=obj_vlt/t_a1_first_cc +define+TEST_DUMPFILE=obj_vlt/t_a1_first_cc/simx.vcd t/t_a1_first_cc.v > obj_vlt/t_a1_first_cc/vlt_compile.log warning: File "/builddir/build/BUILD/verilator-5.020/test_regress/.gdbinit" auto-loading has been declined by your `auto-load safe-path' set to "$debugdir:$datadir/auto-load". No stack. warning: File "/builddir/build/BUILD/verilator-5.020/test_regress/.gdbinit" auto-loading has been declined by your `auto-load safe-path' set to "$debugdir:$datadir/auto-load". To enable execution of this file add add-auto-load-safe-path /builddir/build/BUILD/verilator-5.020/test_regress/.gdbinit line to your configuration file "/builddir/.gdbinit". To completely disable this security protection add set auto-load safe-path / line to your configuration file "/builddir/.gdbinit". For more information about this security protection see the "Auto-loading safe path" section in the GDB manual. E.g., run from the shell: info "(gdb)Auto-loading safe path" [Thread debugging using libthread_db enabled] Using host libthread_db library "/lib64/libthread_db.so.1". Starting Verilator 5.020 2024-01-01 rev UNKNOWN.REV Starting Verilator 5.020 2024-01-01 rev UNKNOWN.REV [Inferior 1 (process 3592) exited normally] No stack. make -C obj_vlt/t_a1_first_cc -f /builddir/build/BUILD/verilator-5.020/test_regress/Makefile_obj --no-print-directory VM_PREFIX=Vt_a1_first_cc TEST_OBJ_DIR=obj_vlt/t_a1_first_cc CPPFLAGS_DRIVER=-DT_A1_FIRST_CC OPT_FAST=-O0 OPT_GLOBAL=-O0 Vt_a1_first_cc > obj_vlt/t_a1_first_cc/vlt_gcc.log driver: Entering directory '/builddir/build/BUILD/verilator-5.020/test_regress/obj_vlt/t_a1_first_cc' g++ -I. -MMD -I/builddir/build/BUILD/verilator-5.020/test_regress/../include -I/builddir/build/BUILD/verilator-5.020/test_regress/../include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-overloaded-virtual -Wno-shadow -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -DVERILATOR=1 -DVL_DEBUG=1 -DTEST_OBJ_DIR=obj_vlt/t_a1_first_cc -DVM_PREFIX=Vt_a1_first_cc -DVM_PREFIX_INCLUDE="" -DVM_PREFIX_ROOT_INCLUDE="" -DT_A1_FIRST_CC -DVL_LOCK_SPINS=10000 -O0 -c -o Vt_a1_first_cc__main.o ../../obj_vlt/t_a1_first_cc/Vt_a1_first_cc__main.cpp g++ -O0 -I. -MMD -I/builddir/build/BUILD/verilator-5.020/test_regress/../include -I/builddir/build/BUILD/verilator-5.020/test_regress/../include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-overloaded-virtual -Wno-shadow -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -DVERILATOR=1 -DVL_DEBUG=1 -DTEST_OBJ_DIR=obj_vlt/t_a1_first_cc -DVM_PREFIX=Vt_a1_first_cc -DVM_PREFIX_INCLUDE="" -DVM_PREFIX_ROOT_INCLUDE="" -DT_A1_FIRST_CC -DVL_LOCK_SPINS=10000 -c -o verilated.o /builddir/build/BUILD/verilator-5.020/test_regress/../include/verilated.cpp g++ -O0 -I. -MMD -I/builddir/build/BUILD/verilator-5.020/test_regress/../include -I/builddir/build/BUILD/verilator-5.020/test_regress/../include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-overloaded-virtual -Wno-shadow -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -DVERILATOR=1 -DVL_DEBUG=1 -DTEST_OBJ_DIR=obj_vlt/t_a1_first_cc -DVM_PREFIX=Vt_a1_first_cc -DVM_PREFIX_INCLUDE="" -DVM_PREFIX_ROOT_INCLUDE="" -DT_A1_FIRST_CC -DVL_LOCK_SPINS=10000 -c -o verilated_vcd_c.o /builddir/build/BUILD/verilator-5.020/test_regress/../include/verilated_vcd_c.cpp g++ -O0 -I. -MMD -I/builddir/build/BUILD/verilator-5.020/test_regress/../include -I/builddir/build/BUILD/verilator-5.020/test_regress/../include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-overloaded-virtual -Wno-shadow -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -DVERILATOR=1 -DVL_DEBUG=1 -DTEST_OBJ_DIR=obj_vlt/t_a1_first_cc -DVM_PREFIX=Vt_a1_first_cc -DVM_PREFIX_INCLUDE="" -DVM_PREFIX_ROOT_INCLUDE="" -DT_A1_FIRST_CC -DVL_LOCK_SPINS=10000 -c -o verilated_threads.o /builddir/build/BUILD/verilator-5.020/test_regress/../include/verilated_threads.cpp /usr/bin/python3 /builddir/build/BUILD/verilator-5.020/test_regress/../bin/verilator_includer -DVL_INCLUDE_OPT=include Vt_a1_first_cc.cpp Vt_a1_first_cc___024root__DepSet_hbad1107f__0.cpp Vt_a1_first_cc___024root__DepSet_he3a53103__0.cpp Vt_a1_first_cc__Trace__0.cpp Vt_a1_first_cc___024root__Slow.cpp Vt_a1_first_cc___024root__DepSet_he3a53103__0__Slow.cpp Vt_a1_first_cc__Syms.cpp Vt_a1_first_cc__Trace__0__Slow.cpp Vt_a1_first_cc__TraceDecls__0__Slow.cpp > Vt_a1_first_cc__ALL.cpp g++ -O0 -I. -MMD -I/builddir/build/BUILD/verilator-5.020/test_regress/../include -I/builddir/build/BUILD/verilator-5.020/test_regress/../include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-overloaded-virtual -Wno-shadow -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -DVERILATOR=1 -DVL_DEBUG=1 -DTEST_OBJ_DIR=obj_vlt/t_a1_first_cc -DVM_PREFIX=Vt_a1_first_cc -DVM_PREFIX_INCLUDE="" -DVM_PREFIX_ROOT_INCLUDE="" -DT_A1_FIRST_CC -DVL_LOCK_SPINS=10000 -c -o Vt_a1_first_cc__ALL.o Vt_a1_first_cc__ALL.cpp echo "" > Vt_a1_first_cc__ALL.verilator_deplist.tmp Archive ar -rcs Vt_a1_first_cc__ALL.a Vt_a1_first_cc__ALL.o g++ Vt_a1_first_cc__main.o verilated.o verilated_vcd_c.o verilated_threads.o Vt_a1_first_cc__ALL.a -pthread -lpthread -o Vt_a1_first_cc rm Vt_a1_first_cc__ALL.verilator_deplist.tmp driver: Leaving directory '/builddir/build/BUILD/verilator-5.020/test_regress/obj_vlt/t_a1_first_cc' obj_vlt/t_a1_first_cc/Vt_a1_first_cc > obj_vlt/t_a1_first_cc/vlt_sim.log *-* All Finished *-* - t/t_a1_first_cc.v:17: Verilog $finish vlt/t_a1_first_cc: Self PASSED ====================================================================== TESTS DONE, PASSED: Passed 1 Failed 0 Time 0:13 ==SUMMARY: Passed 1 Failed 0 Time 0:13 ==SUMMARY: Passed 1 Failed 0 Time 0:13 test_regress/t/t_a2_first_sc.pl ====================================================================== dist/t_a2_first_sc: ================================================== -Skip: dist/t_a2_first_sc: scenario 'dist' not enabled for test dist/t_a2_first_sc: -Skip: Skip: scenario 'dist' not enabled for test ==SUMMARY: Passed 0 Failed 0 Time 0:00 ====================================================================== vlt/t_a2_first_sc: ================================================== vlt/t_a2_first_sc: -Skip: Skip: Test requires SystemC; ignore error since not installed -Skip: vlt/t_a2_first_sc: Test requires SystemC; ignore error since not installed ==SUMMARY: Passed 0 Failed 0 Skipped 1 Time 0:00 ==SUMMARY: Passed 0 Failed 0 Skipped 1 Time 0:00 ====================================================================== #vlt/t_a2_first_sc: -Skip: Skip: Test requires SystemC; ignore error since not installed TESTS DONE, PASSED w/SKIPS: Passed 0 Failed 0 Skipped 1 Time 0:00 for p in examples/make_hello_c examples/make_hello_sc examples/cmake_hello_c examples/cmake_hello_sc examples/cmake_protect_lib examples/cmake_tracing_c examples/cmake_tracing_sc examples/make_hello_binary examples/make_protect_lib examples/make_tracing_c examples/make_tracing_sc examples/xml_py ; do \ make -C $p VERILATOR_ROOT=`pwd` || exit 10; \ done make[1]: Entering directory '/builddir/build/BUILD/verilator-5.020/examples/make_hello_c' -- Verilator hello-world simple example -- VERILATE & BUILD -------- /builddir/build/BUILD/verilator-5.020/bin/verilator -cc --exe --build -j top.v sim_main.cpp make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/examples/make_hello_c/obj_dir' g++ -I. -MMD -I/builddir/build/BUILD/verilator-5.020/include -I/builddir/build/BUILD/verilator-5.020/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-overloaded-virtual -Wno-shadow -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Os -c -o sim_main.o ../sim_main.cpp g++ -Os -I. -MMD -I/builddir/build/BUILD/verilator-5.020/include -I/builddir/build/BUILD/verilator-5.020/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-overloaded-virtual -Wno-shadow -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -c -o verilated.o /builddir/build/BUILD/verilator-5.020/include/verilated.cpp g++ -Os -I. -MMD -I/builddir/build/BUILD/verilator-5.020/include -I/builddir/build/BUILD/verilator-5.020/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-overloaded-virtual -Wno-shadow -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -c -o verilated_threads.o /builddir/build/BUILD/verilator-5.020/include/verilated_threads.cpp /usr/bin/python3 /builddir/build/BUILD/verilator-5.020/bin/verilator_includer -DVL_INCLUDE_OPT=include Vtop.cpp Vtop___024root__DepSet_h84412442__0.cpp Vtop___024root__DepSet_heccd7ead__0.cpp Vtop___024root__Slow.cpp Vtop___024root__DepSet_heccd7ead__0__Slow.cpp Vtop__Syms.cpp > Vtop__ALL.cpp g++ -Os -I. -MMD -I/builddir/build/BUILD/verilator-5.020/include -I/builddir/build/BUILD/verilator-5.020/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-overloaded-virtual -Wno-shadow -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -c -o Vtop__ALL.o Vtop__ALL.cpp echo "" > Vtop__ALL.verilator_deplist.tmp Archive ar -rcs Vtop__ALL.a Vtop__ALL.o g++ sim_main.o verilated.o verilated_threads.o Vtop__ALL.a -pthread -lpthread -o Vtop rm Vtop__ALL.verilator_deplist.tmp make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/examples/make_hello_c/obj_dir' -- RUN --------------------- obj_dir/Vtop Hello World! - top.v:12: Verilog $finish -- DONE -------------------- Note: Once this example is understood, see examples/make_tracing_c. Note: See also https://verilator.org/guide/latest/examples.html make[1]: Leaving directory '/builddir/build/BUILD/verilator-5.020/examples/make_hello_c' make[1]: Entering directory '/builddir/build/BUILD/verilator-5.020/examples/make_hello_sc' %Skip: SYSTEMC_INCLUDE not in environment (If you have SystemC see the README, and rebuild Verilator) make[1]: Leaving directory '/builddir/build/BUILD/verilator-5.020/examples/make_hello_sc' make[1]: Entering directory '/builddir/build/BUILD/verilator-5.020/examples/cmake_hello_c' which: no cmake in (/usr/bin:/bin:/usr/sbin:/sbin:/usr/local/sbin) %Skip: CMake has not been found make[1]: Leaving directory '/builddir/build/BUILD/verilator-5.020/examples/cmake_hello_c' make[1]: Entering directory '/builddir/build/BUILD/verilator-5.020/examples/cmake_hello_sc' which: no cmake in (/usr/bin:/bin:/usr/sbin:/sbin:/usr/local/sbin) %Skip: CMake has not been found make[1]: Leaving directory '/builddir/build/BUILD/verilator-5.020/examples/cmake_hello_sc' make[1]: Entering directory '/builddir/build/BUILD/verilator-5.020/examples/cmake_protect_lib' which: no cmake in (/usr/bin:/bin:/usr/sbin:/sbin:/usr/local/sbin) %Skip: CMake has not been found make[1]: Leaving directory '/builddir/build/BUILD/verilator-5.020/examples/cmake_protect_lib' make[1]: Entering directory '/builddir/build/BUILD/verilator-5.020/examples/cmake_tracing_c' which: no cmake in (/usr/bin:/bin:/usr/sbin:/sbin:/usr/local/sbin) %Skip: CMake has not been found make[1]: Leaving directory '/builddir/build/BUILD/verilator-5.020/examples/cmake_tracing_c' make[1]: Entering directory '/builddir/build/BUILD/verilator-5.020/examples/cmake_tracing_sc' which: no cmake in (/usr/bin:/bin:/usr/sbin:/sbin:/usr/local/sbin) %Skip: CMake has not been found make[1]: Leaving directory '/builddir/build/BUILD/verilator-5.020/examples/cmake_tracing_sc' make[1]: Entering directory '/builddir/build/BUILD/verilator-5.020/examples/make_hello_binary' -- Verilator hello-world simple binary example -- VERILATE & BUILD -------- /builddir/build/BUILD/verilator-5.020/bin/verilator --binary -j 0 top.v make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/examples/make_hello_binary/obj_dir' g++ -Os -I. -MMD -I/builddir/build/BUILD/verilator-5.020/include -I/builddir/build/BUILD/verilator-5.020/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-overloaded-virtual -Wno-shadow -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -DVL_TIME_CONTEXT -c -o verilated.o /builddir/build/BUILD/verilator-5.020/include/verilated.cpp g++ -Os -I. -MMD -I/builddir/build/BUILD/verilator-5.020/include -I/builddir/build/BUILD/verilator-5.020/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-overloaded-virtual -Wno-shadow -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -DVL_TIME_CONTEXT -c -o verilated_threads.o /builddir/build/BUILD/verilator-5.020/include/verilated_threads.cpp /usr/bin/python3 /builddir/build/BUILD/verilator-5.020/bin/verilator_includer -DVL_INCLUDE_OPT=include Vtop.cpp Vtop___024root__DepSet_h84412442__0.cpp Vtop___024root__DepSet_heccd7ead__0.cpp Vtop__main.cpp Vtop___024root__Slow.cpp Vtop___024root__DepSet_heccd7ead__0__Slow.cpp Vtop__Syms.cpp > Vtop__ALL.cpp echo "" > Vtop__ALL.verilator_deplist.tmp g++ -Os -I. -MMD -I/builddir/build/BUILD/verilator-5.020/include -I/builddir/build/BUILD/verilator-5.020/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-overloaded-virtual -Wno-shadow -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -DVL_TIME_CONTEXT -c -o Vtop__ALL.o Vtop__ALL.cpp Archive ar -rcs Vtop__ALL.a Vtop__ALL.o g++ verilated.o verilated_threads.o Vtop__ALL.a -pthread -lpthread -o Vtop rm Vtop__ALL.verilator_deplist.tmp make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/examples/make_hello_binary/obj_dir' -- RUN --------------------- obj_dir/Vtop Hello World! - top.v:12: Verilog $finish -- DONE -------------------- Note: Once this example is understood, see examples/make_hello_c. Note: See also https://verilator.org/guide/latest/examples.html make[1]: Leaving directory '/builddir/build/BUILD/verilator-5.020/examples/make_hello_binary' make[1]: Entering directory '/builddir/build/BUILD/verilator-5.020/examples/make_protect_lib' -- Verilator --protect-lib example -_-------------------------- -- VERILATE secret module ------------------------------------- --protect-lib will produce both a static and shared library In this example the static library is used, but some simulators may require the shared library. --------------------------------------------------------------- /builddir/build/BUILD/verilator-5.020/bin/verilator -cc -x-assign fast -Wall -CFLAGS -DVL_TIME_CONTEXT --protect-lib verilated_secret -Mdir obj_dir_secret/ secret_impl.v -- COMPILE protected library ---------------------------------- This builds verilated_secret.sv, libverilated_secret.a and libverilated_secret.so which can be distributed apart from the source --------------------------------------------------------------- make -j 4 -C obj_dir_secret -f Vsecret_impl.mk make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/examples/make_protect_lib/obj_dir_secret' /usr/bin/python3 /builddir/build/BUILD/verilator-5.020/bin/verilator_includer -DVL_INCLUDE_OPT=include Vsecret_impl.cpp Vsecret_impl_PSJA03__DepSet_h9c1c1b14__0.cpp Vsecret_impl_PSJA03__DepSet_h0c549e62__0.cpp Vsecret_impl_PSJA03__Slow.cpp Vsecret_impl_PSJA03__DepSet_h9c1c1b14__0__Slow.cpp Vsecret_impl_PSJA03__DepSet_h0c549e62__0__Slow.cpp Vsecret_impl_PSoBJb.cpp > Vsecret_impl__ALL.cpp g++ -Os -I. -MMD -I/builddir/build/BUILD/verilator-5.020/include -I/builddir/build/BUILD/verilator-5.020/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-overloaded-virtual -Wno-shadow -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -fPIC -DVL_TIME_CONTEXT -c -o verilated.o /builddir/build/BUILD/verilator-5.020/include/verilated.cpp g++ -Os -I. -MMD -I/builddir/build/BUILD/verilator-5.020/include -I/builddir/build/BUILD/verilator-5.020/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-overloaded-virtual -Wno-shadow -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -fPIC -DVL_TIME_CONTEXT -c -o verilated_threads.o /builddir/build/BUILD/verilator-5.020/include/verilated_threads.cpp g++ -Os -I. -MMD -I/builddir/build/BUILD/verilator-5.020/include -I/builddir/build/BUILD/verilator-5.020/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-overloaded-virtual -Wno-shadow -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -fPIC -DVL_TIME_CONTEXT -c -o verilated_secret.o verilated_secret.cpp echo "" > libverilated_secret.verilator_deplist.tmp g++ -Os -I. -MMD -I/builddir/build/BUILD/verilator-5.020/include -I/builddir/build/BUILD/verilator-5.020/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-overloaded-virtual -Wno-shadow -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -fPIC -DVL_TIME_CONTEXT -c -o Vsecret_impl__ALL.o Vsecret_impl__ALL.cpp Archive ar -rcs libverilated_secret.a Vsecret_impl__ALL.o verilated.o verilated_threads.o verilated_secret.o g++ -I. -MMD -I/builddir/build/BUILD/verilator-5.020/include -I/builddir/build/BUILD/verilator-5.020/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-overloaded-virtual -Wno-shadow -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -fPIC -DVL_TIME_CONTEXT -Os -shared -o libverilated_secret.so Vsecret_impl__ALL.o verilated.o verilated_threads.o verilated_secret.o rm libverilated_secret.verilator_deplist.tmp make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/examples/make_protect_lib/obj_dir_secret' -- VERILATE top module ---------------------------------------- Use the SystemVerilog wrapper (verilated_secret.sv) and the library (libverilated_secret.a) generated from the previous step --------------------------------------------------------------- /builddir/build/BUILD/verilator-5.020/bin/verilator -cc -x-assign fast -Wall -CFLAGS -DVL_TIME_CONTEXT --trace --exe -LDFLAGS '../obj_dir_secret/libverilated_secret.a' top.v obj_dir_secret/verilated_secret.sv sim_main.cpp -- COMPILE entire design -------------------------------------- make -j 4 -C obj_dir -f Vtop.mk make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/examples/make_protect_lib/obj_dir' g++ -I. -MMD -I/builddir/build/BUILD/verilator-5.020/include -I/builddir/build/BUILD/verilator-5.020/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-overloaded-virtual -Wno-shadow -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -DVL_TIME_CONTEXT -Os -c -o sim_main.o ../sim_main.cpp g++ -Os -I. -MMD -I/builddir/build/BUILD/verilator-5.020/include -I/builddir/build/BUILD/verilator-5.020/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-overloaded-virtual -Wno-shadow -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -DVL_TIME_CONTEXT -c -o verilated.o /builddir/build/BUILD/verilator-5.020/include/verilated.cpp g++ -Os -I. -MMD -I/builddir/build/BUILD/verilator-5.020/include -I/builddir/build/BUILD/verilator-5.020/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-overloaded-virtual -Wno-shadow -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -DVL_TIME_CONTEXT -c -o verilated_dpi.o /builddir/build/BUILD/verilator-5.020/include/verilated_dpi.cpp g++ -Os -I. -MMD -I/builddir/build/BUILD/verilator-5.020/include -I/builddir/build/BUILD/verilator-5.020/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-overloaded-virtual -Wno-shadow -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -DVL_TIME_CONTEXT -c -o verilated_vcd_c.o /builddir/build/BUILD/verilator-5.020/include/verilated_vcd_c.cpp g++ -Os -I. -MMD -I/builddir/build/BUILD/verilator-5.020/include -I/builddir/build/BUILD/verilator-5.020/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-overloaded-virtual -Wno-shadow -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -DVL_TIME_CONTEXT -c -o verilated_threads.o /builddir/build/BUILD/verilator-5.020/include/verilated_threads.cpp /usr/bin/python3 /builddir/build/BUILD/verilator-5.020/bin/verilator_includer -DVL_INCLUDE_OPT=include Vtop.cpp Vtop___024root__DepSet_h84412442__0.cpp Vtop___024root__DepSet_heccd7ead__0.cpp Vtop__Dpi.cpp Vtop__Trace__0.cpp Vtop___024root__Slow.cpp Vtop___024root__DepSet_h84412442__0__Slow.cpp Vtop___024root__DepSet_heccd7ead__0__Slow.cpp Vtop__Syms.cpp Vtop__Trace__0__Slow.cpp Vtop__TraceDecls__0__Slow.cpp > Vtop__ALL.cpp echo "" > Vtop__ALL.verilator_deplist.tmp g++ -Os -I. -MMD -I/builddir/build/BUILD/verilator-5.020/include -I/builddir/build/BUILD/verilator-5.020/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-overloaded-virtual -Wno-shadow -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -DVL_TIME_CONTEXT -c -o Vtop__ALL.o Vtop__ALL.cpp Archive ar -rcs Vtop__ALL.a Vtop__ALL.o g++ sim_main.o verilated.o verilated_dpi.o verilated_vcd_c.o verilated_threads.o Vtop__ALL.a ../obj_dir_secret/libverilated_secret.a -pthread -lpthread -o Vtop rm Vtop__ALL.verilator_deplist.tmp make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/examples/make_protect_lib/obj_dir' -- RUN -------------------------------------------------------- obj_dir/Vtop +trace Enabling waves into logs/vlt_dump.vcd... [1] TOP.top.secret.secret_impl: initialized [3] cyc=0 a=0 b=0 x=2756837218 [5] cyc=1 a=5 b=7 x=9 [7] cyc=2 a=6 b=2 x=21 [9] cyc=3 a=1 b=9 x=17 [11] cyc=4 a=1 b=9 x=9 Done - top.v:32: Verilog $finish -- DONE ------------------------------------------------------- To see waveforms, open logs/vlt_dump.vcd in a waveform viewer make[1]: Leaving directory '/builddir/build/BUILD/verilator-5.020/examples/make_protect_lib' make[1]: Entering directory '/builddir/build/BUILD/verilator-5.020/examples/make_tracing_c' -- Verilator tracing example -- VERILATE ---------------- /builddir/build/BUILD/verilator-5.020/bin/verilator -cc --exe -x-assign fast -Wall --trace --assert --coverage -f input.vc top.v sim_main.cpp -- BUILD ------------------- make -j -C obj_dir -f ../Makefile_obj make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/examples/make_tracing_c/obj_dir' g++ -I. -MMD -I/builddir/build/BUILD/verilator-5.020/include -I/builddir/build/BUILD/verilator-5.020/include/vltstd -DVM_COVERAGE=1 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-overloaded-virtual -Wno-shadow -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -MMD -MP -DVL_DEBUG=1 -Os -fstrict-aliasing -c -o sim_main.o ../sim_main.cpp g++ -Os -I. -MMD -I/builddir/build/BUILD/verilator-5.020/include -I/builddir/build/BUILD/verilator-5.020/include/vltstd -DVM_COVERAGE=1 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-overloaded-virtual -Wno-shadow -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -MMD -MP -DVL_DEBUG=1 -c -o verilated.o /builddir/build/BUILD/verilator-5.020/include/verilated.cpp g++ -Os -I. -MMD -I/builddir/build/BUILD/verilator-5.020/include -I/builddir/build/BUILD/verilator-5.020/include/vltstd -DVM_COVERAGE=1 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-overloaded-virtual -Wno-shadow -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -MMD -MP -DVL_DEBUG=1 -c -o verilated_cov.o /builddir/build/BUILD/verilator-5.020/include/verilated_cov.cpp g++ -Os -I. -MMD -I/builddir/build/BUILD/verilator-5.020/include -I/builddir/build/BUILD/verilator-5.020/include/vltstd -DVM_COVERAGE=1 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-overloaded-virtual -Wno-shadow -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -MMD -MP -DVL_DEBUG=1 -c -o verilated_vcd_c.o /builddir/build/BUILD/verilator-5.020/include/verilated_vcd_c.cpp g++ -Os -I. -MMD -I/builddir/build/BUILD/verilator-5.020/include -I/builddir/build/BUILD/verilator-5.020/include/vltstd -DVM_COVERAGE=1 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-overloaded-virtual -Wno-shadow -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -MMD -MP -DVL_DEBUG=1 -c -o verilated_threads.o /builddir/build/BUILD/verilator-5.020/include/verilated_threads.cpp /usr/bin/python3 /builddir/build/BUILD/verilator-5.020/bin/verilator_includer -DVL_INCLUDE_OPT=include Vtop.cpp Vtop___024root__DepSet_h84412442__0.cpp Vtop___024root__DepSet_heccd7ead__0.cpp Vtop__Trace__0.cpp Vtop___024root__Slow.cpp Vtop___024root__DepSet_h84412442__0__Slow.cpp Vtop___024root__DepSet_heccd7ead__0__Slow.cpp Vtop__Syms.cpp Vtop__Trace__0__Slow.cpp Vtop__TraceDecls__0__Slow.cpp > Vtop__ALL.cpp echo "" > Vtop__ALL.verilator_deplist.tmp g++ -Os -fstrict-aliasing -I. -MMD -I/builddir/build/BUILD/verilator-5.020/include -I/builddir/build/BUILD/verilator-5.020/include/vltstd -DVM_COVERAGE=1 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-overloaded-virtual -Wno-shadow -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -MMD -MP -DVL_DEBUG=1 -c -o Vtop__ALL.o Vtop__ALL.cpp Archive ar -rcs Vtop__ALL.a Vtop__ALL.o g++ sim_main.o verilated.o verilated_cov.o verilated_vcd_c.o verilated_threads.o Vtop__ALL.a -pthread -lpthread -o Vtop rm Vtop__ALL.verilator_deplist.tmp make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/examples/make_tracing_c/obj_dir' -- RUN --------------------- obj_dir/Vtop +trace [1] Tracing to logs/vlt_dump.vcd... [1] Model running... [1] clk=1 rstl=1 iquad=1234 -> oquad=1235 owide=3_22222222_11111112 [2] clk=0 rstl=0 iquad=1246 -> oquad=0 owide=0_00000000_00000000 [3] clk=1 rstl=0 iquad=1246 -> oquad=0 owide=0_00000000_00000000 [4] clk=0 rstl=0 iquad=1258 -> oquad=0 owide=0_00000000_00000000 [5] clk=1 rstl=0 iquad=1258 -> oquad=0 owide=0_00000000_00000000 [6] clk=0 rstl=0 iquad=126a -> oquad=0 owide=0_00000000_00000000 [7] clk=1 rstl=0 iquad=126a -> oquad=0 owide=0_00000000_00000000 [8] clk=0 rstl=0 iquad=127c -> oquad=0 owide=0_00000000_00000000 [9] clk=1 rstl=0 iquad=127c -> oquad=0 owide=0_00000000_00000000 [10] clk=0 rstl=1 iquad=128e -> oquad=128f owide=3_22222222_11111112 [11] clk=1 rstl=1 iquad=128e -> oquad=128f owide=3_22222222_11111112 [12] clk=0 rstl=1 iquad=12a0 -> oquad=12a1 owide=3_22222222_11111112 [13] clk=1 rstl=1 iquad=12a0 -> oquad=12a1 owide=3_22222222_11111112 [14] clk=0 rstl=1 iquad=12b2 -> oquad=12b3 owide=3_22222222_11111112 [15] clk=1 rstl=1 iquad=12b2 -> oquad=12b3 owide=3_22222222_11111112 [16] clk=0 rstl=1 iquad=12c4 -> oquad=12c5 owide=3_22222222_11111112 *-* All Finished *-* - sub.v:29: Verilog $finish [17] clk=1 rstl=1 iquad=12c4 -> oquad=12c5 owide=3_22222222_11111112 -- COVERAGE ---------------- /builddir/build/BUILD/verilator-5.020/bin/verilator_coverage --annotate logs/annotated logs/coverage.dat Total coverage (2/28) 7.00% See lines with '%00' in logs/annotated -- DONE -------------------- To see waveforms, open vlt_dump.vcd in a waveform viewer make[1]: Leaving directory '/builddir/build/BUILD/verilator-5.020/examples/make_tracing_c' make[1]: Entering directory '/builddir/build/BUILD/verilator-5.020/examples/make_tracing_sc' %Skip: SYSTEMC_INCLUDE not in environment (If you have SystemC see the README, and rebuild Verilator) make[1]: Leaving directory '/builddir/build/BUILD/verilator-5.020/examples/make_tracing_sc' make[1]: Entering directory '/builddir/build/BUILD/verilator-5.020/examples/xml_py' -- vl_file_copy example python3 vl_file_copy -odir copied top.v NOTE: vl_file_copy is only an example starting point for writing your own tool. -- vl_hier_graph example python3 vl_hier_graph -o graph.dot top.v NOTE: vl_hier_graph is only an example starting point for writing your own tool. Manually run: dot -Tpdf -o graph.pdf graph.dot make[1]: Leaving directory '/builddir/build/BUILD/verilator-5.020/examples/xml_py' Tests passed! Now type 'make install' to install. Or type 'make' inside an examples subdirectory. + exit 0 Processing files: verilator-5.020-1.el8.x86_64 Executing(%doc): /bin/sh -e /var/tmp/rpm-tmp.V8vx0j + umask 022 + cd /builddir/build/BUILD + cd verilator-5.020 + DOCDIR=/builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr/share/doc/verilator + export LC_ALL=C + LC_ALL=C + export DOCDIR + /usr/bin/mkdir -p /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr/share/doc/verilator + cp -pr Changes /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr/share/doc/verilator + cp -pr README.rst /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr/share/doc/verilator + cp -pr docs/ /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr/share/doc/verilator + cp -pr examples/ /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr/share/doc/verilator + exit 0 Executing(%license): /bin/sh -e /var/tmp/rpm-tmp.r5hM2c + umask 022 + cd /builddir/build/BUILD + cd verilator-5.020 + LICENSEDIR=/builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr/share/licenses/verilator + export LC_ALL=C + LC_ALL=C + export LICENSEDIR + /usr/bin/mkdir -p /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr/share/licenses/verilator + cp -pr Artistic /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr/share/licenses/verilator + cp -pr LICENSE /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64/usr/share/licenses/verilator + exit 0 Provides: pkgconfig(verilator) = 5.020 verilator = 5.020-1.el8 verilator(x86-64) = 5.020-1.el8 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Requires: /usr/bin/perl /usr/bin/pkg-config /usr/libexec/platform-python libc.so.6()(64bit) libc.so.6(GLIBC_2.14)(64bit) libc.so.6(GLIBC_2.2.5)(64bit) libc.so.6(GLIBC_2.3.4)(64bit) libc.so.6(GLIBC_2.4)(64bit) libm.so.6()(64bit) libm.so.6(GLIBC_2.2.5)(64bit) libpthread.so.0()(64bit) libpthread.so.0(GLIBC_2.2.5)(64bit) libstdc++.so.6()(64bit) libstdc++.so.6(CXXABI_1.3)(64bit) libstdc++.so.6(CXXABI_1.3.11)(64bit) libstdc++.so.6(CXXABI_1.3.2)(64bit) libstdc++.so.6(CXXABI_1.3.3)(64bit) libstdc++.so.6(CXXABI_1.3.5)(64bit) libstdc++.so.6(CXXABI_1.3.8)(64bit) libstdc++.so.6(CXXABI_1.3.9)(64bit) libstdc++.so.6(GLIBCXX_3.4)(64bit) libstdc++.so.6(GLIBCXX_3.4.11)(64bit) libstdc++.so.6(GLIBCXX_3.4.14)(64bit) libstdc++.so.6(GLIBCXX_3.4.15)(64bit) libstdc++.so.6(GLIBCXX_3.4.17)(64bit) libstdc++.so.6(GLIBCXX_3.4.18)(64bit) libstdc++.so.6(GLIBCXX_3.4.19)(64bit) libstdc++.so.6(GLIBCXX_3.4.20)(64bit) libstdc++.so.6(GLIBCXX_3.4.21)(64bit) libstdc++.so.6(GLIBCXX_3.4.22)(64bit) libstdc++.so.6(GLIBCXX_3.4.9)(64bit) perl(:VERSION) >= 5.6.0 perl(Cwd) perl(FindBin) perl(Getopt::Long) perl(IO::File) perl(Pod::Usage) perl(strict) perl(vars) perl(warnings) rtld(GNU_HASH) Processing files: verilator-debugsource-5.020-1.el8.x86_64 Provides: verilator-debugsource = 5.020-1.el8 verilator-debugsource(x86-64) = 5.020-1.el8 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Processing files: verilator-debuginfo-5.020-1.el8.x86_64 Provides: debuginfo(build-id) = 1d57f06ee1dd2c6c7852fdad7e164eced317aa24 debuginfo(build-id) = 750c2974c3fb1314a8fbc3cfdfe52511f9835b2f debuginfo(build-id) = f5d34d1970123be4697e3c9aca05e6cfd2c10e98 verilator-debuginfo = 5.020-1.el8 verilator-debuginfo(x86-64) = 5.020-1.el8 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Recommends: verilator-debugsource(x86-64) = 5.020-1.el8 Checking for unpackaged file(s): /usr/lib/rpm/check-files /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64 Wrote: /builddir/build/RPMS/verilator-5.020-1.el8.x86_64.rpm Wrote: /builddir/build/RPMS/verilator-debugsource-5.020-1.el8.x86_64.rpm Wrote: /builddir/build/RPMS/verilator-debuginfo-5.020-1.el8.x86_64.rpm Executing(%clean): /bin/sh -e /var/tmp/rpm-tmp.acwR0m + umask 022 + cd /builddir/build/BUILD + cd verilator-5.020 + /usr/bin/rm -rf /builddir/build/BUILDROOT/verilator-5.020-1.el8.x86_64 + exit 0 Finish: rpmbuild verilator-5.020-1.el8.src.rpm Finish: build phase for verilator-5.020-1.el8.src.rpm INFO: chroot_scan: 3 files copied to /var/lib/copr-rpmbuild/results/chroot_scan INFO: /var/lib/mock/rhel-8-x86_64-1714412420.587854/root/var/log/dnf.log /var/lib/mock/rhel-8-x86_64-1714412420.587854/root/var/log/dnf.librepo.log /var/lib/mock/rhel-8-x86_64-1714412420.587854/root/var/log/dnf.rpm.log INFO: Done(/var/lib/copr-rpmbuild/results/verilator-5.020-1.el8.src.rpm) Config(child) 11 minutes 21 seconds INFO: Results and/or logs in: /var/lib/copr-rpmbuild/results INFO: Cleaning up build root ('cleanup_on_success=True') Start: clean chroot INFO: unmounting tmpfs. Finish: clean chroot Finish: run Running RPMResults tool Package info: { "packages": [ { "name": "verilator-debugsource", "epoch": null, "version": "5.020", "release": "1.el8", "arch": "x86_64" }, { "name": "verilator", "epoch": null, "version": "5.020", "release": "1.el8", "arch": "src" }, { "name": "verilator-debuginfo", "epoch": null, "version": "5.020", "release": "1.el8", "arch": "x86_64" }, { "name": "verilator", "epoch": null, "version": "5.020", "release": "1.el8", "arch": "x86_64" } ] } RPMResults finished